From f501ec471518c98bf196effac6cc428ccfabeff7 Mon Sep 17 00:00:00 2001 From: Marc KLING <m22kling@fl-tp-br-637.imta.fr> Date: Wed, 12 Feb 2025 12:23:34 +0100 Subject: [PATCH] fin sceance 1 --- docs/Schematic.png | Bin 0 -> 72942 bytes docs/compte-rendu.md | 27 +- loto/loto.cache/sim/ssm.db | 10 + loto/loto.cache/wt/project.wpc | 3 + loto/loto.cache/wt/synthesis.wdf | 52 + loto/loto.cache/wt/synthesis_details.wdf | 3 + loto/loto.cache/wt/webtalk_pa.xml | 21 + loto/loto.cache/wt/xsim.wdf | 4 + loto/loto.hw/hw_1/hw.xml | 18 + loto/loto.hw/loto.lpr | 9 + loto/loto.ip_user_files/README.txt | 1 + loto/loto.runs/.jobs/vrs_config_1.xml | 15 + loto/loto.runs/.jobs/vrs_config_2.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst | 0 loto/loto.runs/impl_1/.init_design.begin.rst | 5 + loto/loto.runs/impl_1/.init_design.end.rst | 0 loto/loto.runs/impl_1/.opt_design.begin.rst | 5 + loto/loto.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.phys_opt_design.begin.rst | 5 + .../loto.runs/impl_1/.phys_opt_design.end.rst | 0 loto/loto.runs/impl_1/.place_design.begin.rst | 5 + loto/loto.runs/impl_1/.place_design.end.rst | 0 loto/loto.runs/impl_1/.route_design.begin.rst | 5 + loto/loto.runs/impl_1/.route_design.end.rst | 0 loto/loto.runs/impl_1/.vivado.begin.rst | 10 + loto/loto.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../loto.runs/impl_1/.write_bitstream.end.rst | 0 loto/loto.runs/impl_1/ISEWrap.js | 270 + loto/loto.runs/impl_1/ISEWrap.sh | 85 + loto/loto.runs/impl_1/clockInfo.txt | 10 + loto/loto.runs/impl_1/gen_run.xml | 231 + loto/loto.runs/impl_1/htr.txt | 10 + loto/loto.runs/impl_1/init_design.pb | Bin 0 -> 2471 bytes loto/loto.runs/impl_1/loto.bit | Bin 0 -> 3825889 bytes loto/loto.runs/impl_1/loto.tcl | 316 ++ loto/loto.runs/impl_1/loto.vdi | 740 +++ loto/loto.runs/impl_1/loto_bus_skew_routed.pb | Bin 0 -> 30 bytes .../loto.runs/impl_1/loto_bus_skew_routed.rpt | 16 + .../loto.runs/impl_1/loto_bus_skew_routed.rpx | Bin 0 -> 1038 bytes .../impl_1/loto_clock_utilization_routed.rpt | 242 + .../impl_1/loto_control_sets_placed.rpt | 88 + loto/loto.runs/impl_1/loto_drc_opted.pb | Bin 0 -> 37 bytes loto/loto.runs/impl_1/loto_drc_opted.rpt | 49 + loto/loto.runs/impl_1/loto_drc_opted.rpx | Bin 0 -> 1625 bytes loto/loto.runs/impl_1/loto_drc_routed.pb | Bin 0 -> 37 bytes loto/loto.runs/impl_1/loto_drc_routed.rpt | 49 + loto/loto.runs/impl_1/loto_drc_routed.rpx | Bin 0 -> 1626 bytes loto/loto.runs/impl_1/loto_io_placed.rpt | 366 ++ .../impl_1/loto_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../impl_1/loto_methodology_drc_routed.rpt | 356 ++ .../impl_1/loto_methodology_drc_routed.rpx | Bin 0 -> 18532 bytes loto/loto.runs/impl_1/loto_opt.dcp | Bin 0 -> 188524 bytes loto/loto.runs/impl_1/loto_physopt.dcp | Bin 0 -> 221581 bytes loto/loto.runs/impl_1/loto_placed.dcp | Bin 0 -> 221272 bytes loto/loto.runs/impl_1/loto_power_routed.rpt | 148 + loto/loto.runs/impl_1/loto_power_routed.rpx | Bin 0 -> 68741 bytes .../impl_1/loto_power_summary_routed.pb | Bin 0 -> 868 bytes loto/loto.runs/impl_1/loto_route_status.pb | Bin 0 -> 44 bytes loto/loto.runs/impl_1/loto_route_status.rpt | 11 + loto/loto.runs/impl_1/loto_routed.dcp | Bin 0 -> 234272 bytes .../impl_1/loto_timing_summary_routed.pb | Bin 0 -> 106 bytes .../impl_1/loto_timing_summary_routed.rpt | 2807 +++++++++++ .../impl_1/loto_timing_summary_routed.rpx | Bin 0 -> 198589 bytes .../impl_1/loto_utilization_placed.pb | Bin 0 -> 242 bytes .../impl_1/loto_utilization_placed.rpt | 215 + loto/loto.runs/impl_1/opt_design.pb | Bin 0 -> 15410 bytes loto/loto.runs/impl_1/phys_opt_design.pb | Bin 0 -> 3421 bytes loto/loto.runs/impl_1/place_design.pb | Bin 0 -> 22639 bytes loto/loto.runs/impl_1/project.wdf | 31 + loto/loto.runs/impl_1/route_design.pb | Bin 0 -> 16154 bytes loto/loto.runs/impl_1/rundef.js | 45 + loto/loto.runs/impl_1/runme.bat | 12 + loto/loto.runs/impl_1/runme.log | 730 +++ loto/loto.runs/impl_1/runme.sh | 44 + loto/loto.runs/impl_1/vivado.jou | 24 + loto/loto.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes loto/loto.runs/impl_1/write_bitstream.pb | Bin 0 -> 2775 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 loto/loto.runs/synth_1/.Xil/loto_propImpl.xdc | 43 + loto/loto.runs/synth_1/.vivado.begin.rst | 5 + loto/loto.runs/synth_1/.vivado.end.rst | 0 loto/loto.runs/synth_1/ISEWrap.js | 270 + loto/loto.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 loto/loto.runs/synth_1/gen_run.xml | 148 + loto/loto.runs/synth_1/htr.txt | 10 + loto/loto.runs/synth_1/incr_synth_reason.pb | 1 + loto/loto.runs/synth_1/loto.dcp | Bin 0 -> 43325 bytes loto/loto.runs/synth_1/loto.tcl | 130 + loto/loto.runs/synth_1/loto.vds | 302 ++ .../synth_1/loto_utilization_synth.pb | Bin 0 -> 242 bytes .../synth_1/loto_utilization_synth.rpt | 182 + loto/loto.runs/synth_1/rundef.js | 41 + loto/loto.runs/synth_1/runme.bat | 12 + loto/loto.runs/synth_1/runme.log | 292 ++ loto/loto.runs/synth_1/runme.sh | 40 + loto/loto.runs/synth_1/vivado.jou | 24 + loto/loto.runs/synth_1/vivado.pb | Bin 0 -> 31307 bytes loto/loto.sim/sim_1/behav/xsim/compile.sh | 24 + .../xsim/compteur_modulo6_tb_arch_cfg.tcl | 11 + .../compteur_modulo6_tb_arch_cfg_behav.wdb | Bin 0 -> 7947 bytes loto/loto.sim/sim_1/behav/xsim/elaborate.log | 8 + loto/loto.sim/sim_1/behav/xsim/elaborate.sh | 22 + .../sim_1/behav/xsim/loto_tb_ar_cfg.tcl | 11 + .../sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb | Bin 0 -> 33507 bytes .../sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj | 18 + .../sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl | 11 + .../behav/xsim/mux6_1_tb_arch_cfg_behav.wdb | Bin 0 -> 8735 bytes loto/loto.sim/sim_1/behav/xsim/simulate.log | 0 loto/loto.sim/sim_1/behav/xsim/simulate.sh | 22 + loto/loto.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 854 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../obj/xsim_0.lnx64.o | Bin 0 -> 5304 bytes .../obj/xsim_1.c | 113 + .../obj/xsim_1.lnx64.o | Bin 0 -> 4496 bytes .../xsim.dbg | Bin 0 -> 3440 bytes .../xsim.mem | Bin 0 -> 2711 bytes .../xsim.reloc | Bin 0 -> 279 bytes .../xsim.rlx | 12 + .../xsim.rtti | Bin 0 -> 358 bytes .../xsim.svtype | Bin 0 -> 16 bytes .../xsim.type | Bin 0 -> 6824 bytes .../xsim.xdbg | Bin 0 -> 400 bytes .../xsimSettings.ini | 50 + .../xsimcrash.log | 0 .../compteur_modulo6_tb_arch_cfg_behav/xsimk | Bin 0 -> 18008 bytes .../xsimkernel.log | 7 + .../loto_tb_ar_cfg_behav/Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o | Bin 0 -> 33920 bytes .../loto_tb_ar_cfg_behav/obj/xsim_1.c | 152 + .../loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o | Bin 0 -> 7088 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg | Bin 0 -> 23888 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.mem | Bin 0 -> 4856 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc | Bin 0 -> 2530 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx | 12 + .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti | Bin 0 -> 555 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.type | Bin 0 -> 7016 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg | Bin 0 -> 8096 bytes .../loto_tb_ar_cfg_behav/xsimSettings.ini | 50 + .../loto_tb_ar_cfg_behav/xsimcrash.log | 0 .../xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk | Bin 0 -> 45296 bytes .../loto_tb_ar_cfg_behav/xsimkernel.log | 4 + .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../obj/xsim_0.lnx64.o | Bin 0 -> 8648 bytes .../mux6_1_tb_arch_cfg_behav/obj/xsim_1.c | 111 + .../obj/xsim_1.lnx64.o | Bin 0 -> 4336 bytes .../mux6_1_tb_arch_cfg_behav/xsim.dbg | Bin 0 -> 4320 bytes .../mux6_1_tb_arch_cfg_behav/xsim.mem | Bin 0 -> 2721 bytes .../mux6_1_tb_arch_cfg_behav/xsim.reloc | Bin 0 -> 360 bytes .../mux6_1_tb_arch_cfg_behav/xsim.rlx | 12 + .../mux6_1_tb_arch_cfg_behav/xsim.rtti | Bin 0 -> 318 bytes .../mux6_1_tb_arch_cfg_behav/xsim.svtype | Bin 0 -> 16 bytes .../mux6_1_tb_arch_cfg_behav/xsim.type | Bin 0 -> 6824 bytes .../mux6_1_tb_arch_cfg_behav/xsim.xdbg | Bin 0 -> 592 bytes .../mux6_1_tb_arch_cfg_behav/xsimSettings.ini | 50 + .../mux6_1_tb_arch_cfg_behav/xsimcrash.log | 0 .../xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk | Bin 0 -> 26568 bytes .../mux6_1_tb_arch_cfg_behav/xsimkernel.log | 7 + .../xsim/xsim.dir/xil_defaultlib/automate.vdb | Bin 0 -> 9272 bytes .../xsim.dir/xil_defaultlib/compteur1_49.vdb | Bin 0 -> 3743 bytes .../xil_defaultlib/compteur_modulo6.vdb | Bin 0 -> 3731 bytes .../xil_defaultlib/compteur_modulo6_tb.vdb | Bin 0 -> 4213 bytes .../compteur_modulo6_tb_arch_cfg.vdb | Bin 0 -> 1179 bytes .../xil_defaultlib/compteur_valid.vdb | Bin 0 -> 3974 bytes .../xsim.dir/xil_defaultlib/diviseur_freq.vdb | Bin 0 -> 3560 bytes .../xsim/xsim.dir/xil_defaultlib/led_pwm.vdb | Bin 0 -> 4564 bytes .../xsim/xsim.dir/xil_defaultlib/loto.vdb | Bin 0 -> 22819 bytes .../xsim/xsim.dir/xil_defaultlib/loto_tb.vdb | Bin 0 -> 6789 bytes .../xil_defaultlib/loto_tb_ar_cfg.vdb | Bin 0 -> 1047 bytes .../xsim/xsim.dir/xil_defaultlib/modulo4.vdb | Bin 0 -> 4960 bytes .../xsim/xsim.dir/xil_defaultlib/mux6_1.vdb | Bin 0 -> 3968 bytes .../xsim.dir/xil_defaultlib/mux6_1_tb.vdb | Bin 0 -> 6639 bytes .../xil_defaultlib/mux6_1_tb_arch_cfg.vdb | Bin 0 -> 1127 bytes .../xsim.dir/xil_defaultlib/registres.vdb | Bin 0 -> 6268 bytes .../xsim/xsim.dir/xil_defaultlib/tirage.vdb | Bin 0 -> 22373 bytes .../xil_defaultlib/transcodeur7s_d_u.vdb | Bin 0 -> 10348 bytes .../xil_defaultlib/xil_defaultlib.rlx | 19 + loto/loto.sim/sim_1/behav/xsim/xsim.ini | 1 + loto/loto.sim/sim_1/behav/xsim/xvhdl.log | 0 loto/loto.sim/sim_1/behav/xsim/xvhdl.pb | 4 + .../utils_1/imports/synth_1/loto.dcp | Bin 0 -> 43140 bytes loto/loto.xpr | 330 ++ src/automate.vhd | 69 +- src/compteur_modulo6.vhd | 16 +- src/mux6_1.vhd | 9 +- vivado.jou | 120 + vivado.log | 1896 +++++++ vivado_pid13301.str | 4488 +++++++++++++++++ 193 files changed, 16341 insertions(+), 22 deletions(-) create mode 100644 docs/Schematic.png create mode 100644 loto/loto.cache/sim/ssm.db create mode 100644 loto/loto.cache/wt/project.wpc create mode 100644 loto/loto.cache/wt/synthesis.wdf create mode 100644 loto/loto.cache/wt/synthesis_details.wdf create mode 100644 loto/loto.cache/wt/webtalk_pa.xml create mode 100644 loto/loto.cache/wt/xsim.wdf create mode 100644 loto/loto.hw/hw_1/hw.xml create mode 100644 loto/loto.hw/loto.lpr create mode 100644 loto/loto.ip_user_files/README.txt create mode 100644 loto/loto.runs/.jobs/vrs_config_1.xml create mode 100644 loto/loto.runs/.jobs/vrs_config_2.xml create mode 100644 loto/loto.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 loto/loto.runs/impl_1/.init_design.begin.rst create mode 100644 loto/loto.runs/impl_1/.init_design.end.rst create mode 100644 loto/loto.runs/impl_1/.opt_design.begin.rst create mode 100644 loto/loto.runs/impl_1/.opt_design.end.rst create mode 100644 loto/loto.runs/impl_1/.phys_opt_design.begin.rst create mode 100644 loto/loto.runs/impl_1/.phys_opt_design.end.rst create mode 100644 loto/loto.runs/impl_1/.place_design.begin.rst create mode 100644 loto/loto.runs/impl_1/.place_design.end.rst create mode 100644 loto/loto.runs/impl_1/.route_design.begin.rst create mode 100644 loto/loto.runs/impl_1/.route_design.end.rst create mode 100644 loto/loto.runs/impl_1/.vivado.begin.rst create mode 100644 loto/loto.runs/impl_1/.vivado.end.rst create mode 100644 loto/loto.runs/impl_1/.write_bitstream.begin.rst create mode 100644 loto/loto.runs/impl_1/.write_bitstream.end.rst create mode 100755 loto/loto.runs/impl_1/ISEWrap.js create mode 100755 loto/loto.runs/impl_1/ISEWrap.sh create mode 100644 loto/loto.runs/impl_1/clockInfo.txt create mode 100644 loto/loto.runs/impl_1/gen_run.xml create mode 100644 loto/loto.runs/impl_1/htr.txt create mode 100644 loto/loto.runs/impl_1/init_design.pb create mode 100644 loto/loto.runs/impl_1/loto.bit create mode 100644 loto/loto.runs/impl_1/loto.tcl create mode 100644 loto/loto.runs/impl_1/loto.vdi create mode 100644 loto/loto.runs/impl_1/loto_bus_skew_routed.pb create mode 100644 loto/loto.runs/impl_1/loto_bus_skew_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_bus_skew_routed.rpx create mode 100644 loto/loto.runs/impl_1/loto_clock_utilization_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_control_sets_placed.rpt create mode 100644 loto/loto.runs/impl_1/loto_drc_opted.pb create mode 100644 loto/loto.runs/impl_1/loto_drc_opted.rpt create mode 100644 loto/loto.runs/impl_1/loto_drc_opted.rpx create mode 100644 loto/loto.runs/impl_1/loto_drc_routed.pb create mode 100644 loto/loto.runs/impl_1/loto_drc_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_drc_routed.rpx create mode 100644 loto/loto.runs/impl_1/loto_io_placed.rpt create mode 100644 loto/loto.runs/impl_1/loto_methodology_drc_routed.pb create mode 100644 loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_methodology_drc_routed.rpx create mode 100644 loto/loto.runs/impl_1/loto_opt.dcp create mode 100644 loto/loto.runs/impl_1/loto_physopt.dcp create mode 100644 loto/loto.runs/impl_1/loto_placed.dcp create mode 100644 loto/loto.runs/impl_1/loto_power_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_power_routed.rpx create mode 100644 loto/loto.runs/impl_1/loto_power_summary_routed.pb create mode 100644 loto/loto.runs/impl_1/loto_route_status.pb create mode 100644 loto/loto.runs/impl_1/loto_route_status.rpt create mode 100644 loto/loto.runs/impl_1/loto_routed.dcp create mode 100644 loto/loto.runs/impl_1/loto_timing_summary_routed.pb create mode 100644 loto/loto.runs/impl_1/loto_timing_summary_routed.rpt create mode 100644 loto/loto.runs/impl_1/loto_timing_summary_routed.rpx create mode 100644 loto/loto.runs/impl_1/loto_utilization_placed.pb create mode 100644 loto/loto.runs/impl_1/loto_utilization_placed.rpt create mode 100644 loto/loto.runs/impl_1/opt_design.pb create mode 100644 loto/loto.runs/impl_1/phys_opt_design.pb create mode 100644 loto/loto.runs/impl_1/place_design.pb create mode 100644 loto/loto.runs/impl_1/project.wdf create mode 100644 loto/loto.runs/impl_1/route_design.pb create mode 100644 loto/loto.runs/impl_1/rundef.js create mode 100644 loto/loto.runs/impl_1/runme.bat create mode 100644 loto/loto.runs/impl_1/runme.log create mode 100755 loto/loto.runs/impl_1/runme.sh create mode 100644 loto/loto.runs/impl_1/vivado.jou create mode 100644 loto/loto.runs/impl_1/vivado.pb create mode 100644 loto/loto.runs/impl_1/write_bitstream.pb create mode 100644 loto/loto.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 loto/loto.runs/synth_1/.Xil/loto_propImpl.xdc create mode 100644 loto/loto.runs/synth_1/.vivado.begin.rst create mode 100644 loto/loto.runs/synth_1/.vivado.end.rst create mode 100755 loto/loto.runs/synth_1/ISEWrap.js create mode 100755 loto/loto.runs/synth_1/ISEWrap.sh create mode 100644 loto/loto.runs/synth_1/__synthesis_is_complete__ create mode 100644 loto/loto.runs/synth_1/gen_run.xml create mode 100644 loto/loto.runs/synth_1/htr.txt create mode 100644 loto/loto.runs/synth_1/incr_synth_reason.pb create mode 100644 loto/loto.runs/synth_1/loto.dcp create mode 100644 loto/loto.runs/synth_1/loto.tcl create mode 100644 loto/loto.runs/synth_1/loto.vds create mode 100644 loto/loto.runs/synth_1/loto_utilization_synth.pb create mode 100644 loto/loto.runs/synth_1/loto_utilization_synth.rpt create mode 100644 loto/loto.runs/synth_1/rundef.js create mode 100644 loto/loto.runs/synth_1/runme.bat create mode 100644 loto/loto.runs/synth_1/runme.log create mode 100755 loto/loto.runs/synth_1/runme.sh create mode 100644 loto/loto.runs/synth_1/vivado.jou create mode 100644 loto/loto.runs/synth_1/vivado.pb create mode 100755 loto/loto.sim/sim_1/behav/xsim/compile.sh create mode 100644 loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl create mode 100644 loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/elaborate.log create mode 100755 loto/loto.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj create mode 100644 loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl create mode 100644 loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/simulate.log create mode 100755 loto/loto.sim/sim_1/behav/xsim/simulate.sh create mode 100644 loto/loto.sim/sim_1/behav/xsim/xelab.pb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.ini create mode 100644 loto/loto.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 loto/loto.sim/sim_1/behav/xsim/xvhdl.pb create mode 100755 loto/loto.srcs/utils_1/imports/synth_1/loto.dcp create mode 100644 loto/loto.xpr create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_pid13301.str diff --git a/docs/Schematic.png b/docs/Schematic.png new file mode 100644 index 0000000000000000000000000000000000000000..cf5a9bb0306082c633b57e9d3f09acbbd29b9aa1 GIT binary patch literal 72942 zcmeAS@N?(olHy`uVBq!ia0y~yV0*;Cz&M?Qje&u|&DJf5fq{Xg*vT`5gM)*kh9jke zfq_A?#5JNMI6tkVJh3R1Aw4fYH&wSdxhOR?uQ(&W<UR9#I|c>@O^_nzqSVBa%=|os zkj&gvhTPO5Jq1G}g|wGtMS2QG21cd|hK5$gMpmZgLA62)85k58JY5_^D(1YoTU~J` z{ontO*HtFEOq?)Tg+s`9>5?Z791Ex3*i;pgyV5yi)vnmoZmqEE8?Ua)?OwHZ?X9<q zGPZxYel@66qdP<P(UuzLxlT&FLV}jQMxTExXNNtJv3&k>$GV+o?#%za&;GmZ&lIC) zw%`An%{ZI3nE?($G*-WogYy^y#05K;;an}oMhiG+fsnwEkh;RXIqmGFo14>DS4*^Z zb#`9d|Nrm%S8|}(Wnf@v;Br&oV+eSjb90kx^|v=C!^>V>(OkN0S=i-{u5BqNg-YMw zi<PhYkyy&l3h}uEtFiz)!;0c*I+2V1|K9&UI4x~iQE{=V=jrMC)A#S+ucE1~?LBGA z+_`Iw)6bpAFp0V~>ug%=zM9C)%*@trwJ$HJrlzDkdHwv`w&{AYK}AJHE00;Go)TFb zz1{Eev0m-lER0}#vMieq2CS0~xD5*AE1K)~eCjgGy|rXz@bXp3$NPT0TD@My)6UNB z*0$W)PybERjlQxj*1EN&<;9^^?nz5RSBIUvdpA~4Q1H{IPeCCeQ<mOuX6Ik!JKOBl zy}i3@YHGfmvwnZ#=+UD^f1aG2{OSAm@QR8Zx3=f67gToBP*-1mW8=O*pHBaJ*e-u% zP2}dTIw`P|7_w|O-;8+{?aKD}+uPgA`R)G%aEs|&IMyqz8~=h$OQ_L;!C~&EijPU9 zZ*ByFWL{og{`JdcfAOgIQ^VsfZp*zrWy%y4d3kxypo)qeNy*8jZ*CYKJ$5YX?X9g= zH9t1^s7-F;mtVJHg+}a-0>|g)=3ZVGyF0~fw(eis-)}ZoeLN~&`ss=1T+3oNc7C}l zpPruXmR&u4`gAFijDW()>|iIZc&w!)F@4^=b;sqZefn*`&G`1_=HeSSBKCf}m0k6q zk-g;KpPyV(niJX`WEd92RlVJM{mQ!7-8HH2-o4xPd|q|Qw>Ob<ZL7od^z?RBd|U)_ z;-@E`zH=-BC#7U(ufG5P-}h-{>V9)BY)n2bA|kTn@9*!gk8}!i@$g)^wl;coi67Vz z3||l4WqW+D;xX@ByV@xCez~i!uCCsibJIxHvdD$s=0k&9k3`_Bx-PH8ppd93k1ov; zDSs1I9~l{GRsL?yrp=qZ?IT01XM=O$)rF<6ud$w5<}-8A*6izA3JMNqXPbv-Wvx<8 zpR;)J;&(fr%X!E0^Yfqn+tR`k86BN{Z%^gVU))?=tM+h0+;Sy(dsE@|_YomdmX?;k zzFhX-nsQQT=gysxr*d<0K*?Z*?`*SQ=j;D1{{4Rc{u6e5ayBa(nb}XJ7-_1jyFWhO zfBnwR;?l>*cs(mK1H;xtEL^cdBQ+&O!^$ekZE;{T8*h-GU*Dw3lfQmA%>Vkbzx~wS z-d?|XHjz&@M*r=VwGQ*1rZaKx-n~M?!e2igm(M;iLGfwDvw*7{M;IAi&G}TL{Hk5P z?t=RKnnm$-KVAF!`X((|zyDuU&^@o6udlDyR#tZAl{TBA61%(X?4@m$pP!vNdGg{c z)9fod3LkULfaDYgub_DecYc0;K6TQhMeAaBi&;E-`c&22++5bCV#5iCJ8w08Kyi_p zo}T^SKqKq10&U$>Yh`CzmA+ac9$ypq_*n1dv$M@bg@hJ8Jv}}9%nZY6Q`B2!cc*@S zcDAdxH}r5D@7AQFT)uNGCOX9EI)V!e3oENvXJ#6wW=)<pP0iZc`sVH1-jnwI`Q)vt zqT(^BrndI!>({Hl->;9qyv#S)&#%w!-;ZSP+kCQCC+^>mU%&s~E-}3r4QXlVrOTFS znVN>`MsHiQqm!}xU~%$M)y?37=|NuQpVdjfG_wu#Y;9vFUD=X(*{R<=_f|+|W~S>l zeXZ7$eX`b{uI{$gJvBGeS0(b&tS_&wu0D~xo&C|GMT>Oe1>WD@zTT}@YU!CXKBv<o zSL}oYc;Vw?nKw2h{`&Lz{MTFA>oc#c2vptn^7{Jupv-@5ZS?7b2OVvzzFY|Qw^jAr zv}x0&Q&Y7~D?TK6Gvqxu(D?J#`uP2E=k5RRnPrx{YMJkBEo0;0`ro(ja~xUd)+ryb z?X49!(XG&7Yj#}K@PFB~QrDQz&(5lPitEQs>F)M!d^}w*cGB+M)f3-(dnFc~ew!KC z<((aLYl`~zW4b3drKbA%`AvN6auyQGHs5X}?<#vcYtp1iI{9<-;`U@%*8Tn){pRi4 zt);KSV)s^s@=BRZXl{1i_t??V(W>;-lyxyX7peKqda-<d-KAZnuXT>^-Mjbe<9>Tk zAkUmRv#79e;?$|3E00;Ho|^K>M;Pqb1##N`eHP~C=6CD=|NZspwEoqd#m{Swo8{kI z^X5&?*LQb!pZKP_)IigxFw?hB-ac;C6!oW`N1J9XESWFB#1L@$#*G^%4j*28CO>G- z+_~H=50~sQcip*jC&;d?TesT%dZFCf(jv0r`GW@v=H}*+k&&9#*3omV%k>JXKb=%R zZL1G<1;Z45j`GuYb{2m<$S$98xQ%z3U7w8QqK}V{XMcKfGV9u!$cef6{)tK}9k?bR zx-;+ElWSVru3QO;-BYpgM)C@2Q0xY9b&Kmy+q`-6yWQ{YDk~~p{Q3F$*XQ~FV{)XU zqod#6-@pIB+td2{*DMNzROnZ1%Y|DeFHxUg6Ewf>*Ge`2c{=Ru>^pbt&`?rxnmBRd zEZgd`jk)<t4I(!twMK2v)0LN(udJ$?R&nm@>+7#?Y)sC&wPj`0wj9m;{QRRwj!dcG z**gDVjd{2>1H)GjH@7xWWTc&)CAvG5Awak?&t!r!AIPf#$y3Z{lx=t1VZC(OGA(QC z-4|*KRd?F9o_uhyx%B0wr9pGouh^pY)U&c_R=<M`1A~LDg91e3<SFLQBSTwEHf`Q~ zb!+x@rl+~Lw_W}9^>yi=AB9`<@5f!cb`4ZKe0X?x>eQ)A*YEqa>eBJHTgR8}g47Kl z%U?~Owa4v6*sh7zce&*B;`T&jUt1IS`Ptdhx3^61R=?jHwKXd=lwWu&i}oW1hEk`> z{jQ<WAD&B<cZ(K+v@?Xr1kG5mB|?y$VTJKIwbZch0$Q%o;lE$WF@dES@-A;kbl#eE zb=9u+#;696^BDr%XP!-aduL~GJHPz2iy2qA_4g>ayB`<2ee}o?4P9Md+v;yRUw59m z%my-{Vb{4;5a%v<v~=mxmHzYXr0nbVfP!+%7L(MpX?uz)PEJw<)#7%4J{%63zuG1j zq>o_*KiE;5=XK4Bf3?eQ?OoIV{>oZ~CJYLxsi`N=o%55Fl=SlP2{}DYH#K?k_i29~ z9qleEC;;c4U)#2An`Sd3ZFA(!n>ROY-aPqY#uOFPj0*>X?q`^sN-#Ka?3i2dazAiM zn0Z<4=FOW^vuc8u`CPoWx7sxCj>TO2`hAyfft?W$zd?zQAwYeqDu=q~{yF>C#Gi{_ zx8x#&gPgp){MotI;rsUOdv$g7^gVm_e7ReGUsFZJh2QqeggbZdnwGu_@ve1ucbBy& zIPmGmkB^VDuB@1-l6kyO_S1(C0ZvX$DlezU*G-%~dp4h}mCE9aD?rV-s?TT5y=7Y_ zFPR=+7is_JL-Q=t?68&4AkhcqiVyML;W_KxDKe~JFME4ysd4%_P`=>e<-NMN-)>dE z-7k;jeseFqySw}AIqUZ?PEFNT{dReKemt+Vna^VP{%I#uE}fXDY?^sVrS#<`*YxxA zLjC;ugoK4f#l)69c#v>*j^*V|UF$UB;^KaW-I(fD2@eKSRfYv|mLI-KPg=6z_;V49 zY1u}hUkeznI8U57aoT~<h=>__F*_D4SfJn;<lxXCWtz2Q#}12~J9bRDas77w{<V6s zyIz>@|Ji#cZSyjpnM$6ZxXa61x9`Uz?zM4yqcSryrOa|>@US(fotY6>IT@0pe}V#^ zfnkNyx^?TO{l0eXT2WEasq#CwZbj|fxwCbf>Fl#V<!;};o&Dy<##_5eSF8EVP{_{C z_O_Llme!5k6|y#J>!kVf=d1b8Ta&-<=dz}Bh^bx9;QY73iLH6zmD1dtoGFthCs)>J z%iq!U^z@u%nmsM9_G{?gZ@02{6+UiLSsA;#?9{1Kr?!hOJ(!?zc_+A%K5!S@X#UJs z^SAA3S-K>{m6Ut;?%mpy+O2Xj!zAnEB-NjN{Zgi0=WRas+^c?X`}M^|=j7vkQ&lRf zs$MN@m%Fqj^Ky#W?5PtcE=)Z=ZDs!beW7-cT>Z+J36wP*cunj7)#yfT@yNZkW#abj z+pE64(bQhOXZr{5>3UZ|4bgA6^SvjD=|(Ndy}d2->@3qsOU~Q<_AxXxEGjRbzI^%e zIdkTGId1=N<E>}lyzEe$VsL+E1`|U=)QJRx7db)8o_-7t{LH<!JX<v8!sB8tna^1d zSA9>nkGEvr!<`%ZmitL7)2UO%iyc2Q@94`?-h6Y-k|ioTckP;%oqaO<`ns3%s^3lA zySG-g2V9sjh``FF1sQD3j(K@`PxIbCd6Keo{@l51L5-Ldm$&6cuZ`co?}Xi{+h8pX zzxu$fR?Qq$8HOw3+qP}HwZFc;@zbQRTT{2og0tUOSy2D%-|4G27w&3jV3>OD*s_}c ztQz^&VA)s3tHF)RsRx&Dt)6+?NBVz=YR{5qhElz^c9mwA@<XDVVZ~=qzInCeXT8+I zm+S3xrKMjBz5cbO?7IDQ|8-4)p*D*zu87>6cJluHcso10SNHetU$l5}cW&|DU!|^Y zZebTWz%kCy@T>c8Dg#4ln8WdHQNKSPc_rtzRLZt$%caYgLDjdVwRQIKK3OS~j0vEs zmQU6y<ogE(k%jA?hZ)a4d)1Z&?BpyKQ0!g_;^gGKwIQ+j(W6IKmUs$-`wz$Z<=20| zSM7g#y1st-{ng>?uSMtYRb^*q=asjMxxFp-@}|_&Up}4I*VfSR=oZtxbpPKs^RF*2 zyWg+*+?#fG*3va=biTg38_g}QH)ZSA(uGe~u3XvG)ir7T`t{rL@2~6W;n9uU<dT?} zDEf5^!`5Rrmz;Se=d?8AbyoacXRy;2981XdVqnNxdAOZ_wR1b)G=)35ii(O|ot>KH z`#*mCILo4N(Z2uxs^8w+?5^%NCm<$fPEm33*2KeXGkw(N+E$0v{`wM_l(Yzxd2;XV ziFEIixwzDO`j(=nr<6_?7Z-1>`&-r8-kyDbU+paW`hCm%=htobhxS1Ols78zF*HP- zN-<jL*v!UyDmOPb)kNy_-S_YA?!LOaJioH4%4<>^pX{pnb-z3(rKF}V<rG#samz~o z`@L^(Z>OfGFF)EX?mfxK$mq*ufBTc?&aJ!fiIJIYMdsyYuWoN&ucE1;;qmd~$ER}I zV81d1Oa=`DESUD`>({HD!s;m|QlD9$^vT(-`u6tr^xg4`FKR?bN1uM&#w#85&OG~? zkD1y3>faOQ&ebh1FaP@HX7Hpdo74TbW?Xc#|Np0W;nPW1Rs<@0S2rJY(9?XdAEV9! z*||VzgQ+S5!`6`9WpAH6f4<zZ_*uj$y|_Iq%J0{PCm-w4EZ^VQ*m!Gi^>!oU&!58I z-rT(W#IA)47lN9BP0h`sf`SV>IygXey6ZG%jhM)$f=ORa|2+Nc>7S=wQoJA=7*=So zJYry2arD~S=;hAsd?!zz_HJx!1XWMV{N}Fu@Zm$z_nZ4_t6kmPv@|q4nAvzX<akHU zD0_P=^VXKkX${fO&&}Oh`}>>HX~vK_OSR3yzYBnkb>QSl`_|0FaD|br*)e^x(duf6 zsf*UGuI;>So|c-bsjKUI?%cVbr;3Y;KG~W{@J#!Yrz(5?)Tv1-M~@uIIMyS1YfEPE z#OLQvo(wE1Dr#J--L2(*_4A#KfIkfPzFie5-<Kx3Rq5S<>-ip&n&jpOKbR5D9lqE8 z_s>(34`MaWW{ZK{@RfCb2O~oO`;D77Po6uc7eDi4%B2O4&7Y?3+_^LJ=B89tx&IHE z`9(xTRHUV)edpW7_S^rf*!X<=#*GtKug+e18kU8@O_<UtQ>RWf%efJ-?(w;E=c2af z%{`O0Ij-U%E3cf*j!kW_j%Fk%G#wZZxAR~B@bK{JY6*wECE3=e4xQq<y+{b`sw*)d zB`e%=W8Zp*o=-3kslM|#Uepjey1*dX32HE1eUTHC)>(RM*R9hzX5j}j7_P8vUtg81 zDg!oRt2C&DV_;y&;@o_5jc)X|7bhkvgIWq!Wp7r<*;bu6b?Q{m^D8TZe|<bIKXuY1 zC4YbauWxUMuUoh7s>Q4SH#a0UU%GUO%l6w5VgD6{kB^1gEb1!!@WAo!GxPlyr)r0v zx_f+0<YtqkBOF_EZ*OCAXJcS!cm*0EVqjRYFoKi)fuFzK&n2LydEMV%FOT&~SN(pw z{p<gK_x}ek_nSLq_UzT+ah0yx;p?t6Ffu=R@?=S`wE3&}|G%b(t&fYn>pWeh_W$4S zzrJ3NSAEL*^w6P0Pu{%I@$Bm8Sh2OyV)Dr)XU<G2x6(MbGI;rvS+k-Xm6;eA9DG5& zAqIvOtub?DS5<Q`th#Y>vijGr*W+JbTI&7j%NL!3nty+OM(wZL3v!lO?yZPZ-nSlE zX={6zm4RyFASb6L8(Z7d?Cja^cdT8zRyBR?&782ZvTbkPy!o{C{D~6*NlA-9?Re?g zd-|pI;`V5;v$I=TJlUI8`{knhv^r_?yfv1^&sL<LpV!)_ZfzYct{)e&I&AHg1&+)! z&!(xWsjVt}eC#JvnQCwRq;>K8*G-usvUB(D=wr3JPfyoBonmzI@L}cnynET#*PYBT zSylP@S*u++$c)WtXSvQk&o-MaDlfmj`rS_Vv$IUKxBPuOO+S7e8?V%q2z~|zhOOP9 zCqo$+9AcA9q_&p6zQ*<b`>|f>TYIa^TfcLMY&rEyc89dx+PkOkq}}?lTq{w9A!`%J zsGzujmwsxKJwYXZkEHP@)`u#hQYyRV_V@SStNWe1HT$|>?eA|>ySuyX{{JaHU5M83 z4BYpv`1!f1>({TZ`ua*$UthoW``vObPR@(Y{I)9P<>kI}t+rnI{N>}vi>O0yQCqX7 z-nn~MR8UY+UtizV)pcstpPM&tR{eUp{OZPJciT@Vl#7asFNeq1re;<@I>O1y!t!F@ z?{~rNe6nB87@zl;l$4x&b!&DwXar5xx-29kV})MKj)ctZ`S<O%=G~1-OiTn7!Lv-W zKb?Jub?EL$W&Z7LYp<LKb#AlR!L?_S+v32@X=f+x-@m`6rsm52`g&J)ck$cLetmu2 z)zh=)!^S!G^>M=LerrC|F?vSrt=j73><lVDZ``=C`_=1j_ZwSUSU|1cySqv+pPOqv zb>6&nFE1}YegA%ZV`F2~j)KI6wK;co1Tr!*e){}*^A$<+yg9o{UoR_sd~9l^&*%Rp zPM70rqs+{%sb2e<`F35s-u#$n#SAO{?%A`)r09u9YkT|a!~FJVrf0uB)XKf9=I184 znhytyzV5C3oOblsu~%1C27~OF`G48_TU));&&|2`^Ye32DXCTN^0guIwO=Os&9z#3 zcX#>gx#jmXga2&-<-gn8a)X0{Ce_r`h{(yUyKy5z*1F7R<Hn6qyUW({$=k&|`ceM& z)>7wozL$4)7F$()S)muZYe~C&-Hl6m7Zx~nb#w%Hd38;iJb7!)&!U+=YP^z0E`NW0 z4X&x#Q}_34I4cWF#*YsVCoQ>iCkE8mSnS?^?dIn6(tm#{=UNmh-TyJa_FLrMACJ1N zDnBhbH^*{uGdq9Q&reTNtNa)l7y`^872Ay$7Z-zqa&7eXQ#Wr$T9>`Ku)wi-OXcUZ z(iayN?rLWbnelvHwcq`^-)rS6p9uc^^(!o5e`I8&kyP)mpU>y3K4pCtwJm4m-{0T0 zwY9w!6&1O7c|)h`#p>ivnmpMw?TiGd&zYB(_v-HM?S~E>+LCcm$<^IG``MY9Po=!U zZ8F1yISfamHzu`STNAk$G+JexcILvC%*!T)k6gU-Hb(!vc1lZ2%L_aJ2P#7syY&X8 zr7e5&_O16-J0qh@o7N`b?#8Uze?6|+_wB8%n{CR<%coz=xB?2Ir>8`B?%46-(a~;D zyl#BF|NXw-Q>IK=a&vP!s8>={TDtX1<)0sgb1e#;et&zrd5u&_LBWNU!OKO2g%`)} zF7rGU9r)w8{l7rns4W3CHG6`BgG+yX$=v(v)#^#0s;pPi*v-1^&4el2PrbXbF`0{t z>(al!zg0a!O@&>htB>_a2Cw?b%)qeVU4p9&sCD7w)MS=(W5LtY(@&p0>wE3mH7<Vs z>pwm|{(7(a{nXv}pFBwcMLxHf&V=dHr*F%>y)1v<Pq%{y4}SXo{rZiK$x~;~*7l6r zS+w-e-@l-C*V?$fyA~~Dn-W=6v`Mc1PhsiXTcMy4-_-66i`Ly;R8dj!V(Ij_Npt7U zm64Ho^7{4a?Ca|^_4NGY<m5n|Cy)gjHW*Y^SFbL7d`!x+Xvwv8vC*QJSr|MoJvi9B zHUGXHXr7?>*_n&a=hx?*Of38TtuwW-nr}<=&%0f>yf$u_Q@ovh$C|ZkpFVjq<^7JW zTT46FAKadOcUS4Y<H5^(Cazz<Ud?aL3V-{*AuCs|{CO<!%8%%6IV)eh$N&vh+u7Mw zy<9qd%EXC^;11{IWxk)E&Yrx)d%E7qix)3$5?wLn`}c#VPI-BHdV(_QEVJBM`PF`C z<Il4!i<hOHo3j#B-k(3e{>o=|1_p<_2fHkg8p~OimU!;_G-1L7rb@3<O6KO_(q=gU z4h{`Zqo0F@ANSS%2GyO7%<NfDPfe|Qv9R4F^OB0JRf&h3oZKvf#6x%eI5oC|28wfU zZMk?ozJBeUJ29Y9X+y)n_4|HhHD;F|Xkc9W%Gk~>4%GI}$XM~_%^Q>QcQK}!ms|=9 z3qO7OwCPIr-Cd?X1sOxSKYS?o`ue)Rp`oFaQA!7B_-t*|)>E5=*6MVfR!&b&o~*Ai zeZqu*W#7tQqmNd9iu>+eU1x7^zcy;C*L1zuO9vX6O{>4<oSkj%ucxQy<>`6x>}>PT ztXJ>cy$c$j-m=BSd&0X*OTCR|`h5EIY0}!YYe7|JPY=&&tv##5*GJtl&%YOAX=xd? zInB3GL7stu;gx*Y5k`gp@0mVor^N!cZ{E6f>cxybtM{#4v&JOx5O~!8_qVs3HgCTC z=xDcT_BEZ<loZeiXi!PX7P*=aj;GBJ%&+}+bGMaxfw^4O3&q;sZ@2$CEdOr-x40gt z3FI2Ld+Ee!)0Q1<X3u_js5R@-lFppmTv1U`Rn7O`58wJ#zw^$TwQF@(Ycnh`nrmI| zcf3z_^2wA*DxegzyZn8ahewA>=C?ODf4x}Tza{A?*XioUo*tf~$B*B?TDf=c-mR&p z#XKv2W=_|MEDHZSH8d(})|)qPbZ)2A{d_vTm5rHu@{AcPvahf6oD{VsW8s7e0!x=H z@tE}I@873y->%(R{5<Ma{JxsVx3{;4CnPMmSM^%gvr?Pk`MJ5P>;C>)8M!&_)7P(4 zw{PEmul|3nXXW{m$K~sDUM_ZS=L6-?*X#G^aV9KVw(QlTquu2{eHa)R7MLjsuroO5 zf=1|t)%`>`cFEdSg}lAJegEoxvrV(pZe9b8&%S&2?$yoB%d5V<S?M`hE%VKdji*kY zoVa%F+NHjk#U~i1f~I9a^#*7Xp<TW%Vt)O<%8A$WJTG0o{Pl>i|BLedzvuq?{yzS} zj`sF;Az@+Ne*O4;bMn277*^;M78X{0ILLl=L!$G}UAtCgUtb5xbS4E496T=>pSM~3 z^z`)CXU*@2Ojh#+WrDP`vtF)Vzi-#-&*$db$9IeA2F*6hee(A0+IhCsr%tVUW#{(- zY5e~B^>AtPyeq-}wxO%GE%%>4ZT<T7sug0gvTIicFZVhX?fU&{czovLW4&3|*368F zjWsPyid%P1f8P%$P_a3C_Ut=%?to^&bmRBM1l<!i2wNMq6jWO1MsJ(a-{1f1+uPas zq4z+8u5WG_n&#dL0ZDA%zC9@^N$bZ<Mg|6l+yn#hZ9A<Q7`FBtOt=8<H@kuR%^7-P zo?wr!4%a_B&o+8e$;U^opdPvRbiL4uii(A~pkdB)bFIaHu6<+OKi{r4s`l5HixZXI zuWZQ-_N=rFPfAWUO*p{t_qqN5K+v>I#m6L2w!3)oV!3tdf`G$qyqA^xZ5ADDW*4`Z zHg|3=C(r*6hxvb<j{mo4`~AAz7qZP&RgV_+&bKc2^SAlf^7Qb<o*o|CpHC)N{rma+ z^V&})GktFDE?-~u<;6l!xvZk%VrXcXb!EjwHXexuCr)_Sem-N|)z^3J%uM60nU|L( zJr9bH-^_5wcJs|OPoAVaee&eQ*|Td;RB38zdincL-@JMAn>TN?p6v1T^eieZU3(>0 zqr3R)t5DO73l4mIe5qN}XU|@(9lq|wy?ggIZGAd-UCd4;ZSB=eJKs*q`pkWU0lF4o z^5oR~{QR3upZq}Pl$4zL{&dN0(9pTDaj>4AUZ~U_76t}~vyOeB@^xeB>uZ`?T3&H+ zano$n^Y?soo9MXXQ^xgmbC>zf1`Swl&AjXuzAonC?)Upv@2mZt_2a|CS9f-9-m_=V zm6gHEvwkP=mEH%<R+hdF1J%Kfj*h#&->d#C`~TBv{jbmM|3`u<-k6v<|NcC;7q5KF z#=;^}Id7@=^sM83vY_TpT>alt&rA9BzoUI;nRq59CVF{#PMkb>^07YI)eQ{{cZ<*4 zf->d;$7Ze4e+&iP2NN^|bhLafFZ0!ncRF$IT-fz>vD{ZOZ*N<BZGC+FtIuNMKUQ;{ zJ9qABR(fvk+Gl5Hi$@<ndUWZ9PibdoiQYbU_3G9ekNNocrX5Ih{R8SD2K)w%fd;5w z`F>S3GBVQ3+gtks<JGl$RJKd-wAJif>t$7!9)I%W$)DTSPFZs1jL*|2Pe5hh(pmfk z+q-t<ZQitL%B)#h;^Nb593L)P7ptj%{}O1NICgj0%D}~Lt<PfjJPniIo|2NHp|0-k zJIiFE%H2Jc!PVd2U2S6Jju1RvZ`dI9{M>cFl|T0XULgZ^614mV^~FVRl$}a35{+2; z_}Q~%si&t+ef48*PEHQj-)rVO9|lbA+MW0I%^R-0Zr!`eR97Y*Zp*s3sFjUhZjGdI z+KTG$?_B5oJ{Kxjo)>IZ{w@Yo8y-A(aOqq=hLD-o`#Tz59X!v-z_8$7qN@zU0>6Y( z&W2kp*LA?X=2z_dx>y+)8iEYZD6uE3Jfjo4b=$h5>($n-ZiLL(y%GlX6hanHvg_FY z^jl4M>&GAAu03p}v40rCG#MRg120vxhuDU3Gczz;@rQKcmqva(WR|~zW1jR+2Qh|M z(wi&mOZgf9ry5MK7hzynun$zsGBg+&pI5MmSt6+#zVfu?Bd}u*{ErCz4rYOghFu+? zZa<jKz!1O*8hnIu7^Z^89~MNoEe?#{p11a0B7?)mu=R0kFI)(4b#c)!Guw8-O4>Xx z#KEEA&!0bA3LZLnf;zjqN?tC?ySpp%`MJ51z|&g?4<4L$`|IoL-dC^hD13ZnZM1o> zwE4P8X^@eNENP}fhJf=^zdZc<_4Rd8Ny*4{`_9j|kAG1cB7<$HbM=!uET#dIZNA?r z{`BqJHPC!ceEfZ;<C7uH?!TYs|G#qH{{I@#@G?8UTu4~hv>vy`ixw}wx;k9n^HL)- z`<BehYNyX0Jh(8V7BpflU-Q9nVxt4t(*gf)gn$C)>g%1)=Uv`@zizd=T;-Ar{OeUu zCKyav{eJoUx+wd<UxFKdudrC`-XB&~wQF16U9I%=^tU%QIybZNUV3(R_SJ>X?OxXJ z*E$?IH`n^<vuDfh?XA|<(ed%~^Mm#tbW8bVEEY7g^GC^vi(c8WdA)15n5LCglyUmG zHCrkd{JXI&cQ$Br;_X{qPiQlA_5Hu^%74BBm2%gvfmTTTJoWg|qbpmp!;c<2CU!GC zAtB+a4|o!b;fmCn4rYcaCr+L6TEFkts$J#p*TwCvdimqy<4H@n#r0ln-~V^*(tB_F zm;20I#LUk3;vl<xNJPYpr~lsE+^p(3+dLoCrT`7-G%~YmX=-`~#Ra52IM6uDD7DMU z$?3}hX8w%p>taJ~9^X<_RCJU!%enCB>FKA>o~dPLXM=`J|NQt^^?vX7uAJ#}=B!b4 zZp%2>#QN#er%T&%Z+|&!eqY1FBI4h_e^S<EYl@1Cb-#wMk6YU(YprEs5(1hmxa@B~ zS6|@bj>2Sbg-3qd*-i8A^00x1o}WB?xKP?WZ^|zH2YE-19zA*b^yyDKN?%`VU3bOT z&+ppR)!|o{`^)o67&y$Y`P8Yhvhwq@S=Qz2W|?FLz1jzkT?SC%_9}UJh!vy?RBC`) zC^uIbfksvIVm4ga{r4ki_J@sED&u&c?5(ZY)1UsE|L==BX#EhVn`!_5r$4AKySMuL zwfFV^t38AA^448jAHV*?hl01axBGv7e*XHGmzP)9UD|r!;o<hH3!T|Pb64?|9v&S@ zNl9HfpgM<F+U!J%(aSeCH@~{DusN>wYbfgYvzKR3P0b!Pzd0-3@BbfH{q4=gPGNNs zadGzm=B<5Gr%na62K(2pT|0H+#J~mfR<B+S8h85o`g-=kCe~k1Ci{Q+`F#HAYuCa8 z0|QfEaf3Y$&c`l%e0*IU9TQYQo=-nFC$OrjYUl6Y-}nCq<>;+BH-m!ied_{sc%N2W zUgmpsdw#rUP(Z+hM~@%BPx?|i{bWi|GaE10l-sv%oysr~yM67{DKB9)p9x#HZrzrD zU(edwS~qS_#OAcKL2hnssnQqk?k-<l{{G&lFJGpp@XA_UxioJ9Tb3|CKfkJ)T9h29 zRVgPY*Lp4Y>Z++Sva(N~KVLpk**)w0Jlm)5Ag;cW&+_Qqq=N|>*LfMTgr#Ty(R2lQ zd-CMq1#xL<X)Ax7K5^p2_2s?2zWW?}7Q6N8eCK6rK9g1)*&d_+<JYfOM>>UBoATWI z<wAddd)u9RdrhSA>GKNK*3p>F%SkGbF?8?UZM@Q6N-G*~soU7ZSeL)Ma(1@4s%L!d z*Qvk0ybN9t7Zo+jM{V-ckMHj8e)|5s{^XNO?(Qz1z7n#Epn;3?h^&2G3~2oE)Ku-S z=j;D%KJx4Bt*w{8y}hmd=!$96%^bbYy$Lc6x1QYEnmuh#0Xx6kl*yAPgL<QH-mGb9 zVM$F*^$cpfUZ$<BeR|{V_upS!blzR|_EN93xr%3e{a?`7(CRF!Qa4<~@}>4z2jM3z zIdH(??Y+IXg;Ms4x+t$`oR#+V)m6|e<h|POv2(3TR~;x+S62tE7-D2(1T{(K%$Z}7 zabW?|S3Y^WHNW5QkAL{^;j0@P7r%M?R#a4UY4P)OnmRf@D_5>u3XQD=PE3VrlRe{W zKDMg)%vkW`$rGX1>1k<N=H}b)Jiomu)w?nOvUph7WWgThfZ!=#9&S|u_0B<S1M}-X zOY_RvMAZL09k23q?W(G8H`A}K3|0pXdOSZr|NQq`W<^gtSlQV@qbEP#RaaMMzq+#W z)vc|oe|>qm7&HmhEv~<A($8zAudl7WywJJ*$<wDx4;*m#`s%7TXyUx0qGIK5Xd^6* z^GNBtJ2!<wa_{fktE8+fDk8Fkk(n)G+ZvS>jkBKZd_FJO$*GA?#$v&?+}m2}>c@+A zf8AI7=f_9T3OUdWcKx5n@|!lDs@9$}d-m!nQ$!?t-9WRY|9_sZ-%|EA>gbUpFV@%p zT@4xoc>8wk>hSfa4jx>1Vqf09JuA0t*^*Vw$FN|HkkGmn!OIoByu8kZUt4;bqx7{| zkaf9SNLAIcW&Zy?{{1_zWl*p}Oz+R03;WFS{%mJrnm2j=eFg8ig^%xrZqHLT&AYQZ z)M$Qm&oP;^v$p#D`*;4-@#lqK-`o3Lyy&hfI%mF0YD!Axrzftju4HyNIVp*XPmfq| zewK+n0|UbqJ+@-jx8J{R-~ZQFzUD(?Qc{xF8c_87{rkQ?{QNxI(zmy^GTrtEty{Qu z?b@frb@0(@6;DvJ9W<^28k7Mo{sGP0r3T&8;#+)i1*mao(LQ(X+)bM{O_?x3!QcOU zO?3X$Yj^Wz9-EfUkTuDA`)m^lo}b%#_7pyDlQPeXdC<*bo_}vjZ*T9@(qCoQ*T;t+ zZsP@ylkc0d{cU1Z)h-!X+4og%!1Fj>+fCDQFJHU5@5p{pNXv5a=FP?XK254yR({Rb z&+pv!+^28<dI$OV^rW4cvCwz6ndhn7X(q5{BB<xwZ})2jC<@(rr9jhmMl*dt%bP%> zDC_S>Ki~EA95|NtR)5br*u*;R^TIzi=29Z|58mA?mPq^izWlz;;<SDBhBJMnED93# z8JU}#gBFfm_|@6j+11lCMdj=aL+87@%k{tST<$k_m2*3vriDdB@$+-K+wyAb&oTx4 z{Pb`A&iyy6K0jM~;nvn@lbRp-riG6TJTL7m{#f;>)3d8HQdd*I;Op1x_OE_Emk$k_ z7O1E=@z<NpH(y<PTIX$l^5n`--{sfLwf?VVzCG>L-QTW%zplS5Dsdz3;zf7U;%7#= zw?azecS%_mExUBt`0K;=$xq+h39&AF6Q1(KC->f;g;J)Q&YRnNN}0Xk-J1J)rTsrc zuW#R2?ZsDg{FLN5xW|l%f#FK9nQHh-nftZh=eqUDWS%tMQuNfz_Q!+fNh<E{?tg#Z z{~uORuwlubwfffO@7BzjBeVC@DeYTZGAA!uy!h+0+4))b_Eg^5S)AUu$#1R|XsO$- zijRwW+!nvv`#o-L<Yu?HxVTB6C8)hvmnMe(vbhr_d0e`P;p$mXJH55!dHeP4Oe*EO zY?t4jo5#QDu4F;>g$0hSEDsfK-n=Qw(K78>dX=@bwDithyTmjw=hSvQy|H#q;dc8x zNJBn{Gb<u8^5oH@OC9!aXMf1`I{V$7ozoVu1w@5~h27ea=)Cf^dH%gUhnV($es*^C zggS-=t7^X8bgTV#GspJ-A0KapcYbnmdQ~r%E?4m^E8F(z>(@(Lv#*PaPhYVv)?LG_ zZ11+*+v_)MIQQvWo}#Ce<)^^4vA_2+F?XMjjFuD<T9<LSO}4B1cbM<2JIbD1{O?zN ze9ZoO@At4*z2<Qz!tD(|&f=4^Sy}%lxon^Q?5kIPg1QsmR&H~+v(UM{<ky$Xn>TM} zzPz+l%Bo~V-Os1uH*en-zn%B-(NR#R`QL|j`-~eK_Wakd`TOPa&r?2XlR@opMrO8* zZ*OiI%{~iiZh@Bf%Gdw7c<K7Hv$I8|rB}D{N@pGI5}jpHm^79BkxEk`Lsr|Sx{BVe zi`TaP*k8SU%8t1o&nTT@<`Vl`dM)0&^jyuhzu)i2UE1~}SIR!S=3?pp-3u~4f1kbd z{pmU_?%#X%nPqRjH|OiK*b)}cPaNm=FM697ni5}n?#!i7?UuUj|6`R(EN)x0L3 z-|fD!@o~eRz0oVYr~iKX?%krj)%`1~zq5Dc3d_%5BCLK+(`3u!H*cge-rbpgb;(KF zuI}AB`ugSGd#|mv-BSJi;cod9OBp+v)qJvhbRBm@J2_cpot@Q`@AK`g^|IgZveybK zr@eS{)BDrs?A71z&tLHD>|Cvyx}{$JhMUjaUBq?e%3KBp28Xu+xs5v}D!WJR+xh=d zw|>^6Bb{BHoq^l)?!Mdg9<(6V(9jUn2wbwqd^f1vzq-hk`{?oGr!QX)o+KhFn)>rw z?freVrdd}sQq$6=N${-UN!uJbPx>dn9)pPL!-<n#Pf=TOl>IgX1H&ct`8gMAzi+*^ z%(wc=zFKMB_<yAv8+UBkQo<`|<I64Xw~1xvqD7y=PDV#YKE3_x)~#D!l9E?H&pVxB zwA!(`Nxt;PEwc$1X9O?!b84!{(IZE)o}b&lDs=U;SvHjupPl_Z`(DlGr&5;S^4;#L zSjX<#ZmsO>Y|vst!;J|}DW0iunq@C9sajfDy_#43?>nyrKR-WcEu^OANuiG2w)uC~ z&M_^2c4?*7nhxd$p(!7PBBy`WWn^FoSu$mc^sQ}g(`~;+=;z+p;5_NeS@YdfrcH~> z`1|FHkL|}JDu3UVH(%LbpV9bOPEOCN#^TziAD^DC{`5g(hi+-;hp%5RbqYUEF?sfc z`-H4*Rn*(t+cg93A6z)`&>^eUp{w_tXaDt`|0=uunsedH)y{%v9R0VlJ_612oUUDb zamA7)DwP!#Pk2uqQ;T=dQB+inzkO(1@s7P`?%s_B4fJ?>2R}a6tNN7nxsTdpRri>{ zzai{R1q=c8Q`IVNT)R4NzwnOidD6V)d)Hoid;9W6*I>i6DKV}3{0p`{D&OCx@$|J% zVA$GUe*U&s-Mhv8w@q2PJU#2~F8M3V{r}xrBPkgvW%0qZSMG1iyxMQ((~2gaT(Y;i zf0cW`A1M1~UY_>i*;yXbtSbTWwOf5BPF#B9+ybF3+1I`5>T*re&#BL{{jKVG>1g-< zS9i<*FI?)qTx7-l)!_}xd?MFOnIfHaYfER{ua`UC?OyM@^i2Qv;;r1bKQS>hbR~tx zs{ea3*&jR+02)41GB-CbeRaii5@_5}H+q}LDeg<Uk(*TFqut%z=UNsoJFyAWz%(!j z$lv>Q8Ys0EKR*|`zwR$+`+(Qn@JPWH@ZzG7rB6@qzqTT<|G9Sc!&db#C)HU$v+BqG zT3YtTV!`$J_%&v^a-p-$`d>Yre*fCeVoomZYR8o;&7VGbvS4HK{6L2T0j2N$%$YMs z#xx_KBGh+zL+R^#*A_beSJz+9$i*YGDsFGwg)1wSMdj{ob%-@LS3f)F=c1}_JwfZ^ z7Jpq||Mt?8lge3N{xC2w1ndqu(Y3=g`&!1QCnvr9{KEeK`}_57`F+vo`=?HM9d73j z-(B`rr+V%BxV^U~8Rz}`^Ha*MW(R0u`p%u0UTJf^`1y~I^<LhPco@{#dA;>|+~pO4 zi@B!Memp7;Djx6LxszfxTU0_~g{XE|$dM9l9!CpMN5~=6x}qSoaZ}u0rmgAcms^$V z#qHd=)5~+`LbqP2tV1meXBi}BEc_d@cULGMU$2Vi<Kz4nyY=}({QTCbw%<8BThhz> z^ol*5A_vc&^}Jv6dEcf@o2E{irkI|7yykfR{P<=zGbyt-+pE6aysu$v8{F4dx%<_Q z9VTlxer5)hHc1BI$toJw*3p`pnnlIM+68BF%(OK%J=d*U*P6F-;^fJTK}*(l?~d*h z51Te;j!t!TwQ0_c4MEQW?j=Y$S}?4LD6O1rzHOO{>j&_9lx@q_XjYtc%02YH?(LNC z_2>Sk=1reb;X83+Wp(_y8HQ1JciRWNGxVAJYayTPFMC$@-<j|3Oh0|s-*weGNQHmR zXwNrBhK8;n&Bh&X-sF_NjVd_ZzAg85*56-WMQe9uh2FC%-owb=@TbRZaoM{&D?fZF zknDAPduywA?XNGIrPX#<*t*;m)}=i(<=)D<|M%A5O6I~9GAxg><l}xbFgUoboFY>Q zTPJrLv_w>L`RcH=%{ybv8CIMQLm5d5$py{BoH*fe`fuQ3x6o$-uX(Tz{=E4!zy9A& zx%xl#mT5vlLRXf0i<iE>=4)O4Zi)(MD4C0oZ`+-9@%!Tz%<q*p2kqFXtE-FJQLu2y zp0z7>L#D+;&!z;dp8Bn{9lBa4WGUB4!SIaZW>c@3GAwATez)`am$%#RznbVSH*x*? z{8Ikqe$seX&b`|C|3kaIhMiqpJHPz4DWIlvhRLhv^Xs=wk+mprVCR?HVpCR7aN@du zQPHMjJ(7#(T9=<Xd)9Yv_4lxdh#8<&kuP3koSkF2Ip}%gu7xJ+tz#ft!WOIwJq4P$ z^ZInw{QipK=jTA9!J?v~p(%T_udjRguwDMz$H&K47nE#u#y68HdQ;ERGSaz?=VB8p zH>h)zdwbi<+4=hd3ko*;`TN(j>`lbeXV0Qut&iIq1zq+qO)qv8Xs~ONNyY^QP&@aA z;j<@CPFw>IJx!Z^Fafln&c?>3<nb}y+}qoHK`SG^eG7Yhtk<;YiO1U5-P@ApsXQ=) z`vbIoEoA499~Gd9dC+1R(6Ry7ZZXj)eO+B&&{m<i%BNGk;_rq^%i7gM$Xb;IOjh&# zG_}2@MMF((*@?29J9pmNkl5^_Hu>B<+tqxsRxhrG$6tMRc6RBL6N0h3N<6j0*G-x7 zeKVGIe<~}}&(BlsGqkjf3|}7?I^V9=B;f!<?WdFKla@R?I~&vp1kJiVdi3bY)2FGK zmv@zBgVt1^InN#-v@Q}<ZZHH~y<soJ5b(6_&qsIAvc=rn+or0RW?k`+l$8AWDk3s6 z^TGnhs#hzQe>tJtA9CCv+h+2~C2YJ>A{yJl^MyLw)=r%|6}sX#_x`@vva+(MJrx^O zG?kT|?f-t6tfZ{GwfcJ=$n1IZ=1p3%(7FB9^7(aIUnOE=V?kcDw6Fjru=Do+_ehy$ zEm3rCiwJXyii|vY>(;GH$8O!)b>J&Qh$zgt6C*%t<cr)EZ@j>8=`Cn^#kslGuQ#8! z3r<T*6YX77_7Sp@kc;ImbQz)e?QM~p)27v|yLt0wQF%G2CwO&px<6<d0kjf$%J<Ei zwr<_JCHuyP#F@u$t_sz*w6Z#N{=C1kd*79Lw$-0xzkm1uTFLbE<I~gApFVsT`0O)- zXe%s-i7*v1G-!#-$gGjIE_-pTS9+4l=9@7K`r6yuLF<7)J^jbWdRM<vyvFtR*4D}M z=g)t3W@fVH?uw6#Km!!LlE%xf{B3D#11($N;^PZ*acNOfQYv}B_q*35CT3<((0Lnt zIoIIgdFj%n6r-6s{pIiP>DJfR&-77ydv9;_B+x>Xxz^>co}HaNY03J1zq~*t*yYNG zu0y7f!6wN5xz^~tRa>{^-CYG*=D6H%u2#XHf4|>f*IvJ8(Yd+Spy8~lcRQbVy?MQM z`@KsWlaFu7y1MF=Owrn{d3UWqGXimOaa=q+A?xGznv}i@X>D(xzN;U$V$mT6vSP8! zGwAoXx1y4gD;F$K;FT~~;B!03tFrRVyZ!&`THD)KCm-+Iw0(PcNXV3PbFG(e*kG`9 z*|Jk7PkJUF?+bNsXs{}Or=zZ}&MRfI!sj`oSJhojU3jeXJUcW^k%1w=u?V)h@s8Kc zn>W3DeWz~TyqSmXaO~XU;MLT3?;P5+c6IH-)ol6Gr%qkEWs8ZWwe@pt{dYh22SYZL zea?-pto}a<wD3dHI4$GyGT+v3h41cI+Wva67}O>PB|<h{DbPsft*zNvx3*;NtIMDJ zdRk8p&(kMQR(MWUo3v!Md4AUWdwZicBsfld?)<Vc&qSl{z}>xXjnDkL|9)kq+u2&~ z$Z4<l?OJ#9*S}e<x0Y`E^G?~m`Je)LE`#}hssYRATM?%}++t^7(CYF9twYn0pL{YT zVQR<h*|VqVMyq*l+PZaWcei&b&)RbvH*cOicW&&yO_S#Rx4wDf#)+#}w>tb}m#+b> z^1pfGM#ir%FRT81Jl-wiez=Vn)RYH}IT@#&3Hbi*Zfa_3=!^3|zcn0NyKVby>+*Gc zvQ|qTJb0jVp8d|sHP>yS6FDn<KqKo{IJcITdPax;?s}P#cC@5<*J<SluY^RGt1}`E z?_N1}-K0~ruvBohQ<i$zk*hjuI#$<Kyk%x!5N#DLWOyZezPEfktI{g>L&~bxHGKnC zZ>!tD%fJv2#>T8&!pHzw?&z?$WP9bL0A8;j6R%za?|qrtcr}~>G|}jwz{k*#6*B9A z;_W>Pl`8T~?tI<mm2)w~%h+q(q3MuC`77kttf~f=TVTQ=_6VpF0kyldqPORTIyp7* zur<$_Gv~_2WcRIESG^`}Nj%K9bm>yjTkks6=Uo6T|9JUQ)82kRm*xGppuMK^>;Fa0 zoH_H;=g-0W>;9(X=Ei2k``iEB0$Rc->+R`zasR)s`aggC0L_vul>@Ds2oM6zXn`jD zL!O<Ty?k}}`d9mYzq>qDJA6y|`#9ej29BUrG~e&nuaB?)8yXZBpr@nbbMD-^NlQ99 zI6$j6{{H%^9jvf!$7j!=s;XUV{Bmn*e}B`ou>m#zt}UNmx9a3%_1Cxa_lLf|w)XQ^ z&@Qu6*RF+~xB2X|@AI07jZTx*{jXhE=*)Qp)Qff!>|k!FI*?(K_59piE`EN{dZ=}= zyRR(wpKtWN`0+7b(AH4fsxK2%W}Z!x<Y^O%614@b<h^3&+|GA(iRWY$Py7FWHt#BX zyGlI1CJ?mjZ7FEGuxa`^nXhkdZoYKx`l?Xvy&sQBTh;#B(kExDWo~Zn3EG+o>T(Mz zyS+FrUw`I0Xq#`?nux#*1wAFDN9&G*_PpQelqqBgIK3urZ`8tt3qfn&a&mH3-ip~@ z7Yo{|@_Vscuh#XQ=jYq6?-W+|niLcq{Pgwf=x26$^4#y{UCaQr@SnVYuP-kz|MmU- z_=^`WPOZE5<x2@@QSrZj|G0Q~t{iIRR`ulM<a~8$X*XzL;9To+uSxT2K6$dTvq$G$ zUmvf3;r_8+X;A?I1$%pY@A${ZdV{y;-8IR(v%_mXf7bp<and`}vfEx&oz?Plb87?b zPw|^;1=>4QSXjuquKQAqu+VkZSIZ9^aEOeKetoEwJG5@!|MU0u9#0K@`uBf*p;+LP z3P!mP4V6yEn4dH{c$paM9={Y6yijp-rq6Pn;QY@bOP2;`ZMQPbH1-XuoPF!<+U;Sp z9<FHUT;7$p)uv%Vd|<%D%cYF_?SB;VPH$M?Fz4R+<BzLO+^b%@_4>W)Sm~Jgd#{<z z^jQ<Xe_rM1XEU1%7`AQOw&&}$=r^~w_s_5UbyDg7i;IhIZqJurxpL*R(sn-CLm4KQ zR)?>@|3t#Y#l>Yw=EV*R!HH~Xhgvw_+}PMWQQ7@osKT0M7S`6qAAUL)rNPz$JUD1| zd%wtRqg1Y&H*dbVw^zEny!_j{yQgOuCg0eaE&lJ{zpdNuXJ21;aa(RQXz#(NPoG}g z-*12L;K7Qjsw5LB%aRupEZ;sn-0tb)W1??uZ5=&j>coi$udEF2?Cm|8U?3nS=9ZD6 zu{vz6lAc~)E4TQyTZJBv18?pP%-vSEVs&*`YU!&R8<jtP{AiMWji+IA`uV6W-JQbf z_qO>q+-fz6eZT*|9b5B5bMB<6_wMg3etzQ2nI-Z2>ryZM{PiozK*GbvXNh~i+_SH` zQCkv@bO_e``FQ-yJX`68udlCv{&LyB_~)mms6pbEaeG_tmv?t(SHIiYzGB4+uFJ7| ztEMI%Zu|JS-#%={v&sH;iV6x18#WjuB_*ACZER#DVOwP)DJj__W!hEu_t(eW_y6{t zxBGo&&)@I+|Jz<(?ys(((9p=tUi9b3N290i?(W732N-^Rd6_Jy`{Bjn{wSNhe_pL# zKkM|=dT(#<<k#2Mu8H2x*L<*{x0m<f!-r>P7&e#Rt6YAl24sc2{k*5Ar$2uE`t&^8 z>Kof~r5zm|Sy)&Ma&MV%adDk_nVgjL;^t=cg9i_;iQPSIWAgDwj~^c{K5yIJ+{}FL z+_{pMms(ecub*~v`pJ|-_wLE@^Yec`e(F@$lP4)PH8nd5AG1||d!uM#GR1GM)x|}w z+)B#IKmU9_pZ#=>=jEkl(cf1UpRane@p#44so^`m->csF|KIPMyUX?Y?f)43`}fbq z#U&sl<jBv@&ntgCY(M+cOTYfx&GbJn{p*<&?Cs|-bZ-Cj`MiDc%S)<4!orbzDmGej zl&|i(#j>DG(f8V=rQR>^>@;4tZXGC1uiyV~mY8nTpJ%^MpF78P;ONn%cWU(X^ion& zBiCqaX(<^QO#%&0F+6$pY*XfCH8tN^E`fo8Yh{}|IyyQ`V!4iVc9<BgT)EQb_nXZ* zH#fBgFZbJ+b#+zI<<!*Fk1rPYe>%jiA7a7v@XycBd;WYnUGw#7c+K~_<xieHo3&k3 zM5N%^8OeQrK6zV}yl`-GVsh`3Nqll*;<LB$bw5@6ZNEvV`^|Z9H9TI`$Y|3UJJ2ws zfVlYa@AvD^o8PZF+;9Ky#Djy)KVK~FZ+OIax!?ZZjD^naVV}>K*d6baJ$(QE{As$; zQET4c-*4~UFSphp-qDdUdVAi|4I3sHr=NT9{{8uG{e36a#qPGK`(q)l7xQ4Uza3-O z?aOCo8vlH?dc8%#0|rk|PZf3b=qb}@&GHHg5;}PBVCpweu9~VHE@4w)pzPN3;4r^E z%YoCUyI;J>h}xFpSyW`SZ{NOWHg9ile|~3Yad~Z=i`HVZX!BLY=WlIF<?grprP0nO zn{=Ro(Z$6@Kv?+j$H&KizFa=vqT++X&!0cVf*((ftNV0P{pZi;^MAho|1V!mC*r_? zM&_5-)=Gc;__5^et*Iv`s|yPW9h#yUe5&MD_!TvWw@$Oxtqfic>c`EHU-atU-s-t; z&YwQb-7sa!l%mhEI|>-{^WPi22CYR{7r);xCPpT{<|8XJ8_$8`$Cppb(pOSaQu3Y4 zRH&rXd#3z;t$Rd-gsgQL%Yl<8SNce0XJ;Slkv#mk-#(9T&Qx_hy}sY?_us!``0>eP z|7o6|4>0p@NIuR7S~FDq?@wj-@`bUnv7q$I_~Y;U`tvi5(@&f{x$#84(aayW@BcgY zdi{RCx;nd;FJJo1vzh5T+bq#&=8cWX?23wtJ9h8B|8Zx=8!m3{jhi=XZ@zc$p4{S# z6}R_XyLN5QmrLF^Zru3rcKiKD4;~z-e!utlBG>K{Cr>IWDl#_w{rz27Ow8@|wY7{D zuU0PCId$^XsiZqQ3fIK!+~o7zs$uzZ_2d2W>8GdZ>csDx!*Bm*g1`M=k?QK|&l$ei z+1WWcIcF+!a&k^>5uP|}ky&*4s^arSrKPGSCL#^@_Erl^Np*F1bDNo&{rLTR^0aAX zxBrBNh5dOf|3Bf`nVF!}d}hAAe8ZP7C4F+Xr)KBxI|*vA#Kgu%Zc6ENz1MZi#q;h} zy(OAOrKL-q+xgDSwVs}Reci^iv$L#j&Oey2z`b8C=hl{$KJ#LCmra~M-#;QEVrH(H znVHWVi;4YqzfRcbE(K*akK8~7KbIvF4W)XYmX%B^dw7Vo>ifI1pf!<(r{0FCUM`%f zk$-F5x3{;8A06SW`ughVhQz~X=1y*?e6>!<)zx)|kJ{%?3!U2~%yK01^73r{{dl}3 z^K#pwMM`(>+~MHlOniQB?vW!$rdUt%Ti`uiPeoOA>dOOmg^yV7?ke4#8y6lPo|D@h zFFJev{Qm9R%@q|DTUuH^d^)XfoO{b;!4BK0dCYx%eb44^-n{uIDB|V+|8Q^Pmydh6 z@7C6A_tjx*U)<YkJ#peh8H<7gNxS>|`KRl}8tKRHsR;C6>b*8#_S)#HJJU_`@7+oI zdF{UYQ(yJ)d+)bLU$(Ti7M7Co+FkaRLBg)a!v4<(=b1HAw{iyTp0MoX!Gn$E_bS^f zKR+w{_~@wC)05}Vt7~hY{&VvD`SsWSEn2)da$^!}^!7Z{`s4lb_UC#ejc1r<i>+I? z?&-9zUoQJ^PCU$3_v2yvZMzw2pezssEzTSr9pBvDJzd&7kKt*#->)A(Hk7;!YU7j5 zn)hhA&ED$oY4`WlK2!gdoSb}m$;tEQmq%_+1I>%9iQL?#zwgJPJ#SxKUH$C)p|`iU z^ZWbzcMEE4+_;fP-fqru`T9A1verevzGQyh2FkDf^?#a=9C4AWda=;jhTGTq`MJ3- z@9nh)9U@T~>*d9DdYW$Xt1Bx*_0%maW&|(y6BH3SGC|Q<!YGB~`<-HbhT7lXQvd(^ z`;71Hq;t>b%#o3+eq*@IXXc?FA0KP$SZix{A3Egp`P09@zd1QL7Hrrs;qUM7%mQ+9 zemOZh=K1$n5<WjW%OhvA<J4F2m0VkI?g|h7zNTx(?%k88O>>KjlViAF_j_$+^hxW5 z|2|FMFCZjzXpw6-gM>+j06U+Ig0Zo1LrV+GuCljTfqAaEOaZ$E7(sg(nwpzuS{A2G zz4ZTh{U3JS=xrwT&(6(_Ui-IK&UV(<*Vm1+uj$O0JNM&<4+$qGD7v_~Y^eD7i0d?{ zc(bkkmh$JvM=^fcPEdlsVsd-ex`vL9J2Pj@kSKe9&o?MYsIjr}S%YV%oNd*IL)`io zc9-Yh+Lr76`r6vc_j|ve(gm%pO}VhZG3UmHg=eNTtET$SGU>dxxBBtx*WT;n_MYk~ zy1gY+7_<~1<MJ}zlP6F9d8%J;n4f)l8Sll57h`sp^~TrzZ2f+}{=U-t6BCss?P@I4 z{pK9FcJ11uT3<y)#XleW>z`~qF1LBcD{r0LJ3A(>SfNo<Tl?|D2L)r}$=Bnmdp~^m zpf&yC&f@fE=jM6`1PI7ll_=QS&P_c%&9LM}z^>BQZZ0k?jg5^ZFD@MXqc?49_VrEW z@8jzJ{Y(d~)nSmU`;nM-c2;WOw~fiiJG#0S`OY?r*;Ufn$jmMzDth$h=5$V8UR7gb zVRLiyWxliB=G)a~+}mTx$jI0uX}s*2-y{>xsduHXroC>TwIe<B$knS;)#uktirZUt zab2u+_x;HC`+n=ay|p#@%8EdnFBhD@yuUv`@o<}<kkFw+t=ycPoCnXI?On7;X<N?C zq>uA<K${Gg%<tC(r`_$7wLZ0G_t)BP(Wh%W|Jr^$B5d>Vh;YpQy19S;)Y#bAtO^N> ziJ5cs)2FAW-|wgcWhkYo8@06ZSG06^C||4EExJ-!>iF9AUrhP~Y(!H$FKF4@&wqV= z{o{A<;?ye2mZv^__AJS0rp~?pVNr2$a@W_#A3uEf@SgQwzLfm>^3u7e$jChJ&H~qN zv8UG-FIdn3T2KE(_u}eseY?M3f~&r~2+aJucFh`#;+>B&&7?Q=%{zNa_GSdgq{zs~ z)O$<IcfYREo_x~9&8_X|mZ?imvs+Ys(cl)-NqBy4?$c?7-`+%mQt!97x7#OA7A`9* z6A=?D`uZv~CMHJbl<v*&&N*{reAFgaUU_nMwz*}+2Zhtq_172F2bG!@zTX`6<^B7N zi%q|(<@Zi5ix1BY`@ZJY{moiMpP%{W<mMI@6s#zcTYS-@tjug})K;cb+qk8rXBXXO zG3l+2bpH9y`|y<c^ZobN{XMnj{f&*vpgkMSQk^1~_xiiIxMX#?ftpwWt*x!8^R}2v z@YH-b$j)%%_U-T=CLiWb3%$4dp;vK1!GX({H=p@6sqe<6%YpY!9Xqzn=bn81pNYP+ z%`Pr<Zr5Ucxa5b_om($sZkFzyx^`{u&g+FoyF^*o*pjldPw%PxEMb}z()zWaq-2Tj zY_lF|^FCH?F@u5!4r|t~J)PUVec$G#Uw%Jd@MEsNd!LM=hQ^9Re>?BI^1HqFR?giO zoz-EkbLPx>x5KWitn8VB%#{0jTEFJ5DpL%y{r2r!&HumOQ?G?Tbu<zb6cn7;=Ait@ zWy#4*ALU1{Hm_Nu^X&Zm^VaY86d$jAes*^I)~%-cd%p;QRz9D)e8qkGm1Xt+KF?QC zQ317fYro$;4qD-9d5ytzsbC>*<GaX96JG4rSMr_su2xA&DJWQ^LwKT^d*hB{D;Jh! zm4DguH{-BYbcFFykH=4iSu#`EWjt~tB8-n-*<Kyhdw0FV_cc}lbNy0E-MT%3msHR1 z=;*j2;_Iua#Ow6>t*P~|Wy?-azBS!!(<hVAmn!S>Wp9I1G>EuVp(&uQ#OvnUn{>Hj z2ivMi-q!-$kATZ8P`Tytc&Wpjjt&pmRbC$g-bYWGb)jl^=+@<-mqdI+w=DRi!u#=I z<Em@n-`D(F{G~h$)JJr2dDT`L8}0yML&znDK?;5lCWKrfrXipXvL1$mxJ5dI1t&_0 z3ky3J6c{+S@ql&>Ue$ViXJ@f#$m(M!GfXyRTvTFWV*2p;bMlW5504x@di7V`<x7`- zT%P~$$lmYwyzlR;1$7T9|L*;M?{otrb4PD4Yr>Zo7g<<YXWCz17pon7@7vqkFE20W zzq`9U{pqQx3_kPi<}xy~8Pxvz5@j!!`9o{>`xR%qK;G`?@QB^8_BB^WhsR^%#6v7) zZ*CksF;V&DrKR3mPf1yq>2Pv#nucsXmVRoAW=eYc=IZZxckbQ=^=guj^(0<j7yIM) z@9VefxVgC{&2l8P!`G?U+t1&y!Jw(R8Ps2KTRhRZop0u4IlGz}M~=9B-n4o1X4gnb zNlBl%R#R`>h~QykPE1T}X=~e*bye%pqel@t3KG}KwwuUJy<2`I%@rDkdKoTrIy(M5 zGcq!gu&=W@Jzf9!_3P7ZtG`W{IPu_$z{M}Fub1Ed`|kT6Z#JKwVOwq1&M*J$-|zS4 z{c#fsbQ+(|{hxb#o1ln@i-QBh<z>E$7c4k1$4*VHZJuqlkc`ZkTU)awt;=+BZ*6&a z(Ov%NwQJL!ot>R*AaUa4NzKjrlTWtn-D@i+C-<y>>QvE_Cr|$P@uT4JG2U-)ZaQaV zXw0=LUF6y==Hlk|>F4wL*H2kaUgn((O*$^Gnm{f0PqVc(G!kB4TMH_<o}H0o<Ci-# zJ-*Jfoln-o!{fn;iOM}PmXnrxPftouKYnj-^-TNvy4djD_bkiaNc{WvZ%fY2OG&l= z|NXVB`jXN5Gtc(_zkmPs{CL#;=GNBk$H)7NA0A@0`}steAt*R_re(2OeC=0JP%}VN zv-8Q56b8_ek!kwz=boIL{PN05VSf8R1v6_?Q&I|^o)QJQq(e~o$A`oGj2}LIQnIp| zHBC2q(wsRx>({Th^#A?st*PqDD_mP61t<Q}H8d3D=kM2AYFqV1<NvSg`?Gesfz$id z<tsH^U6%MoL`Q$V<gK5WoXmWHncwDsbpD>ksZ&Lb)6OhNKHgUvbNJW#4I2ckt#=!} ze*5;Vp;Yhov(dl))c=0F-NM4+!@cVFj1wkLc6M@N5)>4CbALZ5fkkc4<2?}UZ<{(# z>&mrj)28W0KYIK2Z2A4#<NEvmH0|BH_h_Ast*vF<AB%J6&MjHC?9-Ra{*RwLIr8J< z<Ib)wqw7nT$THlxabt>gkmr(JSJGUUZ2a}>_4>l1A|(rp9qU#H>9)4EK6&;mY*yaa zS64xuV9@x*gb5SwFGvG>t=wPvk&DX`za6`FC1wA9|J?D`_Wbjm!s;)st`<Ms#{2lP zzx~?7w@i6rca<n=YOeIT@b*ZjaLMayZ?{!?&;S4DPtBgadpS8d6;)JPrpMRK%)Gpe zF~LMimq`@d9>2Xk|NNDe!Ii(?ZU?2m)#2;)%H!YO+PXM&by&&!dvi~m@ZgiNNZ5Gy z`0;k{>3TD#>&NZs=<oLzJ=btzZs2UuiN~_OzPkG7{{O%7J9q9B5fPa%eLB0y|GxiU zugB~D3)9fjdi3aMH)y<IO5h}Qef|E;>E|cSpC6wFDnB0I*;)K-%hK3A6%(&q3F+$U zI+e4#>g%ebwV%T@H8t<-sT9`MUhUOi`t8lloI5)vzP!9Vd=@xaFR=>%m42^U|NN<$ zWtx5LvcLV=S*F=P9(C(CJesKfwDcQC$-6r<-`(B)`Pb|9v#&2*vSdTfO`~OgbEBRX zH8nR|mc9~kbadpAG-^?FZYwx%`<>y!_Wby7@9*c=S?yI(m^gE0X5g{&_WygPPY<8D zY4fH{8ag^A`tR@WpWo2Hz{SmdbAP=(!^4LUj~qJ&s>T`2^6#B_aIkrGO#Q@F0iaNM z)hZqbYCD{nY1}@~wt7?L=d?e6{`~m$dOfJL`uqF)^FKd7GvwUZz?h$(-^L@^w0`~i z$|d>@^XJQZPuBx2apjS<5&?}1H3$Cu`LjpXdfGhOYNMhj9z1N!v(59_6f`wE?P`Br z*qR;w=kMRjN1f_tQs>!JPOAI+>*D5g|IfeEyk9X1$jgH=5GOD1Qt#<{HQ#QgPnCQB z`Mf=7e585x>g?V*_TYN=)-q6)bY%ymC4F{N>S>G8S0PhB<=ooRdEkJ9T<w>@xXP!Z z^XmUqGAJo4b8>MdJv`L9HR5qw_Tyu{GL}Uw@$vD$zP&xYz_EFTaXR0_hYv&b78gH1 zcV@2jb(xOWcXylLuludbaCcX!vXWBMfddY1JrarYrWHLt*8Anf#pZ?1?Lq<q2SA4~ z)EfQ0u`wAW_~AoA)wegEo72vAbag#?b8~Y`TU*iJU!`~M+?ipPD^>gJ%fj;a_jc^u zX_$6q#w<(6ODQ2`_rXc>)!y&-7N?(|2kOpWUhZ#P_9kM1g~Rsr^KyFe`+DZjmk;=( zaPZ`0^`8&h<t-{dsm!ncXL-C&)_D5X?CW}d^K4G83|=0wvq;rA{Txfe{e87EmPIX_ z)6W+@I>M<Jv*W;q#KQ~{#%VmxZ9Iy`#*=$`c&@FDW*6}{wygV80orC66de4s{{Q>` z7nl3XtNG4i5!t`F?9viXpP5Fj#_8t@-rcdBG-;BSZCrf({i5a1#6VH7a{ZdFQ?t#T zT$aqs+<Uj{ilAxn?o}r@Eh$o)d@||gsZ*yO-St}f^y$;WzrRXtY;1IvsqHFzE7jN6 zmwNAMN%qckz3_j26WdlSPTyR#yi!Y7U%!9N8l5|L@9JK=fAXjKOrMmLlqoaKwk)Y> zSnS@vDd8Yf*}FTP7c*3pm7AyOM$cNl#-{q4PQT43j^E$j9zJ=J)7sjai<^6A)mJS& zJw36f)84Fh@$=(To7_1~H=3#7^D|#QIhzxoo}RwBv-o*#f4o+>@OQh_uK)kViRrG` z|NUaut=ihG&Axx<sqXKc_3ND3l=pSPVj?0(=KuebuI4v~!{PR}+{i5%6OVRm7jj!X zamo~tOP4R7z5Jz5!mw#u?(Iz_FN2CDH8nN2WMA)_Ge_pq<;x$xe}8_y{-5#XWxj_m zUlwkVum3Z#p@9K3VAU<AtMje+)fLTMrLT|mN}GeaJPa#Wt^|!=aEt2|{QXs0_2tFE zUTO1!q9Ue*+uL$`<n8yJ*)sEK<gOA;_kOvxmo%&<?p|08Y2@8LHMygs<IdbehYlGn zcHv=T1`Ukud?_m<b4J#BDd>c^uvgb-SXfv*c<>-$<2%3e`$0|S=k@4KW)X(6vN8!C zw(c!`eSILe6h1z-G2tK+8=p+a)~%*;a&oDs_Lh3By!3uPb4Op_vlA1QSy)*^3$7@o z-d%Th_1P|u;7j{ze;d6{J3sI2^ZE6D^X+OseERh0*Vor#jh`<Cf1760kzh14<MuY) z!oorqclXE7pC51G6t4Jww|r;GOHeyGwW)wXTrXxp=<2X%3Nj-5lP}-8HS6?r{mBz2 zHg<P+TXKYNiUl=&mTUwK9=T-oZry4M+HUna%OujY^xxgPcW>?}RCaZBwfTI;xJTN2 z-JvaUadD<*Rk<f8shS4$ndp|^dUrL=b%~*d?PLeneLtT`+x_|A465!wJNw1g{Y;&D z8Z=}fZI<)kP%Ag+R7ah-Ju^N&K0bNo%#&wlo9i-VG2FX%FC{H)($uM`d{<(36f_<> z<Wy5zyK(#W=eM?IKY8+GhJ4PBqNk^1Ww(Rcksi(qKxLJO?5h_y*Lc0udYcd(@pspq zYya&nGQOU?>JwqJa9X+A)`RLNin^A*T6J=h)ZErk@35QS_iyxz)l@QFAG$j1&#%|( zxBE_>I<>XAnfdH2(fieAxwl-RqN1jr+F$ZgsI07P$IhJ>m-$Nn`u^TOElrJ)k+I~} zmChG0GCm*X5Z8}8a{Kn|yt}(DZqJXuSM%8yguA-BKykRRUGC7;tD@=Y=~kt$j_iKF z4|J}hp;Ygl|NnkpwH5?d=wBy*8f4`wzm}Cc{hxNpEo|O0El1tbobHYek7VQ8UnOdO zb51OuUw2A-{hpw_S?zLF9U#m0)mG1$J9p>%eZMRId_2B7<loE7%Oy>-MDp_TX85St z*x20Ik|`V(7M62w&&*C?^-tIL{}YXljs|T5H_N%faG?J0_4<!jg8dT>Bs8?N7UkdH zSM%kfJE&>0A@MMqn$L^_vrMx$Y}=N0ZjNQm->=tAi-SN(!{rs{YNfrNu`W^#*KV)% zkPS56zIv9{YPHuYSJP8duPQX>gvttruWU69?lFn8bDZ$4Hun0WPEbe6<VdxY$o=bI z;(LBA{Bq*zBG&SH->g+KD`V9rcb=ZE|M>0O+S?Pqgs+P^c<vludirx~t^ErYfW}Ed z2l>7GU48lT<&E369Xoq=?U`*oJv<=MXLo0*>c#K#Ssk`^!}jg_7h82+QM>!w#l>aG zGH}Zs)TwgcZMAi&+Ln8Hp>O?EN<Bg^Hl3Pma;2T!Wy!xyTeluPeth|*l6UXkm51)@ z?d6S&ixbWJ{^7%lg4wHAzs^1V?aP-f5$DdIUk;wSDt&WfqHgrIkf2~t5k2vjYoi5- zAt)%g()`Waw?F^=-gJ0}c5V7PaKf}*0!o-5X2%unZQEY0699E-j~(2#PFn#S@lfKD z1gL+gq@=_f=i|e3@ZdpEGi0*5|2?y}%Y0|=`2X)WqldTm<9pTbQx7yS9yxZ5$)M^> zMpIMMnfck**G<(-{{8LkmzS5@-`(B4x#+1E!!rN*=NcH9XV}$Not2vdw!fppBmy+| z(qWR|ws@jzx0s-S0H|qkxSd~JPw$=i+U6#yAbFn|2917mtv;SHK7V1U_jInypxn3r z-`DkjKAqNQc=GgVqKQ;zcX#rI1&$0db~Q8RT9?nVKX>k&SZYd2ib3hCkbOTMar4Pq z9XT#v?~|0Iv^s2U($Ox_sccNHE_K{tVPU<$ltF&J6p(SLR8VjtTMoXy-LHSzTv;+! zB`4-Q`|$9vikjN2>DpXbM~)p!GLYcl=2kW_nG(7>Oi*0>_@P$r?7tREGC_^Yo9Xja zt*mAlCLfzJ`&f!mVt#&qdwcuQUr(N-{QCO(_{quYH#evAFI>2=<kc0<h7%_|Kxh8^ z`t~;Z^>GEIBK31I=hnW~y3*A*@9e8xTp-6Pg)S2;1Vv6rRFqWo_Po@)yGkW^*m81m zIQaR~kM&6I`Fze=^x5eXCldbr_^1=VPbNM-{@a_IhqvY4=HTK|Qc!5fyu2(hARxg{ zURc=q{5)GuKEAZKx3>1kT8nMq$r2G2ow|GdCCA=Ls9m}41#aD9x@R1DC(hcIbyW*= z5=GVbcd?1HdU|-)#q2!v;9#?)VG@gc-48{ExVShMclXPiQoSclp8WCaSJUU2_xIU? z4o3L;s~eI4JPt30c;m{(<l`T{e_x(@dYahl-{0S#PoG~KmbkB}iD_HjU9b6ewHC$C zcwWAId8hn-?UCchA3u9`EV<wInEL!0Cl?o%l`B`CnPWNma63O}W!f_T`F=%3Mg`9u zdEMRJ-TLLu8lSfb&J0NY|L^Zr8*8O$b-!M!Z_B-XY+dYb1F2roWuL!%dGh1qV+(8R z$+Kpiy6kV?J9)D3<KzA6T3TETNK-2(PM%!(H5rs&Kv|~E9hA=|vaLeus^v>(G(2)R z<gLHArMH(C)R^Ss<J<H7UNys$Cr>6!nBd^z!t(q3dvzV1o@;BPnLk_!_MbRm!h!{D zCKl`WeBxpV3=9NyP9{!tOi5As{Oqju<ZZFL%NVv~UG0K&aSr_W`1tJY+L{`RvNtm- ze?B_e{o}`vE1v{AIzsM04$Kd?TpM4Ve{Y`sPO)rAqBa4Kw_I{4eR-*M$r6<wx5cgw z@8Dgp*x1;OTXp{#E7;r1=jZ1;IXN+KaB_mS1~EwVy1jY(_Uum?Sy@I_(<Ki<jgaf> zVwt;c|5+EiyW-i*be*OH43UwMCi(YdvR^-vyz~A2egD>t{m)@*0WvZ)T3cIXY$_)F zez&{-!-s-r=jNV1JKMals3__4v$HNPE`OdKS5Ycbf2YF1{^9h_?mtEEl<MB@&0Y!W zEnm7485wyubYE9ozhY24xGcKlP>Sd-ZLav3#1Iq|6tOKwGW+_vwMCW(4<0--+q{3l z0)?2En1)B?yBWW{y*>SUTy^iAJ29aCS0gh!1FPwhf1RD3iQnJdRZ&$Hl#n=K`~6Px zMq34i1AmJ1^7Oua`_|IZQt<6fWOvE&K3QcgEzqg<1urkDvhm4ygoKEI7D}3!OgVGL z2dRZ$`S}@WUOny1jQfuaTwUsdv+Zvk{nYwvu8xwD($?pBO<7@KVWwg|-TnQ~FD!I^ z^6c5Az18KQDHEew>8*-}h7;N4YbJd8@+Ip2^eeWkk6c__q&D<Qn+u7Gwys~VFDECL zl9u-AR`z;9Ik~u}MpotT&fEd5KIdBG+|IXT`SQ&<H;vl(WWBcM-R<b;P%to<z$a_< z;OSFVjTMSt&$n<2&oD@23Xt*8<5YA0Sbxduzx%4l&1p-PESWK#n~UqjnKMUDp6mq0 z()_w#ovXvwKYH{iNzU@t%3$?%F*}<!Z#HHyPCN79X8Qc2DMmBzU#|H4%y-kKO<8{< zTwSs*J=Bk9Rw%ggI(3_<h}getS3#A}%8<aoz^%=NH*ekqjl}-=QE}+-;mnJRSYKaX zpMGskBxn)Ix3{;iC-k1y($P^dH{TxiUGZx>sL7$kJL6yisQLTk>C=f*r?&3iz5D35 zO9weL_&h2qDk4}E8Rk{Lvz%A?Ow!26NN3qvmKpZ-cI)^3(qeG$mrFg^#41)PdS$|| zB^x$u@KLy)VDRA}yZn^d_by(XXqJ1+An%R^bS@fHYac$m*e5DH{JK%4cQ>MK`&k3r zq;Ppswo1CE`t8>17PY@j)cxihNHAbHTB|Wx%{S@Olaru1)9q*HPoEB2E%Ec`&m~Ki zTv!)t{pQUZ4J|DtQ`4!>&d#3x(_Tg7e9Dtoud1$JF7i4Iu6sR{A3S)_(A?a7beA=+ z$BkRJ9=&`SnfA9!RGWi`=g75d(<(nd10CwY^?KogwkxLD+1cCj?nXWR5*HWu=FZN| zZr}E%oSY=3=?-zER{8dW7L!jN`Sta6<ld^SmK^Ly|0?D0{VFDFRq|l@{JKT%{c@ty z9zK75++DubB_u?|($ezC(W8kbQj*4LJZh6WdwO`<c%_g1`ucj~)~%{`cJtKdRWM~| zXMg(q`K<9SkISeH+1jA2MAp~8r^J5U?pnY4lAZ3Gpa1{MvQ!&=Gf2MJ({-6o=U@8u z@ZAqqTi>4=x%ttivW?y5VtWI7n^+F0bF2}&dPlh2G%{@Q<_%dVwSNDbzjIBdm&=l} zDL(Fz_jY|+wn7BdYFYnIO``nW9ZMr)<CoXh$Ir~&w{M>W51Wv%aK3a0bH&3}@f(}d z`R7`fvn>c;A7}IX&1TSm?rih?OZ)5VudR(fZhYS6@Dk6-GmKKXK0iBqIK}AW@B9D% zo#P9UG6Cl;aL7Gbx8>eF3;A;&HBB|0lWpAuC+>Ro+1@nx++4QDn>KG|EGR70EZ^PT z?L9Sa>c>;s>t{3<czSyN`EZz@g^lgg-|zRCL4z@%DZPjYiRJ$DpB)vCXX$dk=R4PG zDrnjI%6*qUfQv0qeSN8Airm*_6AOZbgWT`j{ayKL^QFcAyTwfQJr)*R$-idJngwim z8_TVf&YeEZtx*>rUAg|!j)I3w_wL=BI_>hl+Un2eGcz+m<8zg%jtL10pw|7-qpa%c z>YuCR<>zZ%50|n7`|MRf=(2gQU)+4NF5XTjXwlcQ)mi0AE1g$$iG|ENa_W><uzwf0 z<_)=gcaQS91cM8U-T6Viiud>S>TY{`YiswPKQ)IA9eTIIM_*sxM@@Ks?Ker?s4W|A zOq(+0$ky!ZCr+L`c=4iOgLe43JApqhZHT;H$_frm7ndb@EPYk6iywm8xsJPLE5v|$ zB4KMH4qjUueKu9bzHW}BahgH)HJzB)*!L@J>g(&7n3yWQUJW;#>B9h;fSWQ!WLL>c zr{#WgL489;14w_QJTyOZhDe7ns8kUYoM>cockXLQ8|djOKLI|_KtE^+P~_&chi~5W zw70W^#ygahnvQmh-w&M`y)EZqyL{b&qeok}<=(!uGFZJ&&bF(!msi>>C*kj}uUm3& zi`m=TxADnF*_bXj;hZ%K(w%TwBICAr;*A>-hYlZpy-Vxrv+MU}$DfPcv)HCM-6qa^ zE66oFtFQ7Nnp*LwQyqkN)cv*k`Sa(~efsN^G&MC-ua&;K()oVh@4na9*H4~3ySu%e z{o1vo$qPa4y_c7l@2vT0v^Hw%p?mk{+5h|4f7OmHQ^G_EI#=>)>5Lf?P0h`cMkyTX z{`3Bv{a*j}mZ_4G(yCCms3@uL_p0@o*?1Jp%)~V6n5;E4PW;(f_BLu}&F$^^%l+ru zfks59YKJo!)cz`A<B>S<<>lq~JLK}u&p)$A{CHA!c5r6Y{%`kcHs)QEeZSbwK&n?K zYD-5$1H+#`e?ZF<3JVLTKC>==7qj(S_3P4eCr>6`TNC-^)z$8Qe}7M&GbcvPzw)}o zs`9z6E-q41+S;qF|6OqrShr=8VBylI&!1o3UH;x^{>8=a;VW&L4<_&(n)>YJ%g()f zYkRN8O0o5VA~N$pkDGG-zMpEd&2p8jt!L}S?%Dtvao~{%c>P<>wrY#(a?p9_C(oUG z2AX9!cC2mt{kqwX&1_R=uM1rrCYG9ef8X57&(9{#o_)IbyzOz&k_fw6D^5<%FR!on zhprC0`s<^|VKHIh!}b53*I(LGS$u6xr1NyW*i%aaXNzW5fO?UAvepuoMJYS)zrOEO zx}4|K>C=ZZOp0DyQ2e~>a6A9x88b5C%F4FQVKP!yZeAI@Tu55F`(T2Cj!w^o3jtwk zqg-QRWVprk_N?CZ<>k$Z=kL$246l^#(hOcUVeZ_s@9yp{EH6)ge{XM(q;Xs9?y`sP z-^VBJ+n9WO(u4^G*MIN*YUMx2Vq%}HwUE5L|K_x_r<SaLA@%+3?d`X&N4mHyS-9e5 zLzM5P?c1OKe!pLTbKGUmQ+L55$x9e_-#)i&RzRG3lAD{`-j_L3mMztq`!#E`Qm?<8 z8(UytV9Co%t@-=^PMb4FCTxA2Z$^g3^Yinc?|eQl`FNi!gV<@+pMU=Rxv{%k-_Ora zCuYY4P{0;HKL=WqasU6na)vc)*H(T!D&E=MefZqDzOC8UXVo9iyS=T~wOefB^y#2A zRoda}p8Wdy+UEbC&n#?gpn0lkda+r7>EOwq+L_DOO`bgY<@NRQ>i+Xm&d;-LX=?-J zI?#A5Xl>xj+uQSX=U;5o)z$4?8@+wfoH;(Hr|B{xbz0U$Z(nz(W^?*^Q2**q{r|r_ zayB!5etsTak*l4RzchANN#~IxF8_X>ub=jEjrPuSr>E&&HWd>TT=_gFSJZCetc9Q{ z78j`%lg=$GeSIy_Kw`tjjT>L&tnzSkV|#qOKmF&Yr$2uE+LV4?Zd>kcw}1cvMn*=T z*=D^>O-#kVX3UTP4dxaU7-U~xm-^<$MzOgas`Ee1<65l|TU2CZXJ>b3N8#Z`n>V_4 zi#_Ypm^f>Rnf4_MQ4x_1si(yb9X{OI-~asW_WNn~_Eb82EOB~%e!lr~-MulB-d}W= ze|lk|GmnHpLq`WkQc@CVwVwUoFT(8nax7iU6`(bE4-Pi(`Soh`vz1!<vAd3RW31|1 zxL`rU+UV^;5fLY58mDvc@oigC?B>Q6zAh&5!-Io85{6Cu_J0Hz^!4=@Enb{?bCW7) z2JY9dS)aG$-`{sDSlx{EICw$feVG>Ri~H;A`{Zn+68E7@rv1xZpX>T|?Uo-uDt48< zJ@w?|WKK@bL{NV?HI?!IzH={MzT9;TRG;~_K$>b-?v|O|z2*4g{rmX5bYX|AEG^^o zb5B;U-}mT1BeROC>cly7ViMIKt-W$n<7?}!H6D+jK6-TM!a`?84jvvAQ`4<pKVxEJ z&nnNX`u+}-SoQSuZrr%>;Qf35s3@rb8?CYv6BHRhK8vsaJN3<*oPB@4Ma#&@RJ`B& zJz`6Sprxhdvz5GS*RHL6xpex5jhesh%>4cR`{eD<P1B8z*jHl->W9v+`=$Bq+cy_i z*O{NEpUX~8cJ}i-7dvm^vc(%v=Ga2@o|j$UruA0W)^_e<_x?}MX6JueU;mdoJUo1w zPGr(L?~srw>o#_Dba)6a+Bg;5_b#=X?Nu%??OhJjnYq50b8)UtPE9+7FW$U4^U9Tw zd3C=s=ggTSVNtN)Xq}dqdEK9iQnOR}Ub$gQJ{A=f8U4>mO$~jx+pVa$Sa;fN^ZdMx zHLt7pc%9l=>ZK$tE$yQw{PX9}it1`%At5FQ4-byqTU!{rnrpVtKDB~~E@DW;JdxLz zmU4S~dP?-Vb?=|(H^;(pd*0nuG3VZ{%MHCXd-G=Fg$oxxdu>zmqabWeMB+rZ>k*)u z{N;rX3(%m*s*?2J%+QxPT6Y~Ic5T)~ou=EkeY^Sd;*t`R|995KT1Rcoa{d19?%BB= zPgm;9g)Nd>t?ZT6eQS-!<wx({`9(!ZRaRCyeAHOJyYTC)P|%(+1|ebL!s6n?H*d~- z@F2l&u9a&*fPixwPvVIQig$|7+fJP}ed<)##KeV_mH+?!Wnp0nh=@4x@9*!%N8e{1 zMV;Szzv`c_Cyr%k+s|g_=H}*UmsjU{^GKO=FthVbm^qUZv~D+ccNwcee0pp$sCU22 z8QQzIn(Mpt?z+vO8y()<-+$kxYk`VFU?5VGJ}e?EeE8kn-I3dJB$xZm-L-hnx^?Sp zem<EDsxlWkw->#;v$N<vZ{*CnzrQwSTvP%Lbsp=LJ|6%7SNMV--#^^hS$uZCef9Tu zu}c%~Z%jV^;^JcVhYufqd2@4e+SyrxqOb*1Pk()V4Vp&Ey=4MgO7#BT-ooPI<TEo2 z%VWMT%fL0kwf(HPq@-s+fB>krdYv78D8XPu!9yn}CnpJ$41xcj?f)NM6S<k;%=Mes zu7yFOyz|19DWK$i<5;hBXIIyu>(|Bk`T32EjVs@7y{`2(M{8|KI_NxT4}bsko10R3 zWUZ#;-Q6W9C<tnq%375i0JUM?x%8kf{BkWS+T?mubgA~smQ!cWY<ZEB16lO-s$-IM z*rmw%Q>M5iBqYq7$ep!WiT82~q|?fvHkots$&`C(sj02)?d+PGn$Jq*<mBeq)!y2( zC+)0M-n~7YYuD=X@$u=z@4GW+UCF+}$Hxrw?pS>O1gg+Jpa1yrBg35?h0S8RQ4uVk z=OJ|+-DXeMlkU2Ie%8#Hos%aEf4^HU4=Rzv*T)?_di3a=<-yDSVs;j>rl+Sfq@9^@ zaPxV)?vp7-`}w~;J3BiiHTCYx%$y(|Hs;&ga+MJiS=*-PIS1V7%gM=!*qp|DeO;_^ z|G)L8w(i}!cj~@#!s>ocPO8sWv9_Kawl-?!<th8lJ&jGiP@1>mo!W)?MT;~`U0qz3 z6vFEI+2@u;TgxwebWW||=jZ32U#(uxRPg0RpqNfXK%%<Rip_zq+)}}{KW}tmV&cq( z?FSPcH1pf7Fv)*eUKQ&8(!n!x+qP{LRaId#&J*ALy?a#91QebY78Vg}R<Dtr$~VLD z>Gf?}KCRn4cW&%Vql2HU?pO6XUf;QJSFFL?viIvn1O+D^^9N@aw+DGvv-3g&(=Vg< zW;Gg$tOc*$)F^#h_BJjzY{|n-+qR{>zP7eBws-fVr%#Wbn`@oDWR0r#v@0H#zM;9> zlw;FDZS0jDp%dj!3QlBO@U=`k$o<Nhx2u+&T6NOO^J`>eWZ|=-yx8!^eWhP=!i-sU z*UU^>^6?XA)0X$IcZvBPIW$Xinc}_63x4cd_CM(ge^~dsx>+VGpNn1dG5q?`Zt?zJ zsd>Ntn7dtU+Wo&a<d<C3+#=AjE*F<sR<FS;Ho^U*4v*xgpY2OynL+(hZ}4C>L>xq3 zVcM(g=i;)2FErIl!}qhA>B6sNt6%xA4rvZHG0o!E^1l?Y{PNVcrOWm$|Ml*=bMFs` zt+ReeFILNpiUS#$4{!5BdQnTnz~gnGDi(r+!0V$xK_Mu((|ML$Fi71hCs1gE1v5o@ z+?2b;bd?ko7(g8vetEx`n3&%8&wqV=UGnnM(LFWq?pT6*k9Vry?*+9#Zrq4qC@Lxf zEh64q^>tDG|9>j#>eDrgagW#c%7Zsb{4vXUy^9IrEF~pVaI*l{5~v$>bLP&qtoX2? zvhvN1jVdZC3=^hLZ@-xF<ibK{v9E|NI%|9?@vnaBy$YWCtBaNrgsmInjl(<UZC(G* z2DEf%=V?hvNzh#0nR&Le|NZ^_8MM=;_V>4m6DJ;gad9!@48+Q)^pB5@rh+HcIy*ac z;`ZE7;+Q^XPEUV7zj@xB1Vk$~YD)%aU>0<iuJ2qaQ24KOhNlUS=JGc;4FCN7n|X87 z(xbor{rz41<;6v=&rhE}FaG!EXAx+m?Z&25ZqRy+<HtXLyPa>Gf6s=CiwiWg&EP#< z@94R6eS53F8`b~&WAya+@#B}bW{0c!&-3x{;0Rvkqo}RTjkRfaFKL%I$SIjSOiY({ zbeKrV+Mf3NtgI9i|8kzHQqX3!oe0;T?%J`#pyWjW0~0f|r?2nc#eZJDd?{mFHRZ;Q zh+A8;LAOgpY)awG-}4dFp<sxJj!sTaPCl0mZ8(8?C@wCq_(8)BO9C~tv{t>=>gWiW z`7TmP$#gwr&3z(h<xY{h-<$=Y&6IlT=H}OvZh{snZ=O2!s_gy4bLY+lE%pGlTR=<7 zMYY2smVEs9@!^e)$<Lm4i|apn{J7ZtH^@^He|aL3`Uk7>cRt_W-L3rl_4?WOS>B$W zi8nW;u8G~f?NH6Tckh-gT{;mm8h5|$_gmTbw{P9LHRpd=GaGNxw>LLa($XG1dgSEf z#1y-`477WunVrAre(iTbIk|Ipb{6lf{H*5Z=LedW?C9vQUf14n#s1^Rk1|#z9e3`; z>?(hM&Y9mf#gJ*6jk^Cl6-C8`XMRo7jW)`<vf|dNti2nmzvo@LbcrG3-X2TPGPm;g z_rx;Abfb=dmXh7rn7sAd)t$xZ|GsVC|M37bf5_@NpRR<+x<X5O7ndcSnp#>)W@gip zkM|{-NL4<YnVxZVRp``Da<)}d)~wNSa&pSrIZa8aJn!+bUKdx_hp%6Q#uH}FoVn-Q zt?ZWe_V5|UQCCS<eSOsm3hTG#Mn;nsEKmR)Nv5Q%th-H;hfPc`#>2ydBQGycL{xNR z>S?ia^K88{GBjq+oQc%F`26fFCkICYsC`k)<+5bniF4=D?(8T$a{RckoSa{`m~O=W zI@`@@XOoWi$$~l<hxRP@pPzPlnXlMu(8PL9Zmx8w!n@b&_a8fXvh%@%gwMNFy{Caz z((NjH>s3`{1sYGidv|WX{l6It7AP?I&9yrE_4W1Dd;j0L6T`*DwJO%HGHX?z(j^OU zf#^GLUWo9-Wh&a*-R^RgEj>Lvpw&J(IXR#Mje``B7NvPLS_rP3j#)3y_EGCj)z;RA z*39Pl_l{h<CdSUrK21NKuL0V$_yTEKNF7M}JIgeC2W0Kal4Z++0s<V;(m)C1#?70C zg^yf7n?pBk-hB3ZG(SH-<{0_KO{v}tdH42shJ}Uk&Q}%usSApzId-+Pgw_2L3?v#J zRbK!NP})=)-LL%~+gb^_z!$V^zPt4M{d)W4<9%Br%HQ5HH8M8-`0d*^iHXr6Aud^2 zT4!gQAHRBatItnyy_f@M&w}Q+x-afgwSWHPNx{oYs<*b~cCXDX{9fqg1v--WS#?;Z z=-c&6_UzeXbLrBhjJvx`zkU1m?Ct9C^=VgD1b%sYTin{(`m@Ku<@2h#rpMRaj5&6w z6})g&-EYo<(%08OeepBaj;F1~m-egM+RojUd;8uu$L>Xol=NbExpa%^W?Wp<dbIGy z&6|n2xw&!aMl&_Ew6wn8UE;8_RAl0^)4M*YC@CrR9={%6f3}gCUFX_MPgB8_*_D-* zp!qQHBun3;DO08xeP6L>k4<;Eh^VOO%+m%^y`VnI7q`V1W1h{iEG{T1QL(d|ceGo4 zbHYKUJ$v?Ot$qCF=4Q~EkIT#b_wQ~N5&X$(X<;#i^N^^Rm{HmpiSG0A|9`lDE>l-u zUbKALnpI(Iqd-%xix(^B-rACQXGdYp|G(d#y)7#%OO>nIv9<Zm#fukZT9`Zf`qF-W zdipF-LFtmg3?H>?>*LSwsr-D#(Sjj5IvRAc`?t5ZkNeyI4SD)WNJz+{@KH<Uk=(jE zyX512OViKK>yfb(YCd@2+1c5QKYl)+&&k1|U}G~U@9r+c%1<eu?>BaKc9y)j(Adb# z{^<Gh<I(whTie^)EA8v*>Ok|POTER}c%@p_uh;+od;fp$|Ns70K4@hB@a-FDse@SQ z?z{i~{P`2Ht3-3Ib$Qy03kxeMDn7hiKA#D+hh#(XbH8V2W`gE%&wkxMwYizudz#L| z;^*gTemrb{R`mVN&ES_iKYafDSik-!H)si_iVBOoynNaFd$I58%yMotY~OC~+{Sb8 z`t|86R%m?Q#x7UUP=3Gmx>9XLg+>1Uzh$l0*ObV*x`4)EC#t1F*HX?uDkAdw+FE4= z1%@Bx=@oT#cKiSRTJ4%%78ocft{=DN!^$Vz;(8M%PfiZ>d$~tkFGfLGdGWD+PZz0u z)^ERLTwNvF&M$AK|NqD1ehW)W#tr4~<7#SZ&b+_&<LUHx0|_3`ioL}bPuTza$bYZm zaj*5eoVtHMpZ|O|JO5PeswkHwilB8Mudl5Yo6m3eW5KcSk0I^In>&lunc4Z)ghhH! z(@A`OZmx^F`}KggE>E_D*0f<xDy)vV7oETNXz_X5^nca)*cZ}Od^)LK`R!&pcthjY z>+zmGJ}Gy1m5PXo8D(BlS+r=8Mg2dU^z-vl@9(P><1e2&<?rkD`-|S)v21E?u6#1l zJz{ej?{dGnN&o--1#P=tvV8gM%i&x03+*m@`{>EZ$#bkqyQXS~8x=qEVYpZQ-nQ!7 zo0FfOp0=$0RWkEp-S(;njqImv)3;lTGu+;u54t>Rj&*sTW$`nE%u6bt|KIlJ=I4L@ z<m6<~<is4C%1P(#|IbN2-p2@9{Q$b(wfNSPCn<a~76+c3oSYw>E;vzW7HFJ%R?j5$ zcaI)Ds(9RM4yy8#kM|utf4(1dZ6%MS5sSUOJxKGSMT<aN6!rA@?CtH%a&8;|&2BGW zuD){R${sn}TYu(vgLZ#azcJ+E<~E$^1KNT7;zdT@ogIuK_KFs1XC!>oge4^<d8EyH zzP`Rbd-)Fm!N&UXsvEa%8I`?>0PR<K^!PC+56=_O$}%=KP#APCpLW`~<V65ziP03z z;2)qJq)N)ll|P?O-}(JswPoce6}8Eo-qZC2rKG(4{P>jJ`<~eUdu*>Y*XsE7>)X}% z!(Eod$lA^h)r?*Gz5ulH%H!$}md2}#tgDybdn=-=vC3wmhwb#ab9o!OyS;bbj*W>~ zW%KsU%HZWc?*IQA|L?Q?|I2&UWnW(xv#)06(Qa|j?m!z`TSgBruSf0jbqWRs7i^Ta z=ilG==HA}f8#Wk#c0Ls=oB@sH|9$EI=I-w6N%ew)g3tDIJ3BjD*8j6<Y;0us^71mf zy1F`OEyRZpJKp|V<~NtiAtXekudmPH<NcNOKMu>EID2+!<mOd(-+SM<eS7x*{@5tj z=ZlWW|NFrHc^_z1>$5X64{uC9E@6<+;A)khpWoxAoPKVOqOI*+8`Hw|aeG1Y5I;W8 z|9@tob316qHE5#y!-Iocvai4E>vMFOr3V^*pQyHYmH(Z+9}aQ9xw*L=w8ZK8bM}xw zB{8A%wAQ@gbQBc)QNFx=>QvE%3m1NQdwcsGzy4LAV(0m}xsMOB%P(26qT}Y~bY@U} z!^Oq*WBvbM`bkMiKc3tFKe;XUcE#tj=Gkv|fO;uEHr)t=tp%7hYf|QZ@BQAJws4E< zJ$U=}@BfYE_Y(u>W<EZ?|L_ITfF)XH4pTI=%sK>P7w4H=j7SnP$$B%ZSF!MJLic)A z7oD7(*KWe4$-TO>)?{C~UL<J}ctvBIyxs~A0Y*oMCHzMF?JF!3=PXp_x;OvzEN_eF zmj0IRY0u{T)_i&@8#Lnk`}gBFZ`R}#7ZyHzb8|Cj%{FN7ncrNmvNE%eA3v_Rn$^_Y z9JwKZky}hhK~<I2-``(INNB^xjR!AX3Oc#GVxDUk`=%>drrWk{v-*8CYpc<2pUkJW zm3j<IjbBZET{_J@Bt%3{Pp|5>x0SeSS9y}Lq2a-6*QOmg;<C3U?d+`9_I7sTv@;2p zm-#MPzFhnJS1~cM9y!}6Nzv`KzsrvGN{2r*<4}x?xg;9Tq1aM!X!-oQuHCzLpW8O6 z>iEo=GpF8Ky}`zorB#4Q>)VCLp8kHCDS3J4wq#zOVO6SizyAN;O&|7#tv-6=#*Fp* zesKi{2ZP%7k^5>aKR-Xe{@gPT#TEglJsUs+r!SXI2aW#AT9;k9S^ngNAn5#stE<Cj z{!~#>*--jA?A(0&_1n*1zC8Ku?d`(i;>R~69tI7xE_UlxvbUc<*Sb7te_id|FXnl7 z9y~nUo|2xft$ZaYDCo;4-QpvKhJAf~s?);f{W%pK=HtWj|KIohH}_O-KKQrooNGaW zL4#4OwTPbL#0e7?h&R}jy^#Q|x4gDC`c%|B`+q+c`_0k}UUp$~x<9DTlar(4+{U9B zez#SCNku@tMZoDr=Dj^T?}1KW+L(P^Z_nPng(W2+RmZ1ZUA>`#|KOu8x1Ah{E)%<K zz8IL9U3=*n^M{eyAt*?QTU;;Y*O!+yzg{l?^7=Zzwzl@2yLV5XJ?pyoqDG^|RIkv5 zn_2}PWp??U(lqw<t}foo$MNW9W=%lo)0Zl%i?h5H=ZQNyI`+uf&eDtBWl-@UAw)|w zd|k}L$Nlzd1_m2qUcXxxwY3YnH*xOU&d$zLFV?B~&U*0pv2#?ERPOC<M^B&bo@ty8 znknh&?e+BcSGTp5<>%+Ov9XERn8aHA?2Mwl{rs=5uZOSfT{<;A<IAndIXO8yYJYFL z_pPak$wZ3x`@6f3&&)IiEz-BE{bi7I!(i_g)w$hDpzym}e*fsDOOqx{5SV3>>D10A zTk`3|i4zCTobj1?sObH@y;b+u-`<w%J=-jIhG8<BvRluJ4_9V6b%@Vqc?3!n0a~9o z?A&s%(9h3r={GGMe||^BmK7S|;o+cZ()H{0rFz@8W?z5xM&7>8=KY?}e4rx@PM$mo znlL##+x+s5!sM#&@6OJ#ECww^x3shbEy?`y`ucmxtuCNcw<u4_szhV9S+0_S!Gw#8 z-ILSO+9pmEyu92$d>OC)*~RYt5!>@*cbC7vcDwxZGha4-xt_Iab$|Z+dE@5Ijpgs- z7{0x~e||^d<BSUn7<r{k6x7w*^Y{N16BifXmV3Kx|Ni|#4aOojR;{>nO55APfnl** z@1x!C_klK>r2hHwkpZ+QY^HH~Qf6l7^5yFC^?wXO>#|RH)K6V{=^X0;?e%+{R)?*f zQu@B^&5g#HGiUnA{r&xYx$kT<@S3LVY|w6kdsVM>_ij@2pLgcQ#^lINDW0w8CJH!t zDEM(G9^qcPM6P_P=GyX@$h};vZ#f5WDDr@Yffu{?r#(K_Yg6}UMneMwpR5(jf$;d+ zqi1KE-`t$e&#*dt{jt-hyXRV$KYIWE{50L@58uBZKY6lq&mJ4l?C_nP#q(2(TLhdq z6kja?Z4C$EFJDSNefm^#O<TKr>QvE{D_6382OTV|qNWDggS2z!&P$0RT-G^%|9n2b zWcl*RlP5RNojX_Pz^gkujROM%Rn*m!Q&W%bEPh_XRO`Pk(>(W<$ui&B%Qmn5k+FHx zscIv8b#?W*f@eXKvYMKjDypiQ+0SIH%hsGJ4z{@u3ajk(dqI<B{PK2JW^(;ISp%A6 zX=dj)Ff=@P>(;G=onm5QpyLfn-rm~kR4U@c!FhyJv87_+lq-+dnJoR<XKZAowK+U7 zw2FgEF^)&H_62AH>fQbQ{y{-P-@biY5uyd!0Lx(a;{h`WtL8Sd@pkm~hSrsrl|6et zzg|sMmDSt(G?)MC;CXU)oIq*o5q}$#b!BB`$?I#r`PHYV>E7I5Uq7>Q)zvH+Sy^x0 z&nJu4$M5%>ZI-)X^JZmx`}v?<m_L5}n6j8P)i@-sQbJn#_1c?ZflKFduMO*7xpHNk zz_|%-y;4(m=xb|tgT`A=s?TQ#-CyU_A>IwmEIeO#X#Zol6R_U2xcGBW^>I+)vqi4S zi6bylYbqBzd%Kr>es=ci&%14WK(W`d!gATNWidMn9P@u)j@ey)c9!XzJ3EEl-Q9zN zf<VpR+uQT&vz}fRWoGAla%X4pmv?t(cM7XBoqzU=Q!z^)5`+&!wjGc4-23>{?bRDL zf$D%oY9|h}fJ*d^S*bZOoBPwQuZ=$5C93V==eI2Ja9e4e?B~nN{ij=HZP;U$d#fdC z?X_yPfXmnYIRdLs?rs%u;s|uTv^szzFdSyguCs>@H7)a<ZTC9sN{5(kREW1-=*(6p z4n+s1LMIMIm#KR*_HuzWT5s91{)W^9Ck{oHKZeRpVXJG8nF=~}>~e%CG_9CeCCa7P zBJk*^I=_FbfKy0oShXWlkrPLtFQgC$#Tz*CoZ7>pchnVpG;LPZP;U_sRd2Kac?mAh zCd&H6VcA*{r;bw-9Oi%nQ^1MiBM)d3mi_;q=T+3z?Wg`xR#vtwe>dk|nYOkz8^2u4 z(?9de{=V+|G;et;w>YRH7_}`Y(%DqlspHe+K#(&Eg*t>G_HzVk%i2~=srvfr;@;}- z>9JF_G&M97)YYG_d|LncneVrEcUNzIdu?sBgtT<Hx5B&3>;GMMp9>5OT(W%mbnWxI zit<_nw)QU!ENZ<C_JF@p)iqI=GZnRx-`?8#=llNus_N?P$NS|c&z{Zg5Em!6J^#Mj z;)@!YTcdP2IXP2OQeHd>Eb+<9(<^^>M^RtjfBl?xet98b;l)dI^%NBwtG~aKtoblw z(j=#(q@>QT`>Vg}&73*Yir=97y1AZS-<2yNdw+@Pd#}Fw>dNU0mKA@$UI%RzDv9fr zGEI7Pr1NQ3a_XymkH}K}lasISTv8_XH1g(ao~gU9h3-7pmUCx^puYb4qN}$r>=bnB zn6>O`TtJ-G)Kz!hmpgHMTm%brjj34+xvn~e&b-|sut=@;%SCt466>3r(=V@!wf^?@ zcKhtv((e6oNAKR1m6w;V`~SC`VO#$FzR8n?Ykz-R8o4>mXNG~}$>V3w_NsbMd+_d^ z-~PJ48#Zr#y!-t=X0B$N{QZB;Ks)	B8z#u-K4)-;O~^N$JD4Z=kvRExEU+mEWs$ zKWS`aGzqk~U|p;==opUg@9s|B#n95)dT~!>@t;3`K79B9+QVj*ySwl)TU=ZmXw^Ar zsbAU|iBF$DGj7<p@!|ISb-|b7_Sem=`ughSmg5&JHQwFXSsb&sYU;-1<I`>(n=~c# z|D-9Q<<2~@&nMl21=HShs)fbHkB@W;r(WB=q*%G7;@{gF8=K$V-F<m`e!P;hvSq~w zg?snzRa8}hYIlZ5j~~ChwN-oHzI`oiZA=s9&h<@5PykJc9Y5ZF<3_~ZJ-kmv1q2$_ zuh(Z}Wc1&g>(BN?1(f=c5+(QA$jxnKZ*PGPGdMfj9JJxF@z8@Kox<uKUS5aJp5<+@ ztNkS)DS2{h_VtdQo|NnBVq^BzM4o(oVxqE(n;YnOg;k-eK~+7&a=*EcE-m%Gv$ME; zb@+NAaq;8X>-Vx9`0()X%iG)aGcz-nEL*mz@Uh#1f?o#(mEA7vudjc1clYtXzrTO{ z_ATwpi;E1P`s?CicVkcwck$xR&Q3)oC8y=NpyL-NtNTAY)+>EyU+wH}aeb|y)7uvo z2i&=|)O)5?sn*w5SGBkHwoO_7@9N4WuX%pGUGt{2tt<ZZs~zW_h6e5Rwl;U#lkw>B zW6P2k0^#doG!4(rwJx`)`C;Jc>3PcV`}_OqN=i=ozwRk<a&pR87PU;7G9_qx=#>)_ zl?zKtQy(4aym9-saq1}%&;erS=G%j6&>a;Ym3HpjnPDOY8q7RD&z5n9Nv2R*T3SnM ztDu;e+vR1xrJsC#eNAUve|2?r<jx}1i4!MIU03XSQo~ZQ<wx@xK~Ni$Ls84u?I@?> zJaf>Zfp_oTS>2v9XU>HT6Bl>)$#drP%$+M+{{9~5{2K<J83v5p+}sSH3j4>$$0tvo zTv+=0nu@9_Xo`24@9eg{du;<OCTS}vHEq~n@buuKty@h4c6^JfsIXY>H<yX)`q`P* z<$AueOdj6bTfJoY^5W0We3$vp=WE!w(J*+K&%-AtCp$cR0lIw?bPk7Jj7Cg7XhIUS zj7~FnS;#LLk<PBJM;8`4gO2cF(Ae0k+S%2mwe_Fr%adhc->hcOo*n)p%H7p9^rcm} zOXgQGrvpp9r_Zo1*8^?F{qgbfnOUaX*4EZ@O+cfz*Vn~@HljK<vlTu#z{n?W=kxd1 zSJ2j`Pft(t$lJv{pRr?y#h<@_K`TZT6%|3f-Zg7<_U7_Ro2?1@>p#b$asGVyb?eqK zfHr`}?k@XykX`=85^o=$Cy$PH=iJ>Ds=h*0TG|`5I=QDu$8f#hT&vKPeW9US=FM57 zs@_r&=m~00wP-whbhP`=&-3+amX<qXUf#WX_vf?O`7iG7F5h|jLe^H$#>$_cQca|I zh1LCz96Pq`%;Q6c51%}70(AJ$Q$24`&*`E`{=Jy|+iM~>gN~cKckiBsmDQwq^VWT4 zxNz}e;Ln>kc6>0iw6~WR7Z(R5lz;$%yu3Wno^ny`un99}cr>%|u87~iFD|mMu<*u> z8wr`2D|3p=%8s2l(XnKSN>Ffc=7j}~=ce?WJ9q9BXlfbKaV-7x_Rh}D2fs;GO~`y9 zBLeDq{rmUNYo_YfjT#?5FKKCM33?qb7+TBmJH=YNdhWs}p93y!UH7VVUB{(Yx-AXH z>E}QbGO2e@zj%?cE_U~_H~BX=soGY333#^{w5eik^!9>+f`ET*$w^6|9^cNLJ8S;^ ze7<AH4$!;<Xe-;FzkdzQ&C~Dit6j2u`RiP5JKnt1Bgc+iS`%sf^!u~3vp=8K-~VDM zH#hg<(%08|B#ql%yvVSzwFR9l)YsR?!pfSMni~3U&%CLN8f5+mPMO>=N8pjG0=Q0I zG^44Bsi>&v)Lc7taAh{#y4KB&t(lD%w8q)|evR<fC|^H6znP6Ywq{@dw0-~I-h<8T z-rxxRVgGdIvsX7ZCg<GP&=|cvPjmiu`+q-@cYgl(<x9%%Z*PwrJ!+V9!vHi<(jlnq z;q9$#Y#f~5YpkxWe(BPs6DLjtG?^C`7K(_7Tv!un?BnZO`Qw8k=(N@iuMak}pZeI> z*Z1W4^UcN2{hAx?l9Q9?D)`jY)XaRoz{AOjDSCU}(?35yr*8Xr(jnpFuc=}GFNJJ- zXIeOSVbb+otFG@<@>;#!=c}5_!;*cV4M#>sll<*|wtV?g^6uW=)o=9etn`eHCx7@* zAf_MJGjpb-*3_=a>i$B)!iPJB)pry<<+{AwKmGhX+at%0J$n3@xncEcZFYV+6?Jv@ z{OC|gNy&v_tEb+-nq_+P=FO^?lO|8*<mXp6F`2T=ceap(ghxSvL43`}Rv{jp{J8VX zlAug55!|P6SvzU=?CzN}C2!umsiLO#=+UD^UwHOaf7iQq?b@j;0Y{fES+d|_MoE>3 zc=`8tu~KF^E4~{jo12RV2M5o+S5;YAIb-I`sZr-AotkZ1Z6+orCSzB#<BUz$nOUaY z#_8t-1Oyy>e0+BH2`*Ze@%;4JO#+W@Zrr%>;kUQ9mo7UA?Kd9Fe}8Z9Q$7Y&HMOLq zq^7lNb$w@<96Wx!eajY;J$v>Pl$NS$Xn1f&sH&(uc=E($wps3rD=US&#r4xZK02DQ zHL9tp>Bas1_Po+&M{eDc3J(tlEdrinS$rYGWKI13IL*zux3^VPRvH#R^9frU)w*$` z;memVmn>iY_|c=L)vL8Z&57&R#e3Zj-`bjex^^FEwdMM_y&2cn#m>yt>1=OzU-?zv zH}&<uE$fX#{zQd;wF;m9X^ynwt6grrQiYF>aQ4YsAG@&7nUjO#!LeTHQ~wr~UitLt zlZ1Jm4CwN-*|)A<zb?Kts(1VL?M3HP^YYe}$Z7n#eqr0HqO!7Uk>`yR6%Rh(h|12+ z{`2S0ly9IJ^Qqe5VOr~-cY>RHPwkvJ0?Q?AUvq&PtD+B|Jp=WN<mBX_3w8=$Tu`j3 zsd;gCx4EC6-<f%~(Vp^>lAhc1?q*zHC;Rr+*2UG|-+_)yKJ{<I27_7V`F-=}%gf2h zy}7e9c)5+Vv~=C?x8_g3zrMcy_17Bxbz44}Nb$}#&wtjfzfYrFfbRil1pj!S?CMXq zm_d#6SYZJH&~mVO^XBE;+Hx{s*1x~MFE8_z1{vJm&d$y+_hjw%ds^ZDKoeev4?A<( z&9$wbc5`$3;-Hl`w&%;U^UEzsJluBU_HE<jV?0lH*j9fl`1Hh6Mn<Nfpg_UOYF4kb zIaBLm;cfZ%&n@$vT~JsEI$f#m@2{6OHFx&cgU&}-?AXla^+DIhMy4V4^t6ptU$dgN zWHhc`t!-QN<-my*Z=!#@_sea}yK6PKYTC79lcp^Hr@Aud?)-11ZKq;iuZnyADqvo* z@{w+br9l_h$J@8@$##XUPJMM{<*C)d%l*6r-mS0ys~x+$?5g!Xje_~}=B@Jyzqzlr zI<@QchYtm}w&i9QM2CKTdAa?<g#aUCV@8mtKzI7Z?E;;-{d)a=t+}e_=32YIzP46V zLnC0>d{B<lUi+=-Q_#u~qgUY^f$1x@T-xiv5y)*~x8l(~>8qd1LSrjK)91SU+__`N zg>AXf|31(EpOBMt=63%6v;6jd93mnl=319OyR_6BRBQhJ{ysT7+xz;u*h<hi`j!mA zsI}8FFE1;6d&?9wFf3<Z*Ry=Ndh)TJhnvsafqJ5#!yG<6@ia0rYB(g<zubTRGVken z44{L%`edzNtx^B<cKiKH+w<eOxVXG@^^J@s^~qXq%D->7@5dwVO`A7MS`;W0KR<W$ z$dM&yw&_Q1YWeV?U~j@gfv178?;}^W9<5q&%ELnONHJ){ZpjjrIdkTC)h2v@aFE%@ z$A_nHj{e!l$NN3~{EpqZbLT<GrIRO5N=Qm3W@oS7u5W58T3q~D=z6rbm)D_V$Jl~{ zgPVU}zI^%Q$&&}qo%2&qSb8<f)6477y?gtj<btMMJvM1dXuY#m^!Mepa~FOBm#V8y zRp-r{_WZ52Tj|r#xuuh;k1x;u`|GQWZIuW&H}|E>mv3&%l@1FFv&wvScJ}dgvAaKf z_`r~GV?$z(yuDn*WOaYgrh3pii;xhJ`ro(jgGv%hOH0tyT6T8!yZigkf4^Vf@3r*O z?XXMt>oP&-^Ylm>XWZFgXkGs9$@2MiNpEj$jfjc@ttonTcJ|M|-|tHrrF2{pWCm?1 z(uv%}5*;1AB}x~x3(wY8w!FOj(xpqFd8DhWLaRV)Q9y@ifp!QzJluYI&3vz=mn2ty z(^(nP`u))-j%bd+*`5l19D&*%3VtGmg@vH?2Oy_u%t^}p{q5}>>vBKO<kVExj0}yh zudjnfl5DEJc)Yw?@&2AIXnZavM&{+qm!N?XkhtX2vIhqk-FhSfS31OmgoLbodUsc8 zH)u0`))fsgod^dvH#X3a)>-rWDgXZbOx+gCRJcfM-uCtsCl1AV@n~Zo|BH)bazn4* z`n{+2_ymVL-J06k-AzqQ&Dq)0rn@a>yzp8jvPSpBrEQ_1Wo2eRfBy6m_;_xvHE0cF z@N&Pv^>MaK<D`1q)~wN)%g4NO<w`5#6*e=&)6&#HGYl#!ORlj#T3{fd-oK$$VCzh9 zb9vFVjoY_}*M+UVTD5o5)hyF~d3!ZIJw9n^X;lrj*5YT<l9CgrOaV<>^Gcaqn3=U{ z&Ck!?zdyx?RYt89OHNMS_v@ARQumUC1clSn_4UKSEe3%_YBOfdDtg%TkNL(Xx9F>1 z3b$VEz80sL<@B+7!zr!IX+qA7+h6e=Vysert)rupacK$XzkmPE%(tIEJ-#k-<@3pl z%jU-%dvJ13Q&ZE9l9!V%9Sq@6bU8Z-G=|U-wR{i1=A1cmJiNUxzg#OJF7EE=$ar>^ z>ESC^rnK|RPntV-?VS}i6(1bb`9X~d*B#y0_*VE--n@D9$+KsRE@n(|m~*CDzeT{Q z<N3smg-_+gufUw=a@5kwYFhS}s7e-g_Hb`ICk{m|_kBM(6<bzpzO^OOdAZ-*h&>gC z-QxOgtFH=)h`9X!_c!y#2E}XFuI<>hYg5uuu4iXvHoGlO{QK*xRppLdyNbTN2&}2C zy_jJFTHbQ$scFuQ3HSC^8>gR>30oKA>F386U;o$i@2{`bx0XW&G&-Ud{+Kf1ym#Ey ziT$sdO7;n#?_@o{i^+-O<0C^Y>rT+PPxafIo7*R=`#%D2KV!al@gisxKx59KqTk=% z=G@xCDK0L4=gu9_ZQbWMwqh&GpY)%<bcz|eipj##^5Txd<ec2xnbzg+E?YA~90IBq zojTIpmQJ0j*DA2pp;Rv~Hn#F))9tO^Sy@`oo;|B7eku+sMUEYFn`yMkVr`f=WDxM_ zJhx42J_Q8@ZP>Eq$elZT{`3kg;#&3Cc6CL5!P}b3|Dcf@m#_851)OYBxlc{^S$#GB z^ry(cmAj_wirX#VWb-~H%S*9EK)*#@e0|-dy?bjre}`y^^6~LqjheT1?b=VpadC2Y zca>iDzPu^b``i2b@e7MUqgskBD{@zDZuT!Nm05L;&*M^Ouub^0>2qDK8Hzh~yix@> zf*(m5o0>j7#QOJMThV7_@X#!naCxf+k+)39(UCui6aZID-N2!bGOFct#TC)l2g!6u zZAw1Qx2ycU-~D~HojpA)4|c!bm;B+uL9baqz)SGE*00xJxNspuN_x7mgoH;spX`T^ z9~I5a!t~!av-3ZC`?h!aa`n|=Ync=j6d2;;<C&P4vKEwriU*a?383UB{OVU#R@7RZ zcY0t4w+OUBSMW?$^Ub)wZ|^~q@^^P09_y7Zd3Q&$y1M$&<Hv!kui8|6Xn1{ny>ZQt zg1yN?LP9%gej3H@E<1YdTG&lFLBYn|yRFsK)TXWioi7PG_gBKAKtWDUuIAH8^*g)E z`%h2T*Ul^|F20yyGRM9??xtDhB^A)I!VwV?p!ol}Y}qoS)Kel(&d!Ae1)x2AKYsoM zwHwaOvpwC!%B>X|uh=3GstgX=Qn!+zbDP&43ch$L?mru-lLs1RV~N>S(z&zvxlzsy z1JF?Pn#j!?HgCS{S^VouX4%_YuFucSm4uw}`QXFD!&lbF%U4%d>&5R|Bi_;7-3>Zb z*)aJS=rquGcXwxASilHcDR6d{Y0RDqL3Q=zS(%4FJUpCveVweVb(xN#Z&H$yxPDy9 z>uYPRWCN3vlR@WvPMkP#jzuApy}f-*Y%HUMb(zlYvbT$Zm-}4}@>gsTm<n;dQ-`?A z<K|Fl%U5@n{`w>YP9Te{=2#ZDEp%=-DtzR^uxRn(on>!lZQ7rDdK&0FAvxPB2GBC8 zyt}(V=YC9^HjUxPkt3j){G#Gw&=wj{we$Vm-I^Z{+kgE2ot&3<ZeQ(h&<w)q)7^1< zt3tD-tG>K&jEag{nR)2Yp^Uq`Op}lGC|X%b`TP5at%+z{yja=M(J>-2Qczmjdvn^^ zDao_U^Uvw;{}Z&fRMd$h5H?0y!FZ>7@vF<TSBd=-thsz{`pY12ZaK2~`@7xmjcR@r zfR6iqGTGn5-~ai$ySq>Qd$jnmk+Cr+KR;+W<&2p#7Y3~a9b05*XgJ5But__79cVuq z=q$LL934A5I|fiO_u+x#tE;PzA82I0xh*%kce}Br<;)Ks3Y?ssGjDCtY;0^~VQ2q* zR6O1w@em6`Pft(4>Z_*=v$oE9^X84z`qctX9I@aG6_~!)G%<4L<z1%bNjLxZRCa9@ z1P8SfN8nv4(=5>VnMK(f3Fme`RRx6xY4bdd-?Pnfxg6r+<Q^aEO@4W4Y1Ql1KY#sN z6t?=~w{L1{YHSR}#l@h}!zuOiRnhtaua*>odJ5@!ii(Ws>CdIsE*Eg(sD)<tBbNgv zD##pIJA)<fsEW<fTj0_VG%^ttq9ywG*Vp717Zzr0jaue6chw%N(pO7Z9{&4vegCDc z+2MO1KYsj}(Zk<AeA()p`}_799ZjvMu*ki=ZS77rNzl9~=*G8HuG7WO&t2SAn$5+< zHRYxH?=LSwN9)a;Ig<f&lz(`9?bn%CBRLdZ6eYnUT7TxR|5w^Ff%U!p>nxa4G?ssT zeZBb0i$EP69nc#7+uL#@A|qcenQ5Lc_x${Pbqx)UhQz~dhIM}`QtN|)gyd^JFa`z& zf<}xQ6rI})ik^6!n`;dkDE#^F_xsgr&smqfdGO=oV;2{f3p<O`qqb&+J~QOw<I{=Q z&>&}9m5`m?9rki5+hf>TfYqSme(&roX1BMu2Q|L7ZcV+lC9|TsIyp15bNY1g!|nX* zw^eZ~wycnu8n${Wt6hu0`Mt;3L$7Yy7g+i%bZ)6o=<=YI9e$?*>+~~S?&RB_V_xw2 z!TGP>OtX{Dcey^E=f}Ew!P32!p9*#7v2St%&mPPxzxuUysn!1PC-y$R4BANI=*al> z_4V~7a#Fo)48p>~bKgozN`{`=zUkeaorf1VHd~aw68ZY-YVfP27c)+rI(6vSF*ncI zvu1f6ZsVPLfAi+eC(oY`XPPu~=1fpto-jdxPu?yj?WWdLuC?EsOt-K26tYUM$X};r z#Z^e91Wk>KE^AXWGb5iS%<Sy!j1%CiP;<0k;O6F@Ws=#nf4{xB-XB2`V?)D>oQD{# z9Cs{A?(XN};o?$KQEAbO-DPvv%U)Tkx2=_1{L#~=t+QuKGqdqLcyn{}sedSyg+t;7 zBj^bLj~_ed<gAI?$IdTz<o0c8e}Dh5wNb1N4h{_a_U&U~VY%Y-xJ96}$;Vd{T04N< zd0x=n{diGtoOb_%2M@ANKCcAb(7kEXCX2d17QIrYpan~yWxLAmeW2bEqMUy|W14QX zkeFE8&Ye5s=B-@05_FW<g$n^PGBTiJ0PE`N*2L|dwK@I#ri6n{QaK9+7HyjhZkSoE z&#>}xzRlws2zAGbzg_<NKi7N?j9oEr7e`<!_q<ZpRpB)?Huir$FmK$r@s!2^yV_qL zJ|36<{B(LeXcT*IvXHRw&Wevp(cAM}m;QR3Z)|2Z4YVyqQu1V{u=<D3pATQXD%!9y z`S_;n>v~qDuR@G@g`KWw8ksHy)h96TcSJq^^z`(W+}mO4T7C@j^74lcALf-4hMda| z8veAc`jT*IiDybm%7Yg#JOTm)!otE}BW*LDot-T#Ec`j-wo=QA-6<|}1e`jo*8f;x zF3AZQU2YL@y28uK%F1^Ao3W{>>*9+NHfqEdc*`$yD7wr=nJEiY&v>4_T6O-T%Yk90 z=Z~?6-l<+(^-9%c>REkj&yO#q=9kOK$+@_=fQF2iio(~&E%lvk#?}uy`r!Qe{$;+i znG6yRFodm(SvmRG&6_hp_4a;s6_p2X-uw}X`tWGgtN+Gp!|sK<zEunk<^s*<mdO3t z+0zDUiGf4x6+?M>xs9#u&p)5--+g|6Z|~_(PfyP@O6@wB3|hjre*ZtOs3<9h=jY~v z1|CI3L_VC>-_Owc`b=wE+oYK@IS=fs{SDdzKEEj2#r4XvhK&M7N`4%QEkEqP^{$y; zDhjp)L<BPKE`OhPsD%@Bt%tR>^`%RfW|-&8)&BZoIOE>IgN>}*VxX<;Ik~wPv$npu zzkj}U`MU?tpSwpzNp0J<&1be5Z+?Ehj;`*<PoI*0e0XSj$0$&2s#oaKiG_)YiF54h z=gpZTV`FRk^8Wt)=e8_qb8&M6E#BMvHY_X*bhx<J*UEXa_H}c9{HS2i(bX+1EnS*? zyszq|m|o0@8HULjH#enDJyl#@{(N@+KG1Q0Q_m$|Ul*HUBGuE=BVk#j5@0dQ$i!sI z>FN55FJ{cJC{!{tGh^%L=jMKVWo7V<n>RmxyPY4rKF$`j40`Dr>6_d0<3Zi_we8@E z=a5-$ixo{wt~{82`_i|mQ=hL4UcTzi<uhw{3U5ym(keMKr~m${pqb#T3nErT^6~M> zSQIqexf5e#WR!7b#l*N-pjpUi)7<t}eKjyNOuVupaL?~|yHiqAHTfUBee<T`!vn@^ z*IMV6fQDz){O9@9)!BuGg)x9mJexQ%u(-F{F+^p?w<jJuPO&}Sv}x0a@83aZ*x1$n z3fNy)3pzj`AwdDOdFt$JbN!DuW}D}O4g{Z@yFKsjp&K`L__03fle6{OTlE!mem=v& zg9kwk%xi0-C(oI4=IZM3%quGdqqpTO^q#JFst_{C8ou)n=qz~qx|pRlp#9pj^Y?Y` z-D|7t-Um7i(6O0q;;dPqYYIA})~cziA5T!o`}^xF==hh3%I=_T++k~@j;`PTudB6{ zb>hT{pw?pU?QI*&-`_K0E(GWK4k6UJyszKvYko}le!u?ygN;kyYM(va&M#?SSJT>G zeQDEw$;0WF?;pFd<4c@RfW(&Hb;$`+Pm6%U8dP?i3i=cG`_t3YHQ#QgS5#JBTp6qm zE@f+KY(Q=1>({4WxDddwY4c`I9-bx6?R-Ce{1A|qUOoN$!DjZ4-@YBYd^z}}Hlq2y zZsPfdnKNe|RG8D+$_g5Jy?=i`x453hZC@XsFLL|Lb8ZB@zp+R`#vvd;Kv7ZA%8@;A zGY{)sEo0xOdn!NgsQj!JzCJGXc%Lk2El$kNqSkM3ZyTqbnGw`qi#|i_H48G|?3|ak zF7EH+M~^&weRU20@7Q4hIsl`jPyd&twe@W4@^=&F&tLy$clGym2OorIJ{5gBefI3r zbFIryoIZW|=Kiv`QU8A5|Nn~R2x#eQ*}FR@Hzptd0UCI*sW4c-_nXxBcXxyPZto~m zj*E*+o!9;7ZD((<uJM9ZSF=1lJT7pyJ%9ErC?H_LWIcO(`Chlhf9%rF$vpjA@a@gb zh=>RQF|lKdT)RPsy!7?;dENT)qvF%&&nHixPJVZ1XU_e7bEj&DgL=z8K0Y`0R2m0J zJotUw{$JzVxv?ut^_0L}2vsS|B9(RP*7?k_5aj3QZ_b?e+;ZpM+gnqv|B|fPZ@A&g z^-cdZ|8h?QMP`eDlg)Y1ZGfMvQ&N^}+7?=P^X5&^!hO&V<k{x=TDKoRe!R2(zum)! z4;fTcR0^J)5DW?mD(UO*=(te1<L8#`wvqbI$djAA2N1KHR+a89E-!j>G*{NC&Yj++ zRk!}_g9i=W-Q1vyTb`Vpye4{k-{<G&C(oYU?Y0=SQt`{1o5BsAlhqQ_(%d2<BoY%7 zO>%FAsNcVGB?NRw0_YN%pP!%K+?2`<>Y#jmb#+b5&PxgGx5Yut{C0l%XCEFO2A$R$ zdP>{EV#eWieqj-j5Z|rBQv<Ii#MIT<%{I$@wE4W<;e!VqZ|3FXoVm2r8+7XI|M&m@ z?k{={I)r6i+}=|+HYT4uefsg+?e~|(?k?kzu@GQqXaDy0Hv55IY4Z=CJ}vT}ZwER$ z(lGhh3zo}zaeF+v#dIrvKAjHQq5AUDQmaNeb93{irlt=cJ}gK-KhGrlT90!(-^0g` zok81Ji;6%Sbi96TI#pa;oP2VU>W<yJFRzccfAi+ek9WJ@GyHh5xF0k<ygB_m$bK*B zE@NY3WeW?5^73-CoEr-!zXx6XWh?Ib^54Jj`yW4i=-4l3TToW^?8nE)Z0A3IdwaXE zsAy6C{e2*NIfd02Kvyn=t%+DTd7J-yJDuolKKlH<Zihj`g^#-R4UCPAckFlV7Gq&& zFaG)})I_S+=<@W569qwMlYe-4nC*4A*vwf$poK9Kl9GnCze>){v+eHe<OH22oqK!R zRd3M3%74%6|Fxev;{#gdx4#Z_h&-r71|3>4bEfC{dA5<eN>czJPwcK)B%-)}Ml z)QbR(32@A?ELMx&mJ_(_n3QSO6r-+HpaX9~$5w-~SKj@7zA-T}zrVeG{Ndr@Q(JPR z&2kRhzds*OcUFB%)Z5_uVSD1*`D8C;pU0R7KK<ZD%jM<%n?dcO@^@!Ijo*R?4U@L- z-D^8tKmJ<e|I-1nj~+exa_;ox#mluo8?;vjFK24y-)d`P<KgLf@ZP;R^;o1XG9zfQ z!>-cTZYe1$pj|%a&+~_ehcg`OlRdpIcK3@bD}$%L{<&tV_K8goR)#x=EN2aQIV*GP zEieCINnv5<s3<AWAkFRTYa)$hWMn`S`}%vo1nqnU8W>*YHy2ckSk(Qon64N5=-gcE z6<4#2jEtsK?fd<1H|R2+h6V=ErkRf)6CWSz1+_n$nwZ@B<<5e(6PxGDRaRE=NSpbr zw*{?^`1ba;gtRnxCtk({g+qr9y|}Z}c)DKfqT=W0&de}mwzl3KbXvRU$q7LvW#x?< zHav*`|4SUSjQ2=~;F`$IZN};6KAcpaZ;*9GV?n_xH)qgN$fMojU$@P-DqVG?IPl4X zgUv3ku8Dbh=T?QT2HpF$J@4+JLx-HyW9Qq|cJ%ZdId-h=$&(b&aJPd4L+~=6#Gjv@ zf^62P@&EJndi>38xwGSH7cE|V^89&qLqkD(dwZ1ee->e3VbFo)pz~^#l#~jJiVmH4 z(Z4ZAPfzd7t*xt%{AXV}Q4q9-Yt@tQ_v@ecn%{c>T1Ps^^74a{DV&^~KC?_Z-|zoF z4|E|L=njfMADlr;K%ShO3_3YFFfb5w=bojSvU0Qe{hG=BcE3ED3ZS)KV3(k>+lJ!j zehi@bsy%x^$8CeQAe_=xQ)6oYEx)P#RRTJ8rKH3pV8^j(bLYygjozLH9k>8lY?you zwBQHSn)vi-QTX~eubHMB*H-N1u`GVZ<J`^%TIkWz(vpy#?p{)|C2n{1mlp?TnP!7V zTJG*He|~LkwAa0W9|3i*uB-$dF}Az>z0{iSg`b1&zPr2I-NmJ4lUy*UaknM!F6i7v zQ0q|5cb1Er8ykGa+chUg=j<%g;6BjO;-b5)E4sBdo5_gmyU$>fapAy&e{8%`Q>t|j ztXQD|IzDns=4A%ZXo{z&r%u!s&~kuR*Vp^+t@_&0(edEc)@;yR5VyFVfS{n`a=*D# z1QRAsoOowPp);q(%vrNOJ#3eMHTC<y-|v%?lA64h9y))%A9QQl&f;{2J$v`A3|}v| zapT6+Y2hh{S~$Hftd8GbcjWl-$&)7suiGYWXlnZO;$nC3;_{=t^7eAY#l;Mu>ue@W zn|AE!>FHlT6%`d7x^!vMix(M-7BAkodGq6UcX#jke9rpF(W8a`{!}g~cy&KKzBV-f zh=E91M#Y~WhSlHRBp&aR&AGd4Dl<FZhKi3#s=f{m47s<qDB9b{>q}%LCN4CuO|Jya zb||_`jfjrEygfgj;a<gK-n{$!*48Z41YH2MtNeXmcQ<!{#oS~5_J5C@xBu@0nyJ0H zNwwiqVoplRkq-|KSH51mUBaRufp?o5bO0i2{`PxS-JolHKHM$8|M6b+`-$`Box8ic zd}{bb=S!cTp9gKX04=+Hs`&o(`u*#C-iO-Ec>L<sskPDDSA?&ZV`k^OQu!iQ_;%Oz z(-X??*G`wUE(7g^5)v0@4@lb02pNQ0o_xH|>t29FNA&J8UC<dX^X={?#PZCY{%Xp^ ziH-aB+pi8=dnt1A8jqqPqlXV4f)4z>yxjlthD7J4=4L}v)6iuZ#zsa?YyTzBE!i5i zc8#&BOYD~?FIIhGd)9T01>6?;TUc0lisSJn(D9n}_4RY+&TTvt7@U57-qUAiXM?s< zmc9zvw0U!9f4}?MFku;)Gfk}A5gQU1H8nLWs;Zb;=ibQ8$=R@FOUNg^Q=4}@TAX?n zzQF)AnWDFOkNSC55x=vXFMQ%7<pKi(PZ{rj<Ygs(R8;<G4rFG&v$M0R^6V^AZhn4# z&>;oOd}n+3`@65cnv|Fb+U5N7`u=~cB7TXMd3P+Dzh24O3M%lfhR0vrk{N7cYYW<T zbD)vAD)a5zx0OFWEIhZZonJmFH#hbhr=i2LWg<=-fvT?Zmt3!8ZN0}{R#vvn&nh`N z`AU|lR4<#bu<%}+$B!OWJfB+*nh}t%`OtXD7#7JbcG=n4bLPwe9g6<t<z=1dZ9T&3 zexTbRRDCZm^DQhXSyKD^8)%4V#|{h7HY2wliG?LyAuB^>%$}|Ny+pKDBKmHZ+@|R! zx`~V3dMyecu^jJ{1&yA8?s8bNL}hOo^OL7f4fF0;fG*-L?|=FFb$8U-W4CYbJ|dXn z|9MYJYN}z%2?5Y*Vm2O$1Ow2a(RFooUe%xzu})3ZF1=)7ZT-4O#nZ#X;D^F~vsG_S zsDJ#k>cp(Pr<VJa{=4JYEm7ijWSWYiV&mHA?OKaBc2!hWZL0lU_UO?g39}rDZZX}Y zD=Pw_Yf@faU%!6(^vRQj`Q`0YtgWLZJ5w`kPkowa?(Tj(=(M(f-BV3%HMO?-|G)3& z+}m^WL7nq+B@2s)oAUDV>;KG|Jv;kD?Q6u^nMBZK5&ic6R=k;Sl*(mW{VnCwlaoh| z9WzQfA<$e}SY94}?Y@brY3Qwt6?5g}<c=IY`tid<=cQ*>hp(Sjz3Y<t$&MXbTDt;7 zYdIBNVkb_Tbm;5r>y3w8Q*(23zgD&H`E*Kqj#a7G%g!H<`|Ux8Q|>BxdFbS1^{H=N z<7ds8J2!Gu3g_QnUzHUU7O+LbW<a)H2HjzIXJ_%ti;LOa+}uiDU+Y~Nyj*MjqS8ZW z&Yan>dGliDcD{(Hs7VthESL;B<9}z_TPe_u1n2JtF|x9<TJ2vQq6Jz)cVVIP&$rv} z^K9F#!SVh2{(n=~#qNIfH1dmrg{^IDx`|hz|LZ*|>FLHfHw@H#XC3JfRQB?@zB%0= zG$sn#cx_SsPG)WN_GJqeG{o2aWYvgq)-(Yh(#ZhYvblQwz9`+VB9AJArYgitT(CeP zY+cMre)~TQWa^)u61DsHBbkYr`Sez{C#ynNgGQ>}-r5?xO;t@zi*XCKtsGhh|11*R z6Cm;9<A)CcZVb<#KcBusExK|_Xk6uu8#fAmf6JYl%gV}{VIq~3lyqZfvHITX@5}t> z+ksjh?|)1>=UOOjmJ^V6jf<0Wq32|^k{1^o)qUOE*wWI{ywtn)R)2rR^5GU_)5esq zZ@pe!xR2OpaUpAKN_zU|uh-*2Eo9ZRmzH{S^7Ah*e0=Q3&!2)qLQP?-RjsUMfexMn z-2=L3xxagFZ^!PszgE-rVwt#}U#fY%cDtAF`*-ioncuHj%p<t|#lKGl<>l#@m-&7H z-P2p?@buq>)4L0n2sqhzV~p%BoxUu3dmiZIuq|6m-rd=m{J|TvW(U+VkKUeVVQoEm z?%cE2)<zeWmp@<JZ?`D<c;Aj4J1*=fOun`za<R<zijPU4fuV12Z-eeSo0k<0o>OQM zSY#$`kia0U=JTNb|M&XFLxLe~%Pv^e{xbRZ?_Wwv3TT}1&Ye3Y-}ohsT0lMgcXuqI zYXRbwzv}n@|MT1))JaqIp2o1D?r#-n_eyIkE9ip8*=D@j+S+@|&d)aQ|NQ*Cu!sl? z=xPKB`?@`w>@_tz`R#r*tXQFuc6L_khBvQl^pC#Zx;|znDCzGke9Q*ATY+o&6CNI( zE0)JdA7`4nZn?nu#jiLHF@jFX@tti3I&k*I#l?ok#)nUw=y-W~`Sc%l0e2R=!}r15 ztRrn7Oixb_=wjD@|NfoYZ0dY)ZI{N{J)bx@I1;X`2n3x_3flODdmqf1@XY6nPQdoT zNN$GhgPDc2ifHRr)Af5kb;Z?WetL4UN7g#*XN|^5Y@1dZ4?TGPe11Kj!|^`ZpRZQ0 zm#`|)`2XX$J?P|sV?C0peNlSdUeV4M7px9n|LJD>{K98vB*paO&K&6!_V#`M=+U9= z_v==#u@1TT_vyn&j}C3iy$!nJDdeTiDxrYM33CLVUjMP6t2#gA{C#im+LBeg^X61J zFAaKm#`gb<i^{LAuaAGVBEHwnIV^0N(URRBadC1lU%oWSy0YTZ;<ivN(Tkp<(LUR@ zWn|{-%@=>Pb3xRP<M)@B?fWwyG?L?TH+1g(>a5qF=lAsV1T8nN76Xm#U0CRxd4J#D zO}gN<6ir&!Ll<9UkchEcxMP-;e)+pQi5C_)g7)rKeSM|*)u<$X?yOm>jH}eGt!MxF zQ<J)O=b1A;=jK>Cdw6iP^ULdPesk#mX7N=!U8gP&DqT6X-g!~URm1F<(!cvX{QcGS z_5IWLZdwIuot->+a>nf0uWgyNwe;21*{!Xun;F+Ud6Keb%^FZa2a0T|8B;^UDwm!- ze_mZvlT%%NxmWDwOXpg<ySZnZ=N~(NzQ3Jc{?(fL^b?RxI)*n+rhI>QS0`piz|5_y zJ^udsYFYhl&AAn>8gm|=zH})l|4U3zkPv8h<9QolVd2aR3mW4Z1i09%*G6w&cg7;L zx3}Z-r%y>QE-VDyt|{4~y(nnqiL+-_RaKY9e3o1eS(GRyp#$2s(A2~<XYO3yf6F!B zv*(pw%-Xv3+vynskB)-Ic&>M!J9w~h{r-PZKkr^hy5w(UXlVF@^~jYgTW;-p18pB3 z`MhMC-T%saO$7|{wO<55j=JqN%WKo3Q@?FCEIZeY7#0KV()n~+pSkV*>iKh=)@RhN zRC0851P%5<rc1a3n^%3dy&909uCL#pcX!vzT+qVW-{0Tso7!)UicRWSw8F+Y6|^tF zEcX_uqfu992P#TFe*CEE&g~T*RQWV9Cnsj<R<+Ys;!$hGf`cy`H5UZz&|9Gx85wzI zzWscEyProM{JS1k&CAWrop*1~%AD#?o0oJftddyuKmOk*@w#6xmlv^nX6p!qOM-69 zeRE^uimO?lK7aO>*()X~d2&VI;vLoB^`v^+!q!G@EPn1c%QTw}bV@jAOEai{Dk%xN zzv0`Pn~NtOzqvUbbRy{QZ*M_s2u&~AEcjL@DeSZ-qNk_l$HR8{d8MYHv2w*0fkk0j zRsVv&Y+f;ElH8nYu6=!dPo?&T$sIg+@am*~f%Dz7D&$Qe3mPUaylOaUy-N1AHHpv9 z%>}KNo-_%xDelI;+TX$2>-8io3KT%Y_vP>Jv9UKCJ#yql4O{s1rQXvwWn5HZXl~}4 z_a%n?kzVYsBWGuu8yXuw2A%!4_xrua*VaZ`9cJa`;*u~-V#(k0k*!-y*J<@tqug62 zp#J!I`~N(ky$GPQTtHjqw5E1_`BE~s2(;dLL*n5Z`)aFu*&cRz7=We&AOmxY^0ceo zEe={)@bA3wx}J)}qx?13Oa&GN<W`8~<-}}$IJxxowT($fxh74XoOxrz!(vOLomO-0 zYIj|^SoCyb=4G`jS*4wepL*Sj3k?ekdpb*Y&52^!4r%MMHAe5BJvljfreQMM!-o%l z{Q9MpnRj=WD{Q6y{xfIhT2EK=omKGuo^4QYaA!w{f{u<)XO)<Io20b#=@%ClM{duP zt^M_7<-uz&FJ)~tvHS65(MzW*tXF@U2gO#Nyq|kk^ZZ#S@9NJ+m#<tceFBZ6t<5YC zTLhe@96{TCWy#OZ-o7e)37gIJ#vPAdv#z|F_2$hR4<DZ^p2Fhd?Y+Id=V~sTJ9kb3 zyu9j2Gdq9Ag$0hC7avD%PW$-c;$pA!6Qg25lTS;tYXXk$HDC2Sf61;-tIk<<7HK>V zSskLqxrq}z&ZIc6`Xh7paSwq&^OY0$jyiD!UY_^b;m+UR->1$umE!gE^sM4$wz9OG zIBlAnn_HVuPIq@V=+erxv$GZkt%R)Bo($ShGgVMX$SE#PPT8$T!Nz9KDQ87(=O)-d zfntk5>3kbzq4QCd|Nh&aHQmr6@W?gg%Np%~S-jF_6Xwq46*<@c>cImC(B9QcpixA> zxmFu1J|->ra9Bk{qXSeEZAf%REIhVUQ&e>PdGqGYncwf%&j%gsbg%k-U_d|sv+nQa z8b<isRLhFrPb4RRc8w*i+URiS++1r=Z|B$7*E|vi3(kCTb93vFvz00@FP~+WdkS<` zT-lo&3)e`7-<fAy4O+Lr$H!-3VId$Zd-hnbbmJj?OVF6xgM-Z`IX48VtE<z_%vd=6 z_^qwko&EjKKcBZ>UzL2?b6*2AXhAc5wV!xAKr{b^E4Q5gxxE2$2+OIhFXAA}pdun7 zB<{?gGpA?bM8R#_wp|U|f2wZcB>e=Zke)Sy;omb&q@0|cUtV6$FV))?yxi~OtJUkL z{r<6Qk6Vm)b@9(LE7<zwY#*JPstszopWmgmbz0U#`QR%V&rkpN1V!(a*sDMHy1$ck z%?<u>=hLCh>)M=_zEb*r#Hl0d?Mz?anbW7cAMca>8t2^3chxgJD=TW9f{erAHePR@ zLyR|W-VDtaSl8jTbkzrMJDV1P(wlv<)}XnY+TY(m*O<o~w}DKS#Q&`P^W&rc=C0B< zx5bGM54BcQRz7_8>{tUMvqkl{oSDZnw?=`^YJK$jb@%Mq(x8ExBg^IG_!$@!7(87Z zLpp{1m-ZPN8cwxZSN{GUXti|y?<*^VCGBb|mc9zC38^V7GiwB2G|MP2FQ1g0+}Yp1 zJp1~(AHRMHNlAHyg^7VqsE&(^1KoTRx;jkq%<l&Wn=7iTAHRILatS8~$ARnDr#Cb- za9t0D)GmSLqWjbTJ)Is8y2%f;TWhXWY0||-t_)S*)e?TlJ@YKeS85SBFaJ0_bi>M( zn&NsdzOPx4+ui8p;LD--rB}vs66lEhMrQU$4<CM9vpRS==yKjQYjpbL?fpRg)XGmP zhuis|pO~n;CHMBWBacDbT0tXZ+w$&q&7LhC7#L`hapA$rD<9u(zyIvc&SFu!ci->V ztE;KC?JRx{+FZ{78i6}+|35}|{{G2ozKc>%PitvyP0Y*V1I;5`0I#T$)7bN~w6O5u zp;qoE&z?Pc{Mh;XySp!MZCyRdKPyWMbXb^)i3mG8JLnXs`Sayhhp&J3=H_Odhz$!) zftL7yc4)ea%gg&$R9N`VGFb@Ps<+J7$LGm$`Fc>VQgzwQ&FTE0?fWxLv%^+?_j6TJ zQrc1Xw`!>stKG^Uw#A^){kL1MM{La!t*opBopbr_?(WC$-mNRnXKH(&*fqIYSh1zT z&UUk4A;Ym_$Ex(VM*Y=Ut<oZ}s0}m<-Y08)X;rAUn$L`Yq+L^{h{RRDHC-3KKdyP} zYS~ARAA>eA-q=y7%*V&K;%e5fFE0-tm#+t10~z_K@Z`ypHJ{I#gT??rhx(+XxV*l$ zwy>mx1#~y$j~_oStc$g7<CS&`3KH7?|DQGJ66#6rAMXbT2ZQe8+?;my(DCE#pxeb? zUD0GnJ3H%W{{Fwm=KuSmzBK)LBQyJpOG~-q;^IKt+mH9lgKh)q5L5=;h!MFtZH`4D zQ?J`$(ADVkY^$df?f?4?d?wJ`ZxXBWC+6PX_VDRb*Y$CGZ){9%-(+iOII&w?A5=*; zn{RNPGj}d%V_aHVT10g8<A)#r-`xFq!-`Y?>L0vMjBs_C;M(FM5GW$laz((!HF*9V zR&k!GF%?GBjZ6;~c^ZB9xx8tg*v#MWlJjP^Wpr70+5PI^Iw7aAo0;d#pMMXIAF(ht zcys%AX>4(9!MVLP=K?4Gt~pnG|69e+PpXd|KTb?cY@9PkCT2$g<GgwEHf-JMdU~3! zVd<-oH~07NKV)wYKF}}d{9NmDgWOvtDr#y;&(F>E@b}l>UgPJ-w=QPqqECBVln(y- z`dUy*ii@3{eQT13udi!Lipr5B!+rn%{q{N<FX!#;-6LVhG;7wZjEjp{&zw1<Ak;bK z+xi@y!y7pT0}qF<@3dI7tjSopQw!8wHBLWw<l<s?L0Q?}v$M^$b*Ims-7To>mT+#4 zW!Bz>H}2foTP=QfS7~!&W8<BVaeJ#2jf{d)_uk%~51OZXdFi~SrY2~MBcHro&+qT= z51&5G4LazTi<|r7pP$8%J2%}I7Z-p0;^N{D-@YB&ntgpk+1n`4<SawO)z#t7-rn4a ziHV>SO@e}hKYlze4?0Y0NBMg>Az@+A(Gnu!;*W1^OrGGO($U#@uz`_TL982eG0}w! z0g?NEe}CV;etmxHM<&&ihgiAA9(=!FfBa~-_{91D&&xGkUl;5A{M=m7aZeQ$6$!_B zBu|_@tNXo9T~+nr!^7<b<>l==cUn3*IVB_{H2nBcu_gb0T-do=w|1R6T_^-T?rzcQ z@byVwUR<1ES8H``eSH6%IWjG+ttagY3kxTBEd^ybHUD{QCe-};_xt_B_wV~nv#&8Q zfVO!*d6L4y&d$srrW4W7DXe}fttWPO8LxYv3?svyijPdvW;qkKZq2!ARP+1o_J|D$ zj=iz>FE97sSogQeXP%AZwr$&r^c|-KnYKN=(bBQvVX{)+tD|pkZ%<50Qu<wYv`e(3 zvvcK!dApvzI<-1{J?I1q2`Q;V=g#p7buKxV44TP!dV2cnJ@JcPf#z%W?Aeo$oXpH{ z;rjJ(jl~NWf{Jd?y+ViEcvrs`kY??4VbayrZGC*})-8eVqgt;!k0!l%@j}D4{O70C z9lLiQK6Q%A;p8Rt<$iNl8BDvjHaZz}wG%^lcsS@>0^RS==hr*AyR%1c%Q@I3s;wZ@ znQ}TTD<dP}-=CkGZhj0}DROsrxx1eq-#pvuwr+9#M`z9Nw=7(^FzTb5i_3!e{dF5Q zY+zvMk++Wv)3>#ioj7qKC|LF4_wgtzE7yFz8m_fo-`reWSk32v`TZJZW@hG$?CjHN zMOhgcC(gbQ=W6x3pP&lLG>cYA+Sl29dvmk7m0MiN&`|K^&6^$`9usPdii$vcXh4T9 zJU=(L==_{RhYx@J@-i57q1%ab=Z?L+yxg$(nNP{vTcX+5)-<-Yu}#y7Y}#A>{n5k2 z?G?YiXil0uIraD4ZWpDUPZf{%NH*8~{RLVXZdz75Yt}3S0|U^#gy1U{Yk!3->{(^V zb6m~Eg;!p<FhpNPsPoR5mKQHFQqt4IBmD0cm8zPUh-hkRdieVvumAr$J|pAGTl<x3 zqqjeLyZ!#L?f2`Vm;V(CogSeRHp@Xv+t5((+_`f%b`~$cbDsNG``*2_a&|Q<CX{q{ zbvc0!&|mxg{{MgCtgNhD&s~%RO-%pabPBt=>EinTGpG12<6>c&YTU}r&3$oOZgfRO z#eu_znYqPu4!pU!nUTTG&8?_*`YfADBPVC)kC*-J6%`a5-d1#XbuC!CR@XYb?B}$w zwNVEzUls;+78nv<Tv)iH{{Oy9GvfDFwFWMBd)Ulxci_T8XVG)f5fKWerlH?zy}Y_~ ze!9DWz}4%^e5FA}9O#e|w|=?a6)QAATP(g+etg9G?%rPQYRe7v|Nm{;1v=gL?(TBX zw5?6m7Y<EL&7$1p%a<#wsIYX4=^nbg+<)Ta$-&F7vrRb@!_C8U<kr?~&}oaH;od2M z>Cocrh>OhCl`SnSPo6$?R1i2Jdw*jx`<yv*0s;aSeE6_!#R`va6LxNiS-ED7&XJ=> zHEn#Rc`fzu@d4f1?!>eHyW&)@r>~~h#YRMQq@SNR)q3@l`v1S>tG>Ko>~vA87Fe^Y zuF{ZE)w4Z(ecZ{dfuM8m9v^6Ao?%^{*P7ZXC1q}I4k{AZEKW@VZSafOTV=||D|KZ5 zbFCXUZyr2&kWo8)-4t1G503-8N?!{|NUYctx7=?o=mu66R@ReepG@^y`r`6(e!bXT zD!(^_E(E@F_b%ubK^1lN({*-#e?Fg|b$sQ*g^H`6zkApB;zh=eojVgB9B2e}1g%P6 zh2;GOEl0S%E_O%d=Vf;qq#90DFdn#hQ*ydq?4-SnQgU*7tFLd*j|ZKc3|f^6T1F1K zVwJ15+eN8I(wNOS?aYBAox-WdRfRbJ{d%p>(6D{G`JuyyCr+Qv&R|jWgoBMoV!^k+ zir^g9#mU0NBW1#|*uB3kMC<7O|9|suE_d&jGcYxsy7o+<ndH@FzS2C>W<3AD*Z*%n z+|IAP=g#)T!)#w(U+2%hzOHreURwqScXxNQzK-7BsoLvTu8e%QdC@5?*~wF?zPy;2 z^Z(tZklsWKGexDWA2T`@TY>g$MMOt~uI~Ky?c0s*`Sb7m?31;Abf}fPpu9Z1;`Q;< zr@gn;hh*+Nbjaz)uV05QUKBjs#@jq|=FFV8@7(+44xT*8dGFpmP&-1+e_qd`MM{y? zZEbBkN?%WV^P8Pt?!?(AFK%p9J~!7IG^+FE)m862|0SE6nwvkqUcVo7W`03Q323!) z$TfZaWv{QV2aTV9`0&Bu`MJ5E7UL=1g$qutiQN3*xP1MA6DL+2+T>E2dw!lR==8a3 zYops=yvS(%3|e$F$Flgrn>RfA`uab9{#==peRWmnj{5(0pacJAE1E~K^T~i)lly9` zzr47}T>JYQ=(P1cd-rOu`TpZ$azs?rp}Tiw`S|!iM_}gU<_e04w9K=uPCC%Q2x^&a z%el#<tgH;$vUPWN`SAsg%>v@$%RdCQY6UO%11(GiovCSUZOy{Yep;yT+_`h2YF~YP zeLp^%oqy>1_3(xMp&yx4>(BrB`}g6SH){ko&zva<(!tNq|K;s%@%?|lNsH-5ExGW$ z{C;iwh7AUwG0Ybi7BX9vyl9BroTg}ICN^&#=s?o8jT;Tq&d=i&7Z<m%wmy90hJ>A+ zUDjP`P})&ib>rLH+YcW<X0ETVcX4q64Tx^ov}sZ;4-bz)-Jc2>s}c@Sd$5t2J!Svz z{on6ZgEl#UcJ6BiFH_Lc@>-W09v;3Xe!m>3ZLw+7CWa|*cGo^TBMDk~4^9~tONyVL z+fn*j?Ah7b;ZI*{TBrT{c02##*6eUllJ)cRtN8e+_0sgfP*@6M2@1`27rZ**(W4{_ z3yXwLPfmhvXb%VpX$f5Hrl_OCvvcQ81_o_y?UuH-M;8~n|M+@69+V;(9DICutjgc@ z{P<BZWA^ORr#M-dSlHMefr^b5C&i-TVnqRt1?$)Mzq`9T`PP<9&^G^1pFUk!9j<?7 zrm?%3wM%%o_;mgFw%N0#86N!m`@5j5Y?|t^HEY&f*p%x1=iBZ44VyP>f4}85Jy?6U z$&yXKRwd1{tF@Amkr5COXjrg7q2p*$kCZ8wxPIJ}yR*C2#O;-uIB_D^$+OFr-SuRg zbZ_lU`Eb*#VZO(%OquH2!mOHaI89GBA|j&W&6}JpnU~$(F6q-^YGg3X^eyJ}mJW`c z>TNqsOGCpUFHi5*mQ3aHJ$rt~N9k*8b00f)tjOD@>uA!Rs;^#o_GSzxPM>aGwrtrc z+h-vsPn~K4ZE{_CV$mX{l$4YeF@2jhg&gIcqO&+MB&21UZgg0LzL}ZW;dcJxOTDKr zSie60ozSYKAEyg-x@c%=H8nOeHcYB6{rV~t6sPIu=B#|DYR1td`u~shRK3UNLCxT- zU0I*L%k{}-A6p&1USXoglz(ymt9FX%Mrp{@*ZO>_l3f^}VSa9+*U}TGPY2(c@1o=w z7dP)s`Tti!-A7NHIMLA9$oS>U7lsvbvo)lDU-@!lNy_fV*``Igrj7~)s|$R5T&_$A z{eMzCkaf}G#UG!X6t4O6@i>FmvJdQRY)U#hJ`2~(pD!<_6VY(IU;gmDdvd$W-h!^m z5MB19{M{YN%*;&C#o-3k-*RTmn#IH*XIIk!YW!ZkDr%lT?av|50*5*F_51Fm-iq5^ z@bD1V<bqe%^!3&B_4RFPe}#M#n>uZp*uTH;>mNTl+8z3C_6o&`9x7b<_h-(W`LJES zj)5T~JA1V$^AxRRix)4RAhY_#zv<VEjg5md{Jp)sGlN#Y;dykbVy~{RukX$C?`AE~ zigT#T-_iBz<;#}`FI^G>wU#!ep8oLdcK+%$zkl^Sxx`q!a>4|GJ3EWrzdd3HwQU7M z=Wcoum3nHWl>UlU$^slAYJW{kOtN%1*{Ae)$H`A$DFq6)f*dE8D;o?2-M8wgs<N() z-af6mVs+Nyh~(sC9(g;N{r`Sxhpmh0yt}(R`Rl8zTu;}mStB4Q*f?Q=K*^gMf^~Iu zpv%iA&Y8orFrZ`ozF%JJ_N-pL`qYmpdjpembJxCmsu{YiuF`Pnk|hT&TnKn4ZkM-6 zd;ML{#zWU@CU5w7;lhOj8<UT}vhGRi{=WaynX_lJbH3erZU4O!bliK#m7}1}%;keF zGFPpNi;GiIQyo==PTo6F`SDTfsZWfIjG%>T2321&K&!*=mfsJJI(P6OXyLM3Qj*fK z9?53?eLtAq@BJ><)z!5j|GwSSeBI2ftW&yIr_GrY)4O{0jGa4oo|++NTh+2=jn2+g z;ZwWKU9Nn&F=N%dwV`3H-sO7h>vTYk9hW65-WW{{&3l~o^|rz)!PBp;8I%@1YwxsJ zRr>AQw+&mj9=#r4-}~S}LdVghocsG?Q-2<8W>?nN=hqHfbD;YD-sL6r^R8S8$;iw+ zc;kk|v}w~obDl=V#uwK{n_E~~KD_L2e-za8_4V~lNl#a{wgzq3WdNOlJIA`5Z*TSY zwg{bL*Vaa7zrDpcMLKx7-`s@s^!8q9bI?-4)gSH!bZ*-G<kjZhSvl=m(`?o}GJ2)5 zb=E7pBCy**#FY;Qg6>=WG(?iVzq<=sn!hdg_JV~A8y754m}6b;ms(k0U%w`DGwA$r zuhTY_pIDUL`&u?`H0<i?N=Qm-a&G5iWB^U^H8e16$-67HHhQ~TU7ekivvX=E=n#rS z_wUPFm%VW)EHr#}Zf^JG<^I>t9sOVb^yrrA?|GnuTkh9>mu+!UWMX3a@caG#>sdCv zv8B_Oty$T-Y3IW-+0_rixLKIgZi3?+M67TW^q87E!8OGD(xpoY85tb8xo@+!hsMP8 zeECxH;?*myy9MUf*3v(J{xmQ&Y;0?5yJNXBq-)C-lNqySh3&Z$&8wxq>{7L`s3@zx zzW$SE&#pzr@Xp*MneKCBqLHtqV0!YZ)g|`c;7Tj&heg?vV{Qrp4qAI7?<bz%V9Apa z77ko|OtLU!xsR`J_8Q%V0UX7}#Z#Y0Z_R2ATN|Zmt1iHikd_vfDBs%J`r_v1<%bHT zrKM$Tt3v)JT<uu-<=&KkNr9JZ&BK;9i}J;Q`~$%&HYO_dt&q8O>(-9S;E%iucFk}N zpI<8s&Z=M{@T;MqdkCZN*<F9rA3b@}QvLniRqy+t_yc3!R)+^+_Z0;ML#5|`5;irk zva;H+b?e&q1`y*rIyzptW-|3v9~KM@UJ!VnQ9v;8^5*pOayxeKPJVEpvEt8<!mYQu zy1Q2|TmjXwVxbVnofP?%A*WPi1WhI92)g%r1>cwN-JcK;{P6kn_Tc4yi8nSRZrHwk zeOUCqefvN|QoOEiVAr^~@N%Z_7YqzO?jmDqWtjQ%tE|)74Te|Oz8C0t<pY{rKRb&x zJUl$--X6(?3l|m?79PB^GI-*gIX!>=)UdF#D;pUJZO^;ww7>2zXiJ1n?5-{QoYlc` zqO>SWYxj3);R2T{R}2N+U0h1n?bso4yic~dyPG>HDM>+uOHfLx3v}b=kB^Tv^z_<1 zR1URp3UA1~tk%-ndbRun$c1Mwy}iBt<2md13bwYfYd@8hmHqg5T>j$z`u|?vOTWL1 zy|cewezKac*7i9OI>%N9FBg!N<pqtZNSSg~RaH%W*Wc0cN^Ekz%as_g8@@U@J2QWN ze!e|GgN5PC`}^{slNni<7@wV;%`PoHyQ*&jC{R^|I6r;98b4LV-d_Ib(W6t}sT&&$ zCm-u^EG#VC*}0>qhX>S6yK+TjZ`IdBFE20ucvL+8!LP5c4Gaucl<aqL39&w<cGt`B zr<==JQQ^WXFM8*03wygscJ1w?x0g<CmEaXzdHTc&j#;z*|1nR=%>{M3-rU_S-ptN_ ztV>kepzzU=sveL#Ry@?!&}iuE<8x6G3<?fTOifk&Evv1l$hbCYD`>Jw<kjAqpF&9+ zC7wNd=5^cG!=qv1#EFOO?y|D7UbuE`n(TD1r5ZXqEq#4{I}5|E++P#9`N7ktt=!^z zQ*QT7oGAG1-Q8}`K{O60y|~ND%0Pqnps~fgSS6)Z@;(Oy1B;h~(qE{1>ZAgO4<>r7 z{*QyQG^LbQ^&L9o^y1Yk(A^ogx8)vwc(|Q$%9%OZ8X7B}zW@cF)UrNVYb6yGmT%v_ zy|}$y|JL^W{>#h#7l*Hp1D#6%I&OWz0)@z*$NS|Mr=Oo!P*T#ec(L-GyLUn3P&a>O zUtf3d)-5T}sN44K$M@C#e)7xM#N^1@=<O-@?JGVo{QC7v>+$Lp_6#?+=gWfzqd?o~ zO3yC!v|te6YQ1prqGMv>!l=^DjumB`EO}n(hXn;q(|wKvZvK4teEMr^mPKm$sBQnP zuRyKZb?erF=G?^eVmcx>r!g`t^P9_MZf>p<zi*G2vYL{TQq~^5*j+8@=jSQv>G8dL z_YQRFALu;I7cVkEt4={@RbRS%S#hF=LE$48&`Hpqo}NE`zu*7x(Ick%`g#V{&8I;J z4kaJsIdbHPf}S29zr5X=q8QPy>{HI{;pXEz2HH%z)NawDMIXN3uLrH!3hkTR(Xnc| z$xk(b9gbR4i`ZlYFJ|vBQ8o44-dE4ZP$0WA=wZx8m!Rtp1x&5$>gqmx{>;oEA}YFY z#R`uyKLvpUM~<*?a&mH&9_y3se)A@0#*7&!rdi+GmMgt!)20Q>mxq^&JGi*GT<P$c zX~Y^86~(~d@9%F@|4(M!x^)Z;;^N{jUcXkhvy+pQlmrb{E%lzxFy&9&wv>}X5m8Y} znwp$9Z{Do<^n??%6o{c=*)laIW@bS#v9P5(=GoOo<<*OciGeN?1#Rol*4939>{!^U zzhAzT^hlfY%{I$zTDdZFYLbf5qE*^+e})BjZvXuJyt1XGBvT`3^c&Qplg{76$N<`b zT2x#-^_sM4mdLu;-Jo;c4jw$X@Y3I(zkX@yY@9Q5X6OC7-@LNcWk+u3@8@Lz?Lm~0 zkrDZ}#lHHR&YL%HCd``E_2^MjMOD?Qx%c<i+uPXMUR)Pzy){{R(X!S~3nishrb3-8 zc6N3}$IqNO^WiYRy@u}k#fukzQS4f{Fmde>cTgCKO!@oQQ$ys?jT;f~_5^6S6c!o^ z2@5A?WPr|oJaH~EBErGhnK?5v^Tdf04zI7ReL8K{mJJ&;w!i-*w=e9<{eORdD^B!y z@a9dAd%xVnpP!!#bRVtw@<MRU+O?-^1+|Thg`3&=+xG9bSMz^n_rS%abm^N-lTB?u zzg@k4-=S`Ceb5$B&|yEXuC4~{=>Rq6?$`g9t^fbmykXLkIm?%;-`bMN%m5m0038s) zBWbiGsB;JCTw`(lxR!0(%#QU+tCzofKEEEcEyN)6l1ikKo`r=3x0p_V#}VD=Z9JEk z`@4UCch|7uLjq_ZdE2&a3=Q+^esO|MOvt;xFZStgCzoD%;X)UesaM`Dad`N8N%_t< zO-52uQWj-zB5K<mL7}u{>N=HxiQ95-D;XOL@7%dF1GK1hQp!vhCCA_2-Y#6bws*}M zoemeJNTD}JyTyxgGqSQytu31{XHLx1e@e>Ag2KYhiq35e3|w4X6Q)gTQ*>^7aABcy zL2>ZD;{jn|Vr=|!JrkAPLF@h2W~(bLTDAJ@liRP=d}p<=a*HuA<lWiP2<mS?J2Ugf zw%plkK$j$LEPCoyQBe`H+de-(|IeS#=e>jrLC4&OM1yYoWnyMF%)X{G$Fi91<;#~G zTwI4bh1FAxuXnDfd?Z(RCFPFQznyPB|1t#EXp5e$^PaBv;^Ja<P>NpgBr(gwgQJZ{ z64a@`ar^e+YuCg;fyLmUBbNO8+uNdC&?Uupb{22?9KAhnZBhOHKcBdhl9N;CH?#Af znzkZjYRl<!=Z;NKbiS~^zW&U7d-<I^cb+(Vw$(#r6?i14a{c??Vb`noe|>S0*=eD` z`T6$ix7vY5>Aa`wDQaqZmc2RFD=pA{^u@is)k|+j?<`WCXHy9p5Ka2`=jVs--`&s8 zv&}Y2QOatuQ10tk!8T>Cs{2;u%7~+>IX<P@I>M8u#WP<N5EK+N^#kp6UE(=8AuWw< zWk{EtZPkP4^Xu6HHnlu`cXv0a5s`a)o1?Qcv!kQqrX$h}Yhrhc{rmUt#>Qm!uI_Hb z@^>+F>}q#;{S7?|nx7C9Z0zXZSQEL~t!z*A_jesVJ!^_~ySS*VH(?A<J-u4~@VB?O z6VuYdmbT2;6}2s=v;2OoxOMrvBae=DGfvS_mlP0a*s^7d*Y@Shm$%E;{}D_+*3;P8 z$@%W??(oZs!hy<1Tx3io*C~ge+NU>{HT;`IVD6(!Q%V;!Me7J~%t%pIQd*RzuBhnv z?)S~j>B-;T+$=h7WNe%ofBVLb2dAcLgSwpS)~!2amt$M~?Z{+x{|VEku{k?CXJltT zZsxa}Fjw9vh2!79-}y_HE<JeViU?C91Gl(dh@`*k->FX@K5V>k<3`c?@>5eZXBee= z?M&_HSW)$=>REIo=y+n#Av@ywaSM`<_gR#`o0C)d_?E&{FVL(JD0)HXnAFwT@yS|& z8ik36+jv8>E+0F_#>&cSU~JsHF7K#5k8t4S<4ZLm9jA_2lFG`9izX*6Tej@NwQFHj zpd$Uu8K1X%UtW2+e$AmnP8QbI+BI(da%;=#U0lvy^|$}aQeIvTI+No6uj~6KOqkH{ z<VgzX=7bk_cbgwNe3+4;`un@1CnhR~ZY%!u#B;}v9S05`WV~PVx%bVFJ3EUn?k>+i zGuL{1*du-(o+I-0e-yLtA3V4)?3d>2x#jm9%gW3^+a-Q{Nc{5duC$n#7}wJlr-heP zmWF7xwjHzYziI#D0W;{Vpqn>ua(UYb2Yx;xS$IXIP)+9QvP(Df-}-S`2={e#babp} z%goMx{Os&(uH)L1e<&+u^?6NyySL~m7Y{FQ;qPy`GiJ|LHZ&AW+9+Y3cZY$Y=F3HQ z&^exWcb8AyHhJR2#sdc&TH4zWpE<)57Z>;8%NLh^Iol1DpVL6&RX;yH-CD%!=H><( z`f6%!-k5$~F6Z_(-^69*zQSCspgS->d_Hgg_~c}D&|LP^X`8ogbMx`(xntPr(sbiS zMC7Bq>+51YJUk98pI^tNudmM|ZzrSd-WM|KhVr6k&Bl*b+%(L+ylS7b0;EhR%E-#n zs%ddbtZjF7xw0TNJsmWlmV0YU<FaLHRo~ulg63?B?Ck77OO2lN9X)>h@S#IYmX?;F zi>hsGZ4<MzyQk?!C%w9|^2Yx9`q)@D(9kQpw0Yi<^!c@IQ>KV)eI+6$retm&{!LRy zCuH~jh6aXm<uoDKT7WnA_DX+$fB*P~#8c)gzkmLG_}bd&RQ>Lbqe-C2y9<{tfg0x@ z9v$Td9W(Od-|zPeSFYsb=jR6vO%*>o6KKt+lr;-9imNKtUHI=$<<0BjdNC`)s%6*S z4%_ATVb8{^uQqSw<mW&B@$vDGudc5C#n%doLlDmL{QvLo!quz0%kNdP*Z=w0eyT`L zPLAvNnX_jFg@l-xnVF9qKfbv3_qR>O*REab=<Z%Ub;fDX=_osP?|wYl-!5?VpGm6T z2?+@bzggJXSKkevt{3~@#fy$kVf9rpvu++g&hF^wC}EVs5w$&!x3;#nrM30pnVH5H zE?)-CZTAQ&yDjjaZ@0<n^|iH!=hy%11T8|#I_~7+%RhbD60O^t)A>O+pKi&x$aH&K zZu8!~wXLO_Hf=IUJtd-|qN1R!&HaA=|GL)Mh11jDUOKs>*q&|mb{WUOz`~uW)=}G! z-dZ|&^_}oqq3)xgnJ!SS4PPI3@ZLSS)6;Z88}LrA-JW!m3p8R6I**r)PbOle-Q!1( z5^{56=Wc-J;Eol28JU?6|NQ)HQTT|($;oL$+F2=3Cv)wtTeoh_Fw2!<aBy~Z&J)`d zpwZ%?vTEv#(?Qd0Y)W5EIkfT4otUk!!nW=2=-}A-{`&g(^#P!@!H3-CYeB~?zIgQt zw8&vov32>o5RLq#q$Y9wxDYEdrL3qK9gDR-fBt-UW$<#)oa5F>1zV3Ey~y@^`|9s$ zKFOxZ$9VQsedT(7e!lx`vs?i&F*bR5`8l@LVt03y21mYsb8|CjY3!W2bG7d-U%y@- zw8Q}FpB3{=zW%+kB<S5$AD1OPZEb9BeKMUBmEA$B_bn<uDE#{VK7OT{j*iZgZ~fk$ zo|#js=ZK1lElNK>kL!4DPR<p-fK^FJNkMCWxm?);8p?Qeq*M6EpU>w(_mAzX{hf4n zmZ{fiP@e{TQfaUBX<r>v_md}2f|`J!Dfxs128Ivcz8%|B`FTU(W4AXqHachS1&t1U ze|PurnVH6hX=fyK;`T@=Dk}bXyZwGZKme#g3+lT{N=kx`0G%{>^2GV``yV_=aB*{c zbZu?)i|gy<*?1%xmU>UW_EZ(zix1@O@I1Z#y+BrL@5;Q|b_bU$3ZP7V;DEz7qqep- zwvv*PE3338dK7$p75e4nW%hgb?s4$(xy8lFefj#e@W}~5pP5EW&79YZ-nw;b)89L% zvR>)076?3i*hS{*tR+iS&djkC4qq43*xSqd>C>kve#z(0o;}(jsJx-#W0Km#DWGMI zyGmcHc^{hk>gsCoZ*Ole-*oTNQg4vKZ|>|Aj@?zl$gt=Czu(|7@R%4Lb#--{iVqCt zd3Qid!3zHTC=?MFcUBQfJk-K@V@sy+p+kqVK40p{l94|Js_F#=1B**PJpt{-;+QsV z8fdY@uWxU=KR!OLY-hLc(5{UeH-ZY(v^2Gz-d@A%Z#g@5>{#I1Emlxe)YRYaFB{`{ z_VSe{kMosQ)&KEy*$O&(_QR)7O})Lm3=Z+}@rr`WQ75kZ4Ww;QC$6VvtzNN$!~Wk7 zWg%hVz=(*BFJDT&p!CGme}7iW%GqH99!>#u-h|ctTKfC>jf{*EQc_gD+pOHNLt^I4 znHR2KZ=W_zENXjR?~NM~H!Gu~qd}AQYooR@F`Sug&TpK4&LuE#;+@kED=RC#zGo;a zDP5h>u{cUutb3~3gi~HVK1X&GKHgCL+^^=tLG}w*pXN-ve)IP2#}5y;bA30~((*F9 z>?>=YcL#L;4``3Ty}i|s&)ffR3DIiZy47^iqD33Z-^cOD*+_r}2#<6MXFm=Cm5LoJ z<S;t?f>+seb#-UVoOx3A^5x6TOP8vu`OIiYJw5H=+wJ$mqOPAf;j!@EYbPhCg6HRC zckJAGHRghgi|-t_TJ{S!I9ZysYG*M+CNLG%)!7?9-P95j6N64+w(Kl^eyy~lw`uNN zSs59b4Mk7AZf<O8VObNsov*R6F>AZ9oLt{R=k}yGH#UN9W|^$+&oCt?X7%dT-3<*4 zIk&g*w)4xg1sFwdT(Dq4ntofys<+?X-ky3(<kq=!eD(i+F2D73X^^H~+@6lJv(1wa zxAC4hb!wH@3{Y$TK!_SAJA?n@pbsW`OQ$VTR9dtvB`>efIQ`s%Gc%2~CKtT=^=kF{ zf@fzWTUuJK1eCbA_|9iz03}z@ApN@7-6{MJS1)>V*v{J88gw1s%DE>_cz_P(ez`OI z`a00uJqItZYh2vCThFs5hiI++vRqT;F=*w$=jZ2>@9rw?=<5r!xW6?!{LD;a_H*aX zfl}i9`SVY0109eGI$-kqySrN@ix!tYIKT)xIp^)|?e6_@wimYN$Fs1q9=v@!`mI?T zuXG#e{=f?h96x;h+PZtUH3PWIQCbSll?4x-&df69W&oWe1)9<YH7^Q53IEQ{;vGd# zy=vP7v%$kfpRel8pFh9<(W9iTr=C4~2AcB&9kp@k^5u!MXGgEx2Ws|Y{(Sd*^H*6W zP{Iyeyqt52%@ym44+`tz_I8D?4h!A6aTEXVPoF<4PV~^a3mPfjm~fB@bWWn2oLtd! z&;jx1?f=Wv)zw+l{xaG3^O-cL?y0J(dO9z=!)tP3Z>7Joy}f;8(b^R&I9y#_Hx)BA zGB_<108P+?Dh<fA5*t$^11BdZ1L*Xgn^9M0&6?%=>tJOmXg=der?3Xn{L;QRd)fc( z{6BHx#7)U_t;^j?N=)MR)o=<63-3(%aARZg#JO{I%WH0L%RO=GRMv+7Z7Uvnd3rXw zC^fdWw%)nFdAfeQlaAQoJ9lE%)i^pbmXwqz2z5@FHH%AITl;3(DNat#jH|1r=Jfu$ zetP-x<%dt5@>(~4ZS?k}CnqL?x>#GbY*7&5Vx02lj<1)OQ@5DzlWDg#G&CBvZQHhn zcV$QyXbkGbixXeNBO*FlT3GgcJSP2AZ+G;~*DGKAo8ko>3+t8<J-WVU)xM^trUlEF zw;QLQn-V?0qoZS1U-WT%c7`2&F)It!?chqT0=MNREoWr#^Ya7kIKH(tyZz<m<*Q>Y zRaIF%Jw3BjCm%^NJd#wnGZl2OF*7@#M(m;u8#V}dPt!U0?(S~TLTS(_e^gWy=oYq9 zw&ANvI&CL<wA{E6vGa(llM~aX&6}_8eIs=_B<+}|iqMZAKTgzwHrd^*%+1YhJ;EQ_ z+t=6UB(!eP@Azo}8Z9eVt~@lqwXd)5(&M(awhPy;t-IO1K<zbXHB@1t<MVTKL6Z)k zaTJCRKRzaZd2>^^udfd@khXib^*sA}z17z@CcC$^v>dp1PYyIq{rlV7!e3u9zr4E2 z{rC5G_uJb*bJHr}b%)z{H*DYD-rmlxqoY&s?@uMe0npWf&(27KGRVb?g5af4pd}xE zetsXmeRG?x7i&=YDdpyWX6XF<n!oJ)a-gDr-Tva|=MJ7b$G5lY>!j}3nWy6XUB5rS zwKaRgwry?8m#e>d`*vggeY>6iw7T}M`SeSu`zR=+K0KWsZ^Q{2VF8Wyx`a5d+TuQE zRr}giE-o$)dd=^Fj!HPCYo2{g=g-IE@?6r*Ob#I-B1e)o=4jgS^74WjvFYdLXnv1b zdCE>=t+ubC<no0J8<UUsovf|<@v!|D-|CwW{)Wfzp3JnxS~K9stgN>FettGSnT|7O zd{R<U5{~!DPVi8fVNs~m#v|FZWQoe3zu)f{6c#q_-@iZRuJ?4khc`E;cXW3*@7`_Q z#xLKOdwUz`P`LWPU&XJjiERGzr6ls_WOaWfD=VqO!a@!%F3^EgE=q!+xqf$dchID% z|2&(<_3QN+68`-72s)$yG*gv+eje!bXwag^Ti4Cf&w<w&W@cu-xUn(0_372U^Sa*o z7Z!r9#Wh43FATAMw@K?2XtTJhtE-2vZ|m~q%X2bsb;T_LulxWf<EtjCgH9el&JOAV zZYX;j#lg~4ls@_H?sER*V?Ch6npz#S^3uNA>Z#A?+1K}N%f0R7{CNJIg;S@Bf{u55 zw{w%1d{0(Z)}iayL5GSR-<o~>!K+tY*5&UGT)iqfzvdICkB^VmE>_c3nmWOrE@y8y zv-5xW@X$GCcbV_EBFEKXYXxLwdqE}nt`bg%lbcq0Pt$2!x>R-2q)8Xn#ac5Qn4%e+ za@w}4s_N0t&(8}=OIaCq?AYNDA20v$<Ht?&&%fXQ->#&zlyS<QBcKHoyUX7%Dt~{E zYol$=4}tIR@3*gBt(|vo4<`etlsGrvzW=}hhpp@KA0BGmuz7Rv@46M$wvv*PPw< z^Cky02?n~qs9{nnXi1u&nAoy1ou%Nx$@rBkS8C|#9Xr^}4(cL;76kA8ey`a<;lQTU z(^_}sLBk?3OHT)CSue}!^a2O$s$E{{k3wv7Le=c-<o^Bp2kK0vZoax|)ru7!iR-m& zZEZ!w#HLlRTXV|p#ai#6l~XnsGpO*d-qF*$GOsl?>C&fcg;}!}`t4b&HA^=0V|3}_ z%sW5wmpt_H^>uxHZ7t~X)18kyJ39?bOhA`}rX1JN(E&~GZP{WHsdVnjm5{PH$oS(r z(2V-+W|4+F=QDM6btR;wl}$}UGjmR!JSo7{`s3B=^-uby|NB!vchhvGHEY*SofhhP z7gQ{!q@*k;eSNLS+czpAV#@99tEO9Nz4F$Y>J%I-e82Yl+#JtauH9mZcXyTg9065P zU>q8_RJd@J+%~Pv6(5tHJbALh=SpbX%9Wa%Hf?&+mztWoFm!d;(|Of<dxKutyjbf! zNAUaq&;o82CdX#4O)H)6R^<AW{w!Z0^VW}R!k4_S@A!o)w;n%ogk|Q;nI}%4p6o5P zHTSj{h;#Pr)W7>D&X~c`>7r!Ly=#;A7d3VD)BE%^G#o$+)*Qc9ML&D?OhZfS(7xK= zQ~upwx^kuF_WX>S+g5vlJ(rQ4t*ouhtu)c&+o6-);`*yZ>RoF)U6e#bL>zR)P74(> zH7>ZQKi|j2#ii@ixvIxL7N9s?bSw0w?W0q6Icrb+`uZAltFO--i@>Kotfe<^-&Qs> z4AgrlCMw#xE_OF4UfX^dO!Tx+%6c<r&YXno?CuE@1a5AeGDW21<t0|osokqWS2IjG z1KOZ^aDt-qly~f_*8Tsz|Nr6D;p-Wu{E1J_%<O!3cQ-Qw4=?Y>H#dzVZ|2?Ib@1d# z&iQq}R_Y{9ojbR;rG<r|VeMMob91fP83ekI-q=-|J@xUbHC^xe_wKdL$<6)v^?Lm2 zN4NLY?v9aubu2zG{_U-;&j0`Y6_k<TSsB7L(W6Da?gwKt8}F*Mr=jz3N=jLuwB~jM zf87u&$<56T8tZp%<2ks*bF#ujk5w`myUX4_c=@vP>+9>zT2qzO)Yw2{L^}!}pR&Dv z!I0BEq<qr7si)GePW?M;mA`@jXvH{as;H-@Cm}1V%ir!N3q!$&2aYYRtq0GX;YmwN z1Fiq<leJ!THGaNb?S+-W>Lo8OFoN3q-`?D0mX(!dIB;iY@y5EpRTdT&50=lbV`2au zbN&7Oeb8a!iK(fq(b3T^Zf;Br*Ve^$pPg+ETFkX!>(<ux_VzpT7H?g<=eM#5*T(Aa zd4IlK_TR8+lhg4&S;LGA3OmKUwS?<k>&2|g-?^lusDS2~%HG}rP1bu)(^+{}8Z_7O z^;GE-uXAn6pvYh4%Cs^>>+be^dC+`!PY)01h@R#C^Yyl0+FSko!@cVFigtE#vu4ez zcs{orbZ10FWTc{ri3l@0U(3{~qGfMywbuRp_3-NI@QT-Kw;SZ$vDo@;X5`)~Q_#65 z`S<O1KJJ&dKlb9{;)zqHuuSx50fkk3-Otq4=L{!~vN7DR`>hMw<1ojv*ez^X>FaAh z9{1Znc=l}BKi)NNO1+*tPG$Fa2e0b(4xYANZQ8OmFSlhGEnB8yYbz@yCB=36e_Be4 zLwI<2qW}3*n>KAaq`&_UlfS=zN^0s!UF(=tD^_r1W@cvG-nKU8k`{cLeWG`m=h7g^ z$mW)NY5w6Q^^nPVjcBt?Yfga@lz#j^Q1P>1_3D3e(?PBL4SVd$q^xsum)<U@-K^a7 zym#%%4OuM5{jS_7c;j()V!~1T<lvV^|Ng2kdgiOJ_E`S<RdU;|ZM#(a$}8P|MfEzf z5Yw5*RAR0g2!`7xZIpQU@ZpDV-_~8N%VHKT40-icT>Dj2VxnTzw>K*b?i@SD=H4&2 zwjI=sTA``+d-v`LonuSAr-SB}x4zzQR~Gf<sGm)5@V;5STJ7aJt3rP6x^zLf5Hy-r z{$B3amzTl!7X~mN+^B5o4l2U_I={RX+S=M$P*xW919WlZx;dSlo=YbcyxJBm2wDx$ zx$V=rt744~0WbZX<xEy&pPy%2P+kr?SM}qMkB_sqii(SeI|rKjCT#@u@fs#i7PcyT z)3MOGT}er4(WSR3si}#lrf6;zPz?MGn%e*!bXCJAYZY>Tp`f5(Aam%{jlnnX-`%`v z(}#b*--m0rZS_`vyt%)2;Y!Ul8*<!VZ{s!N44=5FZ|<+}@B0@lP-uM&+CB2{@#El? z5|17|N;$n{dg+S`ilAkg3=`(e>Dhj-ihFPMcejd)9c#|q+goi|^u%Lo?tGg{Aw504 zf`S6@%IgIwncwd1tp=U*HMMIpC?sFCE!)(*lwUGF{O;3TS*!2<x*{T!75WtC(tvAU zK!@q9kK23b=jZ33HLjq%ef&6k`uTaT+w<<evbI@dRr>Q&>Wta5uV?ejn&tKXH|WCZ zpjB$e{jNTIl+pb6wy3a+uV?6Szw`6$-D6^8Knt~}=|(d#Oqw*Q;NzpCQj*`*)zlvS ze!u_t<>mg246LlI0^LV<6h3ZSa|YB^S+Yds&fe<qOle6;Ne&(!mx>CDGiS~`c>0vp z-`^iJo+BtI7_qOWa_eJ|#fxSw|KypJYn$@q@abpD%F4`})6Rmn*PG?v>$z|tV8@Of z39qiK^zicoEq7^ZZWff3?2O)?w=jNxorFOG!!*6vsQU}eH3$tf_4M$xR{#AKRF{5! z-qA}-y(dne&R$(zopXPm?6PIcKut){t|bd=>&N#0fAUY$jc%K5p6{d~0@^19ng(y< zl@5D--EWCcJD=<;YZg!vk}7|6gcEcr&56^eo$v3f-I#V(YU$IseKnn(!s<znkM-7Q zzBdh(wPsW`ehFF$)y&QhnssLokdo@!n0)-y+L{GfJX^D`>w%X296NT5%R9(xX%J|L zNl{Vp>A7{EKYnanyjXe8+_|a8A3c72adW!AP2C>}alM#^<;&G`a&s9Of|vUp{rC6x z#l`OZr|z$j3MzYPsWtzB+IvyZNYT=gOs_?=0%Br%l8^T}Dos>SQ)6Rh<2ms0@$rib zo!Mjd*U6T@yK`_u;^7TdU$ZhYGZl4odOR&u-`(5Wop*QFNw!H#tG>Q!J#oTg>enfE zUvCNu3NlDO#v@}_BLQm3O`k4q_veE%gTr#axu7LQ%o>qWt{Nhs?Rg9h&FuV#&YoSX zrauvshF3&-dwCr?Gt;>6%Zor4clYGa&(3<Ce){ZL(vc3q8OG^+lMB2;O5d<dQF+9~ z#3UdkrDeUX{(s$%U%yW63pZ`6`uE2Yv_=p#XDY5A=Tf)t&%S4~&GX&n+tof1$~je0 zQK2DYY;NxE;laVf!y_Os-~a9H?bGKXqoa#wvxB0_t)bImRj8Dd)C~K2yOu+fYB@le zHh8(;!^Qn}hdw_)pXyyVAxo%}B`PY4>-g5KTNTB+L$9rV5f{I&M)KXecN;csVhY&Q za(ZR(as~#_a(lg)9Sw&LIo;V+$_;9wf^N%raB{Lb=&Yn$+j66u!#!L;rSG2DJr#m+ zad8_qZe(P5@%pv)l#3TGH1zlLgAN9}su{B4)eTOKnOm>ztuBA^<jI4Zo6|viN$%Br z_LY#5I(2G=l(Q@P{*CAR|NqtZn`6=FZ~u3T*Yzc9*Y;*!UdE{L(>lOv8ymyI0FH?s zAxq0ZL)a_c70mTm6$;vgaC%}?bhNUT78j^_@ZexGXwR_E9E*)|$~iyYynPEgHl^ce z(oV<JkPwkwB`+6knzyOiHsgZA&B}=$D&Nx=uUx4qedX)ByV9V++V}ha_krq8&^@fL zuC7i@Pj5fi%zph)D0n}QY5e;C>(={#mjCrix6idMf7Hxx*RXC~o~-NjijdMbA=jRq zoGd6M)wN@X#Z959OGA1Wc0o5p#C<!T_V7?ELqq1}Wea!gh}hP8Aboyq*vr?OH*A=2 z+g?vq)pgpRY>p+TSM8r37ku^I5(dxrpZ*C53Yvz`5p*wIwPAz6u3fu0I5-Y$%e`Ij z<3l2YYW_D-5s@p!CA^cdkFaUIUb*Y~(qCU*HiPD6)z#S@P6kbT_wF5o7uyuj6yq}A z*`U>pTk`HorKP29S|SH-S6`KZ4CtM#GoS7yDt{w7A|m1ItE&<w83NDF&5gdUXvP&P zYdsZohVYwPTe;2i?}2VVczSN7l(VI^_2WxRy*J&=eE9I;CM(dy<EN*mL3=Y;SXe;) zD9}Zgvu}pUb-Fa||M!dA;bdL;!-o$~oIcIW0J<EWnT;o4wJf*=WIspHJ#<_8dAT|E z^>Y03b}g${YlF5cB+hki=L5}9ZrHNLrKZNlEawKpf|SXb=H})-vesg!r|YkOdO~_W zGdo|xtu2`u_xIWQ`1nkiIkOWqLUr%nJ+0R(c3oeJSOS0O&><$Ji5#Gd?%({Gpy<3I z<)l!g{9?D>L(k{euiNm^0vsk&*Du-_e0^Q4Vfr~4(8}~vr?}qU+Io1JZuFFGTeGfe zeR+FZe0TZ#V@o_Izf$_+wp1&5`6k!``I|Q-nHnA5%~@qQW$s*A$Qt>cZ*Omd_WW<! z<>%+O>DSMnKQCOn*4Ez6o|K$?wM*R$vLOD<8PFn0=z{ny85fyALmeMK2EIQA8ZZys zE9fzGZ<c$Ar>m=L(S2?frm6WqL`6gttgWTh)YOWYXRleK^Wx>ple#ZIS~WT}%-B*h z^|`dMba3p_{C(3x0|FZE+=&4#=YF?i)$jGM;-;&Lb$@(yReR@NeyK&XVoohycI(k6 zB~VrmiG12Smy4Tw;@r8tX1TXk{P`OjD`Op+_a;Pg)v<t|KYwayX$58Lf4OlZ0yHN% zT`#t2-@dw?-jmZ(QVyIr;qh&+hl*2Rpdb$q4+BGGW#x{luUeqZaK`E9LS99!^4{=h z{{H1Lvw}?fWII<ZTzT%jq>s8ls9gGyz^$GtLap0BoH>J7{K6a@9NhYt*H-X~z>60z zK$}6{MO1!|zq(h?+uOVQ`#f-&%ok!(cIn!+w$4t^+LmiiLdqacoqBV-zrR2Kv}x03 z7$h=*DnihHsnnaBJa=5$mD<|U^5EQD>w@Cq;NA5~N?G?#c`xH+VN$!@ktLCSByjPi zJNIAZoCB>`=;-M1sFkq}jD65!@wMQMhf6P~aG{H7zwslG?Vtfw#|?&|`C*Z*-dVb^ zMZTtuojcT4+&%f~t!lvhN$sIAZgU$WovS_v-)&S0_?U3%(e_tv&P%gi-S<rX_Z_?l zvNt?f##+!c?P>3G-8$|6HtF*O0-X;%?{_in@7$rb>Y`p{fa8tc!b;K7$4@_Ll`dbX zTj&XnuT0;9H!fFZgj&pdeqmxq$BLUtO5o}3j*bo~meNV>HJ#w9OG;Sn(W;e)N?(RP zSkMS_dFIM9#Y%RdEf-mGQoc&>4mPvzD1Oez;Nb7ipM1P;?emU*fm7q>urM*!KLq7e z5YBS?u?EyMjsvaBTj8xV@xg<G&7kVY$jB&b%iH4T=N_J#s%?5J&2>po=G64d;5;QL z82I+Y$&&};>;GPT@q589bpZ|$J5a^)$7GhvoXL}gK?_RC-rh?5_2s4LG<^*X1_lu^ zu}3#Irweo+WoUqG#yWY9`M|Zc(I5B!|H}_bh<bYK=5hx#odoxxl@=`nEvO^D;T)8E z<ml0dll|>hF6DUo+r!WASakm0)_Z%am2GTfPM$monwS50EjoXpd%qlL@&1%+_LZMh zVq#(*Jb2KMzwaj-gF*Sbm@n_{N*6yrxAy7{&)T4sArGBhTwKojFZMhV8g{%-w(#8@ z%NsXuE(~8ESMlqMW=(DF!xt|)5)Ze5I;rk`G6yk+Iagk`$TpI^{%#4wTR$$5eV`S8 zN=l1<J%0Y2JvuttXTF{6w{PFBW}Uu%eS6!YtXXMiW;C+%%RTt>^E2q|88Mv*(7ER} z6(1Jta}Hj$U;#tYMhQVdK~Td_MTir$aA|e;`bVFho(4?>|NHTnf2S3saeL(9;r7DE z$9O@rd)xExAA5Fo_QuT1%d*s~!4+_t=}i|I2G9hDxL%AyRFssEuyEn?bFxQ{9aGZM z;_B=y&=Rj>V32+dJ{Lg3rosSq=yBzAN8a>fkfdN5FDWSrYN&#Wg>P?fKR(pTed5H4 z11BabgPMkWem<KGT2l!c;fA)4wbH#z)1eLHvNtyvjg5^tTAe_b4&2*Qd1FUm^3Kxo zx3^f;)zvF1D-+Mpvn_h=H^+j}+#IwbKJnX|o13PVfCSRh(@S1m;k>-emze=H)x5Xr zYt!Pz%AhvwmMtb*e`%y<W_AiHyEz003+qO0X_z@v(nU!SH0O(N8@APUaDL00m{!Xk zFk4J7hQr$0ngO)yYvQzNZTkCuFl|mh-?nmPrmefWzt+?uw%N0Cd`e$~+ulk_i==`f z4cmi9kFpvX8VYc=f~K3=`DC%qqQ0_z!uImr-Q6#)t(E5C;d#>c{nb_O-{0N_N5230 z`8nu-!gqIeGIMitpP6qTU#4ejDhg`Wt&82wmYkdn+QJ8#^IG88Y*75n2Q+bGW@ZLz z^?P}7fs>___n9PI29@`OFJy63bW=EVyGA_x;PuVDR9`ySvS6*XsWJy1stljvb)+ zZP0esni`v1+w=9c^K*00{(S~2S)?|o&F$E{ZQHck+|<<8jt-8NmKM-rqz|7zAHE)6 z&ueSDx2orZJX7O>TiLDV?JjcHgVjML%c5l-1lC1wcsSWZ<-(;)K^E)QuGPJ59yhb2 zg9Fqt6%!L<X!!W}_~TPkwHX@h|NjxTva$jlY{=lCBJ}Xr*Vmvbclz|{Z_c~M$ICDG zpWn87xAmGeYZ#{JOx~GtauV0?in+^{sqygefKDp|-6Z2b->!A<-n}{3=C-x9y?M{c zz|rc|;iB|4Uj&qjudY<vYx49pXr{CJd!9|r4}tP`cP8pS4ZMHa>6(iWI6-uDNa-sp zD`#Z=`@C)qWUBVU-s*DDRBiS5cWaNokYcZ{uC}T95uo@IG`y!5yKBXo@Q@Ie+}zxo z-*@f$zvfU-L`287+}no^A7+-5lLN&rsDZFCV$Fmv!ksQf^=-3e&DzuwSy{X1+^t(u zjg5^FyUTQIYHK%^z7E^@SxijK!`F9e?fglTl)OKHhLXjY8W%*#FFLm5rphDGn&nSV zy%|)q*MZUyXul_ev$L~J^|v)~k5-*jiMg~pNM)~f=#N>i_=VSisv4IN(bm@13s<kY zIyf+Z)*K&h=V#WK$r~CF5ODsYsZUv18L0bzYN~eXaUmh04S9F1SlHNBt$F_DO^^3< zy_0EETie@DpWC^6x3ZO$R9;>lXq_l%7Q}C^)xoo8c@q;8Pn<l-7-016HFS@wb=jXE zg*$fd4!_|Zx%9lImu=M-j%UxFP4H0J^i+NC-o1iya(#RD*f1QJsO%0JodL&Apzwhd z8B?|1w9HerzrQ73T;vKWT`nwiF8uZ7rPtN^Pfs}A`eZnhlaob6MGqc1!qUzs%fxVI zuC+L5dk<(mI_Q#)zu)h#@AVEiFtea5@BY4C(AuJxFJCe=h-!y{Hvft09bS~TbJwR= zSG7SCU=JTYG%z+k{P=kP!i5)eKHY-^*RgrF)u8DtQ2JQ6ZXM|K<knKq5*}V@vjdkd z34Q$d@y3QkW`=}US5_7j7azW{F?nOn&reZ(dp=qgJYWFrXZ-f|_F>3CHE4;-!?$nO zZt}anF81O3_x$PU>09#e%iY~wKHc`t=FOX(3knSG+_`gMp)>oE<;y`c)8J<OnVHP# z>FE)XkqcvYm)+Q1uHV$ubYXM4e?&wCC~sVkuV1^N|N7(mTQY@pbaf|An#5#nZ4J6m zjKCs{w|4@RloqkAQJc3ues>u!Xz52?ot=!#zxe9!aw1nN{}=w*tRo&CpqD5(v4XK_ z)&rF|w^dhHEH*oG<=Bl`i>69Ej+kp=c=E=Q#hsJmc^4nF*lKEcwd{z+sgB;onYSt= zk6)R#OfdOMSJ-Ne42Lb*PlTr4-t_zT;l%dR@|#aLDgCHBYjAkp=Q*EizR$VY`nT?T z<-CJl-rmKtm~PG8oPPe*hlhvtZdZSKv2gkPx?R2>@0@;9w)@-r`|E|({WMHWLNqls zfBpNu|9$X1YfVkh`ah55O%o5bl=Xsc0$jCzeYk@|gIkZp#Yev<&7Z%1&mJ3BclYdL zJ(66!yi--4K7IP~(b4WnOFSp5X_}dB^DUHm^F2^?#lnS-*Vn}cZ_m3cA}9B5%lWLW zr;;{mn3-*Jz53<az8ildTe^Rrxsd68Sk|)0<?-?U>%G$EDxRCu&tC(bl<O{EyXEHN zn%wg3piQc$&Ydee^YeZQmr#l9+@D+77#JG*61PUpm6PUS>pwZ~)~%?}&`?b)t6MLN zvr<!+`ltnOo^Q;<*4(9I`d0XhZCb<5+1Jls-nw<`uENJ{aup94m%lw5`+wFfsmQfq zt*xy)=bX>lx@ym!JE>e3ckQwY4Gn$S$SzlKXYsFJRd;ulo>qPTU>#@`f$v<a(8|h6 zE?!V3pYZDS>(IEkb#k^<7HhNb->6^w>Qz?c+OV%LE;>&t`TWec_UF^-QG2VletUQK z_M5Ma3<oCfHmz9l^1qqx%k#1C_L+XXx2x3q<;$0+&Y!=2q*GW_Ol(>Gzt8iHBzSJ^ zt=|6Y>+9zqZ`|Eo{+hr3NAu-vpP!%CHZTY{-Y1(~sPlc*-{0T0O-!yB9;&~!CDW?# zQOl)Em%iLBzyDJH|BrUinAiNGPai*i{PkjSze(n$C1u_2lMWp^^kuR9UyroSk^VLx zUAo_$ZsV2Sl5^AO>?~7nR#w(sb$@q(YO|9mMwyR~^;(s^nXxVJ?kZX9vMri<D}{SI zb_d7C&UNdPdAX_fKm+5gt=ZFWC-=B5uKN1wX<2+iLc;3jA^B$wZv0;H@8#r4lZ0H| z+;+vB?v*yz($MhOx^*iTA79z6{H;-`+i#1Ch&;)-zGBm+AW>1#uHN2I508$^mT${; zgYGqZcXzjSZO6{d{JZb2+qKK;_(zalPR_vC*tuR_USA$G^Y4n~h?xYss3GgdhQv)b zbNcv?&+Gdx;vc+p>C&#Ao{;bF?#e!AWME*pu=7Ta+4PCthK7cEoXu{Eii$?F&+6U2 zD_ZeDM@8kx)8fh7U*Es8E4Q(+G4H)=LBWPaix<z`#>&cCxyQZyTcXj-E6e@mmo8ua z`tA1n*H#2B77-CyGGm6s^yK${_T1Rwq3$_z=1fpHx%Egq{8-7r@Syi@-u~t3McF;; zS6(}^JLjg6sF>KQ1q%**EWe($RZCgf`MmA-IiREct8L0oP0^fXl-hN9+sDVpLAj~# z_`FY-_dRP`yl7F1(abqT2YdI2hljs@b#--De}8$6<BBCqTx_eqg`A&fJNMkxnEKx5 ztJkg#jf|Z6=+UD&`(A9#yK80p_seA|vz!^{=G(8g|Nry6macASOw63)*^f_u{=D_> zRPFGT%{Q;?F3*?bVOw6&)YK#szbtB3$;zOhAeED^Yc;jCC*RDOb~f$u?EHNzb8l}0 zos+rgX3npB-}fy)JKH?_;i1-9=K1qfw%(pT+v;sh<-vmot%{y>oc4cQGP%6_ZeIRX z{=C!Y&xh}?`)gA6CPGzRUEAFJdS-o6(jvS6e~NqMY;UDDzAAitEc5NHt$uG`y?SMm ze2i!5k|i(N?f)!HN=n*N{M_%jVfwi_7bhq>n-o5B;gvG+0EO9O>4^^?KK%9T^?KP{ z|G8FACAx0iIR5YN@2OL!EV*(eBz9NH$+yKIuWZY?xyb(SOMfp<&x@dA3;U0oi}$O@ z+SNq-{r&y=48vrTf(H(@9}cqX$$u*NezZ0%;7&T*^!tnq3=Pj%SXf?6_O~ni^)aNy z`S)(|_4VuH_HHSEANTg|?(oHKy;+xcf-V@^Tm4<j*m(2YMa&F$*547`{Z94Wr`Nij zpcuBcj?Ulvb=u|W@l4FjQ>RW{x@V8g-{<!KKmM#~=a&z2bZneg|L<qo=gaqs4!ZZt zh5rBd*EH*j2IzoZZSB*V;&W!qSaIfzkE*)*>yO9f!|(5_-I{)0Zt1dRS%+FUyLx-C zPEz%jdAs-P^cgc&l;5un_w@9fWmCCn(c;Bhb8nk@UOKJ6f6d<N?^!1&sd{;O7Ou&U zj*NWy(W>J0`RirN{pVl1u+Z7G;DLkfrxVIm-)^SIKFXZ+`TNs-9^2D(U)<lnALP5H zCZ?;`uUjwE=jY(KuqE^IAG6gC%Vh=CPi9(ilz`TEoH}vh!k?d?zg~;ZKbf^P>(-Xc zyxZsIT8Bq(%em;SzgNXmOH1ogczo^CPfe#!pT4{?`FKc7jLzbVE2PcyEcSB#|FYcv z>iPPA#kx^jJk0a&g=A%|GRwPj<ISPFzI*?CI{oX<=krsiOnI{LY?r8ZNNA|4=dTCN z{IhKTU38cKD&g<!+?;lP-da{}v5b?GR9CHEe|<~lWsN6H3=9k}HnGjH?f1$)mA&ch z|66k03=DIR{ok4Q>hJY!b2ojDe|lYi{`Y^YL%topCVzk0#6r;8TvylD+mhdZeS5ol z#taEr+o}+L`#&4}H>RYfW<EUB%EiljwUL?K=GpSur+shdn5CwqWZc_R8MQ5ECMfCF z{wkUNeDd_^%RzVA7#IW?r=7U~x)Ngk{PhnHx4*uzF<Hv4W`~%5+?p3JGUgXO{Qd3i z<(r$+Q;lYB$-Jzl8@DIIIQ`s}-|zRY2kkNg-SnJxexB~jvc&91M>;`id0zd$%IPon z)Slg2o&A0C{68+i%X}`b3SFIIAQ2K8x^$jx^{ykAC;e8sJ8$cQz2EO$=CAv}JlC!^ zYU9R@ey?vIJ$^j<_qVrpb9XOYk+SeGXghLiYirfpt=CoV>aEd>*x<m<FISRjoqbK` z@2BbeuiV_6K6TEVHEW}{zdETtzhq`s$%6xpn<8|g_SNi+t9q%re5JGBe7m)i)%~-6 ze0T`T_bQn;HY8dVJZL!Iy5o9${ob2OG2VUO#m?_6eqQqLPvzcEr?e;K?GMz6*|Fhf z;>8yhJzdNU3<vH$@qTn_`l7J#Sa&`KhLYN~vOCLPU(@vra&c+7{Z~*>5L8L~KesA> zH|N`%n~x<XJk+#*t1Djf?M>ud%VM`~as6o})ousk|2z`c+xf||_?g8tZz=1tHK40* z9v*J@p47%CyXwM)fZESz%{N8p*gZY;{Os)KGu~BwdUCSrVXJsZaPZ@@Q}29dn`xSx zhi6|~bMbcm{<TZJr&~SxYWn@%+Pf=*mzVtdlKJ%cbL)3+=U&d;_H3U?)Rv5iDk~Q( zIFLFkfAYyCyLRmo`~H-htMG+E__~-t7nhdHU;5`=d)eL9b?M5=;H{~r#rA$aXMO7A z$&0(+?|Xeo&(1EcoliC>Ep3^Qu&`<NHJ!DQo88nVd#0wQ8cFp=?JQb)*|0Z1q`c_+ z+s<cVFTc26e!TZ+@zYbHt?lirtG~bNt6dv=T;N~%Pfa&1CI*HFdY?YMUw$xQ!3j17 zhFx2%y9@T6Q@LibWATzDN8TDafa<~C-mfoX-tYZB@6zSVvX#;PKVN=3#cD2Fkz^tz zDk}PP%l!<KRSOp$d>&JAxlymzSYX#|zfFNvRl6=-z6@HM`S-_h`>>dpIcewSyezK2 zcPn;pRj8n#px)xQQLoPZE>hoo^NrEjwA9qp)m?S_76`QSU0xlo9~u_6YS*q?fwiFH zLu|Lrw=Q27SNk>8_VXEIEq(p#!Tz>Sx7~ekuzBj_$%`*t3i6$A7khfT{`FH+wXd!Y z*N<Eq_Vn4aUA2nrY;0D0PfnRSb?Zk-v-8n58#iuTwPwwgwb9#U@(-Mim1h8D_BS<} za(o8m$*1ZV7-m)NUd)`abK5${>$jBVsDRFPeb_F4txwka%Z=oI%eS|;=g$Y_*t|Oy z>!0r|dfEl5CD!lzbt-fB?mu7N+}vDyiq-t=)cE~%cbCpxfBkIqyPMNLn{Lm4bfnYE z+xzmz$Hz^JpZU!H{rQ@nl~t6esOYZB&&w_|$mM@DdHQmn2`JlKx)c-|7N%um6LaZp zV9`E5_gg`X_hvKvn>c6AnwAz8P;_5<dsN6g=f;DLe}(;R7S1-$e|3HTzpZKK=e?C^ zx_#y9>TucofZAVQ0<*GKX=!Pxc=p?T>S*JUTonKJRd`=hbZF>QFE1|@PfkwGTl?$x zD^DwZeQoRg$)$&*ZTM}!Oqeuj(wEuy{~QwxF22rQe*Wq5H+_NyzV+;&z+zx{5TC1g z$n?~iGgtEKe@EATI;r0G*nf`2!tl6C*Ze&n+mw`*_s)A<zW?{!W4+SLr%Vw!Zsz|p zGk@oUCr?sT)zz(wo`$X7>bo-`|L;#F8=Dw$y_g9qqT=GOpPZb$>1NKPC5H|<)qXrG z?&a&78(4qu7O$j{3nwS%sWWFvWI(BSdc~~A@AjFTI(6#O$H&J-#l@FzOg^6V_0`o) zx8H)Qi*32Lzr5Le{#Q+HRFjj8RnNtY5I?`ZO`A81%FC}ma>T`Vp3TkV)Y};*pf(g} zY0k5Ab6;=sO-x+)*jHOo(GheX5U6VWUH<mg(#h)nT1rYzA3uKd^6<Fu@$qq0PtXk+ zx3*?q-Ctj?s-lu{W`?0(x9KnE;*TbA^Ff!vfPy_KX;B-G<fH2qkPu^d5O-D1)xxvt z+067QbLXx#zgOW5YJQcJfKJk1Vp;qw<L9TRasB__?S8+d?r+s`sm$J4r~S>RbMtX< zT)4J2dTZuowXd(Q`*(JBc6E1WUoFnQ5>vk~VoS!vu=R0k4>q%dZj+a?uiN9JHu+52 zX16|>m95<3pi?4s->u(S#@6g;UH<M$`uy5uCr)_GJezjhG*8OEtZduu8Mb%DUzQbq zo?CwJ;=bD7DxUlQ|9!u;__?2}t83<m2M1@_*YBHG^T~7mdVg<;H)oz-czUEZ?L~=l ziH=zJp~HtoWn}I=D>gMTd2wrN_N!}aXD3IzoV#k(s*vE|#V=lDEKiz!H*fmUq=`3k z@;-lfG3|qh|Kek>g98E<6h1!ob5DfEpVh|ut}!q$6x6>sm3_p}RF#2YZhZBVxZAJ) zH?CTxb@l4iow54sO!qupzV~U<`q<se<ZP>6e0X@+%iljdGc$AcqmS3(i`Rs$))DJY zKD+1Br=rNUVMmV~*|O6<ex0*}L&IgO3g5?u5<Fbo+?N+Rx8LcjD?XmIQA111%Wd(+ zjcW^IcTJceF#VgSr)Sjuy1i<?vz}c3X}eJ1I%}ZJ4r@>@FM8rJ{Vg*a&xLidyZ>Bz zK2h1-r0!1zSK)V#xNHUnh8^L79n1_2-#2X&d-Uh;eh2p_b^dd$mO3`Goy;&f1!<;l z-MaPG&f?|qzg}}4I&?@xPEK#~$t8yl9V)Ya->tuI#ogWItG8?kss8pRvsh7Y!`w$q z@2p{M;cvSwC-Fg(&^z_=$J~~(6MxtK|NDLF?Afd1_Ex?8_4Reti-qk|CQp96{ok&p zZgKrndE2-Du046`RFO~MtITVArzN<=h#%3IyKeQiZDC87F7@*8c<~}Y0pj{{LnS^2 zh6SHju3L9)YxZ>!Ny(KPHf-qQV}IKsSm>ZGBqZb{2(rJypKZqO(h?2^28ImA$tRbv z%hzmJeAvNyu>iP8a1cM-VaUM1@Zg@ng^QrR64c4Df~^)%CIiC(evKnwxdt)osxK=R zEnZxkH-E~MCHw!r&DVYR{Qm!c+lz{dqH1J7$w5D%7ozh*lG$uuQ&UsB?dw;r40LvO z?*6r6#fl4GUS7U>;lhIXf8V6*@(O~A@PZytL@+Qc;9j+M?NpV}@bJ|;c0`1&zPfS! zk|i#op`m&GyX*e$S{JwX)yF-ms;Zz;mxry{yS{Aq-H$i&wp%BCI1(MNzwgJQW4+SX z-&is*Ff>$4LfyY>!I2{_scC6imX?wI_WyQ(8hN(W-!x23Lxt6R0&;TJOw);clu`ff zO=Pc(Wss((CaBBs;^N}cmzPwJ|NHZ&29$Y|kN1TZ6>TaiE}r{~o1g#s_4xX?6*aG} zXtuVs?dm-n9Y1Nx?AfbVhp&ItDjt{7e;O28B^+FZ;2<mstgNiuRq(J$FK*9@i;LZ} zUtL-G>%(FG(sy?(L8T?AINX|jJ!SJvlj3JSOP4Nv`RVEDU-$q2TfWe_9aPdyx^m&d zf}S3pv-9oaU0q#wReW6JH{b5=su_E}s@|0=-CpuCDEHo;ow4hfL7m;e2xU;jGc@RB zottCH#l<yArB~KEY`R|Tr4J7et9m-O^Mz()tN`s&m^yv>>mMH<pE`YdvWg@R+uong zX1}_;ydTv4UbRX~R7~vE*6VSTRan{BvJN(}T2*{V=uWn(|5vlQ_UpU5(Z$csT<j9n z7Lk`<|LD=9ZSkNqwxBtn<MpCPcE%O?EFjOnxO66M^W*Zx7gwxUp|Sk0+u}f8US3dt zw4!3iuJZTqZd~78p3lm{aw2KtiamQ`1O)~Cf_=ZeySw}5zS--<uTR;&Z(II-z1e4% z9ha;20d)wMdQT6Dk6&+`er`ql{yL+XKDxWu7#J8F+!qO4XN|2p^|xIXWbc8$M-x80 z^_~T4Gptx~p;y{mL`+QWW!b?qX`5H(-`~f@#}}5Gx^&g*)v~P{^7sF}_EM&?v2jz> z+P>qdsi|vUfr3R#ODiZUYL?2%ZQH`cx?M9fGjDB7ZU>EkZR!TuQKE1Sl5ii`nP*&3 z;FUJ>(GFWPp`_X*IxTJ4rAwDUnG#fSs;Fd~on<;{$**5kxi>Z(d?^F1yOaO#Dt#Sw z_4>23vqNKI-u(Fa<8i-ia7a)KGXsM|y0aoU8W~<Z?zg{ou$f&{Sa|V_84{wRqM476 z^-jw3Pn<b<^5Qpda*iH9u5D--I9c7lOsa5u)>W;wvAe_m{{9~B<kWPmM{;ok!}rYg zXTLywqg_JHcP}q_3vxZfgMGnqaqIH#?y8LGKAW^r!^S4&;K74>zu%PY))DJIleXFa za#Gc6Zcq+=1I_<neRT(}OaU`MM1y<)Bn&_thCdBXpfUi=W_Z9Z@(98Lk@gE91rCVK z@PiSWJ3%~n=>p;~)Hy)C4dQ)ZhGroUXYgBf@IUj$@Zv=$WUD|)lEKr}&t;ucLK6Td Cfp{|j literal 0 HcmV?d00001 diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index bcc655d..a56410a 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -3,39 +3,50 @@ Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? +Dans le cas on on utilise un process, il faut inclure les signaux I_sel et tous les signaux de I_0 à I_5 a la liste de sensibilité. (Il et cependant possible de tout faire de façon combinatoire). ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? - +Dans ce cas, le signal renvoie la valeur précédente. Il doit donc ajouter un élément mémoire ce qui rajoute un comportement non souhaité en plus d'ajouter de la complexité. Cependant, dnas notre cas, ce n'est pas critique car le cas n'arrive pas. ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. +Le test est concluant. Cependant, il n'est pas suffisant pour valider le modèle car certains cas ne sont pas traités (valeur 6, 7, X...). Cela ne nous dérange pas car dans notre cas, toutes les combinaisons des entrées pouvant être générées par le reste du circuit sont traitées (le circuit étant combinatoire, il suffit de traiter les combinaisons des entrées). ## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? +On inclut I_clk et I_rst carce sont les seuls signaux pouvant changer l'état du module. ## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? - +Si nous n'ajoutons pas la ligne SR_counter <= SR_counter lorsque le compteur est bloqué, SR_counter gardera la même valeur, car SR_counter est stocké dans un registre et cela n'ajoutera pas de latch. ## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. - +Le test est concluant. Cependant, il faudrait théoriquement ajouter des cas pour valider l'intégriter du module; certaines combinaisons input-signaux internes ne sont pas présents (ex I_rst = 1 et SR_counter = 4). Pourtant, comme les comportements des différentes entrées sont indépendantes de l'état du compteur, ce dernier ne va pas altérer leur comportement. ## Question Loto 7 : Combien de processus avez-vous décris ? - +Nous avons utilisé un unique processus séquentiel qui, à chaque cycle d'horloge gère toutes les variables O_l_green, O_l_red, O_counting et Sr_State en fonction de l'état actuel de la FSM. ## Question Loto 8 : De quel(s) type(s) sont-ils - +C'est un processus séquentiel. ## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? - +On aurait pu utiliser un processus séquentiel uniquement pour gérer l'état et un ou plusieurs processus combinatoire pour gérer les autres sorties. ## Question Loto 10 : Ce test est-il concluant ? Justifiez. - +Le test est concluant. Cependant, par soucis de clarté, on peut modifier le TB afin de s'assurer que les pression du boutton sont espacées de plusieurs signaux d'horloge. On vérifie la présence des valeures dans les registres, en analysant les signaux du 7-segment, on vérfie également l'affichage. ## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? - +Il est parfaitement conforme: + +On observe bien que tous les modules sont présents avec les bonnes entrées/sorties. ## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? +LUT 72/63400 0.11% +FF 89/126800 0.07% +IO 21/210 + IL n’y a pas de latch ce qui est une bonne chose. Cela montre que l'abscence de comportement inattendu dans le code. +2 BUFG pour l'horloge sur 32 ## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. +Ce loto est aléatoire pour un humain. Cependant, une machine serait capable de suivre l'horloge de 100MHz et dans ce cas, le process est détermininste. diff --git a/loto/loto.cache/sim/ssm.db b/loto/loto.cache/sim/ssm.db new file mode 100644 index 0000000..b9d860d --- /dev/null +++ b/loto/loto.cache/sim/ssm.db @@ -0,0 +1,10 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 12 10:09:19 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ diff --git a/loto/loto.cache/wt/project.wpc b/loto/loto.cache/wt/project.wpc new file mode 100644 index 0000000..6888ede --- /dev/null +++ b/loto/loto.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:2 +eof: diff --git a/loto/loto.cache/wt/synthesis.wdf b/loto/loto.cache/wt/synthesis.wdf new file mode 100644 index 0000000..da48581 --- /dev/null +++ b/loto/loto.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6c6f746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323973:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323539362e3033394d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313030382e3331364d42:00:00 +eof:988567477 diff --git a/loto/loto.cache/wt/synthesis_details.wdf b/loto/loto.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/loto/loto.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/loto/loto.cache/wt/webtalk_pa.xml b/loto/loto.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..e1ce28f --- /dev/null +++ b/loto/loto.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Wed Feb 12 11:22:49 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="94fb0400f1af4ac9b292d5cecc065205" type="ProjectID"/> +<property name="ProjectIteration" value="2" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/loto/loto.cache/wt/xsim.wdf b/loto/loto.cache/wt/xsim.wdf new file mode 100644 index 0000000..51d5206 --- /dev/null +++ b/loto/loto.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/loto/loto.hw/hw_1/hw.xml b/loto/loto.hw/hw_1/hw.xml new file mode 100644 index 0000000..6a35837 --- /dev/null +++ b/loto/loto.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a100t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a100t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/$_project_name_.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/loto/loto.hw/loto.lpr b/loto/loto.hw/loto.lpr new file mode 100644 index 0000000..aa18adc --- /dev/null +++ b/loto/loto.hw/loto.lpr @@ -0,0 +1,9 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/loto/loto.ip_user_files/README.txt b/loto/loto.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/loto/loto.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/loto/loto.runs/.jobs/vrs_config_1.xml b/loto/loto.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..486e184 --- /dev/null +++ b/loto/loto.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/loto/loto.runs/.jobs/vrs_config_2.xml b/loto/loto.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..486e184 --- /dev/null +++ b/loto/loto.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/loto/loto.runs/impl_1/.Vivado_Implementation.queue.rst b/loto/loto.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.init_design.begin.rst b/loto/loto.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.init_design.end.rst b/loto/loto.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.opt_design.begin.rst b/loto/loto.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.opt_design.end.rst b/loto/loto.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.phys_opt_design.begin.rst b/loto/loto.runs/impl_1/.phys_opt_design.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.phys_opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.phys_opt_design.end.rst b/loto/loto.runs/impl_1/.phys_opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.place_design.begin.rst b/loto/loto.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.place_design.end.rst b/loto/loto.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.route_design.begin.rst b/loto/loto.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.route_design.end.rst b/loto/loto.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.vivado.begin.rst b/loto/loto.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..04550d1 --- /dev/null +++ b/loto/loto.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,10 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="m22kling" Host="fl-tp-br-637" Pid="46380" HostCore="4" HostMemory="16257804"> + </Process> +</ProcessHandle> +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="m22kling" Host="fl-tp-br-637" Pid="50696" HostCore="4" HostMemory="16257804"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.vivado.end.rst b/loto/loto.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/.write_bitstream.begin.rst b/loto/loto.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..5012ecb --- /dev/null +++ b/loto/loto.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="m22kling" Host="" Pid="50768"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/impl_1/.write_bitstream.end.rst b/loto/loto.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/impl_1/ISEWrap.js b/loto/loto.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/loto/loto.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/loto/loto.runs/impl_1/ISEWrap.sh b/loto/loto.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/loto/loto.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/loto/loto.runs/impl_1/clockInfo.txt b/loto/loto.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..9ea57ca --- /dev/null +++ b/loto/loto.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Wed Feb 12 11:24:34 2025 +| Host : fl-tp-br-637 +| Design : design_1 +| Device : xc7a100t-csg324-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/loto/loto.runs/impl_1/gen_run.xml b/loto/loto.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..552c665 --- /dev/null +++ b/loto/loto.runs/impl_1/gen_run.xml @@ -0,0 +1,231 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1739355769"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="loto_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="loto_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="loto.bgn"/> + <File Type="BITSTR-SYSDEF" Name="loto.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="loto.ltx"/> + <File Type="RBD_FILE" Name="loto.rbd"/> + <File Type="NPI_FILE" Name="loto.npi"/> + <File Type="RNPI_FILE" Name="loto.rnpi"/> + <File Type="CFI_FILE" Name="loto.cfi"/> + <File Type="RCFI_FILE" Name="loto.rcfi"/> + <File Type="PL-PDI-FILE" Name="loto_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="loto_boot.pdi"/> + <File Type="RDI-RDI" Name="loto.vdi"/> + <File Type="PDI-FILE" Name="loto.pdi"/> + <File Type="BITSTR-MMI" Name="loto.mmi"/> + <File Type="BITSTR-BMM" Name="loto_bd.bmm"/> + <File Type="BITSTR-NKY" Name="loto.nky"/> + <File Type="BITSTR-RBT" Name="loto.rbt"/> + <File Type="BITSTR-MSK" Name="loto.msk"/> + <File Type="BG-BIN" Name="loto.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="loto.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="loto_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="loto_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="loto_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="loto_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="loto_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="loto_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="loto_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="loto_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="loto.drc"/> + <File Type="ROUTE-RQS-PB" Name="loto_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="loto_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="loto_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="loto_bus_skew_routed.rpt"/> + <File Type="ROUTE-CLK" Name="loto_clock_utilization_routed.rpt"/> + <File Type="ROUTE-SIMILARITY" Name="loto_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-TIMING-RPX" Name="loto_timing_summary_routed.rpx"/> + <File Type="ROUTE-TIMING-PB" Name="loto_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="loto_timing_summary_routed.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="loto_route_status.pb"/> + <File Type="ROUTE-STATUS" Name="loto_route_status.rpt"/> + <File Type="ROUTE-PWR-RPX" Name="loto_power_routed.rpx"/> + <File Type="ROUTE-PWR-SUM" Name="loto_power_summary_routed.pb"/> + <File Type="ROUTE-PWR" Name="loto_power_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="loto_methodology_drc_routed.pb"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="loto_methodology_drc_routed.rpx"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="loto_methodology_drc_routed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="loto_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="loto_drc_routed.pb"/> + <File Type="ROUTE-DRC" Name="loto_drc_routed.rpt"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="loto_routed_bb.dcp"/> + <File Type="ROUTE-DCP" Name="loto_routed.dcp"/> + <File Type="ROUTE-ERROR-DCP" Name="loto_routed_error.dcp"/> + <File Type="PHYSOPT-TIMING" Name="loto_timing_summary_physopted.rpt"/> + <File Type="PHYSOPT-DRC" Name="loto_drc_physopted.rpt"/> + <File Type="PHYSOPT-DCP" Name="loto_physopt.dcp"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="loto_timing_summary_postplace_pwropted.rpt"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="loto_postplace_pwropt.dcp"/> + <File Type="PLACE-RQA-PB" Name="loto_rqa_placed.pb"/> + <File Type="PLACE-TIMING" Name="loto_timing_summary_placed.rpt"/> + <File Type="PLACE-PRE-SIMILARITY" Name="loto_incremental_reuse_pre_placed.rpt"/> + <File Type="PLACE-SIMILARITY" Name="loto_incremental_reuse_placed.rpt"/> + <File Type="PLACE-CTRL" Name="loto_control_sets_placed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="loto_utilization_placed.pb"/> + <File Type="PLACE-UTIL" Name="loto_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="loto_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="loto_io_placed.rpt"/> + <File Type="PLACE-DCP" Name="loto_placed.dcp"/> + <File Type="PWROPT-TIMING" Name="loto_timing_summary_pwropted.rpt"/> + <File Type="PWROPT-DRC" Name="loto_drc_pwropted.rpt"/> + <File Type="PWROPT-DCP" Name="loto_pwropt.dcp"/> + <File Type="OPT-RQA-PB" Name="loto_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="loto.hwdef"/> + <File Type="OPT-METHODOLOGY-DRC" Name="loto_methodology_drc_opted.rpt"/> + <File Type="OPT-DRC" Name="loto_drc_opted.rpt"/> + <File Type="OPT-DCP" Name="loto_opt.dcp"/> + <File Type="OPT-TIMING" Name="loto_timing_summary_opted.rpt"/> + <File Type="REPORTS-TCL" Name="loto_reports.tcl"/> + <File Type="INIT-TIMING" Name="loto_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="loto.tcl"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/loto.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> +</GenRun> diff --git a/loto/loto.runs/impl_1/htr.txt b/loto/loto.runs/impl_1/htr.txt new file mode 100644 index 0000000..0691ed2 --- /dev/null +++ b/loto/loto.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace diff --git a/loto/loto.runs/impl_1/init_design.pb b/loto/loto.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..00933effb69d3574bab515c61a1b394a329e966e GIT binary patch literal 2471 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp&2^c(A3;e&%(mkh?9%m$iPU? z!o<Lcjf=(5#L(D?or~4b#K_z-ip|hm*WAb`gQ0+@f@cCFg8&m(JQt61er|4JUW%21 zYB84pixiWou@+}pW?5oNeo1nUksg;$PG(+qd`fC@W_q52Zb^QDLQZ~3zJhK+Vo`}g zMY4IKp@Bh3a&fw`k%_Kh6sw7@p`mdGLjg+#3&e_0E@c;p=FDP+l+?7u(wvgaymW<< ze1+no<l@v4n9a;4#^zd_1v!a%jv1+mDMs8}oW=R2Maikf@rF@shDN$3#^%TdM{ud( zGB`OuuehWL)oc?qv!QC>W}6_J?aIaPlb@IZawpiIs>K4VQp|>?=2~nisb!hTsh|kL z@I0H5p{|Jm$oH%jtPtNvbEyO*7J>b!kdmKTtdN&qqL7hTma5?F>gcPGlbKYMSX8M8 zGTqY546o_zMuxhUMn<48fE)i|P$X6vE-Am%lAO%q5{2UYB5>>`=jRsWq?V-WAthS_ z14OcgunjGZ4fQNdOhIYXK+nJcl4uRg%s>K$#+Js$*b;3pm#$-8VoqfhD0)<j6+-he zi!*Z-Qgc#sQ}arS71HvH6pB&{auSol0$c*DQcQ;CTI_icHySZ>F`7rQ8d>TZqJ(7x zml4#YkfOxA;<Wst+{BX1{5%DiUs4n@^FRfGb3mv<acXjYUP>|8Y$FR4vkkyz8(Cy9 z6u{#tgi8(<Lgk6Y3XrUwqEMb$k^wRilDv#84Yk;z+1-ePi!BVC3qdR+10xeX!zflm zT@!OlWOKc_Gy{rK3lfV!K>_t2*#9~C>6yt2`2{7JxtUd9Z-AW2Y;0l)w*q9Gsks5N zaovnuVgZRo#URrnT$~l$GILTDqE(AyK_vsTp}D0NH>4maEy>I&HuB<f)6dAyO)b{X zH8RT1$;?aF_jPq~_V?2-DbNL#61u4+r74+-c_q4V1;s_l`hKYumBl9UzKO*psYQAf zDaiti7K~ABMh3cuVDG`pjwy^>@@|=VnZ+5YDGK-;W<h(0f#MVHus|-&fKsrlRErfd z^U6|-N>Yn7iZwx{nrg8^PJUvFLP2JpMzN+IDBPJWEwq^P3ravq&j3tBu^Aic8XAKP zg(s5tLpw7Y8JZaCnHU;?@-8GZvvM(8nnEfdV+%v96;KYBBKAxdT$-DkSX61n1<4zR zhDdosolDg(A0ykBrxv9ul)$oXYKk5gD1{pu8X~9gS}u3hVg=8<wESWnP|gcaEXvEw zONVfri!w{V)ig|0Au%rnq{_9ZD8Hy!AvG^KzcjBTwFqQ5sID}!K&~s9xfm_Lk&7Ur zSWI+HKn{SX9B(cu)ncR~rMNUXIkmVrtu!a65|qoBj4YAO<mKW<s(-=8fhusgaZd(C zn&Ic-MRFx_Q^mj(smwPAvEj*tlZ)Nd%u>(P$lQpCgu=(g<(ikG5R{snUz8%i2mlQP Bm6rej literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto.bit b/loto/loto.runs/impl_1/loto.bit new file mode 100644 index 0000000000000000000000000000000000000000..bc1f405751758c3a88b41c78178c75c786a5fdb1 GIT binary patch literal 3825889 zcmZSJ<o^JH3=E8k3~D*~CHdB&#i>P}F17{{ZctzymReMtnV)BCWME{XXULGmz-yjp zXkbv1T%2xfWWtclz-?q;WU6mqq;F`%kix)eXlP|*YGr7|kjh|{Q1hPvU|?X_EvUrc z0#mkXW@wrM0|SErlL8|Hm}Y>`3=Lo!EC*q;gV-Q!z?1-%GhkF;WMZ&?YQT5^%5Pv~ zP8OJK08!5gR?7gAV_`I4Sis1@z{+R<<})xDFhlGCslkU07@+Qgn8^rIYrx>Z5WqL} z2FSgmcr*k?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz91y0$grb>~p{( zHYzk40;3@?8UmvsFd71*AuyyuV6=`OQqeW)*wGLe4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2cav{JKgyCcs43SZR(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83z5dzrO5;?LkFt82^*Nsd^NI7Eqn~8yefeA!lN{xz)hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kina0&qh&aK1AJEMLX4S~@R7!85Z5Eu=C(GVC7fx#OB0^qxOQQgOk${pp6hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Ew=wz~zPM85T^TQIXLQ7||gh<&Eim z4osm@k<kzs4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Au#Mi0R3(gPOV|@<xvlghQMeD zjE2By2#kinhzJ4ndzmD)M})UWJvkZzqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx9R5fPTLTA>$=R?>`|F zM5FSfAut*OqaiRF0;3@?C_(`JeiK5D;uyXEgisKT%8!P?Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz5lL2slvJx$JDzHE-0k(GVC7fzc2c4S^vN0;BcG5Q(T!XO4!z zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 z0YnHeBbZcS2{2N{m{CJULtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@Rpiv00 zP}y8|E-D*1YTRfD41y5gpt2jpxv6a6sBxnqFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONV3>yhweMdf6kKAX_cIa-qEY$L z5Eu=C(GVC7fzc44N(fN<?pQ*OVjI0%mQWCl%8!P?Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc44AOz@r{~{r$ijLmTNGOO#<wrwcGz3ONU^E0q zLx89dp!fZYgdD{>dOstfAR3h)4S~@R7!3iMhrnQ~8_4mnus1onM)iz_z-S1JhQMeD zP%{KZ>l12*=BQbtAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqalDd1QhVf4iQP=&>`Z`QD=^Zz-S1JhQMeDjD`UHLSVFxr(al) z+B6yhqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^D~<X$WvRfE>p55kwAc?0Iu&yC30t zb@19Q<`W2!p~?~{7^*HGw(b^?+%nAkCNT8<BkVHt{ea>FaIJzOGSoN@Tpxy-lSkb< z8UmvsKz;~-Zc=t&U~w4wg%`6J`Jpgqbq?`*o;hXEhAhbr1KknS0oMiMK{-P#>j)P? z-8~$rCWt2*92guLhFCm6Tn-_HCqU?-PYN&%eLp~aAixWuhdwF50IKCdm;sbWKzN9H zih0ivbvQO>gK|m(0|Nti<O@l`5Q`syr$}xe(o7B}h6V-(XwB}x0M0c-(?bGYL(|>Z zUERRoz|a7S8ZgGLX7Gy&_YHnG66Zn=0Txi^Xe3VcU{*DZ#_AOq7?cKcP!sJoW<#Qt z4swM|3<nq(7<fenxig7zUO6#}hJ+d>1_rhU2F4-bR=kd6R>dnlge2M6nD~Z6j4&_= zM-HK2$LrLF27v|!p<z(PGYAZWybg(~mPQd41_o{jXK0f-L)-ZX*E6vl>QV?~=|+eQ zS=O+r<J*^C>r)ElUp-`F0`73;2sm?yGy0}q>(dPG9}fA1bBIR;!ujg8|GT=N<6Q{R zA;@BO9)ga>>f}}G|7*{m9}aOM?2XlfLs0O)`u~0B&vOieND&wYet;yPQ1yMFvTNA* z2jZ)tO}a3EXMcymIvioYp&b(l*H<t!NHH)lxFJM_Dofa7sJa}}-T!Mb#fGE^+mLiM zR!9HehgEp!2@az;sr^6nf*-4khf$pD8;ujJQ9LR*8UmvsFd71*Aut*OqaiRF0;3@? zLP7wvj(doERoHQedjQG#pnVRg+vY$bND77sa~SP)U||qo5ny3xz}V^lj|$WXg9{Hf z2K&%VO1Oeife9KT=vz>5X&8*+0&fPRtH^ZIFj=d{Jd_g@O4MmUH)wG%Ffj~~LJGzG z2#&y21bY~;1Q?+4F_dG4dC4${35XXsI2bq>V7Ub%G(<^|Cx)ogF`UiFz`zKuQ-*Rb zVPIewHs^O~Ffb@6C@?T|Fd({(Xp2~eNZl!Z10%JK3XF!pXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjD`TsLjXL~MRQvywLpll0e=zWbqE$=ZG%z81sb2DsK7@b zU-JiXz>j}Lu&87=+7KX>OdamVv@oy^cQ20mZ{R|J%Ylc1fq_Ms3%ojtWh<8#=x9!s ztx)l$1LrbQZT1Z(RmWgeudd(%Iy3c@x&r8+PUbV}8VU^z49q_23N8!`4a`1+)!kU! zHJs)dv3PF?3Jt$|f`(uuj5H^M>XaeoX9uo|A?D&y=MJe5SO8sh2XZuQImA%x2Q$;D zZwd-0nq%17XGnns9cpo81g$TE2+`aHRIz|Xg(}7j0z+677#JKFIFuM192gxMgd7<d zI20Th9TLD!gJqkcaj@ziu$zYg!IZ$@n83gw!k_@YK0rW$K>)f=6=}iOknpEK+mLW3 zagJ1gZgk*)t-51^M#s>qRall0=iR}t%At)>h_k8jKm(H(gCfHjh64&LOe_o_*Z_^0 z!S4r%3&lZ)yhE5noOEDtU;qzwfo^wT5Mc;l02O3l4wBDcIS5H;&@n}Z&z&?33=9nm z7#bRyI=Gq`v_NBD3=9lRP<qe>JRS!L#Nm+~T2gHS+8j(BO5k_^6<10eN=-}+3QbH+ zOiZBR$RXn!mSJ<I8e;)tfB=JF2!ntFgCfHLfd&T9wHx3r3**qL<JpJJTp$N0xb9G7 z;NVaY;AUZ9QD6yRft-ZJ;>f`eG-R>~gD@yD4ISs=aj8g(B9nrH3Ijs|6DV6uU~Eue zU;=I3VrXap=N3GA2bUDfusL6tfw4nDiII_kiNV3qlfi+hfuX@=3gp5w21f9eje{%X zal1yq6}Q~bk`Yo+XlYSkaarKN)zBcYNg$!afrCN71T@g40Pj2w2`@4491_kX!jTRQ zT?!060s=}b3=A3$9Bdo{0t}3T4hB-PTnPe0>hd#@VRK%669Yp7lY)aw3sV9Mg8+jE z69WUI00Rr-QYHp21{OggVs)@6VShPTTtcZk^bHsTf&_{h-4tGYyTHVFK}<lUlh;eY zkwKg(gpFmwQ0TxzgFql1${6yLK=(Z^of9k!8#)*nv>cbHcd|5uD5-K7%wynK<*3aN zICMNH5;An$ir=O7yZ=|!{iyr<ZOzMX|EjnCpI@@%u6=&Q$NlnM|HAbr2IJQ~m}J?{ z4kp)7;+Fsa@87DwSJwFb)%$P1oZo)GKjq8a{Cb<pdE#9E-1R36p*UgrMoBmfCcO;~ zt5!*K{aeTIpFxR1y+NVDfq|8QKY*EmA#^BJ@Sq@N1`)#nbFlp3;o^Gtj^RJUr%-hc zCItZo2IJ6x&kPKqL(F^3c|**>IGxMHc;-xeyo(FN|No!<tFtgNF@oYG{<90iDx7)- zr-X3U;B*)1u2K+KwMsfZgoWY%f2CFG4gwr3tPKD8<Cz&;Rt>STOMsnp-w#e5jtoK_ z91IL@DGUNe3=9lnjvYcB3=E1a3;_ZhTrCVvgVWX6TqQiDMjf#E047$!&=3W>C#bNc z!%~BV!L7of4a9fJFlb|90Udq^Q#j~p@x6oIiNrdPk-_-?e}@0H`>OBpxG)$q@PA-v zP~c$V@D2@SFlPQttTBT?-Kjy~2C6vW|G)pn0t^iQL4c?H%zp+3{tpfU984S)p`rhc zS^24A30(|fo<bLE=wQkBfA7*57#RP7@`-)9tn3GV1_l;J28Ks>7cqP=_&S8{s}X)k zhv1@*6^w#u|Nk@G2jvrc7g<>beg+26;=4z8LqnNu!oSnU5md3Iges;C0z)7R3)mF6 zK(km3pnZ%WN3jf{eT*RYf-rL>h#U@B4eSY~4t4N)ZRRszx}kX}?PCP{fPq0c2g(?w zMnixOApqTgLI<0uYX$QP>Y6v0OdDD&h!7zsJk$p05KbRu4S^5<wY)I4`wzu6+^>c} zU=!s;5eETQ&<-sD21XFg!U!q4AS6*H3>rmEgT^IPafpBmq-JLX_flZDJTMH6eT>i$ zV7~=r40&n+Br+HpK+98^hEyb=hrKVlz|iG`mTogJuyBAnjnD`}cm`D2Ab5kC#r}9u zJC6j%fntG?iGiU3-z{e(m^W}r1@;V_-PE;N0KD@@1XRsqGk6H)6Onps9vF&Z8lYA$ zmjVX^gAxM+2Y5&r>8y_-v^14LeAtW&J1{74fzpx!BLmmaYG;95jf{n-A@hbZn~{M9 z)Yxh`#L>X0z{u1HI_-{ufng}_*R&bR@qp}haEFA0feqA21l9M*azl$P&@;5$OUSjL zioT(Rp#fC65K=o><%R18tIJ4s7ql8jEaV!hxkX?e$$lTC8Z=m0G&DhObAZ<HLp4em zgfRwELEajQSb>2FRHcAMRzS{YWawm2a8Ljry8_}5AuKR^2sxHWr-F7eGBUL?FdShR zic!KaeD1|gVBi3iTI>xBOe_qHj7$v7Oe{k*P96}M_y)Z)7A7VUCJqJx#-@e_=7t7F zhK?aS@HLdy(c(=PCCpqQVjPU(txZiW%#DrAtldmU7!(2^gT;7t4mL^QVY5#wg^MeM ziGxwR5gI2A-Aqdy6aql?{$LAq0`3_;dmRXPbX0mY1V%$(Gz3ONU^E1X3;_WS1_lKN z7X|?)1r`P&M+OcDt`-i&@E4J$3<711gTM{casqgMw}PQTiiLrJNr0h2h=oH5Ix<Nu zE9ha4z<YYwLJvD!S#3-h7(N>_FerkpTeT{{;J<O`5L|mJAO-foFd*Kqx3T#TjuS|v zfa2tz*{Y!!C&Ong5vz?21H<{l3=A#c6b6nB;~{sj2Ll5GvmMw2!+=-~&L^NafkX-@ zP8iMp54FQqKr!(IM2zCm5Eu=C(GVC7fzc2c4S~@R7!85Z5FjH2hTwP#vM-qvk$I!+ z(GZ}12n@mLcZ@(7QunH3xPDY%u!jK4P+qu=6u1JsNSvX~1m6k7(7^!Or3Jx5)IS1W zhN#2wIeW;i-iCNe7;;B3gfkpSQ27O#Zv^2X>L2FiL)76|oDJHcg++MC2{CI8IVa<C zFbAkE0qy(6rC_Lt3tJ8qmyWt~Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6xW0z>f_KY<~>wwC<Z8r3-(0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?ZV0dp zQLhWH8lny#b@q@90gfT*Y6b@8)kD<bqs|@;fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GZ|01ehq&JusSuPY#T|qqdIR5MUgFUS~cr1RXu<<k1iq4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhnPh5*wL^tbTIA?WB)ClBcmU>t(3XFf3m9X;yg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%E&LO}=XZxsOo$yI&m@;a{ zXb2D=0*u5f9W*MLPYfETj5=gA1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-R~z+7MtGv@XN#FyWK9<wj*jLx2_`z(@;2X>2C*2^w24YW!#j zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UoY{0SD?CMK6<tkI~DfQF}&102%_L`2-pe zqts{!jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!84u7XphK7#J87ICqXbpO5-@ zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nz#$+2CWjQkd}K&Dchs??Aut*O zqaiRF0;3@?8UmvsFd71*Aut*O#D;(Zu?h!|I^m;($1PNL$q<YUNC+@*h0vqqXb6mk zz-S1JhQMeDjE2By2n>-B7;SeAk%$^~=75I4Xg(Rx;KyqDXq;dTmQlgc5Eu=C(GVC7 zfsqmdQo5)<9kLZ8sy9Y?gEIsi2B)(KyNY=mVKqZm1<TNMIrE~S>26|O4cZ_`tlGh% zPWb#_amlDVMnhmU1V%$(Gz3ONU@(S&0|Nu21B1(8bQ%FSasGlSZ~(REK^P`5^l7FA z3`#@L7n1Yfj$XjPpwI;8jWR|<U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFw8@M zagg{=)MJo1WDq!mX%M&p<OEhv5IKrRLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zQV1vv0?)GM4gyDvI$<;fMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%#uDFhrG7#J8p z7)fkkn9>IZ#$Lj<(mjk8Ffb@I5q1~tRj|g=-VX9?P#82}M4tOcb&ZC=Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB{A)vsy zbqKg})QO`ZFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhl(hXB(c@jI*M zAaTg3Ge$!IO9(Jx5gQ00QICPJb=1z$5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?d_#a~ka&&NbC5V>)ET28fF%SNv4{<Xkf_H%*g9(G zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu;sGDBbjnfj=$jhWg8j+!?b0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?;31$u9~ZE>(#M`rTSh~GCLtg|6H_q^6?4H58Wk7~fzc2c z4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3^7jS!&H{f*+I_cKx>Hb%`DtRX<9`y1Iu?`IsWF+A$7(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O!#xCuyuXnnb+`w_ zsQ*So08a=Id4Hos3LY~?rA9+wGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#lN%aDaGK?iqw8iiGMRN-ancsp>&`M)7C}45koh zfH;Od4?+(Hl4&qFV$=<zA%G<W<T$Vh4v-Mz0NFd(tYwFmVMMu$6ty4&NmUQhGm1w; zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A< z3jzLHgUmrBJBNj29itjXLtr!nMnhmU1V+JV2#kinXb6mkz-R~z&Jd8lJviM(ysJ2f zS30V4Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnho4hCt+kq3(4i2e1#Og2~}QFb{QaFe-q2 zzzB&M5OWldh5(gAfQf-~CzXvHHEuKnhF=IYNNyi~o*MPhXb6mk!0-<NxwygOM>2Mc zGQwRi0B4OdMniz=As~N@>PFJtKn{imGO`%mok_HX{J)7-I`|YeFfcGQ48Q#+d>(@* zsL2RjCaCL&RX&0GX_Oj7A;5on5IKfSr;w4q$h3(*+T<V7#~zy40<TXR7#P5#!!)sl z?uN>rqPvw;w{W;sCj2+49z=9D5LV68+1gR-MpOvMUm5D&W#V9PU|>WHvJ7?qFfi~R z9QuA>7%jerK_m`tFO0Uk24DOP{V_;J28JdEreRfl@eICnLYj*h8CZr{oCvU!76OA$ zH8abwi<4n6Sj4z`@THWI?jph6BRvELpZ|wjoCpkqvMX>X&y^0oBtGgQEFmx)`iu=i zSUff=G#Ub<Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFfv0xWe|HC zwrC3{4LLl5g^`6}IIU0R3mz<Sf;CiOyA<)z9H7<P0t|yWZIKwn0_G%Y7<|e>tLqsU zV0mfqxsPNQ$q)5&iH1>Jz#>n+8^#)X^e~tr#Tw}H)36E;9YID0NJT%4@(Z8(U<rOK zsh$bC`w9om!PKC@z`&uv0Fu`jEdCgE$8ZdRp?^Ok0|N&b4#(IT_0wnwjD`TN5a3tE zB{N9HLA~}t>a<a3jfTJ|7!85Z5Eu=C!4v}WLv4&3HfleZ0+GsY8I2Pv2PhSc8;uhx z1R`~fXy8gm6b1+ubuAe-rqSuX1?IaP9k4KCfwN#Dl+g$HDbtUx`vNbz$gt!$FeB~H z9L*<#BaWqz(lR2)3=X%AR9CnUy1O<wm_V~zEDD%3D2`YZxRk*1OZgz`mU1bB`CCD< z!vQmL$Y%~Vf2c7eFfcGM@nF!5pso~5oY_ZRA%TN|f!Rlm0YVQpe~{~*(K~6#4LREB z8;uj%h3%-x!zcts?+F@&ktq-{2pvU&lb~m*K`9b64q~N(O@q{N0t^h`J}hoJ07ZU~ zdV?Hi2@cl#Pavxs1)!@Oand4=U|$Oir#0My$zVSXegd2+P@E~k0O~9<IB+yDHLx%Z zr?nH1bcW*DA;n=~5MX40Y<Cz^?#AO-`N?=B2STa=eu6U-l!ZitGXoO`q(*1rPy*$Y z1`ZA-Mg|7Ofp9Es>}0H>jk#1e6|?LDTfxY{Ai_ADDia0<2Kl34uMHjoRt$k?(0X~o zGzW(gXnp<Q@dCvzVWZdtY8wD4nG_fx)iw&P0J?jI3B0XAfnkw`0t1JJQUikm=n?^l zE^51FK+F>m9T01&VW|jsvoDJSg99T2D}y05j2s+h@LwGq?x4gK3ZQlusEnh;kU^wZ zuw@WA2H7dFt=V{JuoMfU11L&{(_U<b66AmwO6&&k#(1cU!Hr}nd&pCAgYLX~Sfdw8 zGvcS27##!}7(^IkhS45VhKqwOSvfQ?F)%bVGB`9epwJ9pegjCH2_(<e(7*%}V>mFJ zu9y|vKG@;}>>gOEN1`Evl3-aZr$!8;rZ9v2Yp5>=4FwK+Mh3`$Fe3vKln==h3<$mh ziwFY)10xe-0z(6b19Ti_(D;H9hcF(eL^o~pg3>%AxKYKxfKG$^BupT2E(UNsuz+H( zK|rgAHf|XRQw6sSgsu4OOaRp<jg2e`p!%b+kqt&GfcOm!AU+dAV<THu%M?((!p8XE zKtsocb?fk%Ike>X?hZEhf{P(WMixk!#mEMyL2<&s0OB(-Ffy`vv50{33tMACLc@vx zt-itLkCEk`GiN~lUAj~%2}CpeXZRl)TK^r)9wi1S1hB=){l5d`7#dhBFa-Axz~Y48 z7#tw~8UBAZe*X{59u~y#h!bYx{ln<4Abz94mb9E01Xu)E7?hkC3~<pRP<ay&oy1^Z z!oUD-BM&yOkm(-&MPzEEnKl6i1`ZYm1}5Beg9J#vkfG56Ot*AcXs|H2(9A)j1~V}H zKXV4umLds{h8t}|U^Gr>8@}Y2J{l+Fgc@!2jK&FV!<S~Jv!Kl^qVs8HF&zx%Pp5+= zRJ8&!5XJ<*V;#yLM&rWr-c$`Rx)=stx=k0G2F4PBAvY!gT8YZYz~}&*f*hD|qou6^ zXyr03?V^_1py@6Urj~hw%^U&!!R8{u?g7n1LZ*fZs~w6e_&*LsS7W#t98DOaLsWo& z$gQ(wVqkCpMF9vy0v$XP31JQklJDK%^agaL9fKg$d2kxMF4%!X(2;?Olcj+n&_RHO zfx&S&Z3$u+gzHvdtq$BYsDf7j-TA->KCGSt)C3z&4dlVLcMh5_2vRH!3=K@6@(Y9s zsvcSjmJTWBf=aFf44@@l;MMdjO^ggIP7F-oMP5V7BlsLE=ZsHwK;&Rm2`)MS94DY7 z4M2q!3xf*_Xszlnn$zO*9guJ*Xeq2RQG%*OqCrc$Ig}b2nwl7znv@zCI6!3>OdhIy zNK*o{hoJL8C72NC;57z@1_n^20umU4{=ng6floLj2B&ZXcuy9n-Q@yma<MQlf;MQu z78MUpH<Rot{vo%%YlVV?0H~K3z!u0Lz@gy4(8Qp^#K20j&j+st0iD6?Hta47U{Fxt z(P2<f;!t342y!tHU}%`czy$AHV%IdZME4FY=YmQsh6Vu!78V``Mg{>6C6*QrP<Ij3 zZ5(Po!R2252e@Pgt2iU9LRVsuU=?6t;$&fD=@1#}SL7mxw)~*me}e2f>})Ou28I9z z1_#KjFSymr!T{<?Vpl%&M2FycJ|YYP0SW;O3Jg*#;5Dfd4zTX!&<lR7E>6QLI*0{D z7(y5_7y=kvLYVwOHHi&JM+1Wf<e<Vq>}(R8CNSjIdvqme39#^RXjo~dFoZcUXfQR1 zxG*t*_M4L6?Ln<ne%7FN9u~*#OQ<ubsi~-_tFMoX3(=^x>zMZ^Oeb_06<-1~uy}h= z3*Aeo3&`P#(5S0V%d5)@)u`*6bBsw#Y|x#(Kz<+!{2*WN!06=v8nE6q)YX+ktij;_ ze>F+_a?S|@;}TlgDiBC3lWAox7bs3#U0pLdBn(*p8znM;W>9El*?^cUKXX8=rNB~H zoN$OWoag75tj54F_ZkJp4Mwd`2BV|!xd~i$xrVxmwXvQ3|KBLlzC3%--K&Go{e<P@ zhu)w>fCMMRUmOmg;~`xFB%C1p;X?Aq4mqC~uz|XY3=9mA<3&OH9*3MC@H$xjEnewC zDEa5ing7Pd%*<#0bN&ARKR5G7A|nHXAOmO+Y!JGZ94GO=BuCdk>iG|i6Ndj>zdwHc z|G!<5f#LuE-AH#D4y5a-VK@JvJA06jum1lxHa0G1WPJ0V```cn+j83_8JHLh8HQfH zh!YIG@h(uDd^ToeUhtpm->;AV|JTi69%lIjXJm~^jE2By2#kinXb6mkz-S1JhQMeD zP&EVu2i<xP*is>4X{rXts9~cafHef<uVWP+1cI=Yjo4@b2Ka@H4T21yttf-Q!J|$X z4S~@RpkoN|Kc=H))U^t-7X`Ga8#JE+!qhc?z)Tx_?Jm&X5>RjuOM}!7FD#HUWc>qc zEOUTvGX>)z>y=T55Bd-Y7_2UYokf6+1|1>+y5Wg|fuRAk;}^W&VX%5+)Lo+?Fd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AwX6L@OhA>ji#DlE4r{-!py+Hz{~(5 zA@UFwgoKEUlA|Fo8Un*V1O{W-1>2c5{6l}#hod1d8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhoagn$74OB4oa zKm-g@cTvY#@=??=hkmB8(9fy?vWb7;09j0dwV<pG!VF-70)qyrR{rQg>M)$n;=n00 zTqNWd3_YKK?&1Jp1~4)7+&}8#(GVC7fzc2c4S~@R7!84u83OXthOECGhOEm+9X{kj zU^Jf$xhNZT@Ms8(hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!83T9s;BH zpA5bT6c}_T!m=<Bd&3Dt9fTZwAxplC<ZqC#cVKivE<IslU`DYXB8nn3WI2Kp2A|VO zyWxa1)q~Hsm@XQ6`2=$534}!W2c3_Q8D))z0OcXTc!6>=sA~f8WfAczsq4Z~(?&yJ zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nhHePRN738u z#NWS&%VopwMn*KB?m*)YA}-|4MF@%REU+k;K$jW%e0lGo=Z9f`x7mxK7bhSWgYRPm z6CmC&!vTZWKae1Ykb~Ei)OVSH0QJqpZz2c7urGV?2f(Q8Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2AfhJfG!YFkdP`xl4rEsgv)sU0V@ zHV<+sBZNfQh0aIF3_TYA?4jpoh>M5sO=<EsAU+&AB;;;D2#IhtIv*i3${Gy;%0oad znsPHxO`!b##o=2jp@!5bZ!`o(Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6m?5MU$2vy|RELaBZ-oIIqouvU=j zTzcI!!o&cv2|}W~5-bWP&}ByXqai^35a73<zM0fA5pt&qghV$JyC}M<p~>fRAl2RU z3JoIcAwmhMz8ehc$*JQhy`O|q{evOgM%^$P0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU}%Pb7?wM!D7`<CQvL9d<bktB6odcfQ1dS2Qbq`g za6395Av4Mv4FSqSK>h~hX3*CJNMQ>h5jLaq5i+B!(GZ|K1hC!DNa_8Fl<KG4SEDA3 zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c=nz1^n?xvqbQhtULAnW}I`D;n)K7e}*yQMS(+Cp-#3l%d z?h@>x=&FV$pTBA-x_fx%RM7@f<Ah!}jW9AmY=V%eu7t^<ijML|Lx5T#fPOa#Idwd` z8Psyys5zq{Fjzx?uWm59%waG(YSc}mAut*OqaiRF0(e4Tv_8QTIHOXdAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMniy(A;5kD(=xi<>P9z<F#SkR5mN6h6#qa^*HObRhz}to!aQ_7 zLT2c(<g16Cn;95}_bqGkH-=iAK<;aVkO;S<^AR!w&mz@r>_G$XX3||SyelGXbv)fl z2D({9daRG?7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5TGms&`)}2`9Ya^cy*&&hF3ANBt#=Ji7d;& z0Fglz8$LYN1El(eUN?=<%N|nwPkSJ*O?x}YwE=R)0E9#}1DhDCilNLSJ)e+zn+Lg} zLoa*Cb>FDI;T!_!xnBA*4SkDl8PQget&wOOMiq{Rz-S1JhQMeDP&WkR)2M46-Ar?! zn@yt@jfMcjXg(QD1fwA^8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AwZiDAob=#p$-b%L8@g$ zTZPYHd~!rvFsg8bhkyi${+Ev@QNw^M$A16WgaLQgr~`&`2*_s+P2UZrn`awH3}U9u zBx*obj=dN`RzW742!jR4G<sCq@C*S`6F!Ndz_Ecu4b)T)xjPO*qMJ)&f1_JB${!5@ zd?6rPicgkiatbsvc^DWx8Yg5#BKFdj41=(1VZVW0oGzjezd=ZJ3$csBRgK;*4-bk_ z1|32`K9vrZ(87w*8i^L6I%?)<2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zcnI)E47d|WbinZ64J71CVvtZvIhp%M zhJTDOFpTCCY9%u2nZr9!`?Sc+C)D%#;5A8JWAM5So6AN**@eyDqhg~WFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UjRxfCA@MqSTElqF)G%#tHqxdeo-T z5Eu=C(GVC7fzc2c4S~@R7!83T9|H8ck%wOP4891FYQp2D(d)$U1P&FXaGW(R@r(*) zk5D5hPO>=e6OrS>vpR=}>w1Wf4m|c?Gh`6o14MCLVDm4Mn3z2hhvrPwgh6Y+(cC+u z77W@Dp!R)hBANr?ReZj{CpQporN5nWPw8(bSvC&h^~F?AMK7stB90(Owg;Jwt{k5n zy4r!|Q?&_%<Q48ONF16oQR{e`TQ_RKhzS8&<quc@at~nA#p^fp`U9O0^T05q1qLuq za0DlM=%e#-Xd6DlvZ8eJ%ZLkG5<?a~a>2cYM4hB7hucL!E3xVb7(;Vum^)!K)h%Sg zF*Zqcix39F{DMv+RA6Vp)MJ+&6&($M(GVC3Apoy$*%D~(UASFPo6z}CVFs8uj7ArS z@duiQxerED-#rJYZzK*A(fxqV$DwV|3B%kCqp9UUxOvjFzpET(7pXMNuP}Wu{y@_( z_rPdsxd(0@9oBHe?7~IE{Ddw~NE{|VN{@!XXb6mkz$l<|2*3(#WNSHjk$IzRVncw? z%o`zjeD*NWX<QiHjnwAj^COMqs68qLH%}g0=An%z;C4cdr#2sIEX^szLN)A*p%C(< zIt6N;>;h6XlB^!#Mu?r%=9BCo$~7PoGWKEyAy2AZAoFBtKeoca03SACU;x=keLkr^ zA5}jZ0;3@?8UmvsFd6~_9s({5te_^N0|Nu21A_~ubD;8=5~v~$P(xs%2=iF#U}7|* zp>BlRNo_vO9FD_aB?eHZ4%ES7TEL)$sR$vDDTgewfPp~)bw?J|JdvHq%4otyxDjF} zwfQu0^r)euAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd72GGXxA67#MFz@D?jDFff3y0Yd{L0|NsW5}O&s9>tLG z84Te7jU!f&TMQT!7@7AmFc>f#U}RumWi((|0HqliAFr)j3Q_~YP(8dL_9z}aaXA_n Pqj52s21e7sP)P#-R^gX7 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto.tcl b/loto/loto.runs/impl_1/loto.tcl new file mode 100644 index 0000000..58d9b80 --- /dev/null +++ b/loto/loto.runs/impl_1/loto.tcl @@ -0,0 +1,316 @@ +namespace eval ::optrace { + variable script "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + +set_msg_config -id {Common 17-41} -limit 10000000 +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param checkpoint.writeSynthRtdsInDcp 1 + set_param chipscope.maxJobs 1 + set_param xicom.use_bs_reader 1 + set_param synth.incrementalSynthesisCache ./.Xil/Vivado-13301-fl-tp-br-637/incrSyn + set_param runs.launchOptions { -jobs 4 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a100tcsg324-1 + set_property board_part digilentinc.com:nexys4_ddr:part0:1.1 [current_project] + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.cache/wt [current_project] + set_property parent.project_path /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr [current_project] + set_property ip_output_repo /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.dcp +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top loto -part xc7a100tcsg324-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file loto_io_placed.rpt" "report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb" "report_control_sets -verbose -file loto_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Physical Opt Design" START { ROLLUP_AUTO } +start_step phys_opt_design +set ACTIVE_STEP phys_opt_design +set rc [catch { + create_msg_db phys_opt_design.pb +OPTRACE "read constraints: phys_opt_design" START { } +OPTRACE "read constraints: phys_opt_design" END { } +OPTRACE "phys_opt_design" START { } + phys_opt_design +OPTRACE "phys_opt_design" END { } +OPTRACE "read constraints: phys_opt_design_post" START { } +OPTRACE "read constraints: phys_opt_design_post" END { } +OPTRACE "phys_opt_design report" START { REPORT } +OPTRACE "phys_opt_design report" END { } +OPTRACE "Post-Place Phys Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_physopt.dcp +OPTRACE "Post-Place Phys Opt Design: write_checkpoint" END { } + close_msg_db -file phys_opt_design.pb +} RESULT] +if {$rc} { + step_failed phys_opt_design + return -code error $RESULT +} else { + end_step phys_opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Physical Opt Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx" "report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx" "report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx" "report_route_status -file loto_route_status.rpt -pb loto_route_status.pb" "report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file loto_incremental_reuse_routed.rpt" "report_clock_utilization -file loto_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force loto_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi loto.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force loto.bit +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force loto} + catch {file copy -force loto.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/loto/loto.runs/impl_1/loto.vdi b/loto/loto.runs/impl_1/loto.vdi new file mode 100644 index 0000000..d2f1030 --- /dev/null +++ b/loto/loto.runs/impl_1/loto.vdi @@ -0,0 +1,740 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:23:53 2025 +# Process ID: 50768 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1 +# Command line: vivado -log loto.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.vdi +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3300.030 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :15504 MB +#----------------------------------------------------------- +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.883 ; gain = 202.840 ; free physical = 1413 ; free virtual = 14279 +Command: link_design -top loto -part xc7a100tcsg324-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1931.945 ; gain = 0.000 ; free physical = 1066 ; free virtual = 13933 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2141.410 ; gain = 0.000 ; free physical = 953 ; free virtual = 13819 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2141.410 ; gain = 569.527 ; free physical = 953 ; free virtual = 13819 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.69 . Memory (MB): peak = 2153.988 ; gain = 12.578 ; free physical = 919 ; free virtual = 13786 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 211085630 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2652.816 ; gain = 498.828 ; free physical = 503 ; free virtual = 13371 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 1 Initialization | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 2 Timer Update And Timing Data Collection | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Retarget | Checksum: 211085630 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 211085630 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Constant propagation | Checksum: 211085630 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Sweep | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +BUFG optimization | Checksum: 251a8a732 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Shift Register Optimization | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Post Processing Netlist | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 9 Finalization | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Ending Netlist Obfuscation Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2966.652 ; gain = 825.242 ; free physical = 193 ; free virtual = 13059 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +Command: report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Write Physdb Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 180 ; free virtual = 13069 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 19b090d25 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 196a652db + +Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.38 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 189 ; free virtual = 13088 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.45 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 173 ; free virtual = 13080 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 173 ; free virtual = 13080 +Phase 1 Placer Initialization | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 158 ; free virtual = 13073 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 21c3f6b22 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.5 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 19c2f5c99 + +Time (s): cpu = 00:00:00.81 ; elapsed = 00:00:00.51 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 19c2f5c99 + +Time (s): cpu = 00:00:00.82 ; elapsed = 00:00:00.51 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1acd71bcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1acd71bcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 +Phase 2.4 Global Placement Core | Checksum: 233028bb0 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 +Phase 2 Global Placement | Checksum: 233028bb0 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1f43e3888 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b44da236 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 22ffbc78e + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1e47ff1ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1f038efdd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1af4f748a + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 17bb44ef9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 +Phase 3 Detail Placement | Checksum: 17bb44ef9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1ba5038a9 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=6.007 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 19735b1a7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 2223fa4bd + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1ba5038a9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=6.007. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.1 Post Commit Optimization | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.3 Placer Reporting | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f7e5a539 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Ending Placer Task | Checksum: 195b01157 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +62 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file loto_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 166 ; free virtual = 13037 +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file loto_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 167 ; free virtual = 13038 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 168 ; free virtual = 13039 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 168 ; free virtual = 13039 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Write Physdb Complete: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_placed.dcp' has been generated. +Command: phys_opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' + +Starting Initial Update Timing Task + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 188 ; free virtual = 13038 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 6.007 | TNS= 0.000 | +INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. +INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. +INFO: [Common 17-83] Releasing license: Implementation +73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +phys_opt_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 186 ; free virtual = 13036 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13035 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 180 ; free virtual = 13030 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 178 ; free virtual = 13028 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 176 ; free virtual = 13027 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 176 ; free virtual = 13027 +Write Physdb Complete: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 172 ; free virtual = 13023 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_physopt.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 2039d80e ConstDB: 0 ShapeSum: c3a3d9b3 RouteDB: b1d25f96 +Post Restoration Checksum: NetGraph: 98d52cf6 | NumContArr: 788702f8 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2b4755bdc + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3144.172 ; gain = 129.496 ; free physical = 229 ; free virtual = 12885 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.766 | TNS=0.000 | WHS=0.004 | THS=0.000 | + + +Router Utilization Summary + Global Vertical Routing Utilization = 4.35218e-05 % + Global Horizontal Routing Utilization = 0 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 167 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 165 + Number of Partially Routed Nets = 2 + Number of Node Overlaps = 0 + +Phase 2 Router Initialization | Checksum: 256318032 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 256318032 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 274f4de13 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 4 Initial Routing | Checksum: 274f4de13 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 11 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.914 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 5 Rip-up And Reroute | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 6 Delay and Skew Optimization | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.010 | TNS=0.000 | WHS=0.306 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 7 Post Hold Fix | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0221091 % + Global Horizontal Routing Utilization = 0.0471725 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=6.010 | TNS=0.000 | WHS=0.306 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Total Elapsed time in route_design: 21.31 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 190db45f2 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 190db45f2 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +Command: report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +Command: report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file loto_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file loto_route_status.rpt -pb loto_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Command: report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file loto_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12822 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12823 +Write Physdb Complete: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12822 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_routed.dcp' has been generated. +Command: write_bitstream -force loto.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./loto.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 3496.727 ; gain = 276.914 ; free physical = 204 ; free virtual = 12506 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:25:13 2025... diff --git a/loto/loto.runs/impl_1/loto_bus_skew_routed.pb b/loto/loto.runs/impl_1/loto_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_bus_skew_routed.rpt b/loto/loto.runs/impl_1/loto_bus_skew_routed.rpt new file mode 100644 index 0000000..c468636 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:25:00 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Bus Skew Report + +No bus skew constraints + diff --git a/loto/loto.runs/impl_1/loto_bus_skew_routed.rpx b/loto/loto.runs/impl_1/loto_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..11c5852ba5e0841f54d53e96e11fce399043f1e8 GIT binary patch literal 1038 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OY;u#K6GC50U^Gq7al?kY7|HR9yt7<C98@<G~tq%M*+8 z;`8(3%QEwG5=%1k^AvQ`GILTDa`H>^;mYER@=HrnQ}l`oN)&VplCVn_Bq`_?6;xoC zEGnpwV#+I4V)pf@(qJ}Vw3@`sCCSAGbr!lix%jx)TvCfO)ANK_Kn~&Z;bI9$EGiLl zaY-%9Oir~mPc$?zC{a)dNKDR7Oi#5<E>1T#GEq<nE=WyHvDGzH&<F_fcL{Y4@$~mo zFw`?LRse;nu7R1Zp|K`c2p4-;YEf}!ex8tLSY}ybO1?swk%5tko}q$<nTc*vW{IYP zLU6c(Q)y;Sih`+uxtXP<nL>V^LU?M5f^TA_f{~Gep@o&PnU#U1g0D-60!TBL0vC%* zVo9oy1W1)zYLbGXk%FP2m655HfdNQ`DVG=*55!jr!6hJ-Y(d~)<!WQ(Vt3BZ%}vZp z8PFJV;!i>(Bv5*Z1SK;jT|*%TDfZmVy!hP23WfhLz^K7$z+l1d@PgfgA%Zc1A%mfS zp@N}-k)eZ;VFDw=3`T|pj0`In88<L8>|kU(z{qfdk>LU(;|)f}2aJp_7#ZI&Ffc^H zj7?%K&Phzp&R{HHtUdL~^J6RHsZXAT9gNHq7}*vv4rH=j!N>si0Rzkj3}7EHd|+gp z!Nj<LiE#xJ!v-dX9ZXCIm>5nlF<f9`xWUBufQjJ+6T=54#ve=!4a^K3%#0J58Mr_> qoI!v=f<b}7fI*6Zfq_>eJI_ymQG!u{L4(17!Ggho!Gj?b<aGf5-$YFS literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_clock_utilization_routed.rpt b/loto/loto.runs/impl_1/loto_clock_utilization_routed.rpt new file mode 100644 index 0000000..05fe270 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_clock_utilization_routed.rpt @@ -0,0 +1,242 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:25:00 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_clock_utilization -file loto_clock_utilization_routed.rpt +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Local Clock Details +5. Clock Regions: Key Resource Utilization +6. Clock Regions : Global Clock Summary +7. Device Cell Placement Summary for Global Clock g0 +8. Device Cell Placement Summary for Global Clock g1 +9. Clock Region Cell Placement per Global Clock: Region X0Y1 +10. Clock Region Cell Placement per Global Clock: Region X1Y1 +11. Clock Region Cell Placement per Global Clock: Region X0Y2 +12. Clock Region Cell Placement per Global Clock: Region X1Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 2 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 96 | 0 | 0 | 0 | +| BUFIO | 0 | 24 | 0 | 0 | 0 | +| BUFMR | 0 | 12 | 0 | 0 | 0 | +| BUFR | 0 | 24 | 0 | 0 | 0 | +| MMCM | 0 | 6 | 0 | 0 | 0 | +| PLL | 0 | 6 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 4 | 60 | 1 | | | SC_clk_BUFG_inst/O | SC_clk_BUFG | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 1 | 26 | 0 | 10.000 | sys_clk_pin | I_clk_100m_IBUF_BUFG_inst/O | I_clk_100m_IBUF_BUFG | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +| src0 | g0 | FDCE/Q | None | SLICE_X52Y96 | X1Y1 | 1 | 0 | | | diviseur_freq_1/SR_counter_reg[15]/Q | diviseur_freq_1/out[0] | +| src1 | g1 | IBUF/O | IOB_X1Y126 | IOB_X1Y126 | X1Y2 | 1 | 0 | 10.000 | sys_clk_pin | I_clk_100m_IBUF_inst/O | I_clk_100m_IBUF | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Local Clock Details +---------------------- + ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +| Local Id | Driver Type/Pin | Constraint | Site/BEL | Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +| 0 | FDCE/Q | None | SLICE_X52Y99/BFF | X1Y1 | 3 | 3 | | | diviseur_freq_1/SR_counter_reg[25]/Q | diviseur_freq_1/out[1] | ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +* Local Clocks in this context represents only clocks driven by non-global buffers +** Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +*** Non-Clock Loads column represents cell count of non-clock pin loads + + +5. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1500 | 0 | 550 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 1 | 2000 | 0 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 39 | 1900 | 3 | 650 | 0 | 60 | 0 | 30 | 0 | 40 | +| X0Y2 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 6 | 2000 | 3 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 43 | 1900 | 10 | 650 | 0 | 60 | 0 | 30 | 0 | 40 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1350 | 0 | 500 | 0 | 30 | 0 | 15 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +6. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +7. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +| g0 | BUFG/O | n/a | | | | 61 | 0 | 0 | 0 | SC_clk_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+-----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+-----+-----------------------+ +| Y3 | 0 | 0 | - | +| Y2 | 6 | 43 | 0 | +| Y1 | 1 | 11 | 0 | +| Y0 | 0 | 0 | - | ++----+----+-----+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +| g1 | BUFG/O | n/a | sys_clk_pin | 10.000 | {0.000 5.000} | 26 | 0 | 0 | 0 | I_clk_100m_IBUF_BUFG | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+-----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+-----+-----------------------+ +| Y3 | 0 | 0 | - | +| Y2 | 0 | 0 | - | +| Y1 | 0 | 26 | 0 | +| Y0 | 0 | 0 | - | ++----+----+-----+-----------------------+ + + +9. Clock Region Cell Placement per Global Clock: Region X0Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| g0 | n/a | BUFG/O | None | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +10. Clock Region Cell Placement per Global Clock: Region X1Y1 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| g0 | n/a | BUFG/O | None | 10 | 1 | 10 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | +| g1 | n/a | BUFG/O | None | 26 | 0 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | I_clk_100m_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +11. Clock Region Cell Placement per Global Clock: Region X0Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| g0 | n/a | BUFG/O | None | 6 | 0 | 6 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +12. Clock Region Cell Placement per Global Clock: Region X1Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +| g0 | n/a | BUFG/O | None | 43 | 0 | 43 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells SC_clk_BUFG_inst] +set_property LOC BUFGCTRL_X0Y16 [get_cells I_clk_100m_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X1Y126 [get_ports I_clk_100m] + +# Clock net "SC_clk_BUFG" driven by instance "SC_clk_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_SC_clk_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_SC_clk_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="SC_clk_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_SC_clk_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1 CLOCKREGION_X0Y2:CLOCKREGION_X0Y2 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1 CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup + +# Clock net "I_clk_100m_IBUF_BUFG" driven by instance "I_clk_100m_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_I_clk_100m_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_I_clk_100m_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="I_clk_100m_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_I_clk_100m_IBUF_BUFG}] -add {CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +#endgroup diff --git a/loto/loto.runs/impl_1/loto_control_sets_placed.rpt b/loto/loto.runs/impl_1/loto_control_sets_placed.rpt new file mode 100644 index 0000000..7b59b46 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_control_sets_placed.rpt @@ -0,0 +1,88 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:24:35 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_control_sets -verbose -file loto_control_sets_placed.rpt +| Design : loto +| Device : xc7a100t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 10 | +| Minimum number of control sets | 10 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 31 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 10 | +| >= 0 to < 4 | 1 | +| >= 4 to < 6 | 0 | +| >= 6 to < 8 | 7 | +| >= 8 to < 10 | 0 | +| >= 10 to < 12 | 0 | +| >= 12 to < 14 | 0 | +| >= 14 to < 16 | 0 | +| >= 16 | 2 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 0 | 0 | +| No | No | Yes | 47 | 15 | +| No | Yes | No | 0 | 0 | +| Yes | No | No | 0 | 0 | +| Yes | No | Yes | 42 | 13 | +| Yes | Yes | No | 0 | 0 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ +| diviseur_freq_1/out[1] | | I_rst_IBUF | 1 | 3 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[2]_1[0] | I_rst_IBUF | 1 | 6 | 6.00 | +| SC_clk_BUFG | tirage_1/automate_1/E[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/E[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[0]_0[0] | I_rst_IBUF | 3 | 6 | 2.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[1]_0[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[1]_1[0] | I_rst_IBUF | 1 | 6 | 6.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[2]_0[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | | I_rst_IBUF | 7 | 18 | 2.57 | +| I_clk_100m_IBUF_BUFG | | I_rst_IBUF | 7 | 26 | 3.71 | ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ + + diff --git a/loto/loto.runs/impl_1/loto_drc_opted.pb b/loto/loto.runs/impl_1/loto_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_drc_opted.rpt b/loto/loto.runs/impl_1/loto_drc_opted.rpt new file mode 100644 index 0000000..3c54560 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:24:31 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/loto/loto.runs/impl_1/loto_drc_opted.rpx b/loto/loto.runs/impl_1/loto_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..19b93ae59f3aadce0d167580f56b71b7679ac61e GIT binary patch literal 1625 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DYju?VBlgl)H4zi%E>Ruk54H| zj?XVBNlno!DyWe7|NsAg{tU(fh6;uTMurYX#tDoJlbE?(f}9ng`oFO<FmNymFiWwA zCl=*p=A|ofIJ>z!g$3&xY8m@x78hscr7J*06cY1N6rBD2+&tam!~A_h9Nk?NTvCfO z)AJMpit-Cmi%K$6i;cJ-iggW@4J{a)PP6)@W|m~67Acfuq{7U}%P&GVrz}6GBr!cz zp#Wk~r9x)0LUC$|LS`OVV{&OxQEFaEd<xh)Jp~2l{JgZx^wOfllFa-(g`~v1Yz3GR z#i==|$t4OJ5O-)Q<dznfC?utVjVsAl2y=G!SI93?aQAc3!R8}0<sfG#=NA=$j7&zc z6l$Li$c_0$DIhQAE2N~Bq!#67=B0w2?WymNa8_wSL4Hw*LRvl~EDAF7ia}bzZa2_V zQ1C1Pd%83|J+-(bHASH$U!k}lH90e_5@c3!ssc11OTkeBa+kVmN@j_IOKMqWaw<|3 zs4JwE<|Tsz1{!?sp`IWwr(}Y>ky8n>7NkGI#aSUOGbdF6VPsl<PELL~D9(#3^GXsc zthl&90T&OC7f7folqKerrWz_lqee_Dmx6M6Mru(iOg^(1=4zy1$1oB#Nr8<vB4D%; zD6Bw!fW`tS;gn^jK!Oc5o*)6t#TAsA28kn(wiHO9p{gt`PAyVMFU?Fz1;<iueo?AI gW?ou;Q7$-{>3NN&W>|0+kEUi&j&k>N8M3Jv0H5P5PXGV_ literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_drc_routed.pb b/loto/loto.runs/impl_1/loto_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_drc_routed.rpt b/loto/loto.runs/impl_1/loto_drc_routed.rpt new file mode 100644 index 0000000..ac6e279 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_drc_routed.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:24:59 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/loto/loto.runs/impl_1/loto_drc_routed.rpx b/loto/loto.runs/impl_1/loto_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..433ced1d950e8da2e0f5545e4ab06e472e48d209 GIT binary patch literal 1626 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DYj)`VBlgl)H4zi&dD#yk54H| zjxWkDElEw$D=Mgv`2YX^fBp={0)`5P21bSsM#c$@43n6-U4onypay(nWnkc76kwKO z4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmpD!8N; zXQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT#PDx^V zszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1dD#ju zBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX0vVZ% zVky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjqg57SQ zr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a%;Z$0 zC{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NXSLT%@ zR#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQZA8Fm zBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc-29?c hh0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3ndGXRt>EXV)= literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_io_placed.rpt b/loto/loto.runs/impl_1/loto_io_placed.rpt new file mode 100644 index 0000000..a15b971 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_io_placed.rpt @@ -0,0 +1,366 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:24:35 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_io -file loto_io_placed.rpt +| Design : loto +| Device : xc7a100t +| Speed File : -1 +| Package : csg324 +| Package Version : FINAL 2012-07-17 +| Package Pin Delay Version : VERS. 2.0 2012-07-17 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 21 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A4 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| A5 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A6 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| A7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| A8 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A9 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A10 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A11 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B4 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B6 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B7 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B8 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B9 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B10 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| B11 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| B12 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B13 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B14 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B16 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C1 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| C2 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| C4 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C5 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| C6 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C7 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| C8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C9 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C10 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C11 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C12 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| C14 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| C16 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| C17 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| C18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D2 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D4 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D5 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| D7 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| D8 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D9 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D10 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D12 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| D13 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| D14 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| D15 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| D16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| D17 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| D18 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| E1 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | I_clk_100m | High Range | IO_L12P_T1_MRCC_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| E6 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E7 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E8 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E9 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| E10 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| E11 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| E12 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| E14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E15 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| E16 | I_button | High Range | IO_L11N_T1_SRCC_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| E17 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| F1 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| F3 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F6 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| F9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F10 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| F13 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| F15 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| F16 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| G1 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G5 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| G6 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| G15 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G16 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| H1 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| H2 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | O_green | High Range | IO_L24P_T3_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| H10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H14 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H17 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| H18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| J2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| J4 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| J10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| J11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| J14 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J17 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | O_7segmentDisplay[4] | High Range | IO_L2P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| K4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| K5 | O_red | High Range | IO_L5P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| K6 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| K14 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| K16 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| L1 | O_7segmentSelect[6] | High Range | IO_L1P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | O_7segmentDisplay[0] | High Range | IO_L2N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L4 | O_7segmentDisplay[3] | High Range | IO_L5N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L5 | O_7segmentDisplay[2] | High Range | IO_L6N_T0_VREF_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L6 | O_7segmentDisplay[6] | High Range | IO_L6P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L13 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| M1 | O_7segmentSelect[7] | High Range | IO_L1N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M2 | O_7segmentDisplay[5] | High Range | IO_L4N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M3 | O_7segmentSelect[2] | High Range | IO_L4P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M4 | | High Range | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| M5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M6 | O_7segmentSelect[1] | High Range | IO_L18P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| M14 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| M15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M16 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| M17 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| M18 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| N1 | O_7segmentDisplay[1] | High Range | IO_L3N_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N2 | O_7segmentSelect[4] | High Range | IO_L3P_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| N4 | O_7segmentSelect[5] | High Range | IO_L16N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N5 | O_7segmentSelect[3] | High Range | IO_L13P_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N6 | O_7segmentSelect[0] | High Range | IO_L18N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| N14 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N17 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P2 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| P3 | | High Range | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| P4 | I_block | High Range | IO_L14P_T2_SRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| P5 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| P6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| P7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| P8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| P9 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| P10 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| P11 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| P12 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| P13 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| P14 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| P17 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R5 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| R6 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R8 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R9 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| R10 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| R11 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| R12 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| R13 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R15 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| R16 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T5 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T6 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| T9 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| T10 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| T11 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| T12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| T13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| T16 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| U1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| U6 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| U7 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| U10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U11 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| U12 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| U13 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| U14 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| U15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| U16 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U17 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V2 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V4 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V9 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | I_rst | High Range | IO_L21P_T3_DQS_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | | | | +| V11 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| V12 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| V13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V14 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| V15 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V16 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| V17 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/loto/loto.runs/impl_1/loto_methodology_drc_routed.pb b/loto/loto.runs/impl_1/loto_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..f66a4238534222d6a9fd3a8e4ef5b4622c10c0a1 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ8c{Q`N$ H1F8%Fn-dT* literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt b/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt new file mode 100644 index 0000000..cbeec55 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt @@ -0,0 +1,356 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:25:00 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Routed +-------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 64 ++-----------+------------------+-------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+------------------+-------------------------------+------------+ +| TIMING-17 | Critical Warning | Non-clocked sequential cell | 63 | +| TIMING-18 | Warning | Missing input or output delay | 1 | ++-----------+------------------+-------------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Critical Warning +Non-clocked sequential cell +The clock pin modulo4_2/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#2 Critical Warning +Non-clocked sequential cell +The clock pin modulo4_2/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#3 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#4 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#5 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#6 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#7 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#8 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#9 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_counting_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#10 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_l_green_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#11 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_l_red_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#12 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_store_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#13 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#14 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#15 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#16 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#17 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#18 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#19 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#20 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#21 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#22 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#23 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#24 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#25 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#26 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#27 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#28 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#29 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#30 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#31 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#32 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#33 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#34 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#35 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#36 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#37 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#38 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#39 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#40 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#41 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#42 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#43 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#44 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#45 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#46 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#47 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#48 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#49 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#50 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#51 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#52 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#53 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#54 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#55 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#56 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#57 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#58 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#59 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#60 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#61 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#62 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#63 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on I_rst relative to the rising and/or falling clock edge(s) of sys_clk_pin. +Related violations: <none> + + diff --git a/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpx b/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..ee610a2aa442c2038bfd313afc87f66fe2e41553 GIT binary patch literal 18532 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM)ZVBlgl)H4#2&&e;zkIzjl z$;eO1&&f}(j87>_jxWkDElEw$D=Mgv`2YX^fBp=I0)`5P21ff1M#c$@43n6pd=WYn zoHJ6Bvx^m=W^*$#FmNymFi8nG7iE@YCMV`7geMl|W#*+TafW#MdiuHR8k%cK`{n29 zCg<cQXQ!qp6sH!JrskDoCgvz4r{?4sal_Rq8(J_p`GjPoDuC506lCTp<mRW8=H#2i z8|eoJ#XIMh=9Q!t#TTWfM;pZIJ1b-sE9B*uC={h8CTFCkC?r)XBr23-=4R%lLyYjE zs%f9t<hf)yW`n%T=&X>YC5Yi9aBwhDD>#fu2o6K41_x25p$11PMsOI$f`fyZTEStA zD>%&J4XKzq$TbZ$I7%^s!vGQ-EYu1P6B2@hsQAR5S+E2LQKq2=M+ru7KvM@RwSvQx zgy1kDKeJ#74x&s$4US@r;4q4H2Nk7k)CvwW3kIiT)S|Q`vnVk=HQrD^v9u&VH?ai7 zbPM*4M-<5M!9nrCA&w!gB$Tl9u;de)E|)gE;B8{mlEd$Aa8R;SD=5t=4@#nPj2DGL zNt7k1LD@(kC=DU0l7m`7X+e2V5|!I14N9UcK@G|V0zqjMD-O!woYV?ROA7|4V8R*P zKRy}MXv)k>2jyvEnoP7X^b?ybmoz*Bw=rt*p}I>H6f|5E1q}~UGt$6<!6}HCu*r!} zFG@|#BQ|JgVCE+_87?V!$h0zQ@%qOjxr!eYFx*rN7*GQ{fVhAuN=+d)Sg3B|CpIZA z33#BiFlupw0tM<KaFFm&El5C(Y@$3+T#{duO4T4C#YEH~X$A)gT)!eHmGDw6NI(tk zFdV5QIX}0cB(<~%(&SDqD2Xpi%mIZ8ksUG`nfr-NflCgaVx};H+gGTr0|ycx)dC6B z{3hKqMAgw=G%^=8kS1dWl3^@3koc(<NT5bJ>7F5~_9Y{bh%y&7kS1XUk`W}31gI8B zpr$(Mfn-cnABINeq6X4L%s?`R1d<@t0twUzC*3n9Gzui5%tZ~P37COo0tqA`ss$3L zc};pCnbIhbh%y&7koqwL$uw3MRJ#dNEs#JB=NLk@TUlaGW(uT^BYn`3rlz9?*dj=P zp}G+qU?S8CFe6ZFnp`gtSKrapbkqP_h#6ppkQ63LwEzRPvdQ%lQFW9TO-)A)umzX_ zW)!Og%93JK3ouX{99OxOlbRA=P@W6Pi=Y-=PHIXq6&jorn)``OkxL%l;M~BdB@`SK zkLEmZD2Y=ol<+mnar=h297uZT6J;)HD6Pj5N`{cMBtf-M!q+ax9ZJMyJJLgmD05Lm zX&shOg623$s)Z81hB@v~qO8qHp}DA`v=&P!8AH;N6xBirU&|b~Z-{Cf;_SXqXfA3f zt-%sXCb4>;(p#Epp#*B0N8xBt;q(o-1Sc+}XlV8)HdQWVcqzV-QA-T16o)z!Jaj8V zwGacf({T;67Nw?V7MB#I7RMXu`-7}E01-rvuF%3z)Dg<x;1SBA)O47$z(FHRwV(mD z&PntIQ8kelEeu5snqR0vV+a}Wm!nqD7=zm3B>IA=>W9>zA<9tHp!ta!G|;&ad8!2s zsKrfU&=6Ob(ZW#Fp!tCsG{%rrqd>Kw0ky43^aXLnGA#^64Vv$$L1O|58bzuF4X8m) zqA!RV(;ziN5oIW9(0oG;8dFHnC{ZnFK&@z^gT{~+H53Vkq6W=lSkS;s0M}5;R0|qV z)0xDep>hoc@fcBtq6W<))S!XZP%2al8c=(g#GoOnG9f-gL4t-TLs5g~A!^V-YbaH! z1r4Z?Ok&VbxrTxS4N-=o2F(N1pn=v<YE%mvl3K$MUr@P*BEeA9pt+A4G|(DKooYct zQfnCE3!*9$QZp1$hN1?|J=CCq)=(PM3K|oVTEj-PsG&$O6g6mG!h!~70=S0Kq*~CB z)Eb7kfvC!a_*6rJp{POg0ySu$HIx?Bf`+8lFvJ%`RVJhc4N-=o2F-KSpn=v<+Efb~ zl3K%%pdqR<AvI`-G88pvo}mT}w1(25TF{Wx8ioW7m1`&x3`Gr^r>H>#t)X<O7BnQa zh9SNnsxl!p)evPUYS27E4H{?-rAM`(A*nTNOp6+d1Vd4S<~=NEU?zZTD1E904N0wG zh#RO}Ly=%8YS6qx4H{?-Wk9u{A*nSC@dZ(p3Go?<1Vd4S<}GT_Kx-&Nss#;6tzn2S zh^kCT4H}{hMGcxas6hj*p^T^%G^QlAh9N;i<r<0vLs5g~HEPg6Ybax?1r159VTdn? zs!T{tHAEST8Z@s^g9chdnNTfgNNNq6(4vMS!BEto`3egfm<iw-%9LtBLsDxP;sz?$ zP$U?N8Z=)}g9chdnNclhNNNp3d_m<JiUdPZgXS}8&_HV_bE*XmNv&asFNms4h%akM zFcdXtKA{E;w1%>vTF{Wx8ix3S$~6=ThN1?|N7SH!)=-vI3mTGI!w_E(Rhf{QYKSrv zHE2Gd1`V`^vZ7khkklGBr9}-zf}yBE)5rwc%T<(`4l@B<Ls?TTXh>=eL)<{+8j1u% zQG=!dHE5tUlnu3l#*C!aFvJ&BuAxXU6g6o6!!i`i1aPXcrCQLC)Eb7kfyy-$35KEu z%|Fzjf!0uVR0|rCTEh@uP`QR8!BEto`HLDf&>G5~YC%I%YZ&4SqAC;OYbX*7MGcxi zs6k^IYXn;T$SA-p#g1`OjfIx1Z)R~Z==hk-yn@mah5RCg{L&H-D<w52u@Z4sjfJwI z1*21%W1a#;Ay^u898E4%e}0~VXM9mH=xmyt#FEUiRE3g!g_4X^g`!Nb`H6Wc`uRl) zX^A;GAnPCp-lV3ar)m^yD&(gr6jv6<C+B3x7i8w?dC|$9MQp5K-+W>d<l^Vx5nz^L Kh4_I%iva*aa(O-g literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_opt.dcp b/loto/loto.runs/impl_1/loto_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b00e3147ba835a0c188a6f6b579195bca6e57d2b GIT binary patch literal 188524 zcmWIWW@Zs#U|`^25Qz4PdULNj%AJXUL6Dt+ft`VqAtkv$uOc@mG=!Cb`9ay5^u1Gk zi)R}Mw4MLD{z5?(zoLY7*_*;ij4jDgb~X+6RY@r->LwC~3cJ7U*YA7UIn~eV)KYf6 z-S2<D$r3;KB60u8gMA^TZr#>P*JhnMT$`O@JNe7fC6NaY{F!sPl(iz#akaH;@Vn@E zw`XSx6Ca(oxy;wtZ}!A*=fz)p4=z|9BmepF%-3q`mFD$|9k`Qb$ri(w!6?SU%rD!p zuKC;B4VR^}m>(RJcrojqZQ`;-hV-yAlAm+h4On+4$K^J!-}~;WR`UHFBB4F6zh%mA zHSJycHQ4=q+}28OmW{ctN=4K5vI=Rtm(E?PzA#_^vHNZRXJrzSdg9s3=XeIT>U%wW zqj}o%;3lQe;9ZhpDfKIMa~`|8At&V4hP9tQ8S3s-U*0n>$zb(GE%js50@V$+zb-Y- z-x#+c_28{VtjfQOJ?DK4hzgwk#^c=MJ?B{bijQ2|a;xK4;brv>{-=d~WiNIdOcpw7 z9K16_Yvs+drSE2i2Fs+cKU0@}Vdu`BZ|A31FwS)Nu6)S&+*5^MWs^NipL6x36=W<n zd;jczvd+TN%<%6PQ%!y?T0dd4t8j7__qkt^Q(eyGIH&7WaK@dsTt0cepowtCdD+u` zHHSCP=4D%WgHvtyqJJX!ucW4((D?W&xW!<`QKf~GRCUgN-yMBY@4ZdmN25l!oQ~j# z_o>zoBu?|Lk*{yQ^v6|9Wbfu{JSQb9+4t?un0KRe(T~MX#a#7v#~k18bnte~V=c>U zj-$;X5uX(9FFxRZZ|CG=YyYiU`Q&Pp!^fgO|BgSEh~5A9&AaHe_J~BsAMF#x7j)&O z7b62hCKCe#Hv=a_PJT&#d~r!>l3p1&;oUD=lU_T)(fhE2K<oRTqQxOAa-)`nR%?B` z)Eu#@LqRpCZ28GcXZ>!>z4Gk-?%81;0((@f^PgA0SDnmh6MWYyb_&ns<Ly81>dZa+ zAXqy0yz-3*%eJY88@jpW%3fTY8_+x1Q@+QC<$dB(ze8Rj9~LcDj(F_p{pG);>+<hG z)dyBR6VnRnb^LKH#>uzOaiPf}&TA<T7aX1{((BH@Z)?mVeg)x~KUi}4UpP4Awb(fM zrCUsBKD#Y@x2cAWldg?2OHsm_+yksN3of^DeYj%LIeo_ig(<H(^2Fp?dMEWQF}VJ; zuqZdL<7L|9r@Y2DKRk@g^N@S&I4jxA_p;W!xz7bv7}noBlpMJ5>DF&s1>dev-@DN9 zWMJWnN2?1uzLiN@<i2iOTq$lGkQ5XDqxWsLqFvvWf;s!2`M><<Kl$IGqzwfxukL=& z#rJI8OC!hCdizy>GNOikn_R$SPeulY#Y_wge5hfckpjv0(BRKL1P%T*7g}6gqKk!i zRTDO@m~wC0*)%Ef>vuQo-uUc(xl%^xmhFx2_g40cw;7n0W~XlbIAPB|p2Kx_-XESG z5+pxQ@w!q|XV8W>EPT^mTzI#!r|H9`8<kd%8PuN6%WhQN<DJNRra+%{*MB+9$cVh? z6PtCsn~y#G*cJ9#a<=}xPnzD79xBW_^E^{v!M97>7xb7b#4>zHT+^<;fLkHm_3_?| z25c)IzVY`Dkla{%pyk#kmA-QR4W<9|A~#GtoaMeY?b6k*?MsY$|4-g{K4({)&dP@Z zUY{4QEaTZ5{h3R&OYdQ0dCBqY%tz9PIYY%ZN<ZWhdM(SY)BpP7$+b5;{15iJ7VOhJ zP&0q}(|ggL#X^~;zQ>PF6Mg0o&z&q%G&j!U+>EPxQntQ1B<mO(yK0?$;5EZyo^abG z%=ywj%}m-Wckjylz>OOE%?VBZx{M4A8jMJxUyxXom<um;L7~s6!Fc^&L{3GRp@plH z0tgtUB!VafLqmg2`8@Ugy*8FRtr;JCPp*tHxa};F?ZM*^xjeGH?sn4sSBuZb+uDTJ ztPE*?IZN@3%LPuaYrDQVRQD7uS)5Sx#^%g%lT{X99(6{nw5fVnpB&#YN$I=D62~*? zzdkHJk=}Ug&4-pQC;ba<4_aLKyx&J$-+92{tm&bkEnBYHeEwFHd~3x9PG&}zq%f{v znR%u+x)(pVsF2S(XV&oy;hl%7`4?`lF_ALXe7@l0&zNnqto2T7@h#r^gzfDNo|d;0 zL++KC{yAWhcG+|CRDM0v)eCYKJQDES5%b!sN$G-Xhb7PU&sWtamwda*=bUU7quQam z-N4GCigl(4cV=GcPq*{adK?xU|K4WuN%Wb*g{p#ERsWiq)+0g~RH1#1={kE?l!0M^ zBLf2$G=1w8XCxM+f{PYVoi;a`vt-WI(rfmYdhY#^%AEIa;+yQ`o5_z|ANU$i%9(jI z%|t4)S+#eDWaYsQ`AE4QhqwtT(<Ydu-RGPz#X+HQ1B;V}fP#a6gY(TxmzSuX)y(yG z_TM#U!h~f@bOp*EUnsv{`~T19I`3J57M-Q<zrWl4UHALl>i^lVFa27z>i)j8+`G1R zhIKExUL-vHl<{uSMdj9v^Dn-<*mrT|p<NlDK1|eBKh7UxBHO$DsMVs*&dwDn%NI>< zU3ut9YU^L=s8y?1tqSY>AHMc`w|&z5ZA!7BTc18MQxf7=?Ra6#^yY{Adb#z{hwRG# z8LuhuSDL?}deh6fD;;-RZ{0gDH1c3h(gC^M86|%N(%VF(roDM_<;IJDPPU&T56-(I zz}p_VHFWy9lG`tR{8!m;T;6y!V%ZZ_>9ji2Io_$&K|2k&BT6L~9i8%yWA)ZUuJh{L zBsL%FD%-d~dwHGP49zDe)+ncMv|8Qhvpc}Up)aC7t=j75iC1f@+`W_puRVAb^h;N4 zn^cL$p^B45nP-xEoz6tgYSLl!JlYqc+#T_iODV-Ldy|-o@XnM)>Q@){t+u!lWAsEP z_Fu;a)})2boQ8|5cWhVRZhL>b^%1cspQPKLn;5%0=a;!L%+cNCl(ppjoJ1C}Xhm1= zPPej27F=7a78=f7neM$tA#UaqsmN}LaPJ8m>E5k=yb)=wUaC?NSB$!EzvtWh^aXR4 z_{?`~+nji&Z&26npJ=SJO=$h<+jiUaFW*;Q+OT%htPGR8x1)^&5?9Ci3oc{dbTVYd zTE+h0Jx8Ou<rXgBuy&pM@Y|oAD=XAy`hRi1u`=vN>eHK7HgByE(y*9v`_7STk#}O# z{cSGqP0vt_&UZEOSE^yWoe(*zAb)*>_{QF_&EYY9Iw`qfNAAvi`{1ljn(3<@A@bs{ zOj);CNOf=R-pkjO>-FB_+2+685$&m8I}By{Hv3h2F`M<a-c&pJT;%-Cw8E3;D$*>@ zM^0z)aA0uUcJvc>!j5u9>$6=76SuPq?X1ySU80k)JX-AG&VNVFO=*(8DS2q|l+W81 zCYx<Cj#Y6x5w<$+&z8bnQ?gTTm#By(ra$ccdh_JIBM%Z*-52{VlF0eJc2#TCn%zGn zx@UWyI(y^p%bIoebA1Z0CAZ43&E3?wR40z*$`-b3+A`+0JA(C;?w{E9T3WDwkN^D+ zhf6!&*e;c}jCMcbR`$T{+myT%!Pnbod2d`~lFl5qV*T;jINqEKM#a@d%rhP5+&o}3 z?dEjvFCC62`4n$YF1S%FBlg$o(58&41Lu}iP5x`W#G~b@NzWq>xx;NA+8(yV#CPy^ zPiX$9drdUSy7QoG+fBWPo>^NTaU9Co9;&X9&Rm+)A3FQojot0PTsB!;H`=`SUDS7_ z*XzF8v>!E{k#mHL!__Eb?)v#P`O2HWP8L7GT5)dgQg!=P%8#CWe|b~i^oDRs^0fW+ z7WZ#Ur~FnmwKx!YkjwMbxu=_7F1c}~H7wR>;@MN&Ui@q~8Bg!Gp6vUMb5pm@{{tW1 z-CL(1t)5jpA=c;0w5YPq(7C6-UEj#L+U%x%&-&KQ%|VvSZoK>FqZEIdFY>C=!L}QT z-JB-dGRt+ulia&{EdF}Ss_D6@$u4s?2<E%2IwSMsmg7sC7Q4D>>^PGcaPTQ#GuOI; z8SCbpT(^a3k|f7QeT|qGd>dD*Y+mWC^)G3nVAuZxiI0+g$)9>T+luYgjp=Kmly*zq zFmx7^*jO=r#{C29^b(J*jd#x3BmDjDfpxlxM_-g4DLYmW{Pj(h^NrIN?o^dA7Y0q+ zEX}*RPws<*(oE6Lw`$CPr|eKG+pJN0LiEI1*2v>|hHDsX)w=Iy?XbJro|tL4`>epn z=)HT_aByl`-&e28d(T|9TXE5X$=$z<Ps+}?YJ8XbhHTqak2J3bOW&Ms+v?G=y!EMY zI_u53ZK0Dz9h7%_izM7lI=!t(V)EVGh<|y3vOI1#!g*FJPP}bA<IJ*c!LDK{@uI=) zlU{}}|5morDU^=g8QXosw(aT^$y2vqIwl(G>u_%GzPWeroLXNQ(YsYS!T#G;&%B!* zVKdS1rt{x#4RdeIJ=ZCmm-c;U#yLmJ-@9h$nA}{Il$rKjdWPm#X0DCwJgdtp3XUhF zU0=Y@BOfiEP;UF@)JH4%)t#HKzpwu9W*>iKz44F9Z2x}lXZyeE;QB}U5`PMtw|@xd z**Eq2=k*eQT$|@JhBB62j$QvSMLs!n57(+ip)L{^S4mwCH+?K`7pCg-_ldv!$Inmy zC@*ZU&-h^YPqz8{hszhQ)csp_P=3<?-!mJ{?i+gfgjz4-X%{$Fn{p?=%jnL8dZk<m z7i|}bcM0q58>(#%{lD`d^WUa}^2R@;+5WFQnEm50+yA8p<xPLwX4`-L!R;T<ceDvz z*0$OG#r0~^AHGNBVNZ{T3M!`ZxZfyfnK<E)hex8ylAiN|-z*_a1EHX0w*=Rf!R35< zm17=rC@Z#t6-(|{ep8N+J0QqsamYhL`>g1fo5t7coldL}nr`}BernbyC-r~f6DJf* z<9&0(|Dtz&%7?hR{)5VYYVIAk{t?Y)<tP20yE(m*LH4`TyqPDRrv`iQn`(Ut_^8GF zX0Lj@t7|s%n;RRizvp7PQG1^ItXlQo&C+?C4?YV$x%ywj<6#(MnC_f~x2|V(tmHmB zQ+aW}v~SEiHyx&^+FZBrtC9QcHa<%Z&t{uf_b%=Df!qFf*YI39bNPVM*#oAt8q>Sk z`fMZaG{#G;Sb3nKN9xdlN7r5nF<;MO%lmP0>p8xAn(q`0{F&7=+16d=v3W0d@&3M& zwMGHf{><Jc%-6Ho;tKjtm>zhRxxm%9pgHZq%t^9)UQ2MCyL=$%?1A1{jnD7M*8G^3 zd|=M<#?)DjvrU-qmzRH7y{K_lrBp{2Ti!LEJx`>5Bwp-x`fRcx#<-w6?Lq4G1NTMs zch=2g3(H{3yUMfYg;d4*ij%ybO$s)pJuo|aVEzuay4XF<A8dHnT;aL1PIAY_ACu&N z&3?r9!-jXwC7wGAC4c-bUtf6V%HAi(Dy1UUO8(fC_CU>CZ0Gv}vz9lS&T6zaWwtLa ze{jz+Wgc6UDRX%y+r4t$9eKA*{F#?$u)Vv+b0@}TQS!RkX6G*-SUIaPzUD(wXJfsE z-rXzuCzj8jI{l6M*{y1|5tGz(YFn4B3Ukg_6*$Z8f{E?-b64w@zMT1K7LV^`eQ#6Q z>is*GCI9bva%Wb}tY!RLP47CfGOl|m7<2E=ET&n@;<IPf6@H)Fw~YONRNRr6Sy?8& z|5s)H690Z=zJ9&m)mh8#XUwuIzWycS=iQ&CzW<kH{+habS-#z`3`^gwvzGOn`2K$~ z@5lLxbE1VWoBR#C{6&H_;7ZBs`BQB_E?D;RzNv5ipJgjv`l>v?mXbB=pY!D}PpUsz zE?Oo&VNP`L++{EAO?~}$&YGvWUUNz2uZYWEwyb{He>!{Bb(7jfnZM?(e!270EGZM; z`B}5-zNZCV-u%?G)zo+YWs|=QhqW@RT9w|!%-XT+<&I@9L&Gm;KQ%SYn)T27a><*g zi<YgPI464Y(#&7^zL)>n>mNIluV}e_S;w-M^(FOHyLT*WKb@U*$>i_+WiPADwe7z- zKNmi7{Q39Z%>loU{fsG(s5zVRVA><|K0|+IZWHGF6_2h8G0X3bwYl0KzvQ>zlkJ8s zzf>x9CtaOX!<?F}@>66{9hYzi+Y4XCDD9KWC#FoQVLPc{X)$S;iv7{d(n){h7Abn# z^{3dbWjyTPtYUv~YOCr`Ccnp%YM6?>7pvI+4mc4tsYYhGtB2$%GtW;8*;#MtoO~`2 zt8g-3ao2<|i;N~b`93km<y3)Xx9U%hMe3fPlCNxNxz@m>JLwPKByZK9Dw9sD{Nz04 zrusA1WxDmgu>5Idb51_quu$b)_Sz3SqCQzPvfntIzqe@5x><L%JR+Na%vg|;tJI!q z_~__{Lkc|F{D(GN`H--wPJ!n&*P#s)J|q|k3%5zmGVnPW$5U*d7$Gds=Gq{BxW-4~ zS>_=F!)n7dUL1$BS`Bpk_ei9r9x{j)ZhLvNJMo+<PqAU*jGDa?X(5LUE(^7-yxN_( zPle~RM&b;My%K58hYY-h+A=S8C$3ZGDOO0F@nw%hn$aPH!-8#^XS);UDe-(3Pn@w~ zk3^c{Ap>i{wwEWm6Z;f-iun^~<m{116F6kBS)gs@k?zDg1)k4ri8D0zNVwG>GSC)i z%RJDXn4`{<Y?mnUWUs_A+d~F<!u}x)W8tBuNPEl{n_6-{yF!J8{dGBnh*<636`Y z+ZwNQC%Sx1l8D+XaV$c=?clZU#4BHuB<l7`981)1W4zg&nDQ-2V$oiSV_Eubj<>rL zzkExQIJQ^fSh0Rv<K6DWmhVXtul7nDtI=;ec)vSQ>_gHFzC9ApSoPaD4|OLpI!K7I zwIr%8NNoCeDk0TLB21?xv2#(P-Luc95~61q<cJHm{Y~WkyfJG%PgynRVLgMy8{e)P z$glo*DnWR<LC!lbpR!`pGsmy&6iH3VpJwpprcj%26z}Ja!YLb`dP!_c;yiq9McdDa zwc%{mD_auVr(Sz`E?DB<*NJ+{(o0$rABWtmzCLrgfjoCr>W25865pIp%9+)foH_2( z-;<Lr)RyaaXv6xIiFRSnrykT(O}w#Jg~vKl>RGbldLA=*&cn-85^vnQXdu7(`>6!~ zi3V>>h1z1Jc|UJtThFtNi}SFUQsRyEmki{&t5Y{Hdq|YAsj5XcN<B-yqpU1l-I93N zKWWq9<%xFJUQC?$*Xq!Qe)k#G^<19~Pir^lS{&M7>;CP|U(GYemE<IE#wzpd&NDf` zm%a68#AJ6jv$GtB)1=N8zjsWuySC;t$I1OkNsqc8HOrsgpOm#OZM$I3i5)?@@w?;q z9gO;E)O0ES^%C)`r+4$77S5mYTwvnrY`(yrcBz~Ntr~_8?lXI_ZRTTPdCVPnsx`{A zsZw-?)WIiwjt4{9HHw;79x-_1G&jk>-^t_b!6kfOvsko!G6Z~lJ5B|0a37OjRK>7E z=<pN9UN6Qp<_%XkmN9l{usyan(KO`wbYYF#2B!wru7gGlVp?pU?HiH|J|0#&nsBWB zXxG6leCnEP*>w?%!g^22MqS|u^WPAX%XnHXVwuF6UWHW>Ix`Y?CSCZP*qXqkSmbRH zY4{?0Ly%#F$-z|`xqRBJ5o>#rR&r#s1WPZH5{wdUKB_oJNkVMVDgnQv6PJnvtzFi$ zw{lg%gM^0{O3wedGO_cb_V;c5F1<@dR;Ek!bw6|~s#tB7EI&g>gwM5CjLS9b$lM9q zJ|f4KeLvPx)6wN0v6_!(wO_<?v;L<BYmQG`Dq^3$X_u#-SKHH>ZPxBjgr0op^geJ> z_sh0)iMu|<>5@k;a|EvCmcG*U@iB|pD&}LW7;mu%^8{)#7#>Zy*Ro@mHBZ-tbrL-h z7ZytJa9`;3nenLc>G>OzTmKe?PO>iyt>DxZ2~C%H8(`kC*jPr4ul+@^pxH|4gH}9d z?k8t&*wLbQG@+C|TBJEucFr=1Kj)e*Up0JiTx8C|Ef22?&RHq(r>1Fb*TMJNH<n5K zdC|C8q&ePyLI6*lndA1P54U+I1r{ahge+9|%4b*|=<%@VPzY1xzb&?wrn=kvRZscc zc5lyFc*f}PO-=t<satyc_;xc~SRK|f|JR0C!yj|`YP$~dM|-ShKD3JWT;HVZgq2Lz zi=Hq<On2O$v_Ybg@n`}oYjfAZO&msD2X8UAi8OP{oeSg%6Q2;uGmU3LFwe8%6WVO{ zVhIxcdM@!w8-fjgoMhN7(tQ8UhUi>I`)!H-A@{o8q#f89cuRAeSAu@$$$4yZmb-13 z$*A0Q@EqeDf3B3>j5$XW;u-V;$~F`-Ebcm}CHak0Eh8{8cjK15?_YfRk|<a<Yemww zGh1xU3Oi-9v#mQWdQN*Awsyj73!Ade9+`+2=brGsa@#naDLJs}{tbi1zbAg&a?z@L ztv0b_qv@AJ%fF`2GW@E|e)8hRZkzq70{OE)Zs(a*8yXs_`{R5t)0^Yp_kPLT>+{s! z;-6B`;<eAZ{yv`G>o3~pcT3z)OmAzlba7<$n-3SAFSmLMvh%&^&6Rp+dLgti;W@|m z!_P{ey(((@C|G@`uW(}ewHc>Ra~yZC(4DjET1=?hy5<t22)pHeg^!%{&NXjX_NVXB zM!~#8GetSddOlcLtp205J7cP1gyD0omowZdZ0BscWApOC!@ut8eECY9OK!DW9cG)6 zV}5<Nkot}pf7~}KvEO{$zHC{cmo@+4qfggv?=9e4`&8dpkKg2&bYdv$bCtZyO>0Ft zw)KDD$XR`0&#v!k5wVS74;P-Dps~L)v6gX-uD<%ZTE#Wm`s#0(*68c2&%32`BOosC zGe<m+^y$;3y&ono(9K(?%>Hu2<IR>Fa>|w-bMzZOpIUv-=uou6wySq+Z0#(o7AUZ= zSlOBCK05a%%&I%=*3Y0H+R5`S$LjZePW>?XetngC|DkxP*t9(swO?L*dDy)8vd6b) zY;RT`TIzoE%g2kH(QmA$`#%Z(^2q8((T+zcn~%<(z4h-$(Ft=y(>71Clx!25)w`-? zs&mWw7Tc$fJE}@nD@5IUovburP20ELwCuv|!mWS5O+3wiOG4k~+tPccAC_#q7h}74 z&kA1KOKU&GCePiO#g-X!a$;sQL$J~u&xgSeI=NaxORPoZRy*vN+j9NTc5Q*Mk4dsN zc}LD}b$z(;FnhnxW63x(E5m*BpIIynTU%pW^ZEPt9en#3@0o_pJe~GwM~|&(ZN(;q zwK*-?t<fuPIsTi*w$oMmOH-!hR`-(*JVDxLmu}W!nBM19C^6@%;?>K~-%gkv>XzG5 ze!%i<+KtC7dxXoxlh4R_a=brTxo`G^g39xvJ6rSHj8AcQbv2bcy0bPZDdja@))El; z_}0XtcJ@uv-Q8y-HE#WU`Jptqd1u<UpA|jqw^A+$h}W~-32v;|tz4&eC%UoXjNpB) z@1Y8E<wv$3yslLz_iF7t*83|CIJGA$GV+Hg+<Vzke)zAbz6a}Z6`PDH`U*)eZaM8@ z4-0!Bs`PPLg7=vi>tH9{T7wD=znV9#pS5Q^+}9^@pHKPdF8=g65<R~X?yotR;$-JP z#p|&6k>aWHOH~CQ=e(G0n`Ch@f&I;lSPp-Q)?bRoyC+QMVSn)EX6qHpkB$;EVm)Me z&pZ5o<K)*N)%0ae;+c(Qd_3p19>3A$-kmnJ@K6?C**UGpI#&EYxn#UU<{Z8d*|>nC z(^@gEals1T@`KARwM5hyAMMeQ>;GI+e)w(Fw>gm!OK;g0v<LoqGh^{8ou6-BJa~SH zb!y0>ch)bSR43N6>bu`<i@8~u5N_ancjFvGrp2FiSgs1&$nP_`eSGGm4G)6?J<czY zlGhZC(<o=G35<Dhrs$L3aemneO^XYzCT%|X)@+K+tJ)2FC&|1ywzSNStzB#8BeA!# zHTFI2KGUS<>pfX-6ZGJp)aI_=EKd6`&FT95YC-4zm^=5*@iJbvj}R*8&18F$|An`p z{>GZw68ER;`1p9pIvT3{uk~U7Zn$~bcJ-N$+7t@1Z8SRmJ*?>o7Z!Zp(@_@Z?ZUoZ zana=8tc}{w8vnDSjt2Xz{<3``3j>3xE@;9NGSj42k&+A_3*H+Qn}5qgr1svwu8B8} zt5*DR6<f*Q=Nlzr5SOqybaB>`qs*?1JvqyzMV{_|f8&Bi!|`i-eL5EjBptTh_xz0I zKIfo$8-0cHD<<Whta!5NcHI8cRsY^j*yEGlt@?h;zT?{SwuSBbw<BiF^R<rGi`(n8 zZ<|an6f>{<CZc<&^jeLzqVF=@`S$t2lX(xBJU)4~*hJOyV_JCl+PmdHJ-b&pdw$yE zdF^3Wc^!Y##Ou<Y{`IQ-mzS$NeBI+}6MN?ReY+*^_1*V$=w91AV^7L$-uE>hV}cqM zxI8!`|Kqco-zLBF{Qu@nF!^)v`+hZ>9;?Oc9#0L)Fv&agRr#mKim&XPV%d|NMg6Ot zuPwilE_}*GI#!ME|Frtv<@f976&Zhw6>ySm{jk94!H)8C%k{Xc>|O@VwmI{8@gv*d zOUeK3QX^~rbV>Of`#GcP@W&$`ZPTAj{I}OyqL24+O^h0s_==WRt&eIFhiycABsX;K z6YjC7(V6kl{tA=gmOzfBO`K<x<EDN5BIxG*yT;{fQRCrVZU>pB{tymbbWtI%&Qhgb zO3zVrWt+~=;03CE%h-1==H+UfXEp2g{>8J*e*6(_6MnuYXl7ae7lT+kBgSmj$t<A{ zwrve^bviurU5Lz?9V=$OUa6_2`=}$}t=DCfOF9xh+cOz6l_T>1soyIVQ`i2q$@=K2 z`hAnqBwW4p9x?Ixp5KyDm37fdRQo`}z4&A|f7vLPUF^;)ZY+9#bdkM+x~H*%{`3tQ z7OS`|OpZpHtZ1uSr#@fnl2Fr?dwJsbR&Tujb;VgXgNClNKh9O1|1&|=rpa*q|0?-o z3l2pZtYJH+sQoGW{CoZ0?N92~Pj%AC{l?iQ@O*vH#u_^bkG7n71$8xbvMQ`?QQG1> zdUMtu%6j0l>7v+lk#lL<AKis?FP$~IbLiEhp3R3Ym&8BIT*us$_TW$0stf-bh2q-Q z%>7h(&m#Z&cJ{ZowS6Som=3QMTq88YLVDeU8yXhp@|SqI#eQdN<4ZptG^;l1vOU*3 z`EzH^FOlREe*Qw#<TmTs?~C8%YM$R9YvA0tTVCgR^X|!$*j_zgdsOq!XWm1DiGhmG zZ%&Ff%TX~Z$W(g%BjNh0C6#PjDwj0{G#2x;cY06b>ic|Gp7VIDgh|XS56gY4v*&T< z&1-T2%XUxY>ht|+wXfCjuxsa($Bl89ZMg5)ux2tnm*5i?*A$hx{i&2kn!z`w$HVfS zJWqq_+06&f22W5c=sRej8?)p<md1JJs<+SQhfn1^`O#Fu;%MiC(^E{IdE1?Rz&xS! zqJzWYka_H1cKz_#G$)yhdAXHfmIa%?{WVu+#@?VxxhJ=qo>o5iVZ}51k%Pn)6MmZ? z5#nc=b-bj-*+oTymE(`@=Fjs#UU1wz;YUHB($bsL&p!Ok)|4(E?Kr0)vu*K_R4>-E zZW|}5&d%z~KlI??A(wNT-tg7kxBu`ZS(`(|;B>*19U&_dW<HypwaJI=U$=ms&l8!` zWzpa6GW*+aYjR;M=5g=ro~qTSZFG|@G5hf$7q7zjZI=7;<tlz;YI_J994(l#!(-(l zi~Cuc={tCv+fEB=9&VOuyZzvvriYNh;eshUEPj4KnmGr}ZW#!BH%)S4VCZmyEY|?d zoa<HO!HY8nW`{rfktPle4b9CBK@*22M&<@Cnvz}xqNS|01u=ULTsfUTC-aEby&x&Z z+1}~bw9S=88I~$Kaox2GX?|<Bma}|W-M@*~nJk>U=Oy+WaXzA0F417vde!m&i>Zg6 zss&EF9r#0~>C>?XQdS1P=R5zs{_HyA;*#GcC!Xa#a5ijg*~)b@`AOLqv2~4$<6}%8 z?e)GowZQmww#8oam6wWMr|+CR|MB+X30iBWOu6(|tApX)jQg>S-|obvtX(kK`L9u2 z!SNX>BAn6J|C@B&Ea#HkId%Ek4hGpNa*}<Incrk5eA%!&_~fjQpX0<!ziibr({e~x zT`8CRSL08|?~B*pxhEau)<~#WWwQ6#Wo5C#O_Eni^#WrqvORm^71=CyA^keT4z?3% zH@pOOR>p4Acz4{y^NGIiiNcSM&un6Pabuc`(vJ%Ukr&hzCu-Q+MLsQ$W}K#Tzi>lT z!Q^KTp4EEa%~W!pH7Pc6({n*trKj1~c`A)<1Kd7Ot$z9L9Mh-$UK`n-{dB%&uj_wH zv45)Nxko(yKNIe29Q~Kl5$T=6>$6XCrd(KGsESnQJLdfl9xcw|j#+IcELdJ^7j0hU zB=F3sY`>x@mxETT@aix74@M+5&P+ACGA&_#?WVhF-V7m)s@{<wtnJ$UWrIFFelD|E zTshE^r(&<A{obW{C(|G9wR-=SUwY=}CmfsaR2-|RIevSB!CM=hxTYgr_UXU=sS8Z| zI^nzWkM?gow@<3?ZQ!$EikY{`^0Kb|o{Gj!XE9T5&bO1--v2JkR{FspNnHQfhdGP> z&UmZMBE7Y=)?ntB@3xA&c3pls;{X%$hD-S|2M*8Oxo=&3#goV-TuQU~b~7J|z2ddu zJmZ9a+f-QhzllmZp|U6G+w#x9)Jz1gf12eU?f0@E%uwf0OxSxx#nf3L(G{zEE3LyN zSBUlK&*2U^lYFN#_pxLi>#NIqPp`2I-mT@Sz4`O;6O){5)NOVyRhTREd*L<p10rh| z*tk0dt*nXF2%B8FVXZ;cooBnm_};kA*Lc4BYw%OuD!zh$XV%=`lHz7pvHnjy2S0b4 zph%a=#M>fI_qjEsZ4K{`UX!iXXYc-OezwK`V<!6L2J>{^J{Fn9sHdU*b*t{AK=Zk= zW}ij<F6mwftem5=;Hz?-5;ITA+UBMHLWfQKnAWJz4Bc(^v)$Ex(Nb+OgZ(wPSEcPa zS}6LZX5J;yB>_Hj@26RFhi#bmNJ{F>rYfahSGdl5aNbKW@-X*2{aD2BSX*m$PmbHn z`g6y7UwzY?6R*l9^GfW)@s|C^TfWUa(^guLxH0{giucD!uTB1^%~e~w$w2oxziQuy zP?`2k*JnP6`Lr_RgU*BnbHD0OG+JV@ID4s>(YhmML0!%(wB|COoAGmgsA2iFBYsa7 zuXmC7+dIis>5hW0#kK;@2bbFeC7v`KT=m2HM4!PIw#~~-*_S6Rj^Wf^HACwB+IQly zp((P#-&o&@K8bWp?1_mhDPJpjBH;g)mcQQ)wMu($J9;nAz~l458RgAeEAv~b<hO2D zv->_<V$M1LTjhB#!alr?Q&iCw^sqSg<AaTQZQN7N)K6@}+8JfFe<#0r!Sy6t@9rnQ zFN@>kww|c<<h^%m^JbentZU6b6>Z4rn0Gt(*TK-l5VeZsuMd_;JhtMSI$b+KYRdk< z3QKODm{qB5%#mlObp71f_4oR2)o;8Qe5F^&D6>R=ab-m6+@rg4-Et@V?f;_c`e;RA zP<=^4-x`sVWj0CQTwO~`f2XLf{JVbbxuUwi@wdER@J09wzj1rGU**b{J*Ca@DLf(3 zF-lu`*(EDPO{6DHl1{zs=y%oq(ND#E`KuQKKC|EQJ^U<9cjccu91AqoSWGk&bp5xr zFVV0v^q|6~_3PH@XikWb4O6k5_*US^R<_G`{$<ItdEa?lGh5Q&*OG`SHs2-)$hh*h z^X3&tIcvqA5=gZDo##Dy|AJ+K3coTWE2W;-)~wH8)gN+I%B@PX`>~n)hBX$BaSp5I zW@Xl0k(r?JV)f$1KV;*Me3EG>Z{YQx9k+7R`tZVK@)w_dGnW74&>0z&7Aq^ro_{2h zTRYc_t*0~ViNV|!_isxgI^}DQ?7PJ;d&tA%{f)W$5-sI<>+B`wX9|fwY3f$_>f$Z` za-Gubqe;7q!n@B!OSuM13E0#xiQKyHXJL}=WlO)9#a{d#r)s!bXQz0#Tou~+UZ(m! z7xT9x_k%BVUHrZ4VG5_wyJWj>KYveTJX3k%Rf%c%H`nU<PhI5$yaNAUbjhCm)2CAL z$o<U1rP}j1?Bp|x^L+H8vLT?b&hKOH{D3t|%l2;Fd+mYa#i=VQm!Goy@!{{asb@N$ zcU+y8{;~hsms9)Oei?f|;=0dSmaSOQv8_n>_md|U_6}|*6{|natyti2KErR@o{tR< zoNjTii*55vSGmuR+VJH6|Cj1DzrsE4&YBP&=f)GNe_i6j<voo3bNBqK{9PR7C-&~i zQt_l|QmO?ShZ*)BxM7-R5&P5h!DP2>g`UC<4w2%QPMus`<=q*1Ewg;X^dp-6_t;GC z=O16B7cpyv=C*4>$Isv3uHwr78+9rn#l5H6KO)BP;;rzUNFMW_CQ@yU%<)1gJ-c32 zXzkyXUl(h@-?-phkk~p_rr5V`?_RAdU484(s!eULFYamNNi%!b(`dbJ$93QJANPNM zWHIB6Z?pcd2M(O4!WOdJ{<q$A)t8g8XFNnd&-w3KCe^;#?>+aP%Jt9OR&Qr0we)_h z@V{Eh^WwWjQv~!>IL|*~IDMN}=w_ei`gdF#^<~{2GOT%b;ib~4P4<7cofhO;crpFk z-<fv;9K|i~Ki)7kUwQXUsp^bXeHwWOdZOZ2XtPw=_cU%-EPZO;ZZl0a@@Kz7a}=xm zJ_hCF<qkK_7#W^wi}|!EMOTPx=N2Po2j-K$;jiRox4y0Kte9%BvQ*|!!^O<bt(g)T zpSL^R4@vY)nR-O|bo2bOsvSvbpI3;S7v+847_3wyyUF2+)nf~JJ<0RlEo=YxhYNIV zv^>Qku)kC9jpQxasMvdF#I8O(ra0kJSgYV^p;tN+${1H)TebR$ajY;O^SQ}3S`ij( zVsi4IdSv(#y<aabUN}{B)$e&vH?TwpU!8PrPl9%czz&;*<w})hzih-mb{8a{KErr0 z<%#2Ify1e{3;JigUb{accmC=<`r#TP-ybu4bv70_7`}9qjl@USsO~zIv>(@5zCHKv zZ%9x5-1E`X@=eF#<-2aDA1g`Y5n_%Fk#944r)yIpy#BCQiw;NqzO-ne9h05ce~P`m z-zq}Jt^B-c)BM8sxqJWKP_;0T+V1pOx65DU+V}i^!#Vwzl}~<25#6wD=Ko-M%VV>$ zN?c1<@z0ih>OX;3lga9lg2{=`>vNx*cP1W~F?so=p40plA<W|Qw=!o`MljojOsqPt z#~{CY#%JLr+Y61$jyZh^&rkkhlYig5?pxF2qJqn3xR!qW`{FbInRt2S<Qx~42_HCu zIx0kC8#TYw{H%TVpd;*?=Jd}+S^in)R^C>;_rYe1Z%t?5)TfDtbFG+ycUe0xIC$ah zw$&bwkMcft<mPTxQQMK?w))0h_7@*geSef~oqjk-^j$<m<KMc6vd47|T_$zQa#%c> z`SxJW>h5{HzgF0`J~)5>bo+}2>&%kLRn}t6d<t5YZlRBQn(w%G?vCw$s#$REIb-y# ze3rxeh5jumeY0ZL`ip$m=M=Xu7R|~rW38{)F)47X5);Rh*+pKy`%0$Y{dHBYz;{i8 zz)!2&Pt7w9Yqp=>^LU2fMZZTo@(&Ahac5?`Yb+8LwiPpp*|PXuA>+3bL2l1v-d9xm zJAX~`dBXc;sd42k)t4bM3{^~@3ORoGTY1NSOJK0wCo?hm@yZ0hnGr=nJMS!a)7X}j z&0OMQd~v;OhH;7S!5FU8u*igq)(Z2QN({o5MZNsle{JH+p6`XO#~*MftpA|)ZC<iR zrA2DpE?MWz-;Z=zs2$yC;$S+zr;qj7zatif%;k@ZKU9A<JGttM`Dvpa8jUOGy_?<m zx!=3?fp*)gggo!Xa^GY&n8jF}s5UtA$>Ni!NB`yx&+a_lb^5pjzx0ROzBys+<&SF) z*tl7pES9Mkv$4CO8@2pq41c|O<>Q0D?v)&V8x=9@l1*OUHn}Uc4WIQM*RU!S8JpJ| zZJW#G{xbEVOyOli_5vQRj6dO}KQ~JXT;e?8wBF+O=_x<>6Xs~M%3U-(yJG3faw7{r z!RULLC3(_k&Pp|X|Dq{b(S7jAu7INlt>^C4-fmF1z%u&wW1AKByA~Y%wmkmw3dcyc zAHF+OvOe!Plil<?!_cEthW)V8r7{yq3H@Wq?_<}Oel<9{`;tVV-_ojg$KNcSdNzB0 z-7-J3r^?0#uM7R(l$)HAkt}@DtobHs=J9uDLK>DG-P@H}xW;G7rGTrRPV5a1VOFKQ z*UPKdo>Vhp;a;*P@S)99$M7Xe3|&<c{w~|!zl>+=J<+DaahWHkqOR_qGlM~woXy(O zWzh!5xPlq%>z1r7xpM#3f8%3MPxmn8Tlsh!eakstmTc^{ZQCo0gNt7M@Y#6m&EwPu z2c@%5@Goopt6Z|<Z(xwSyY0VQe@xu68>^i=AFqrp$h&;jujs~#XysGY2kz&7a|!t+ z_*x@F|3kdp9=nAfSnZ#Gin{eQOVi!ngW;#nvFmAviXU8VdAOxJ^}ocnGuc~?O=&je zYN?-_WIiic`w{Doy*tEKG5Iq(ayCoy9@CLY`oVdIm!o9+kGf4qrhhlii#RCyv}#wc zaPzX;w>Nxw{b$~_!1zPrNtbGmFZ#l}_mlimpJ@U&-gnxt{?N?(`#iI`K<SUrVM}q3 z-%}H|b*ZX<I~pL<IOFJCrlW_ryM51@om=<g!>Z?cRxVNI_KXsrh1QufieLHibHe1f zw+Hs^dvW*onT-a=qurwfW-j0O)nIa3#@&g{EqbwQ?1K3BSlKD;*`Uf(D#kn~MZt?z z?tiTP%upv6qZ{Qx4tXmt^DV#aH)YaS8|xEat<<Bp7cuSb*%S0EYO>-QRbIIm@5PS~ z1{~b6H}X|tp^fpMtl3V>m(D!en_gO-y85gr)A3V!>wo<@^`K-5%e^VHgV$|nQ=hR{ z*DEDN>|=z>GwrEMw@i$h_phcPc;}v55i5SV=uZvjYUMLZwZCdOW6L~8W&_E6t~>dY z)WhUF)V>_$;oRPT@ea?V-&N)*T+ugX8E9X>S6IogGXIBYf;Fe0*q6*BeV<$Av2D~~ z>*!m0;EmoVllr^>F7d{mt+F>B9uwIn@?hP*&6mQO6dlrX_f1@1rlo58%;P|NzG=mp z7i$ivOR%Q0J~}Q}x1#Ib&wm@!Z%tB)6w~KVHx4*DU(Vr8$BrN-*?&cE8$|#2+>}?d zIV?4glYOeS*h+PV6T4<*R9<*-Lc6hoN9l><Ked*sbG{byLd`rxc=k7h{A=;-v$f)T z=(gbVA-m=`H9szLMzZ$wosZXhP@cj!>y55`Oi^5KmcsFc&I|h)_gD4JPhQ9%{@-e4 z?}z@>_Z>B|Q+9>SpQ0N0EGX=f)DEWWvdVM(pB<BP?Run^`liI=IDh5#6Yp>Bt@Kh2 zH`(O9^y)Kd(>Ukj2m78^z5Z$CwJWkg;QtlBPZxBV=jSvoOgW#k=Bv3;K%!kpjoSKx zr~7XN6lSJN7w(wiY~bcJ+v#X<M6BS^t)EwJ(_*yvrtS5&txw$X_ngc=e?k8>#;sMx zj2C0>%vuyze9b&|NrK(HH-7Br9`aUcPn56V&CmbJHp8lmS>K&2=9YHW=c~dG-IcC* zUDi0<X#RxJwff(5ZFQx^#}}PoZRy_brgGe7-l=`rjt!D?7nTQWYoyj~%QQby>3#5e z^vu|`9h;Ac&(-{^Rx8A&x4vEN5bNpE;~qLIW~){@n)u(};hXk&-aPvN(bK9w<rnWt z=;<=6O!WQSGJ74Xc~Q$h$^9EO4MN{>O%QvwXKql~G*2Ck(y0rAQWves%X#|!<hsd= zc(;1~+~M8YcyLxh*7Xf{T^gCa{#RaHv**~PxdoQJ%f&x)ec@TOV&XZSB*A?h9^vW_ zk1h;pbS^ttt!5rB*L1A=?c8k7>_0h2Yv<a`VSN}C6B=>t99O}X-IvThtHo%EINmx{ zKF=p`?@OP>a@QgixYZxMKV7xlE9>MhUKe}w0NE#!D-2lkd2^R0I48u3NwOR4k-2;5 z#$T0G?Jp0X$9}pa%CYOCOk04|vB=p|ZH<gh{9DPKlpxoyTfjK8y<xTcjZd~x6Z^EE zUa>82R<N1>y>ro=^Hy5ys&&c2Do!UZ#9x|Pxop$f-J9CH_BTGVSswAmSI2X;w&8K5 z%PyHm&+<QUE4|s=eEk0V+&yQ_&0E~%4d<NleBJD3^JWw4v9L{QVH~|-Z=TI~U;jOM z>i<=eIm;_Mr#xKA8*5^*;@f72BzA+>vkD5NcGNx0+0K!?C;hX(+rtKX%l>N`+Shg* zR`k=#JuUR;>EHcjldWTy9XYGqGrcvuOFE{=@5$b6b<0;y{W0<2!V{uvb>^RWCh||~ z#Dmnecdz~^*{!*4X^K!W$IK$_rBgOndwV$-crBTgtl#>(Y*o{r)%>P25;GSiy|^d+ z|8n2AhKj6JU#8ynpZV>RaniHt#w+L6TN;(M%KGl|?of-{^kB}7Q!Q%_zBG6+r<HM& zL+a*J2?zeX*tev0*}p~o#isAiUQynnRGBm*D$7}6$;`zs!>7bq_r6~Lp7HSEPw$^k zow7Ps{4)Qgx`@vPAGaNx-|XciBE)SuSvPGDQ|P<7lRr17pKjd$?ViVtx|xEKNg0!G zJU?M;!P{i=*L!M+&F@?HpD6S78yHM`mod-hR*L)V$L2gtTh-UgMyovzEL~^(hO3J= ze$DhaX?uO$zgK_te!VT;5%%m`#W`cC7VfAcCK2xGn%lnEoD+4{IdUgt8k79;W&hvT z^4?Vb;VNu%Z2Rh-q#T|-ucCfDcHO#<ZEg?`A9FIR_}=HW3UX^7m_=urPbpv9nq1$Y z@WSujlFra~8xAVo-tD_<KU2sZex+|A`%mgk(JyQL6}Wg)veBgj%-<w;an;O?vYY!# zV|JUlkw4c<P5Ec1Hm?16=-XxW&MviwYYY!vS@vXYux`lvsI#6kV`6Qu@l4B2UbokN z-}&{?KiA%v)0R{tBy@VV1=FT$nvHjBHg8#S?l5chjJ|YHwna;pYQA8eyGY#W7;ALQ z5`!Jfc79@={IIETL*SqLN-36e_b%f&wd$Yibg%oDXHO_yvO`{4Q|5)*@#=;DuU(p8 z{_lzQ2DeH6C$DAKv6!*d8+@A4%YI*T3*%D{rmSVZd72(q<)5g%|INiPI=Z_={?FuA zH@hoNy78Z<+%%5fx;A`E`11{2cWNL0u5X@nVB1l)<vvMMnQrG;xoTT%SiZh5ChPK= ze_<_8mmTN{N^*D6`X9CF{-VQ~d(<}Ou<ebtRY}k(V9#J$w8Hm{hO#s3)d`&<Gm`IC zKdF^lVsO;%H;;aW<2Rc%&yU_JQmB5ntHf(})?Kl<ule)qT2<=gyOw<4lh}4m;;}+Q z#J-|E7nKgjv=`ki*~Ar=D5)|-(WP*WT<PYIQ(sm5w4Jc0Vf}^cjkbdQFT<bY#BZCl z*es(>vs~*^pL>yiM<n;6)J=Dfr<^ur&uW}fc&UFnr*k6vYx{^b8Nm}vj_3+AnJFBI zw>w%Gmv^e!=JQFp+DZ+ZjTzQ8q7xZ5F$wMbkRbN#Kv(0j&Udbd_ARq2tlVxE@cpy3 zN1>5UiBl{8r#-@))*Qc<PTVixJ&j3i@$Hu{?Y>SH=doYK`QEgoaJIb3k!uP612_LY zaWpE<;WdMt%|jKlkA71gKfWUAAbwu=dqtJb_S8K^2P);na<6rMo!Rc<;<q6nWz}(? zNrz2&b$ZVox~UL-@r#T6QpX)Fd7Z*nwoZ$*PI`alZgisK?f)qnH%`A@Iq%iYBNuX6 z#Ft#NdC#kGk~dWL??q*yn4s;7l{<c)cyX`s;*&@Rb#||IMYqVfm#ND=c0UW6vO`o- zL4CtUHjdx@=`z3AK26=SOPp^_NRjv#J=b6Xqo!91!hDHx!GT+fRI_jGvFwoUwzti? zy`yV~b(=#@>9LEkkMG^Q<K`CT)gW`FKQJw5g5aiO4vsnX#~w%sznA)dYlh<TIi^hi zR$t_MUeeJPm^U?c;qN~&?@#?`xhPv&UoNfqvHH-_#oMNIyb{Q@-4uJ`$aWj%yY1~0 zinh7ri@k5to%~Jt<eHbwD=x52SbT80Nz0?Ny=8Lkt{M!}zCD?BQ{lLB=r1469T$CL z^c=lU?UgIvC~<kcr)f>tnGF?2GqVqU@>wE1cmLwhwKk=j?^!NB^QK9Abw}aO^cuGE zxYt_o+Q(EcEsEGOGkmI1>Zwu&TkDUO^Kvd!So&YoRga53cQ%f>a%n^Bs|$@soJ9PZ z-mWcCHEx>t_nQFQqP-hg)XGe9Gqa+j_8GlSh^&vjpqpT99Ic?>8<El2lI#8GtHp(# zMv5o)bG-c0e=E4Q|7_QaWlv`NSe?@Qf5B5OGVDy$y2gpd!GR1L^r9D=#I*$QMt5cO z9(Q@zrtWXP`fPhY`_?11`Hyz_+&=##K6YVC7z@vgNqd@add>V~lhl;jd7kH9drqR< z_2h}$xC&pn&kFwCbM(SP<9XZHK5BlEnDMo^$v3()_Svz;lP#xo=bWE3|Cnahlf|C$ zGe4g{RXF2|*MYl+%e#(xPjh+q&o-~5<^0S#p>vu6yQj4sW7x`aW}fhd?ENWG$t$Pi z+Ol{Qv56muJDetdKls7(-@?or4$Lle^L1TubW!`<Ny=+Ct?ezza9Wod`JhDiKxkjV zr)@ifduG?Y37W8NZ~Cu?Tk8TBpHV!jdhtifsywBe_w||ue=ROBVf~utbKm7@LqK4) zrDXr2AhSKHJI)^BmpSEibm6Ssrwa2Yn&{7&7j<OGvGsQo8-DKTvkkf=DZEqs!e_a2 zffHWW7yNbBz9)9GvgTjGp$$&;drQo-j;rXghPFP4EK_ip*V3VTXPIbG<-C~s<<os5 z-$=%N*PkU~=e8`8MO3h}?o9t_-Y0W!3%$#JvsBeP<&)y99Jl4lvF{$d5&PQW?Z#5D z@za((ZQ~zT^6yy)7cV^Te=xuOc;MeNHgP|k8$Mh(DfZhu=x)lCoXV<2JA?OiYGyN} z8YWy#eb-*|@k|P@#YerVt=dM<r{&Dwv$kx1rLyC@4@O=`zTJ18Fn!rC^_LBWPCfHe zIYgRmdWs@l7dd9tnE&8AV{z|yu5C?#m!I9AgeQmpne_B~N-jIR+TG_CzwScecQ#3X zKK<ETnqF)Z6XqLsJbhPz)T1fV=fvh|xgGn<VAiTU^~Ah0S-su0)zdSbY&gwiyso(v zyp}woer}_M(eK^t+r>0k`C1o?t<1XfEcbG6DuV^z&((VsYW6Js(&DKkvf-=GuEfi4 zx~9}i&5`jt^XaFz@E14j8NVEVE6SzC?1{O~_Qf~%<KOj0Dr=@1t$!&jG`CW*Oiyy= zSpnCH+wJ~(tj|67A@2`Mdxw(l=Yxtf-*vKnFfu=Geb#vMth701yJ|QXWUQ=x=5Lxf zeWBy&{}Z^F9%ZrrG4A)N)%K6-Sz758xIKNoRNxlx1@%{KL|z`ZudaR|HSvw~ky=L1 zGw<$1FJxZ$@z}EB<ytd?znXjP37E6R^oN&@Ywd~0aVu*M_6g)Qo9^QK()vyRsrA!k zU*D@wUio*+)eZbI&*yfWns(#s>DpD^LEk5PtytltvTox(AD;)4>n=zqZSeEI65h3< z&3NMm*W98NEXn8Wm!I3fvikRQ{Yi)CPJCz+sp56Lt|sWvJ^|JUtu0GaZy&l}y5yi= z*LlV0XE925Tjoa0Z@ck(Wykqg&C~9Umma<qegAJ++1cXfCzh(KD=j>CH1ERSJ!cH= zD62TS-1NVt^8d&krw3Wbmt;$I%#V|`b1{AJ=zgn2xye2&cb_FrJ2cFW&C2hTn&3W( z!}j??rz4FW-(B<neiAMYvzcL;mvyh_#;jQ1Gk#tXj=9~%92tA8_L^v{{?YdCQT-aJ z>X2z1<}a<o_%n06TXp<SZr4^6vwp_1=R%;*)&J)wnEje8Q|q_rt?8{Ut20Yvx!pM( zbL}pznOFGkt((WZzP3V}bP1;mv*OAoy4D^R`PgD6YrXEM+E>-zHZHR`>a;a_PjAn8 zDp1v2wEU-J@79>9TR(~_gl)R2urEAX+|_?)Uq)=_w7q*=zhB;IqELUz=D2uxb*odD zP@L@o9#g-BJNNR{pPAp_Ve8Ib5ID=#@_M$7pTV;9YQcnS!oF3JI&N!SFZ{TlvAOc_ z+s7hJoP`4G%x#mh|2eb^bM&9fbU%82<<y0F;<N8D=We%n_V7q*tj4DslNMSp{u`Y* zS7++dvlq0KmR5Y6bgFV*P3o1%XN#-dU1x9G%AOHhx_64UZBSRUsuGX&)p{Fi&QI2- zwp_e9xhp<k@=D)XKi%K{Z{F)@{<@OG(aHB^LjJyldyQsG?kbdq|1h3<H7fMlD*c(Z z@9ljgWdub}I@%<Cy5wNZzQWvK4*REW-kBe_HthPG5K$ohU!c@l>*a>Et&L{uG;UmH z^kFpPR(SAoR`QDZ90zjmq%a@Oc*0j*8gPH>ukMcmXP#WF-6vS4Xk1xlW4mtpxre9T z96RF>Yjfa+qvH1?ZNY2}Kc=Rwm|popk||-W^vhG#ZtEjdJ?kI)UeSEe_+4do`~{N_ ziGOeNO%65li1{sj!9>^cyWXYM4+MUm$lv)YRWy3N{mbz4duG=8ezcH1Fr_YaLY@4R zC;#N$8R$N`bhkaZ@!_iY({4Vj-~Fy~@f=ZdJ%8ZM%7cHiq+SOsTF3l!!}~qbY&$!g z|JHAaXuV{7CG_;O(p1@<52k%*{~)yN2I~_?p<Rl%k_{9zw(WT~uQ)MdV%T!sd3$UQ zRJlH_lH4I{5OE{y+=>g2y_P?Xt&96>v_O~hp!Hd+E#HMb%bUM8pPjE&Y^uNEdfm-A zoS)s#pZ>gJvP<GsBiB_<Z`TEKsXzL+q9=Lf_U%6H^CVraIw`!f<}=)JJ3GBN>OjE6 zy;as*LYMkH{MKLW5iFTn_Qb5;`<H@8mwlq$<*UcJly{^oN$HWE5u@?P)~vAf@wUSZ z`s&B(9G<1xzSEr))iy2frgP4=u!zEKQ`491-RZ5l!$`_$@vr-=9vsIbPMuMepE>dI z|M`1)-|sokJKJz0gQ@H%>EC@(o#rcUiUy^(&UhsFQTIgpR=#OdB^@WRtp0rN=lPG~ zDoqP^7(A?aoA_hj#1*e5^4y#9^}v=w2S%m+PO=_X`)8kw=S)-MTw&=`vU<<WrLvmw z)7O9h7WZ@K^qEY{+w*R{Jm!CBdFYEZ>$1+AS{3?KIK<LVzKwtL*QrUWj}8l#{L-<U zb(VdBg)w8{#`~}P`-MJiN!@H6w|;^2qvMlhEw)vQX3qO1xAhhKxB4rc&(%G>nr^5* zcysWh;=9Mi^$9wbj%8ifRE&;QpAc9v{cU}~U6%by|F8B5MRk6zj5@bTF{f<C`oHTZ zu%4R2#lrF70^|NUMKAbXTW{yM$>J8eMm?NOFQ6{)s88^wM&mw<CzE1@^%eK_eR<>8 z*qY#2oEy2X^O2-j)5qluVY^oCpAzyn+}(avMt_in$Oq$#AsS!%^{%#r9c0{nKf7vc z_Ff$ii_ob}Pj_AWD1B?y0kQ8<6SEXOJ5H_KQL$$;Ta()d)9;1JE-&_c?s;9M?Ax&= zaEH^aAfFnW<yS0%b_PDE<<p-Zo4+sl%<?_1h8agzbnZG~*P=A-6MyFwBPo9AobI}Z z%caBCoQN;{XsSPRscYJOwVU@%uAJSfvo2Z6_P*X1)5Cwh6kE*N%zWdCZTg#K?aB$v zPc#3!<XTQ-O!)m;`odl9MbAsC_ua4;5PDdhqqlU=$w2d-o|N6Od%m!~Y+ig>>FJTU z>dx=2N5%X&LQd_w-B-DNrp%w>$nRgH&)z$j^E_u_=vto8pQ@3+l)V=mzs5Cf-qprG zdf7LZYHyQye6-Yc!_gZG7iPWN@RQr}_F}gcs;qaW^dFmT&BXmde$9rAHuVnAm1}iZ z|MATH$dMQJ;D={O>)dPm6<>uahkVd=zq4xN<ZtR;Zw{N)^n5#;`8q0TO=i!uo@@MO zOEbRr%t<=1{?FsUwsm>?Ed05H*pua-3E0hJ`rj$Bsb!v`gT#(Yr<Jy(37+4%l(#t| z@=r@WujWJj&(|lO(h}^tEx^U*bi&aq)qTa{U&Y;7MOxcMYX2Af(No=;;PG^Y(*YB+ zwwpfn&G!wye_`<6@UNQn(Kgdx#tTcdve~4cST7P0ikNo$?5AjBf$bghHXpL%S26qc zv5+~dsNk={n;0S2!oQrBs!KF3?)}Hqbdp_?WkvQWOZ|@a9ZU|ZR2fP)-Sx|eeq1*F zh!d-I*3PMlsY^O91=mftI2oe-#$vv@cA~*!p7VTF%y;q}ULW;#`}%8c(B9Vc)4nsS z(zQd%S6mYjU#fKJgl(k!On2Gmk4hs|J=QbC^u$SK%3ZoJbDJ9L?&-}H9p@KSd~or# zV^3f&{PxhgGJASZocy8&Jzr-1l6@<0Jb7m>cq+X6-MeQ=nojqodXyh+zrb-Y`Q+7Y z5BMJIF13u+uv{@aTG8jsofq+1>raIhR$A=%))(oPt?eyzKH=bP=SvcE7KF54=-B0O zYtFQi_rZIGO4m$YAXfkWAG@^Wi394zKa?+f^W1rSbzPp+1dG1&_0MGmixaD7KA!3{ ze`$-t=SM5vC8>V)+$H%y$LQHCLDe%EtKWWn<E3-xkm8iO3P%@4b>7RF$754tuEhEj zPiAY$3o15szGz>NZ6Gfnzbf}?&-%A9MjPdfXU(47_VV~{@%Af^8&B9wydM4Um_x*L zU(Z9)?*v0%Ja7|w-l17jo@Y|?dwR~}$ySr*{J1Q2#%KEP>s-zM-$uTcS!$*o@=r9) zsifq>f%rDTL(;XT8UIi8`|ML>>icHC>ggZRo(Jb@mUm6NlB^(bC4cJ?XMr7sY$v0C z-Q_(rHNPlAP_$BUlJ~sZdD>f?IQ~C*ed$nJe8$D(r=o6WSIns2Zq9k=%h{Q`8f+tG z&Drx-C^D~N<D-J9d{@%#t+QTD*SxJCxy19eRP6PVK-u4KUQNio>hb7)%6<jS?~B$~ z@;S2p{yH=1@`Bq=+1l<Yn_}e^_6MuU%>REvn{#QD_AP$pO13591-DL!1jyG$Z1pXl zx9?T<9z7Mg^^br4yUW_NF1azz`O5X>Q61Aat=*c~cG|h9^wiH#-6D&I>-Ak}xtEK? zUUXj7n7zTiMs6$r!<0~25ygO?Z$r<{u<&{Eef{-&BA<0lf3by4yx94!SDbI^{}KhJ zWqCVTXS`0^xBFMgoQOlS^y?oUUwlP;6~nqCznLSOJB1g$Dck<i)%(Y>MHW9*zyDNx zthM|g*QrN#;u;w}1@-Jx=5KDfwa9LT`^=Op%XU9bn&2wrw0KLfB-bSe=G)JI2)sy0 zIjO%O_`SxRB|Fy1ubOk?%63!XCHLPgERprnUblR<^ugRiYx3RyZl5W7`1C}j4T_Cl zW@ybiY?5%g@<^8(yNI5#+;P9h@^`LEpM4s9*-by1`TyC^PtB&*rZ-t!JbOx2u11aZ z_4zwL3L^cw?mp0JJsZ5GIpeeczNI;;hnuG?obQl)?WO(qo3|%(q<#yYcwSs`u2^+O zXKLnU51*GW=9Lv6u8!{xX)=g?%$5G3ZAM&qSgrlh8FG3#-B!z|FPCd*(!03s*C&g} ziupzQ6Xi5#`A4i5OH2`6W$gasyIfpT(~QDZ^FkRzyk1<==Q8`*r^%AR=6`C+f(NyG zCt5yeyW(`X>CwG=yW?f~cQ#rdj+|b9UGCHI1L8A}x(hbz)XvK0N)LFuQFcr3l#Cf2 zkvFWL1b_Qsmi6@}+oSEfl9w-daw7hwD?dxYQ!k&KFFI>I40$f_oL`@ox9@q9$qws# z#;;}mh|c^fv~5ee-}g_Mlkao4DrN}XKiu{E_u1up&fly0mNMnbBR&>&=8d+|+O1p) zULIz-P3GG^f7{(r&9d$2qux6AkIq6e_Y`k8ncjH*J?F@V-(`gb)=Rv$6*zls4PIDq z>enQ}%a8VRacaC46+PHKKlRLm`M&Y{QnpTJE);i=a5ZaMwA<-|(zYWloU=FOtc&`e zq4@9X)9<sUo4rk)zOOE!#)MgH*X_OrQP!t7ShxM1;@uFsaMQnAw#nIcv$jf~4w`u9 z1j}(#ztqk5GCzCo6hC!;UiNzXT-&*-G7giMHw9fTPWoBL@?NAut|IWK#OGT!i<WGB zX;(Yf+4Iw>qY?XOOj7=NqifyX1w9&<=gFT?X8vyYvfeo{rJzMZP{A?bcEr_uhw{7> zF5P;O+_D(<ITBB=onG`c$MNC$ow+uC0kz!QV^_AFnz8+g`H^*M&U0*I*JN#6dnEg! z&@7Sr=}iftI?8+>cqi`PQgw&@!0hAQ&lc@H#r@%UakXf=erSAa@6|0iOQ-KB5tctV zHRZwK-VcF~zS|4T>A&j|yiaItan`vl5AW4&vC7Gf;;cILQp@to5@ul?)i}e7&k^sw z*Z#Spsy0RFr@VW^`R()KuiaT2r8#wO!P|R(%0K%#grx7~jQ^7ItG?yGT{hGI0|6fj znc3Iuytzs4=L7S!Lw>0*0>U3$$vLk5#p&8(h8&ikB_$=wQKfyq*SF91p4+IMXf6{W z{h)S!!93r)x_=gZU|N;!<Wl2ywdm<uL$+g1>|*k>mcIG5U+eXDgSVzzeXkr3O6qnM z>oeRCRlUNtm-kvJSCx3ijoBOy;(uft?HvLa|JYHt=j%kagl)%L0;N95Xg<DF`{|H( z?Ta}F-lgBrHgP=ja{6_psbN=|LT)C#{vZ+cwRGF@rZXn9&v2|>zai7A?~&T8)zjV| zNj<9M@LP{*X+lAB+r-^>-#swmxYb;~ppp66kG{F3b)OzaOk6nguJPJMoR4jT_?t`l zlQ*nNc%`!USA_M!aOPigSURVOrhhiq{uumh^8~)u8K-*w#xHc(lP<H3K{JRYX!Ws% zC=aVCDxsmPrJXfRnHbo2oS*$h?!l9HQc({MrYa-~TRhKS5Z3bIQP>-i)$X5uD*w1t znA7@WwW#r@rG2p_U($8^KhFPN#pC?FH*sEF@D{-tlaiY4Bf>A_F1l2-Mdy@Z+RU3y zHZpT>X}3>Tu?zS=ZI|D6@w*dwPBXmPw{*wSYbzLY6O2}U54o=G=;7_~aPeZp<00n+ z8iU{4>@ED>);;S=ieed8r}JgwyR&{Y9ou(ny3@_7M*{IKf!bM}?tYUBx!<)aU3egv zwm7Sm@Ai}9kK+AUewR-Dt-5(e!iD~0OgfUeCKn!RZJqG$NNsD#qVAxZo(H1>OE{7Q zyjCUricT?oR69L<mDj!KXN!d@uAM(q5}Cu(94Hy>w(iY$9nM0o-M(kKBEzRBP5-;s z;JeGtJ7p#jGs{&)E-c%}dhXXFr-#>OZ~DDn`1$f(d2hO1E34*zsZB{XYno!b=KGC> zCtQw|aZjmCUYnlJEVt*SSJsk0?uO<!T8|3d`L_M~B$M{j?>3|^nKna;?P`q(&umWd zl}1wzwe{)UXJU%kZ`{QoG->_AnQd<~ii=jBkF{RMrYN;HW$U6cor^d1EVdWTbBbAT zotr1*MRj<G^X~lKg%R<q=N<78eU{rUFzM3&(0w&O9O78~4bG*^^vgDma1_oJdHN`< z(kmr*b>;H7MHk*kzD!vgvAFE@M0VxGeg9^9pS7y9Szuam_Wp&vv){)|UCULKP|C49 zMdXh5ubqbPSoTQ1=g*uiki4|~N<(MyrWJK9GmJt)WR(NXO(^(Ylc;=U$F`<kNx8mu zcZZ8|1!udum))*={mfuV%{q~Fe64+9XPUDE?TbA{9?Vi@tlg8ivDn*U+n1Zila8u7 z3T5irKV$6Nw&9+A&eo|Z23Fq=ddqCzdsP2rcU0OZ?+xAo_xu0nRLqFeoU^>R<?G?N ziLstDc24|%__%IE#<P>Wd=q;vS}i?X^25#R(3h9*l4kQ-*=4zXU-&!D<fH4$W7FiW ztw`PXdC3QzrrPZ+LCyLz8Mdyd>{B+r|AD1`O-Ne6!|Gr@+b9+3qx)p*ZX_z)c&H=0 zS>x@Lmt8-;UECD=+3&ZudV&A?IrjY>u^q;uMU&oi@qKAhkXP+m(WoZ1+hJ8f@B9Ad z*k9GUZ?c~XeOom}YS}fTvaQ}RJ8pl!&s!~GyG!Eq%p~{rSK5rltggjtro{<|G&dhN z;9{5*RyRLEw|0KenYgR_wlgoWzxm^xS?9&NHP__qTqLTqzPA4L`};?2ck*QCGhGvW zC$7#}c;}W#q`dc~ga40ZUDCAXI(KH`l{cTRu3pkB#U-||!DZc?%DAcrvZ5~*`mJNO zxmZw8ek`d+Z}!!)Y=#K}&$G>6)|XUPwYDs2^!rhfFK^;d*4+B(+(yCAp0o6re4Z~+ zIrDu3H=}-ySFb|)u}2dgam;(>ROf1<{omlIlhKyY*TP%k_Rin`@04+s#FisppYM@% zT(9vVRBX%TK!FeK*0a{kQFN;MAft9Aj{Dfn_c{u8xtb+&3tk6EpSXF$B{BN?p{TRb zv8#Dw<0qPHUPyOna{S1bqVB#_>;pqQJLlo6FP5@SnX{&O^9rBB7n*aomp?pzOytkO zotGBvSuVz$xc|DlppVC_Epb}k{g{Pq8CP@MVm4fJ)Q&&?YRX>O+uV~LT&R4xVs#hy z<LMju+5Fc$w7es+;>5fsG3zY-T(`NtZazJ=d2T<aU74(n-a;{X*;SQQ8h332WPV4w zZAqN4xaNRNXlSL_4ZeTj&0LK+?CV<3wyxWF)nWd$&M98gWli@_{@=8Kt5h-I+53q+ zo3DOt{j_6C({%Mz3zqG-jXys22%qaR<)7qzk2$BGe_Q;wtiw87V*9tS{~FG}l+AB5 z{F4pHi(^bt%aiGekAANDpReZrriXtx9CtacHazuE*{<F6d%pc?iR12PJ{y*9dz;%f zcRjDx!uu(=pG^GS<rDn-?zK#bZH60s{4Yn}n9p^lW7hxaO#J2!y3<xDJmu33V%ysC z<TY!6(y7Q#!NU5P{Tgd_N^~dbE<g7(pKI5(`_q;7XfvAYNo?w8DOFe8&6WGR>#_f} z2a@*}_wQ>uncv=LFBsz1KlS^|ms_9j<vm{#<hyL)C5f|5+uuu_tW0lT)%t3!g4prp ze2$an2e=ii3y4cGdekU#rTdB5g7Y2C0dLNG?z?90r4sQ*eGa#G{E}<OrpBo~-zq4j zDwrYM#qMlAXYZ@O7s~w^*4R`q+@Jc%OxnkYLu&rthTRw4XGQPsTKgky&(j^^fmwGO z^hzFSWFOs{DYmjgW$)pMH>Q4mxT@M?&#Vf=hkHGjUUbT;yXm~CtI4-M^k`4z`5$?= z|GK|+7m$2sxp|@66ov!4QX&`=+rF>X3dvjNdb#JqwCNnm(Snnw{k)L<TQg+$w%ARM zOX81Mr|R(@-W~aFbMNAKTNU5SE1VPFHr@&0tH17Z`<DC`XW@zQc_J2<n{)H+_OS&S zCo`Nm_1RK}rRJl}$NZk$6|M(t>tog`iGO)+TfDWR_1`zMGcTFG=uQZ1V!5Zu!}I(9 zipnyX*~(KBB@}mN?2lV*oV0c3+xGmKaUuJ-XHWa^TWE`=3sdNM1C}HGhWuB~M>|$= z#LEc!-0M8E;X-fO^5E$#Zedq1f1kNU<8Rr`M_*@d)12HhOR#dzg_$N_gfrEBf4WZL zKK^*EjWMh3>6J&DzRp}@7<lgjL*RlQW|hZVZ*4B^&~~mWKazD&v~qbrpO{G73ETFb zfcw=NbH9D&abbTSRkLc*=6crueW#XxJhOe_?P<dMmf3eCoj=YVQJE~O@59DE?X<Q` zYnPC==%a1vp4Z&AfBhEvciVg8@0IT)wwcXdBl&X2kr_Lg4hJW0`)qWy{?mi34N=Ut z_f2D>wcp&@RasQlrd!{8CF#~4#ry6yatk8uu9qBSp72(3Zqi1R={p4<ncUg5jr~HG z=`GeJ`*XrFzr2^!zuoj=_o1-cyK92%BckgLUlNoFd@J<kFx!MTk2m+Q6exK-Kh0D) zE&jyA!)sr?InywssU*K7Fy#BsWf$h<avrm*DbF-{xSQ=(LSE$UWf{MZ{}U0_p6R96 zvVc|me1wMf*#qG>Wy54FX6j_eW?uiPvTLzebFaTG*M%pHR=pQD+_txr;OX4{X3>v* z9}C!DWvY7L-^3G=7TlY0>9gWPgBH1K>R&ZVexH52XY;x<GoD2Ke;AOmU*b<ks_m>r zt6odY4|*xioxb}>Uq;s4GxaWt9~~S7B*b2czNwmFHzn-S{f^*CMUUp>_e`=d*u47o z!`>4y^S)pDsXU9@+A(&T<dP?!wuznZy0U7=Y~795b^0rRXe^#^R_tT3%c~YyyEk>S zm`wzibv+W*`N^`uWznCFby^%AkD59|u83c~-pVwo?SHXHj_cJ{X&Hm<kJcS5)%NCh z{Cz(^@Y}0(vl2gM9@s0%+Wx_?f4j=e>XJUjcM0cLwf{-rSik&a+iUgzr+K99ZnP*k zZA>q1)p<8Jd#3yWex<DaC-t`Vi!IGrAyvF8_SozLT575JE)79aih6Tgx*pW^M|)Hp zHqNnRb6Wo0dBV@db<(GHA8D4+aWQCUV`*Cb^!GE%(sPqfE@52!@7ccq<ts(8=9?@; zqILxy61)Dyt3^2KnwR^>1}`;HpP73bqqdYRIqrSsC6}b1w%R3YrsCLpB8!42Ze(9{ z@=<i|!D7}!d#`@6o$*?DPk8J6Uf;T$-)-$nmP&7m%<imTuXOP0oMi1+z45P)B;47( zN}(%GG<N@t@EyGi*KlS3St8TEu+8_-2c6j}>z6to+&TI9*Q|!@xB#d8W1=p`-(wgq zbo;%EoWr+dzxhOgnME%zOy8VS_xL@N*ra_Aw$;pupHg+B^PlUvubQquEnaPjw9=Ry zpBB8WyL939%i0oE{r~Pdp8ud6UvT5Xw4-fb=7jmKeh_by{c6jWk73)Dr1&pgX_~o4 z`^=r)oQ`Ib55I7^clGt5*dNVG+A9p7bzB$7*fI0^|2wT~rY@Uk-`WuCrD^|ee?*7X zX`zpoo7gP(tp6GDZfS+ZZJqj=PHX1>ypuN3#A@~`oh1cfZ)7ILP1?J-*>mH+$)N|e zcT{F8>|@T8SkY{C@Z#C>o`z>#M)MZlJgq3d>#+NhSb=XW7bCg<M^EQAzo)qK9^Vz& z$cOW6M5hRJhyA=G^6Z6@r?8yov`R;&4?NS8kG5(SES;}e@_tG8CIi2wn3)%|jhG!C zr0XQ5nO{ozu-t#*&q?>Az66~V`JcY^fuOwz+oT$wb2dA-dj2V#w<*W)=lmx-+Ybae zetoFSa&|W3uU|2K&FjTYo~N}LsLpTbe9L?Ch|!F7>&`TUo@*?h>?M_zs1`1EdbXs$ z#jy;d)bg`ZYy7_@dz6_)YL*|jFj@5D)6tSylfRvjv|9P9f4R++einxPEQSn~oveGf zk7_8rUhkvFt@*(qu<7=4?QoU6{*4z7?e);xW|;mZ^Gt%r?YL7Bj~=Ro^lZ&N_4tBC zV%_pAHO{!n=iNNJ4qDoJ$(#}}IP!Dt-dFB(Cw;omz`NY){LY;DlNMX|81A^YrmSLl z*HmSuZ?#VpoIHby{$yOViv1Z8c0#8!a-wSC_OqS6*FPOwow-WP;%pt`9)*qHwoPF2 zdAygsVDqE1QWdqko*r6t`qHDrU#}f$QFKi{Z!O%XCM~#pQm@Qa6V11iCKTi^Oq%ii z<y@xJu-@%wV(Tr8)9o*<@aPMc{%dt9NdLG03t#KKw<a1%+1)BQ6<Zo?mBhrsz4K!` z`}L}z`D^{#Po^AQz+NT$^_`r_S=AS<X?IQ38?)FF=l48fTdmUkB>Je|y$nUs!**NW z#`o$(9p+9?EPQ&Wf$wuDlY7ojiG(jbGk<T9iJh_5(4=(H(pMSjGxXD}I_;0QT`4N_ zIp}}!_>4z2M`ldqxp3`=q}J;l^#(x~a<=yTsGgX1*jv~2w_5pyEW?Tw{sxT!Zo7_8 zb>6LiDgHI%UGc`rK3nv3?l*QZf8GApTv5A6?Pc=|uG*z?l|FUb=Y_t!-Ea81&f@F# z`L$6R#~7!d*?;nm&B?CxcZvRT9x;>44V!yrJ*f?pI;v(>yg$|7&ECoPJkza+1(QrB zsg!vXNIIK%ye~W-QMd9)vnY4PMTaG;ZcH_tIw|e_CY~Cj&->1$oBVt4`KQt<{^ESy z&adZhKjbc*XYuH^e3AB>KZSi+VqRxfe0to@)&HaEDboiPDbI;rsxL21<hVKQSbtT~ z4!<<@$Tvp8BE}*X8y9r_o+*^TFs;YYFq}=P>4aGKYoFI2rg%-9`@-Wv-HJn<N?y5( z*rXq7vj4T7^Tt6z*YD}iS*|aa2+#7F|E+0(UzNAL$;nJ({g)vzry84Dgf4yGD>ZA{ ztoQ%JGCQ{HdUz~x(jx88(hD_D%|5@vTt{Fz<4U(AS;ex0zkYJRP_OfQANlOpmA9M1 zguW=Wi<|1+nB(r~GU-rd7I)N?xw7pS3|C*b=DXq`_=x%H`JD_lUk>-_bS3c!96R{w z%$toF{C|yCMzAS8i!#3@A$m7A=J<EZ>)|!qWPkL$c~rOmwb{gVb0)B;n{S+|`E<Qe zuVMP7uA<s}weO0ur*urGo(;b%*v6W;=-~a^>6<<rxwJi4#fs_tiob_zU#I%{PmVjh zvUu*9FJ_bH+Zrqs@~mR!J@&dJx8(?PRPU6+|7<y>kL0Zl{{{UkGthm#>68DyZIQOs zPj#8!M!dM#yYS$esIMJgI=)K&5_Xzhc}iPv!bhIW*Ym#>S}*#h`m6l)-1ft|Ar{ef z>GNa0K719b7Jb!ogV-BKN$>Iwwl6n>1o_@MKi2zkZByLRtGUtNAIHR<X9-p~^Xg*7 zvZa?2RvnX6u$~q^e~bOz_}kv<&qW+9KfK&?|JcU)k@Mptb=8aX51F4mKAm4G>Rj^6 z&kSztd7j>9r+?gA_QUg(SB)C4<%L+$<oa9b({G%==Uo3P+k$`Im)9wpTU<XatY74R zk8{`BAc+Q>C0Vkk><x>5{K|EX`1ZDaS(W(zg|-3-GeY<4F+SORWTvF<iAQIfc@7uu znYt%@%K3T5GruJ^p5+spb~Q2a=O<~MHmM)Sr-XSq3eQ{abn0=8uh1Obs;~1)w!Dqu z{IsBD*5AKQPqMyyoGN=*_JT=?r`jiEKG)18o0aEmjJo%7{xcKR>E`A8q*kxu`*$|= z=gQoy8%(0>Z)XIj-dSYwR_$KrtI{_gudKMs<?xTuZ|&2&-JgxlpZfL7_@3g4$1lYd z6*&&~>2n0#yzVN?{WFraefvXkN$p^_&0Nc`&a-Us{AZjZY2)DCDs?|K+co#!wNL+f zqi#K$Ue3KexBKk}&L2LvrstIJPo8kIrqt{k*X(@>^B)%7zb|*$PRN<JZ}pZn_a&}z z8T5CovUQ25_}p@Bg<EmXCx2G`HOtRj|2$Xp{Rg4XJ@Z#KgqFyku=ug;dcA@hm;B{< zlUA{wm?ycs<IS_SjME?3p3jogm=#cd`PduVd8^CPKOE|JRXWA>WV)Yr*#@PLJA5B0 z&ds&h5gfjvWY4@!rcsY|UnCn@s5b@+3W-b+wBY*N;?kpdm}TA0v@5Sn__e(6KK=Ld zl=y<E%#c;PZv36?v35%F0gp4^^HNK$&g#E1Au0JB-&;#L@tghEn!I>lF~=>5O1iF5 zvE?9}o}Qh-qzR$=R@*1{-cx#gZArXSs7+*|dd20Mvx|3{U3z?L;ndaL$;(+IX1=>F z_;6FrewVqDQ{pd2Kh{~l=Nm^EqpV+ajq#nfRonmF{=3n)Q~vPTm7%YvhyUpOK0nCt zfrz<Xd1ThBGxLHkafYR7JTuz;if@0rR*}K{iDl+~3Gey;Z7pQKDU-nYIckPR(#fW( znZ1u<B3^J>GWPdfGoG*6tQXO;^}1ovf1ZlsS9dP<ZQB;x6?1#NdM?YqJ!-n&g4c*M zWeB?;nCKtm?UG<wDdBDOB$W9uQ!~fR{HM44*0SY0eY4L!#1VCfasQq`TXDuTmV<K@ z!#8|xR`$1i9HCTljU{URyZMpU0sV}vZ(bkCUDhP`dHP(1RJ{w<cb9~6v9B)tTVIo0 zd4V;d`+nOk-7i0ltxw9!PW${JOh9w>pKrC*rzZVm&{FD9)?r~gqj8E)bk*!LZ1cVv zCjX88>Tluv!p49#Zx3f*)6-DJjnZakb+*n{J(8<`=ls$lr?QEg7Z~Zab83g#fBLcA zBwWzA*I=!x)U+xmsh8UpICo#>n%DiV?A_nkiGA9ylOn|X>iKr?w}moG%s$In__*WT zp1og{UT@Rjb-H`_lw_3n&+Kmwi}Qu-3%734{CRxN>7W%Y!An1`PuY=Lcj9uA<gw46 z4)~og<oobp{uHBno5v^b)opsH%Gk<O^*2`Jo^q{=PHpeIIZH0udoD1WvZ8WVcZKko zWJRGm^UYg|tgG@F<>daX2*^Ljz3x!-|J(zdPgD=h-@d;=ec9mzj%RD%O#9KZRa(Dr zwbXji<Nj0lFI~BIaaq`#?6g_?W#l(lMsi<%dRC=|CFlOU4+{QPo-(U-0zF(^MVDu6 z-yh#F`LB<B+qo~+byfF7-um@K&y$$FA}cY^u>7Hp$OVR?9Je)(eCm}A=9GRn?%J?o z3Foal|1C@Q{!QFH_xqC->vrZ|5{l(#{QgfWbo-Bai*H>MnLKYZ<0Pp!H;?$<O_|GP zv-|x%H6|ssY(3ct8Y2BIx*w-Lo&Kb_zBOSs%Z67TUH=|_)K%8Kw{FcY>)6+o=G>py zcP?jPQa-^r&0)u!zdKh{yT8hJTXC}Zk?yKTehX&LR6KC&;_BYUtO?K4_xtUVV(kqJ z$eh&}Y_PfP{?=OAX2<g><#twErcPz7sJzo9onExbOg44rYDT}-uw9kQ{JpYwd+g!1 zW8W)!y~6%}p8iy~TH}Kr4HG`TT9B5pL%-K<#@+H2Hww;5IQG6@CEYr~DaLK}f$t{# z51Q2OTzV>e`o$JS+w@r%*J>(0`&+$VbKT$eIg=dLKK&lBG9o2jGt__gu`8BAMa*WF zzuj%T-ko1O`PsqMybXQ3{~cLvlX=fEuT?oJE$#8OX+mY|o>-pc;+x4oGk@PwtB(?E zG&X1&vrpb6Y2oU$=*pFTw?@U)^R6oEtWcN{_14wS<+aqEqxabKuT^bnuw9y!&~)N| z$;1qXE9{9<oEJG3N6cxNA34wMQ)95?9Szf-B|nb^&-tUr&%o>Uv*YE9Fy?Z>ZNCLJ z2n8=n$bNS#Vx>bHN34ZJJMX^UC0pgI_Ix>Jai|n_9uEV9K(tTP?7dU%ve_9JK$ru5 zI(tbX`~VA$t@?ixu1N7(GgO6Y2zwU91hJRuaqdz$uTy%s=HtwBdC7Wt*>MwBi;Ao= z@eEimyZYy)L)&Iod;2}n+tTW4!1TGhXYcoEQY)6lZkgMEyU*T^?{Zk{jT=T9j1r7W zj7QkcPoA`D!Q!-%SH(f$D`s6>@m}z8s<>W7oKfHOqk17980QEa2*|(C8eN`$)-GtX zw%xIC*DN=F8=;&Bv9U|Vc5uH~tbgF9?y5B!iO&sestkLB&!+U~9P?SOXA)%m>o=3{ zvrXZQD<w^<<QPmByR5fbaH`8`)0%e@eX)B_El&&i%jlW9`RCEAt6Q%0ESqmO(=&Pb zsjgM8Bz8@4Ul%93ByG!g#;Ch2n|&S6zKh?@|KdJNqUf}cYf~<CHJw`e`EW0v`P%u4 zo;Df_7ZguE7WUZDFw-XKhV;+CBa1(FXEXC(;m`Iu^uDvTDSE|%e{cUjHkk53`IuNo znV+Dk!jvzJ<(p?e_wbZylGOgNVs~qi`IKw>*UmP({UxuApY!RQ#u`6io(=03Y*BN$ zz;*udn=r$;vTZLuKdbc5uH%)P`|~R2ne_&HzP;uy{&}@^{hwX^%l`bD^3CU<>iH)r zPi8()IRE8S#j@PTGfjkg(k~fw$KT!<zr7>=>uTX|*}tEKzg_q9s_o}&?q}h5*ZqC9 z>hG@gIhy;k-afg$_DT5N-oGc_Tvu1%=b2`EVdXLAhZ6iXu{H(LODhz79!<U{BQXET zp`S~(omGEpGJna@8llzI%sOll;dfuHl5anjX7ulrfbs4Y?NyAgJXvgS+GUof?a49f zJzB9-`IFNwWz&k%q?$87D?-W_eY9Y4&aYv(`^8CX_la4~OE	y|U8di_J&JUB{0; zymX_+bn%J*7iF(iEw~%|^ker5muFXcoGKLV8Xq~$UHenixHsY0{$1}U$KT4G9G~_5 zrhe|))^9RDRh9Q^A3Lr1G3aK>zXu<kcS)C9)~%4x&y?AHLH*e0u8*6JAN}6tnH(<u zZ_N+6`^o%Is*W&Q-WGn;`0jSu<y`(NZ#btMKg0Y}`dRIrub=Ghl~u~$k6ss0Ie$ln zu8^_9aYYHqQ^DW%$?kuA``GUt(>~gr%iDWH`^$~r(+Z7$E}il!EZkA`-uJGZHi^1( z-Y&a6Z@KlX=UdGKWM;DW{gf@Qj=$HjJA3`7u(zT6Ht#vLb!zzH9ez<;YM1pJbbj1u zzIWHIt5YZ3T<z=}$KY>UyI_ZW{E>J$i?jzP3UuRSxMDcxNa*qO&A#21c4oTiR<rJ@ z8ks)lw#}R%XPtB6g8sbY_eIY?es|+@h4rJ#n)V90>(+8ime1!DpYvQSKAE|A`R9v_ zbFI~@{`sBDY|@auwj;x{NUY?apN7VNKlds2?%Ru>)znojs`NN-Zc;zXUY*@|_S8@M z%O!39yZ=;R_czm?yWiOM;vbC*w{PFR{dvmXKKqw>ETx+BI@n9q?4J5r3C=Hy_^x<v zdEU7*!iDA<XO{2z`bm@j)hEsR?O!hK>)7pc?o62<$L?a|eI1*v-KS-L)T}?XutJ{y znb)3v>!(Mma`tr`&boK}zEQ%Bs?dEM`5Jy(1aAGVu$#By&E>mGA75U{$yaiP?Zxv7 z*}m(Aw#{c;yZxg@f47)@^kQ+_Gii0A%EtKZzZ3U=*(Pvdo<%|2@$I*l$84`XbyL@* zy64{~&D+c0PQ0bRHKH!nw8uoXaq9|3+vX+8f}WF_yp|d+WK#;;oXaP~uvw>Lp~y*r zrU^zTG@O|vr6wr^wK9i9SZgjlRKt6a<M{*sNrumqH!ZyRvLSzCc?kP0izjKD&du$# zY2!ODH%sC26sJ&aKTXk}dmJwZx7=*G`ESBDvpv=-_TN)NMb<j0iXL6$Q}k&bTgwBp zf~G*etIy7DUtnc+Tv`5pz15OmJsY?7|2QyR;as3b(K(w*4}U)R@+<gY-#VLwgF8!) zI;XYF{(9IjPF_H1lJ=g-jvO=AsfGyWyzzegNWDr|B(>LIx>)V${K>^?8$R~TN;kLs z?DAQd?b-4ph4!^yqr2-OPPJselI=1LbWo7Eu|#j-j&E<)v4pJMayNC~vcJkQ9DS?* zZM&wnv+{mb=Y=e9y=|dEuV)IBPF-;2Sw>3nnu1%Kb0dGAz5hGzfZm2ZrfJ{e`Zt*7 zT|KTmf7h#Xry3_6(KB_e+1vd;Z^FHt-UU0$%{gv&MjovQteE7osebP?`Bk<VdzTzy zn>q7XqtX6}Pl7^=t~*u4KJAcW)SuYS@oX25cWcN0UtjiL5${>}Up#7G$lNt+{!~4B zDZ0Ry?Stn9HRe6347rkre48Ggzh3d<`O-CYRS}VYue!M1%X{=vx7)ye>z2!>#r^W$ zPHsPaHQLwiZj4>e-}yQ3H_eTn`F?UZk9O2U`@>(`rEbZ(cQk*iXTA1o*1K!<e={b> zzCLjKoqM>tRhR9TKPCLTE|gWv6n%T9pxdvqz2I@1bn)Ul3SX~Z-ursp#jm2dMOEuA z%?~@P^;shIvDx-3ch?90ulhe{k4|u$e)rt2$KID`aD-gdk@J+^y>{-|cWLRy*8VXK zO<YZ4ddrktl9dcE&ggUzRH#{EU-~SmP4@SPy&JCk_%90)W||QStC=AeffXM5ccs#T zfuY0#>7=~Wlr(tF%vfU4eLNzkBFxwvd?$^Ofq{X6f`WpAk&&qhsM=<Th!J9xI<QCH z;6m1^Wy~(yf2O=J-IU@cek|#XuiAw$L02D+j_|mp&MhZ&Q@!REOn7G=Z*xGuVhUF- z(+$SsjN2-vZLYXBpX=uIWAZ(mQxY~<pRz2uw%cTG*CAU68#&SQheB+)n=3^`&o_No z(e1u)WsD5((}X$RpY?oQA6hgxPO4}ynBn}Lp;6(e@$1M3tjdjSZ7dRJs-!rkHrq-F zdPt~Eo^0YH5yQmG!|9N)Q0zg8oQ+Ru;{}H9g2?>f$l1}4UWM?Km9Ao2!*%G0<KZWb zjm<5NDOsz3e!F6=EM?$eAaO+HP{L%7BTEt&H5^Hp(!Pm3nMpLLNy$*cHAz4CqRr`p zN+CL{9|f?=$teUqX>?Tb<P*{~QamciD<R?{C1Nl^g<XU-xVg3Mq{<@3<_<QIuJk}L z_Qe}cuQKC1Cg-~JP}TIdA_Fl-!^DmWCNVNx#kRc7A69U)S9?rm_iT1yte(wr{YpaO zA%hw24-<TX1I3pv)QUS&#cw3r+w8hwg~8NkP9OEylY3MP?y;5zN}jr9ajGa$a81|O zh9r*)1NM2L57(S~93Vc0^_Dtw;$t2D%@eK6xm#DpSgiiM&Ue|OfODJm_)<Tv*`qb{ z)vJ(oqRWz38l7L16gXX;=k(L0hF7Z$I5l+o7^W|CinO{eykM7B55sJ2M`qV94>6Su z7XoIm{qtcucRFM`3s2g@g=@MWCuk(j@OjgC>Z?&-3;W{4g8_5d`As5P*n8UK&MPT# zN3?Lw=9+Nxyi$mm!D;6O2TeqG8-%1c9@IN~Iz_9C{V?;3k38(jA=6H=K5aN?Bzk($ zCNuwK>1MlcPTczVrdse$g}Am|GCisp_eulBSlGQEl&m{-v{1#yV7Aw>q)-8+J!}T= z9~s(AP_(`rB67S+Ty)A)O9}54>$nbUX`C)*;g$IG^S9r12G`cg2Wq=_uk6YzFE_s1 za@q3#{@nlP>@R+c6O6X9u<+Q{oA=UlUGw3?2N{ho|IfFUe0ng!XVRRo^|{ebo=#3B zE5l8<{W+2`Ma5evdehe#)tN7HJ)I7zU*`UQs6};7td}0|-}=lK%2Ea^!uMMEo-fH< z^L6`*Q_o%{+zAaV6f<!#NiaCz>LbE&LZ5d<YVk{fi?K4kEnI1b7b<N#TOfY)uuX^e zXCtxx&lX+G&6YL+mpP}XO#6@!zI@l)8&_A}y1H_mUumfpTXOjFt_A7JFK#ZYw3ky5 zUeO@Lb4*U5e-VclN7IK&x%cm)vuCZ!@(n$D=F9*8=l@sqEIJ{;^0#u{*}Gd;*%^Pf zzg2di(|P&K2?Z}qe%jnO-PYEoz@gX@H2-<-_1jXbl$P1`?_0aBuDpEy-PSVmTd^^B z{(QD6U%NKa^ke0_*!^2Ov|NL%PpPubJ+|=j4s(B{7dJVowq@Q6`rIOWK*4hoGu!|F z?iV)ue%^M(t;3_4iM696<MzLLn<c+J%sLHT+n(6d!~82Z?BklQ^vHQ_t0W3GurFp{ zQd5yQ^YG}!5D~tH<%KSSERGjGzuC6zm;L<z_4ogO{Grjb;Q7tFxxS{K|K;8;o3eSa z%%epg9hJ?rqHInF&N+X3d%gCO7uTYKL(iW1Q-8ZI!8zybtuJ;_UvF;NnVI%1)cEs{ z)w7p<E<ZdiziPMXS?Sr&?wY*67GhkVlg+m4_O0g0TUH62h?B}b`z1~);GdSKb)uH| zyITP}*g2frwz<B_+43)M|HrEP$FAHqd(<MTcQE11ieEMVIQuz69NL9CpOif~(C8Fc z`fGcC)z$yoYajQ%tX<F}cY3n|M@r>2(NkNKWL$;+N7!f|@@Dorl^=cZla323-}AtL z5{q|>v;=y%&acwz6J5d36Lw)wdZb17vz^_-3{s+NPN@ku2#V^MTshj<;h`k5((#d) zgO9)6PJ@>vnKrXmX}vP>4NiNubm8v*!kTeX*|D{Y)Eotr8hs|S%v9q{$@MLboy(r5 z)Y;(Tu!EhWePzJ(XQeU~GTRxFn&di<G#<9#e3V&rwphsJ-lUcp@!7@q&R=QE>*u?u zYE`4Kta!in-~WIA^WJ_^oqO*7%$aVt&rSQeXYTGFfBwD5o%O%iFgIs^ON-FEs?w`j zdzae(KWY{$mF=6;eR1hR_am9J0v^4*bm4An>z;d@g6f?gFI|Y;5-#ZE!jW~>lwEV5 z@5QAXukH7oq_R*cPU^gvV2`Se9N%4&{FSCx&)h$EHYDdpRPfn9Z`0)$F50$i*3a8@ zcMJ@pK_cH42>0jvnttBCSUt*Q7f5wmUC!FAnUj`Xi}H4v;-MhK=~9&W;@!JLc`TQ1 zIb~0rtL=GV>BpQ^`<*)WnatDeQg~5WcK!8H&si!hJ_75_1(_Nhmc&XInk#yGX$Chr z6g-@+=P3{q@#FSvmq|Wn&*sirc1<ZbOUuMJd+VZ>%O(p=P3OBSxdx`Gwe0X)ZW1e% z9Xi$HyztU5(#ubNUzllS;@h$9?P{l;+Ky%aI^2(FL~-1D9vN|<HFoZoOA)KJl(yZy z7GnHA^VZyDTMeChE}Z2R47~bs&oVIhyS(MJPxYhyZ?A=HR!s9V>3pU$Pj%ssg0N6i z<In$d{U5X)dYNEW@3W%G%Ru7t9;-zQWp?>yrP+P`5nX?K+q3yE9yoq>J1f1IJ?QEg z`>3trpK?~Mp6UPZd~UH0|KW``J-f|B+RCPH66wmd&fl7Q|J!`udUdIK>(BXJ8Oe6F zA@aAAnRLC6dJ0VWQ-3>e=KlHL|H`YrxbFY<QpD<BPS^VE%&XbU{x7!bS-#@P`lz`s zZEP%{rUOH>I75Pjf>1wu)il@Zj3Nw7A`(IxCUPbcLTY>>96};o9DH(O5^^FEBArJT zCOT;Fv9>JU>f8B=VS|ZF$$<%{uItBNTEQE&&&BqxOy+K@FI^7F-510eLib%x(O>hX zEc8&<!hD8RE1ctlG<YToC9hla(P8J61=oTzdN@ki7kax+KDs46%GGikM=9flr?Od| zPLEk-e<a<t;+ooJ3o(qHBXmd5CW)|Zdo>=izOd#9eR1KsriflsW#_9|0kV2MC$Bi9 zJS}@GVDQa$$(yekTUR))U)Sh;oO{KFg<0De+xbeng6~|IbHCt^sEPiW=7!S$i&)FA z%=GMP`5GP^YkSvGV}GUhP39>jYSJukFL8*hD!&kWaqjhfc||Ag#csUby7_*@5yeXv zWYX=YNiVCYc2~&sIr`rvXw%!Q1Kaf0=alU{^z-<N^@;WO<?^F7*<Y);>N6)TShv90 zUD7%H%bvPi-#FWF{-R3{9QG7m`k@f?ka=V1;)c@KvnTz(xYL!dj@NEoPs$PJE}yG? z|8=8HwyYLqc)rSLtL?!Bs*Y7mNeL?NCR)~Yeyck(y*4mT<gMtzuiB<{%FnO;v2$JY zqmHxk$6U@0td-Nx{Cu+c;kgAtOfC2RH#(=g?-vwLoxRI;&2`>4QMdPBS$uM-((_o3 z?g!UqPw>0QZaDGL9wBe82qyE7TaQfkF#D+WLHzQj<3Frku^YUY_+x5Li_(MT8X>9H zvIj58r@nUk+C3%qz%8Ejvpb(pt*R>vxGwOf`FCEk{+Wf9+}EF%YsapL4i|R+Gc~{I zsqUvw@7rbk9v=G<Sh&|yJ#mk~iPfi88s;36ylm;#lO-CWckzwrmf+aW%QR{-SMGJ0 zBgie061zD$hxc3Txd%J0KGj&5*1TS}q$Blcre%Pj`IN@RhTAQ_INAO=>hMwTtuyPU zX6a*htgAD(NGM%8acwc<rJjD?hM3NY#+Unk3mNzMh+Zm9yYfIJHOPCpnuKH|zk_Ga z;W)8b`8=W$!A<k>rEf^Z6?8q|tUQ%1RjTucwR6#?M?&&jm6|uVt@lumzW>LkXdB1B zKOTH#WpS2wXT3Ap_Ixp4%bP`KqZV@4ESc}`k-_V0DRZ6CxA>0U#3KPG+;_(AJ!)Dz zVX{QjliIQdR-+03KP_~Ya#HyJ_ME{(<tRn5gg<;OlX#D-T$SD=d|~3|zOdg5j@wvS zIZLgJlAG1|`o_c(-hB-vjtyr#->T@wbAD_x37C22=5Fm}9-In=e0#$uOBTGzc+LF8 zX%%nRWTyMKS^Zz^dZVH$)Y7<5)?;bVl*sIr8*iq1sBeu?woq4JxKgWa=^UQ(vv&To zTVDBDJIQGOe53R4+vm#}XWv}AZ}&Hkf6^Xz3mA;2-8*$aXx{wWU1o8%c@Cz#9gjY- ze35$ANYSOVD&5if$CqPQt?qg+ylH<nc@cww^G;Js(XKTu)i*CE%Qwnc_$$UmHBak3 zJ;ySct-bKtJh!{WtE;4AerNyuWcqp4vg%XWtDoGs8ueT9-GVJSOroy8drzja1TXyf zVb3lDr_Ggo%WiB-Vz^>rd`3m*)l&_J)fo(P3zX~qv+md2)p=1V?|IN&&+o{NwosiV zPro*HoVplXCHO{C;UUW^`Bv^N(zRlWZgB_q%hd(QB)xe3FnHppzQyKmKBgZ!TJm$I z*`;RTXG)nZY!lo~RC+#C2G-tJx;$f%2~UP@yDLkE)<30~EsLi%EH`#e)z<kSoIAlt zatikmqi-J`F8viT$JE09`bxh(;~(!ho^<AYH1`ZS(5e`C?)bIbcMD(VoM`hpW%_<O z$6ARUo0`P-u5~&Za{o(~`CQig3A3_w_kYs(YP0H)t*n?=nup5*?rJ&Nuk7lJdrl-w zS&^A@@{ab3Sq>Eyw@e!(C-pT}NuAgI`l|h($4@W+6YU3fmrKf=R`HsxX?ITi;5<pb zXtBoz$1f^PnA>73X#H`rk|#SuQ{CiDPI1kp>4p!!@4M7+<gMz~g7Q7@HI$!x3U$qV zYU7es$v8V{hs>K_2OXDOS#puRXk~%z)oZQ0s_b7r?_==gt&#P}we@&&&@A2N{%joq zuHNtWwy{rOcG<M|oKD3)#vI@1d-pD-$(H|K_hW(Kl-WvGBlQ{`UVOZ@Kzxcq{<M2L zpM3FJv@mmBUL@<UC%;wNb$8XsE)<*By7tj3r7Q&|{kzfr<*_EeOl%J^9u|BxXCvD* zLH`>(M?7{djPXy~J!7_)Vefkf)AdO=Kc5p^#8PJPz9F#CdQzL*_DP@I1OlQ9uT1rs zb3LNs$PUe2#XOPI{<!UE(#ubg2r_@xe@x@8q4Nu$^uIr^yfDoD-eD4<TK2s&XnjfQ zAFl3}tgby3@qUG;AI#j}#Q*8VO0|$9!r=xpLryt=zdCF6*3aw9lYiEn=G*c9UXS$W z_cIebBW~6z{AhfZRcxsxZQHLL6wtUU_2!ccS7!GY&2Uvr4;NPLnRLbL%XH7coj<3| zdj8m8M_b^&qYdH(>nqux&U@GJ@>cQpFWZmHest9Mzg(|v(vbzL3Je|@T#(Y=IQ7}} z&fJ61xBc?1{a$Zq&D?V&gV$iLXPaTU^QCPJu~Qy)<tco*5uJ0^bdfFRC6k#2R&Q@j zkMw>nI^8vQ&SZ_S{cFFoZa*Qperx`IN6))Y)t5#e5PNtk_DRY=b}vRN*?_%~O=TZ@ zm6y(9{QqK2#rC}~zn@{-#q4}iLH)s=>ra&W&KxOD&1YrzI<al@QSok}q}_R?78_M~ zZvB%}OMhGwBUs<j?mhGOgN{kXAt$!`oD7}eZuCDsnemY3=Y{Jn7M__I*T%qLllm%I z%Jt6SBU`j&)_BxU6?oQvWnYU#;Rc>?U9;-bS1l!qrNVDSr$63hfAYlT3onnw3ntxq zJiRb|<@-5@uSJ;5ng7Su_xmOBBahs^ekk+}YT9Hm{mt~<*7B-{b9_~wYw`Vfp?`wm z{X-vDks=XmzwpUsYrgq!Uy$+LQf%hSD=7;X%hhssmCbjacvQ}B&Gg4w2fp84)2`9S zW1V-#q0yc7f?@2fJ?Zbeyth9r=C0kVw@qmgi}tZ~i&7WdIXSm7<=V=U(^g-T4$t&` zZ5J0d?S=joyX?uF^Zv5@p0qKY`(IN|vWEBmvtoDF2YHL#W9qB<cjQjxYldfM_dA-b zel8|>S<z$jlS5o#R^gY@oCISmc_jNvUOW^HDX9rIyz1AKcK_U(*#~B}#Pi2*dt11= z*J;L%-)0+AE?kJ&ezJUC@`ub-o97&N4i8G&uvWm<Tr)cDU|1Q~<HvF3(gmw;ewAvK zV_M~<xbSQgn~sngbF{}QQ^%|pF(tRqXDgXpuIT-JJkPd(Em=1{-0p-A7k}fEzq2M3 z+IXgJSh(}L^uy(UWW6-a4leLtH0iF!nIylHsXv)NH~pUay8Z0^gV8tdU76o=KmNRQ ziQKF8^?MFwC-F3CD2wyW+qRAA`~tJT>znp8ww|8O>XaKMe`Q^n{5GXK7ge8}u@=(X zx5(5~&3Kz?<5umEqGSC#wnr^`wk!8r!OfVM<f^E-p@&ba6$J6_&hhlI;xL^d5!1H2 zHSki+$)pDxHr;S#EMAqbn6x8k%he~oGoSOk*6F>@dGMQk4TsH@uvk}y<5EWyHb`F4 zieg(^v3c&M9cgE|_FmLieQ|16TDtv%6`M}@b)9SF{v-cV|8lF#s_>Y-Ots1vL|=D( zoP6U@0DtT5%i-Ro5B$y-`#!zZ9y8<gyZ;_PCiMh`?bUKVCFr)H;7-XVW}7Qwv33W7 z;~#KI+~GfcZe66$WjCK)sqWq1L}Rv=@~pK|@yNO!%6xSF$_K5Aho;3exlP&I`S#}4 zwQKJLubDST<LkbnXw4!G$Esg5t|dJ1jGHm_MRf0xH5moR>hsJsESH_oFxh(FPD1D| z*G;7(omKCaJ$lu#{r|KB|D<pI-FRKoXYHSMjULWZ-sT+KtS1ak-C4LcA|z2o(j;TY zEq%Rpl{;b&PTyer#O{ai#R>(kyB)F1bPKen7+tz)=h!zn?ncQSDckolk<srD#!pl7 zImByoK<e_su(DdMyVp6q0(V`|TNnExQnL7FrpyCtL;g22X3OaBDQQ{OBf0+Wp9t^N z2Jypu@nR3=3jS7CTw1X8ZuRC%8xD8uJ-_+q1MP746SwZg>g!o*JU#gFlS;-M(+PUP ztE%oMM6@22GWc=*@`K3-{{Ed=7rK8P^Q(i+s$J{m2UPEvac)kT+t$kh-(Svf=H&7# zJwIWJpRzfNE$_7FUMgNYKUT_?&D*#*vwr(BEsL+a4J9|-%MQJG^XB8HYv<0ns(y}b zLc|)MquagBgmOy0=`<A^Y5Pw0a$BG2`&++m-{Yctl3d%$Ty~pWYb)W~6{oBJXGdPJ z-hL~F#^)=qFG$kd(!&0D=9$lzr+hTtpgM~?<Y%hY9hMNUb@3~9Gdx?^vHyhJbH3Pl zhg9dB-#>TDw2hHxS8msC{CssrqTgZ3ZU25-Do=Y^o~-+0aoJDSr84E#=N;CtUYw#| zF7a_G!<t{WX1ws9d+g*B%Wuxfcdr(*Zk`o9+vWMD3x}%gs^;76;LI-kZE35hp0V$x z?B*sm!+YDsBqP_iNo};8wC@@7(r-V6CGWnmYTI^aL7V(h7I}ucImcz=p5_V|%}AVc zJMpjT)m`h-q?_CY^;O^9Pt0cTslGP3_Tjfh8x#-R-*rs)>(wXbiEFnQHJ|&!k`VpO zA}7vyg|yOnd8ujhE|=KYy|0gn%uI{F9;yF&vh<2)S)1?uTy7`#uw7=g{*yB%OLBgE zE3pg@>3b0R{>>YX_{Xn;AG~J|c3~(`UlV4p)_j1Uc|xW44uy%ko_O95WsthI`*X&p z$*TPOf2^35_I=Bq<q1Mrb_?&+<}JHdXW`E#cT=Io$K+T_*1q$eh5^178k&DO4ou&~ zmdM)jca~0*&Fp_7yEQ-G-MZ}{kH1IeLzgLCVq2L@J1eWy-POD=o_-X(Yr}#R-(3lz z9uGe1JXAOoc7$JIXLY>Z-OElJz8B3eT`@()EWJkf$L2-*B_Ag&l$;rOF!@M$d_>Zj zy>CtT1oE8jX}1?o6rA~QO89@z1Kv**1u8aLKYb;3>FCwu^~cLM{gjN7^G;rSEvCi) zZnh@Nu|?A1&EKZWNv_eKx1)O3{nYej4Y2_`WklxG3WUqB*ZAD;Jf+Ul?3Qz2%az8M zE&FaykW5bN3n{&j_<u{g;%B+IKYkl`F}_qRsr)m+MET=|71O!&>vsx8^Bhv?C^>XG zB2UtXr7JP-x_9flUDxI;5)op}GTv}1J?mcIyz~{5CcW8wSy$cXQ;prePd^X5*xvoT zgVUL73Rm#~-%`VmUWzFv9%V0kG0WgeikHsaEn5ql-6JnwN=ncwxVO!72Ya@rT-fZi zCtWqO^gNj(|9&uDE^scO?a_*Q-E6rKk)qwfcbji}Mo&(Ec{Jea{(HhLi)=Pcn7(?_ zp`VVM^B5Bn1vDSK%ku5LTmRi)>#B`@ufH*fL^v{w@ALOaFmGJxvP9&)+0{8WzMc4I z6wAQ!C3?GQW?zf+yY~)3uQHw6pY1vFSM?{0RU2FNTHno!75L^CyxTO_o>lJEYe#{< zA2V4blz1g$+-_T46aKp6%Te3IQsRf@B4*ECI_Yz(*F~pyVlSWanl74R_@&Betw`a! zsy~<gcFFX3@Og7R+g$$i?C({txBuL<Y@&Qz$ES;x97(gBuh{20PqANf=;o`-k5j@* z%aV?*&Q#W$zx+QhXPIonp?BQeUgytFib>@Ed@E8(!=`eDSd#fqWwDpi3amTs&#z~9 z4_{|rvw@rI_Wj&_(;JgS9RAEcv8py$GW(;^&9h5W5~OufULD+&$o=%>??kr9){8f1 z+&y|O;Aj8DUsdmKoscvS;9s`?@^QZ%MxS#_Rg<Ef1<b!KoMe_|oq0L2LRG(Q_X-iy zkCy~rO_j@TeV-R&CHHWN+vKIH&OJ>lq_>&p+;n7LljApi<0Z|#CYL?#M|-{0UA?Wz z>w_=<rB9jb*;aUJ=Cu3CGaNhfC6l|cA!nlqQ+s__>-#6pC29-Z4YidIpGsMF+~Go0 z#D<fT(_gQ8VRLKc8KE72uixtwI+cEPp>eh8VwJjQ3_ETb=c;XQ`MGOtv4Tf)^pO** zCOE#BCStg6%^TNw5esLU<-aK86kb=m_-gt}j)Q?#rcQHRS^n;ikIE=+=AFAdpd|f4 zm%x%0=@&Fid5V_@{GXAvPryDn<7#EA_4P+}`yL$3XFh()Hjmxb*~M*9qebq0T{c!` zCnvU(-lwjpA1`NC+h)Zx^+bf<lWYfuK-B=}iG_zm?QgGn5w2i%V_BxHUiD5J*O}`} z*6!GG;pi;an{TGRf3=!_f}eq&fXzY+NrqQ-Udf#S3k5Y-?eF@;=ojoFHTAvlZ=ac6 zs~5KJIbOJsNAF=_itE|9dfNpH`Yz~4S9!IrWJ#93v*Ku0uO+WSu<~Sf9~I}Ruavy3 zQVUj<{eHa4KIZNR?)mDS%NESCTd3OnaL&#di{J8|Ji%ADQvY(3)JCzM`WUX<b=Spu zUL7g<<hJeZy?MRu7xvn4U)!s8#^LY6o(0dIzW7k~;>*)3CK<j56K~H<y}Nj?;GsK@ zW-hT3ys#<Nl9PE$56j2B(iMf5LuOsOom=(zQ>{%<dbL!x(n_}tYTMiI)?TVFkB^#K zcE)thExpU3C-|dy`d;??#m;-%V=?)FOLA>eLx<9yFNSmT8A3$AyLPIVm!GNprha9? z|B$$lm3Q>mr9!e_A5^P|aC#$^Gd0TimA#1Csdr(%&KieeeoxS5ywIVeyz;(ez=Fk& zl}8SCi+@Xh`0kp+Q}+C`POqIKFLQ0)(=v}|@{0!!*PTr6+1>E6<5PIqx2EBrfy9P` zs>}f?n-+WwyY)y!NAAq&$eZUKxUOA$5~#l5e$lFO<-M*KdW3TId4v{TlGtim?*8fQ z?3C=O*EfG%rgw|!?fxTO70dHo4a&cr@Lcp{+Kej~W<?wd;#S(JXeame%D1a)MHW0X zeLXkG@6IHxIQI@m&cbKc?UcTK`uhH~CWqan67$0{z4}XDDHX3*xhV7Cp>^tWA%mD` zyWnu`@5V<~R~_A*y-ey>^^P?!FDy-7sVbklGxO}?E$!*?EcbuPeavUy_|cm!@Oe!4 zkAj#mC%KFB>ZYcKlxe;xIenUag`aB4=lu)f*M@LTD4Ok6`DWHOqrUYYPrgaqx1=C& z!}4F12{R+QHaWS5UkKXqqGOkgp4Fyl&S@VcF3MJ<=e>-0?tks`W}iK0U#xv*)4Xlf zS$$#4Z89GVbd$IG734anE~)a+O0!q!h<TNHZH1Uo*vkV36J{;_TFPF)m%jRW&0NRd zjZ(`Om@j(2;7FUc%&*=euV<BW&(+tiVq}PCa}ST^`>}1gbIJCq!*f?}S$!b)#iR=- z*2{(o)tvusYFq3b-nsQ;cHb}8=sj;3A|C$#=DS?%edn`{rz&P{Jn5VAC~R`ZEY7vz ztciOj+%#UP^XlOgC9#~A`t>H4)YHZ4Jtm}V72C$MJ5IG!Me+0sWxGP<Wq0>wG|r!B zbm;trEv+mL)d$Rj73G`iy*kyuA6j)e_^evoqF)IL3m?urVU}>NvvcG3hdfHIIn5ih z`A;Q$X3ch3{`2df38(HCRtoOC{Nh3B*HioctiP)jvSC_9YV?!qc~ey^Eca~CIdK2o zREZv8zet_ei?1(hE8fF2C2zseN6JrL{a2J*^5CXPwvFH(n>_ZSo7EoPlcFp5@{2+j z@aU(w|DT$Z6zo#rxI_43b*<wQ<2~25Z2DLFZu1^%>H0pE%(Pq6`C9jtB-%WE<>JZn zMtS}`y}JLRN$z%!<B#u|xc%!K!xe9X{`kKP{BR`mIajyNGPwfXYtMN8UE3&E`_T3A z-ur*1t?iMRvMfA*(Y|vh_H26|y}6<)?tN6ucJ*l9+=KH9>-Pp6`F7U$d$?}&qXM>! zhbO)nod{XgT^94FOWtLD%G+JJ&v&?9mz{Hc&4i?{5ji@|M~@rTwCE`JPRjid5ozEg z*nV&S?)*>Nq=VHrL><q%Yu>z7on>OYR#Q^$>vhYVCzZP26K^i(I?$|hJ4t8Of1Ni` z&F7Vj?RLMsXr6TNZkk&Oj}2e<5oMXyvZ9Paq11$niY8KW{f7ir+N+7CSQM(J2(0?} z{*nK|X~v;Wi@pftmVdvz#z3%7qo?!kk`3NBO3r?4VM$bo)i+S&-gK9(MJD1=zKd;7 z<JpLbwUzu%-q$kua*p+X>$aY-eG|{&18I*QA7zrRnWe7TsW>OMa_TvUg;Gg@PW_^@ z{2yL#et-0FD3?lFV)L=rxic9e{XZBVW=g)Vxr(>8<Nl?Zl83%B&B5zU{&3FtJo)SU z|E=HB6<#)q37F3dmYB2Vbxcw0`g6aQ);oV~4K5DXdf~dNGQg9cH=+8$vE#a{oD8<h zF9`i#VXiQD<??0yS^<0O4~9&23|Mup$>wC>_gM3ggWgN7tovrCvmuc!A~xuLg2Jn9 zX6^#Zy$aX6rH0)%l_+5U8Dh&UzU!6wifyMt|1yZAMZO8$78`j!^fmL3rd4@wia*vC z^vc`o)_X5&4Q_nG_Uq&JxWN3M*&UNae%|5`Zn+~<8o0vPn_ITyx@~>Hoxd*bTYZ!^ zv^H{oj{PY1!cvOiU0HL$f|$}H3JeUj9j2Bl`X49c=_KnsI(C<(TCvf%b75(LWU6J{ zl_jFDGESaR3Gtrz`SdO3fac`|m#m_B`7Y^oY%X~H>*K!NL7{o<#rHq&cU$A~z0PP> zQCZX0mU1s86^`gb_fE)a{d*$ha(-7~Rc+lnmUq1+VlO+Yl#Ig;vM=Ae(Ix($S(A&A zkU2|-n&YFg<R^I*O@+&z#J^NLEUuckZA1Ak@xAKYlkazmY>QkFc$DAc*8aq=Y4N5k zX>k_+#2()4Jf3tv#A~Uaa&4VR<(VMuW0w!^3b1|fkx71GL`==v$yHzSPV~l_y=-id zWu5e(L(#Zgb(M|tk!6=!ch6PK+-ae^rDeg3qh041Z}o0b=zO`!+@el)ns$up@r+M7 z^PYWp!Lsj(aDn(=Kc>HB&*Pn6O{!>m^lvTSPwBb!78lk3dOh4OFTS%cu-Q}M-iF%; zla=f4Hm0mtB*o~UyHdUMWX<el+us!VdA>Q+^5WqYzSAEqX6RV2+k5x?gmt3!Mw35X z|L|W-_~CMIyDpKvHHF`v1szoiym*-(X|0K&iMf%Hf`WpAp=p{y?s^8U2n`-phK(5( zkC(o=J@>@L^<KOaiyE}fG|%Hney<zsrD?h0-{1fBOT9T}GR$CD!?1LA&efW=nG*Zd zW8bIkR7sk#Dtxcaukx!)0@uo{jac_rYxTO_Ii7!gik{q=85a7)g72|`k7;Q7tI*J| z{<|x-FZrsn>ecVh>hspeUss#G&G+24+({*K+g6IsH8ow9m3w{LHs3y#!218&a;tU= zO}x8j+LGxz=L$W4V&OZxP4RHSlB}ygtIN8hrpat?_b9jg728)ceeu1g9pyXS_s^Vm zcUt+0KyTHpx}K9%D!2VSJ3Y4T$|t^^{VJ1GPHsE-=l}otGmUO;%Pp33&%V8FZSHIp z&r2#lD`mG|-1hcvY~JnX%Wl8Q{d&yu$-cF_a$Q_p_?q_oe81^*fcNt!_j*q$a-{m` z6o(6}TJ?AN+ij*Nz2!m#8EoVxw3qMPE%R5;ec$Q8_eDo`%e>WdKl^@4_jYfq{7Z#W z;_pj?tFFG?^Qy5aaaG;!(EWEO&3>{e(K6uyW8?Bfr3-COnJ&t4Pw76j)G4v!-&wWW z)3!)Ec)0NX`~RO;an-H5OL@_=|8!TH%==ZmIc8^9SJ(gewPCBTW<AyTw?B7#?#Xm6 zrB%-lUn!i?8`Ad5sCOf)w`=q3UF#%|sTm!<v$XE^y}2?U|NsBL{QvU*`(vNm|NsC0 z|IKZ0bH7xYO*|=JzBSrx@2%q8{D!^Ta(AzLJ)5tCS?NH|v13PDGsMmuZR<YD#O!h; zanive&JPzh%m~mD<z$o-P*4&*-|X_Ju|wg30%PFL5RHjU{!AxXn$ww@4m7a~^|z=v z88QZb^iUGBF)`B0@lj)8_^>0#M<XS~;lqImHX2h-9!_>TcyRHf2ZlQ@%!p8vn9Rw3 zq)CM*Iq74A(?MpIruKFzttq|kk2fVYe#{WK$ab^kd1KSR|Mn9PySn7M8#(cLbSBOS z75#sG+wFNNzMm908jd%w%KD$VJJ;7pXyK|`^|$h(C;yQV*tGgvhE3@WgVk?C%B*)k zSux8nrLjnGrol!JrPV<mVm2WzA~Om!6dpcka1u&9aK+pxhpDrAMTkIM8jFI1kO{+O zafSp138Bg9nKPzbd&?-oz#t&NrQ>8`AtoUvBq1cg!^b0`B*r1Y#mCil*fBA{fsdtA z!{w|NzeIss?UI1^3A^^EwPfwsw#fJ2!CCLxtv4)vadguc-;Bv`jO=@JC%Ug&k;Z&x zv8-K4?c=#Gc29NaW1sxv?xHC%6XthHh%M!xKP#i%{!*P^w~w;S6a)7Ex?5f(ew@!O zrtF-Ym$RUk_u8K`w(;LyERV^$vLY~D|H_8K!xqPWO>5ls^YnzW>kl`&q_u9j_Hz<b zZit2B&YeG_W~@JdgHh$*GE3$1hm(~SpJU3dowTOx_G24Pz2c-eNj0PJ=7@}6FWaW< zk9fA-F!GG=isvp{H1ADI5c5n<VcC;*WD*l+{_Mp0_A8g9{pt1)2w(cY{n4(DGu$b0 zr%PsRvYEI+*z%9^oxc|QR;r1%3$AyMdNHZ_Hronr<A#pcA9S>=Pl~Y=ykS~2gGb}w z364Y3EY=mlifZ}#HZLc>Kcn+hmVx`ZMY5ol$<@V7%M^qkcIPcFiWJkjCAZ^d$Xn*% zFqI66Mf1Jps9p}rUGjaw%sQLeMcrw8q!;Z!W#PYQ>AgJ-SC-FRD(+)Ydj9&o`VH@# zyX&{K1-w0aELE*tn)6UY(V^SEXSOd8_;>V~``ITk&39%x#w?e=xWE7P4Vxx~y}a+Z z{kL3R&m6zy)wfRnZLhx{)Q=Yb_kYfc2_XmBYUQnqKd(%?n-X*3xX!DD2i6%27ZkW1 zOrLP~QHH>tEfdb~5@8U3zB845+GNGGmkSPBtp2=dQf1ilr;`ffmZwhoxaQnx)yI4M zte+h|W|7gL?V_^E$LjqO)5yI~ug{T;{&St<azfq{^~s5I+gnW68*BMFGm5%+?Qh|p z*3@)%!STIwF8o;ZqHMjh56{A`N9#7mioG&9Z4%=cWuf+pTUya}(yFaLkFoBW{I13A za%)x-!}PSNmj(BJzS})>wy(%xSM@_2b80#qiZYESzCT_$t@=j7D$czV=9tJ9I`jYI z+pzSsWy+f*BbL97cb^<C`}6mq(1b427izujKTP`*p7yads<xXOJ^vw;;<$aW*ov*S z&UK3!Ke6YBJWxJ2+bl)NL;KYRog3^ggv;iHD5`AWnQ-VvLZwhg(S~K2oS9E!eq5Y9 z|JeV=8u@Qq`Hwt4V-m5xsv_Z<z`mIqemdV)sPUR}_ZF8DpWCa?Dj!SpOdA)iV2SQ! zIXJ&btS2(9WHYb-+siduvnRJK_^i(I<a98Tu<zeJYv-jc5U%bNzBT1R`@*N03!}<e ztk|TC{={2~OO*b$T=~d#-N7)A6N?pU8DiWyzXZo`)EBs2@o}C&WGcJdO0}2OYdasA zy)jEI5XzZw;E2?*{aub$YE^ZU{t2#owTVH%?d!Ylu+xeM*8NY`(&qL!(&BjM%T?)U z&(+P}#UxUV+sdlK%a+t_6ZPvjRlMaxyUL?_;mv)Iyh9V?V-n6doY?Yb#>C}r(aIZt zuyMX!6Rl>~Z^kmStCr<9^P)LNm;Wih$T4SU;I;6nyd}5&JeCS>WLkCZN6W>Jk*h+( zMf^qXMs2yrmdgBU!<!=M{4yVpUPGT16V@oCif`NXhWA3DlR{gIL23KZlDK~Nm*2K+ zns9V4C&$9HC(O^=60^^W96O}Ia+yPr|8;J+k>!iU>`Vd=UAAQF{(67xo)L@MDf_(T zWirQ~{xwiDnY?Yg)5SmPmmcc#Ow~LmQXjW)&bs~+tuc>w1~}FEd<$RkX70M>p0`|O zZPk<?1f4SUeDmh|+Gy6Jp6kv%FH=Y~&dw5eljx9Udw@Gv?ry~*zWb-=UKWr&ZuNC% zKhLL-_g@;lmRzw35@^}9J?lhO*1o3`J3d8dbVz>cU4A;|hQs;;qNNk}#R<N<o@^xb zWna^|ImruLXZ4)QmOFjVX4bu$Tk~vg&HJ<E`_}sw>lWmi+l9Vy75D$Sb4|(x#j?vH zvZqTdGfH$@KFe*7-4}RJd~e0kuqkQVnWx^{dCk@3?cYsq{tLJ9uY4xvt>@&mPkq{? z`4jGbYdGjwk)pD+?sn$e<+1Xw;!kqiRrmeB{%g8x=a<y2U*3IQr@Nb7Xu(E{S1V?` zIqSHaNx)>Q3a9X-o%IJdC)(SYM}5!gdA9%J(|0?+Mr_Dh`yxZ`nb6(Ge_t&u-y#%k z+4xI^%{%_f)3Cc-{0Y&n+aDK{3Q3&ar<=D;`{$=~4_GtL>e@U>W;y*W=*S_zyUkgC z5v!cnJZ)L@{l0!&JY!VpXI+(jT;{);1Fp3Ho&2c!Z&uRVE$xPZ{?9(nn84}!PsXWO zaMO}!lb*x~E<5xfYa36w^;)-yc4;o|d-hir%DhZ=D>?F8|CYLv)rP-QqRq4Bb%ad4 zYRBEq>2z!J=`XYX2VVT57rl-p`DgTeuNT67V!5hzZP~BlFV1Mc9HS8JS3cpz?8w-P z|020N;|}H?J|w_!OkYe*|NjTcz43NduZogQ9424hrDL*ByCikSo!!+L>-OEeb*uWy zLYbE$VyhQ^?(V$rV<F-yFWGYPMz+0ds>1Cp&yMa}Es}Tq!H2kX4^iU}I|?34`%2Gj zYn@}tY%LxcW%JWWzV7F|4smJOQ~M_*tq$Z&JHeW$syapY;%(-b3#MI%{}&7C3gj@k z@p|=_FM9TXA;MVvnD(E_x(||J$M;`O?GSVgy1Bt(ib~kE{Yy_z6m_q9_>13Ls<U-} zBQN)dqNlIzrsQkd%q=;m+?-IdEZzUvjpmtb_80cbyW}tx96ehUWDt@e=rN-&-<~bz z@$z*VSHePAQjENrv?Q1t8u)D{99WxrM{3qfIeq1ppCWl_o(q>L&An+ZSNF$ukDBg@ zA9{;;Op5xi>P0fQISOCT+~IyiL9+AA)D?U8ht8>A@cgRD3xSg%LP0V!&C`S<pE)j) zU-LXrSEx&r`>u4|U*>wzEzy&MU7iT?e>wm4!eN>IFmr`BXME<pY3a<V)jgZEaH{1- z#mQ+qi*!F-(zn+C;>K|}r~0R{%Cmiyy@%D>Hp!niugTg}P_w@*yGm!C(2W}mTYt2^ zofz!n)@8vKxGT6$sU<03b!>yy2Cw9UZm-Yk&emWlSN^_zsk4AhK<5|x<K9nd{_9IK zXzeodN=a&TGRaqe;Moxo$>Q9x!E!3Ygt?E?|7guEn(_6@gdJ0v6MXay!fdB8emkTy zb@FT5Sqay;WIi6RYFrledHHEpy_CxDGm<i6osO~B6kQQN@F?xDO~#BZ*$a%{X7)di z-zr&Sk@s!#uP-ug@+Hp&LfQKcM=UG&mvldpQSpd{(f6-WoOdHrp0utwKHvS~{n{(i z5sVKe&pmfmdUop8l{SA`E;OgVKdZkn`GEcNm4)A*E)(P3zjODW5S7@#bu|X(9U0C& z5#3e9Su^vy))DuN;G-`m@a8Sa`Dii4u`%pxufu2Fr#GiwRA$^hnK{ZwZYA5HgYnB| z7q8tG(em+x;f9T=B7F^!5&B-<`_ztA9Zj^om!2f6mhe*3xi0T*7t?)NX?`ijDOZ`a zHLTw9*hud#R8f1Dw(F&CU|-~`)3KLW<gP1t8BQrFYM#V8XGPlAQ)vNP=1#Td6MFY= zLykrG`JYVTSLBT{duAQAkv7>`ckAN=J;`ltvtIRzC@*_HL$rj+D|y9gYi+Agx9|Wj z^}LL8#lCSxZU-6KY(xdu_cOj>*x;@!_b9fyHKsU?y?wQ<=-z|RXPw)Tv6xL|!vU2G zonG5g!%P>LZ@KCJJSJ(^u}R*U3g>?8=(XXh*LUdYYJQ}eX@6nH`=+x2+zA?2W282z zP84}Ju}Sn+puK^bj$TOCiMLl5nk;cWyvp80^HGRH!9A_X#lan|a?D-(cPjPxJ#S-+ zGh@gVU!or^r^))txqG?9rz!8BDCY|#N=<$2(rA~jEu|sxv2Fk5z>d%Z1%KKKOq{u@ zi?{bnxj*^PcvmaJAh`a$`6nU0cO2Kd$_+fN*^JgdZr%8C!;2fo#JCOl_vC&%mEPx| z*%EwS@D3CA)oC{-_E~(G`)Ez<gROBhQ!c;hUsQ6}ak6+t3rqiN15Y2*pXU1(a_KA! zDP2A1>FMO$y+!Yzy|XV~Z@>B36+bi0IW}{$94|^=4SKXEF#FFgCFKX@*OJ#fvupq2 zwO5yuMNaO(+OnTkRh|kTG-E#Xb(XjUypnpbJM+i4=PPHH{n?Q5Q6_z6Mex4m8RB&| z;cE&-z9)SD`2KKTlX2I|$$R(x6uBzk+2Y-MebJKVyB5xHI?COxdD!;Nd4<pd{s&o^ zk-;`ke_xX-p0Sl}&Ee)ulNFzz_;=2ow(^PQa&6{x``x^J=PD<}=*pfe`_8!PpwVs1 zikI6%qHAW&c;7ECFE1ay_VV0bZkfU-vd^|Rl_V_q@TAxEo5#oIBGU-Fe68w>Ji-YJ zc0RoOj3ZHqli}!=Cn68#vDo$>mJGic_-vut#&>fX+rQ77P^suNvugVV+oSJgchu(8 zrt)eZv5zWQu(RA&n*EHe&7^G^8S}n;&Axrr|C&JWm;2{lYc<-5*<X`;&n%twx1&a0 zO(2x%V*j047RHS?GAaV}PP$I&kiX348~s8r@v{FMX1x#D^M$OJ%zkT|<@VF}%$?Xf zf9!9aU-l#LS&FLH!6WkSO6K9#+rIHhf4^y>*m2>bGvmQUHIWUInx}NBu>Q>rd7ATt z$85<BcjkWSe3c@9?>M=*d*5>Zv3UeOdZl(wxAOj*(7#8*yd0ehnH;?mJ-EK}oV_;9 z$%@tYeSFyV9u47Kzr|Ok|L-be4Z0jA;k<j|&&~zmk2YrY1%#fR+P`{}*)hd~QH6Kd z3?JRD-+aGy(`0|$b5B#amZ=ARvN&PExlE$E)juF<?`O`CsZ|?aY4JZjW3klrn*V=; z?5Ac2-`$=3TJ9U)?+24rwpA26*ayU%Q2pcKmSpvCx6xbG&o2sI{t(U<JTh})`ri40 zHudS@^ZrJ#^_L#?DveD^lXvh9p0~5QY|;%g@iXt`mbv({x;~q9+4w~FFSgJvj6Gpz zkIm0|zwLKK&i_5lJ`z1l?-$=Y>1(Z3vu;(XuT*4uyH?&Q#rZk9^FlXQiSq><w%_6O zBuB;R%;aaw1=}nS@lBj@FzCgL#hibFEUiRWKL57bvm+ozT(nMmm7{b*bnprJ4<!nQ z_Ge>wlyyH$eCA~=#(Dq6AsusN-5*}X3r`={Q&O1~A>yz~FJ6{kUz_9P)b+0y1Z=#- zr&2dbVq;Lq`bYa)J}u*XwdGtQ*C9EtY-Z>DQ|lcvPyeaDr2VWTC+g)s#ofXAFY;tK zK6#w|ApJAbO#8^9^e4NvgeV=H9kJw?zus#D^K&mueipoZp`I2w|K|=-)1xj6%?`~w zD>~~*(iLY8hC~()mAfAm-C869BgI`h1eD!W9yeJe9qAA}yF<yTM<U6^NUuXs`H<`O zW8wc~R+UW1lJxs;$M%5#|D)&q!M+8S9kb`0U`V{qFkzNrb>oyNibq!+cdM86)r-1( zzr1y|P+}^t{k=1v{)QRsS+}N+Pt;beYmLmSteB~9+ovCMJihJhq^jj^_9hn|ww~!* zT%_^&zf9B>4e1xU(*w)=)^EMk@p${a_|}UK%O9IRix1uTFmCz$=jkWcy`MYjTh0gb zFS}}g{EK<+bTiETvEJXhB1uo~>N2(S6E}T{=yi<#BDNz~)l8=8_BwHc310<DdWsjW zIMw*(`{#q(BD9Q8gq0p&%bj}CPXCF$%V{s`<wt%U*K69#Au(n3WV=IiT(j9;G@4GA zYh&%Zw$ieo%;2^XZ*x_A>Z`_QhEEPKFL>sk!LL<c|AN!6?*HvxbM4LR<~m0I^vO@! zy=TqTMHBu!-uQ_vU((CPvtm-dtNPma%ZtO@j=TKaomZR6t-7cqTR46h*M-+_D~e5) zX;)2E^Ic&x>E%tHfX)z!wP*jFJGg@Dn$^-0E4$0llbTy^PA^tDt+6wr>wHDABDd$; z3+LIcM#<M?Xs>;i|J!1zh}4dEk4~&Su4r%gL_XrtEn}s*1v|qt;;VvOOSPlc8tvVZ zc_lV<-qyU`B2F1bK5UkvIUUnNOD5V~X8P72Yr0@|jnsth#RqdlcX~H@y=kaY`l#Zd zKdF7s_oZ#}yC2M)@Z*WO?25wc@2eJVh<tKN;9ll;%j1<2ze8es-tEhOU6P?*m-YC| z>5hqfvU^u8H17+4Hs5de+r7prbJ!VJtPa)LUXrko)v@d5U=BU;C(L?5S3#8g_9m-j z4gt}rYx|8)_Gei??#fkL^Jf2Xug?=Bt5u^P9&lIa_^GhnY<Xq-4R4je*AZR&PCfr> zdHC|zZ=RJUk9b7HZ-f~IhHwA$bdk{ZzE6J7ik^vjm#JKp)!69G%l77!xZ?Q^_1Rm_ z>7}#Gb?YpibxfK$=}lL7qCzt7e2(4QJSK;&p5`$*+&i~@o8uEft?LIxY9FL2mdK@v zx;yxBdbQ8YR{Q9ccy!(KxoJn&J)5i8+p*$k*@pcuj+Skh@4UajMOEm`@ns*+a*JwI zcf>LuI-9&xbvwW8@t9ogLv6E9SaqJYTd|qXOd!|(zo{rQ^V96Pa;Gj$ifg;F_w3!+ zyK*Pq=HC1%`QoFMw55f`Kh=^ltH_^W?0(F!eTobWpc4cXrgi=jXJKFfVfYCG1u04J zeTty1hzWOeaupcN(kHrqShI?6TE2_L%!x_!_IR}E?24Ml-)*H<q7<ES^zd1|ZjDK| z{(U^WTx|QglQC<AZ@Nv;^$fBx%+bBRE!Vo6cT(2$lnpi7OL=M;Y8V<BZn;fPER5fr zaa!_@u|~bn|DRjydaYY3dXI02_;H}}Y;r`1#h$kK=hr13uW<?$zj;wA;ks{&q1ekk zYi6j;Kk#VV!#=}nOL+voJD!=kbEDqt_t6VBpV;`uc;bYK5nQKEy6rDWUEhEG&C!d= zdT+jJy%6wmyEl=K>A`8PV>L(DGiz!ji7<A^^&kJ^Fk#*W<|c*LZ)~Q>Zn*ri#B1v9 zgsS<^E9G|SZ`if&b;PUijKXu4Rat_5eUEp9OkVWUCV<U&#_wI+X=-_%9oo*(*EYLL zGPhn}F0)(z_)fOY#_iYtOSS6?hs3?MxNevCx$u8vQvUxP=?=5r|2Ll@xW14n;xnV@ z`qFcf&wJGt-#pXi`pZ=M@7Moxw#6*=V(O62zIpbUcGuLCDN@0_J~8HEmp09LyOL#v z*V0QK?}X2oEMr>Yp%QfZiQ~M0<rgzjR;jWqT{+uDNzf|j>?IdX5w4Y)8Aqiix+w^} z_|vg=g2tDhH6Ed7mh6cWxyj+a!&Cl(I?rK~itIN`pQY|a`Ch!@x@pNRsq>RcCT7o? z_UzR571NYYe$t)xqH2{Iw{4)9oU!Y>m&d9ad=C^^ix_7VRK86yEB<hC-G2MtK;@}k z3sqlA>6-}MIQjeHx;bwXQxX;gtPm=lD5|IW*khZD{+ihDY`S_ne#<Y{+no+Q?IV_b z{p>TwMx_;nOFZ2sEm`8%X84g!Np+UWOQ8b?JSH>?uq-U-abjU}RBd3=2xC#Y$g;`f zCF7Yb$*~g}r+b~T3%edCa{g3ivsP=D?1Pl!*1xR<R$mtIcziPa&-CAMKLWU&zHWTI zSbyoNnps*`86LN-I@$E^ze1{L$m45ybINpH`1iaCzR(+ee}_x7PgiNV#yKOm`hzBm zg_bOzGGm)-d`8*d>2HieHtTF@JiS8Vozl1a5epn7-<$M?#4kB)BK<lkMC7x~+klO` zE7tNowU*s?|J%{EyHi6ZG~Vb=5HXqJk@R^p<DsJlA|3^Yt^^hNoM!m&Uc^IXf|y0Z zzF-B#78Mhwce9(ggeD2GzbWNXYMIcYd>}qJz){K5>JHcT>4};d8ctT+^K8$5-7z&& z#8bmDN#;CzKuO8L8-FI>(3~WYBqVoUJ>bWZrsi8UzZP(mP2V!@Lh6y_sxslT^_1;& zAMUtSBKa}%;Kl@>#7p5jILg25>yY?5`PN0%S=+pp`fN=teC*f8rmN>H9?Pz8t+QSE z;{G+$e=G=_p{*}n<70l0an91to7}UdPoM60;%|K3{`i!af{f>^Iftw>?nxh>-?P_k zzFFccw`Qkaql0hYyPYAW$Sdy$-z^y!7(kedfs-L8za(ETC9MLj98s`pWn>6p;^RGY zX6-#uHU<U;2?hlQCJsh6j=Q_Jf8~95_y7Oh-?x3`W#V9DW@t!o*b$e?11qmMz~z-h z=t5;d1_lOx1_lPWP02agNH#rwT>Cxmt!<zg8yg!Z8!H<F%mj#AuLp6t@t$U20AYBE zSA?V=RN^i8`;C!-H-c?mK_8c%j)1_0a_0WG^;WL~{@7kIeOw<_*T~=gSu##e&E<nl z%;R~6mEQ#&HD<@2WMFjERn=41D~iji?fkm*>hzHKuFpbqrU%7WeHMD<y<C51<;gEg zFHQG|&-yGhd-}CKCch@V@Xm_ks^$E$)I`5_-;<v~&%Nj9=k8nbQ)t%ob9<)zoHTQ~ zLHw?olb@Cv>4)xf`FZJy_auGSeJ;;bPfS0yN9Ea41AW_lPksbF_U_Tw-M8dN&?D~- zec62}KY|{5x9IckQ~4n@WBR^5Po6H-(=V*dJnh}6&$#c)_euA?YxOfLJKrzeJAKoh zmZwX#^<VCt@?GejcUau2PeN0tzl)poY0_QqQhm?L&UZ^S^c^cR->L4LK5vi6_eqnd zKZvWUKAF4p{?wZASFxGrz4mCojLa-oy*u^OnwIpXcc#8ulaj7_XKG&frr4Ebs<)=5 zg-?oIdCqI2_Q7>7=}WIqeYWPwu1RyIMujKEW|pd6o%(1EOZw6)Q}3;5*>&lqS6-M= z?8*|=OH*&HIg+;2RJ(Vb%C1SXraFZ)#cH1Qny+2C?#fP~=U%h5GuO4GEj89oU6+!k zdS<F#_@<bZXS}9r2d*>OIq8YlMD2-@oJC6wwL2p<&v^A~TdupZL+G(rx3=cGDLaH7 zd9`axt_#^A^w6tWn{ypY+ERV(%E*<cy<);{Z3=qe)u8=%?UU_6_r0RR9z|#7FV)db zjC^^@t4jOn+LrB;rcPb8#wB&>?y1Yxq-+nm>lGBXC|dKBS3uaTXwST*>e@%wK1osC zG4)<p(eH!r`D^Mg{BE|jfAF_)p8T7C4&T`;>l&W3@3i0Xnc3R@z~6&!`E%+6ely>a zKl9Jw8+&P;z;EUo@)v#{e9iyt&w;P(MRgZ`F<+Bk^UvWcdtn{JbM}??6`z@}$j|wA z;4HsSeZVi~OY&QOGR~G?^Yh>fewX?M&)65*GyHX&C13OJz-RWk_7DCXe8z85-|&n* zy>7x!W@GyUe-1w7*QpP9#y-`4!JmUq_*Lo!eli=`H~cv`L%!pu;|zJ5e+NFY_u41? zIrxZQq<+E=<|Fbl{|<a$Z?#wWbMOH_OFhFg_V~I7PuXMZ4m@R#t`qpdd_exs-vjU2 zYwa(5V%{hJ=C8tO{tbT~oZ|mdH{m<;9{Gr$j#K3WejdEbzwFO}ckHG16FxCpiN_~u zcHK+K%*<W5_QfG7Ev+hnr|bcB2H%-?%G>;Oyu&}|55qh5eEWji2jBD6_&42ke9!mo zO+z_*mCT!W4(05XWew@<JJsKQw0&95ULF#j{~(pUOy<G62W9NrtXJG+e9M<suCTk= z%6vu29>-aCPjwu+d*CGRqbEA~1(iW>J-6*pziIjM950vuq2i!<lS}-&Y(39=?lI5U z<2YSfXWo=qQ+7AsnC!)B?jMy?Ba&PtB<X2Bd9Hfpyf2(pK`%VB+&I~P?m2Nzp^R<a z)M<S)dD7OaSPJ?sn&g$vmXqdS-F)lz<R@t#$}h!Ck^FJZcc#rsu6c3s3ezP^CKg}1 z%X8p|sGORf*|`LP&%s5PPxB;PJhPyfZC=o-fR(!!Z=StrK{;Eo{)d{)%gWdaSMON2 ze&1T-j!n!rWG~!2_?qw8n**inMP(O$G2NEk@Gha0eU){`W@Za(kGltF%P!dGP{zJo zf5+a#ry06t-Iv^P_Q6}$>L+mx&kamAtT$xOD@)kbd`Wh~y9H<YZoEl2%jZ_Eu#4H$ zn&U3x3%*Nl9u%|Bvwm@h@j0Ja`GTFyCe{|4o6pG3xXJj8Pp>>-XS0#@iaU%?`P9l4 zb~c}oop6)!3E#0d4rlldy?Ic`-e;Y0hw(9=Sowk-%|~Pv-aRN_Z?o38!}ySotz2OT zvw`)GP0ae%FE%mjS>M>yd_b1r-GhAgI_np=8>h*Bc$<*V9$D6q${t>}V0-f(*#~bA zoZ?&crr{LdyE21R_A=`gw;QL(UU;i;if_T2ggo{l>xxayJ7g_xGT!04SN5Q+`M&gz zdkem^Rp%Y3X0|oIvA6LZ@25Kq=Xs0r3!bx;<uz0{-<G~{Z^1XVE#@=!HooC~bw}YF z+XnLm#m(2H&+Ke`&HLoeg0F0A%p>+TzT$m!hv6J=Q2vK!Y%9zyYP;XGgh>cYWy{M; zsA|3>J>lMhv%EL%B%I}S%U7skHZ|wi%lLx#(wzsN+2)zQ*u(go*DQZQC9{dSMRD^P z=@~m2pYiJDCsZ~YnXlNx_>@;IU!k)3g!F`+j8Axv-Ela>d+5%Ck8FMB8G9HX^NQs! zsAxVSt#I$b2evkIjXjJHdD-$6Dwqw-e-tt6o4+Vx)-%6R)O<ji;ogJyY<1=@b~jFw z{%|+pJzHd6!&A2KyanaWd!!%SJ#dP5)t!b@yzlZ1p0bshuh`u<Mf$>Bg;Tr>?j*cp zD>APrYThAjv6Jx*@4dVSWtZN2?o<CdZ_9I)dy~J+*-|~}ooA)rq2i!-p5=a<iiO^J zZdboKFQi)Nt><R-lk-BJFS#)}!GBTlrPrRtenqyP=RDV{Z=AQKYSJsuLcgZspjV#x zeonS8zo?k2&zu)hCG^rW*DtB~(yYmD{zA5%XFV6HH_n^#Y{~h_HvX?{IzKNtJK4nF zsrb^&$wvN0#X`?Kr>jTK3wgHW)MO3+SvH=ZmYkS;Vh&5?r5Tft%n^CE<oIM6|16u% zk4uhD7V-D8;rzJd@MIo;Et}2{OAbzE@n<T&G=1`(Iai*l=&9#gYJN~TF!`U~C+n5( zRdm%q&fQWTG;Q*RIVDe3_D=rfcgXtWDbG;9MManHdItM#vR?U4MN|FaT#=_L8tP}~ zs+3=vJo%AdQIXIc&wTZrXCIWa?K6Hc_uxFq59b`t^OU78ux_?7K49E@NAk|h#<`LY z&OIn&+h)9CF5_FCv~&gQW-H^4xr}dkUYvPQ%2u5Az>3+@IAbp3Yo4(51y;=##tp{J zS0wk$WPHWrm;NA)ZJDvgT*j9?x6U-2<+*kyp@eObae#621<8hU3TJuj(jQnhpOY*& zmr%?$%h<uV+1U8RoW_}w3FjUZu}w49Fm6628E`J4h;5Q_#~j9)k`Ct*&hQ*Lqi}{t zF8zT;^D#+-a|wlPUB(`B7$5QQr6*W4ACeR}mr%ggWXxdPtZ)3ms9DeWfl;%rF~=On z2R#4M9+)@plgyaOc%SE6+JscLh_r%KwkqQjvl;L4tU0sb6wit?5AxW8(-O>^cS(B8 zWW39>=nTUto@Z$a=FRHH9kUxJOCC7;AeSvq|3_`(d+w_E4bNHk=wJBUbXWYvK85q# zANDAGXDyFQc+R>*e@AWOTkfoQhu=*%#ZT;8aE?1Ep5Zr>m3~F-!8zhP_Bov6j*36< ztLduvihT;_xF76M_{y3e*YK<9viOe5#+Te)@eaS5%=8mJH<{{7)Hc50zOqN*3+n=X zkIzl##VhtL_-wFc<9gu?jcq6V7J#an0GAZWZ4IAUXX{_6VVosCr_%8m_nAEmpIN8t zZ>VvcDIT(~;S=j*{RuUWPq<I)Vfe(F9H;P%H8JkP52oYdCi@ybvUckS)Hpuk7KktS z!E{($WM9Jv)@FTy8pavoHI<Fi#Y-v~r;F!QIzHfLi2v}NX}|cFy$+|jf5dJ0-lU^{ z;8T;f{)KACd)y!55}vY##yLD?E!Us%iD|d^mAww9xL?ExJY@}tGx*N5Q{1M~@ecQ# zJq+(y^Ysg&55DKB3BRzr$yWP8Y~wu9H|reASu4XD(ph(E@7T;_t$iT&;9IVo@POS+ zw?xmZb0}ji4HMYSbVKw)<iXcm&(<6$Wi1N3u#4%M=$ds7rL2Wv4C$;ZwJSC=T@jtL z?!Z|tpYVWPOqWErL^946T@!im1(!?sf;85J+6=LdvqWpw9Vlj<tNkG6;4?0h@P;(j z^sos#nT)j$#2kFer4t^I#yVAdLCnD?Tq@xLJDH5M8)6R55bcO`oFQtn?m!`HuXaMr z!AD#o;S+W+9TAmTcc6f^Ra+tE-~%p}aE3J2_^=15tg&GSQdy(J1a>eT5dE|EKt5}& z_JvJM`$XTYRXEMHVa<b6TwlT_Y-idd8WHI@RWu;-;9ag|cUqshN-jH)$6BgAVH49X zQI|-@DWYfAI^?nXhY4(FQrB*XK6sn!j^BrBru)KQ<|Ulxtngp(oMpHA1zX2?!VfGR z-*LX1bKo3jfqy|Y({14^^BTUfY*wFO>o`~V$UKH`EG2#)s+ug-3v3(b2ydC!@Ren? zdVsCt9N`0&j;}cH&0+Y;vRu8OnCY_clz9zbSaSUiJY&iBGpJ&^D7?bb@dc-Y|Ac2O z^VL7tI6mjJ@ZV6$bXItQ<-wW4Df14T;WY3ssAM`VJjK%SDW`_NK_$~kVV8LgXE+u7 z4W6+i`3XE@=~pkXaeT}v;lH7R>8P;EJcf@f?dk?Ljt@CG{0%CY4hlC|9-J;*Vd*$s zxWMw@G+~x`3?EqP)jwD}-sjvhr{O(Iwfc!7CLQ%1MNE5zpUh==&l2Vr@RTLQub{k1 zQ+<QA<6X`ra~j^U1o|;NWhqvlV1011@R7L(PI5l*`%uQTUGPuefpV6;$~TOe?g_q- zJot{I#C<|KONHA6>n3aE4aQ7&1n=}6C}Y{Gyg>Hg8;%tBfOM9P$_=uNa|QSGC7k1k zaaTxZS*x5Nd+-%Uh`T^KOM%-2t0wdEg{7r)UmOzB;$rAvC}ml$Twu&}S<pw)@g;|c z`-L=?9JdW=EQ^%|WE*D*w)8QSuw>dbST~&)tms=%%rZy$hD_r#jte~x&TtsHC#12= zP~IWa_>|*R&w?VBDatcs8lP||xjUq>Oi-3EW-?UfFm5_7XwkQzkfldCLZ<N%hmd=N zMblwHiM|B|EG^0sGK~*74)i=Y&9SFv!D)^iJqf2dnA{mU9=w05){xFpm*sZT=Ri5r zs?EVGDjYAEGwBMSa5-SkcvtX^;t3;0gLTRWgtV<04<6iitgb*XMzZl9$0xT2b0%%& z6-G>2$~&YFP7%D(yC9Dx$j!mLNkh58sA;F*iQWe%IUcz^NMR{Zu8?lL&0c5!;CJIa z{x?4v-m`zHN%+pZOa8=XMjQDPe-F&%zwwjd9ebXA!tcgg{1@sHzA<l-U-7x|2LFM& zhI8z3_6E<H*U3-#+<1+DLtVmG=2h|?pBXLWJ^mh;&A*`T!58K{yM$kjm-r{tEjY`5 zqbA`jyPLhjFGf>&j=u~q*e}&Q_{=;{{>2}L=j>+o3w|=1$Xk4FJi|ZZC&M##J^O^8 zjYje-{xCdcSF>06*?59~!cT@L?8j;x&afY<dGL|BPd?)h!((<a`vpH5kMJwhJ@~-f zCa>{_;UPPly}}Ph1Nk4H81>~}d}7p-zwxQ@06#<BgZIpJ@-KcjOymDhoA91F(yrkt zbGY4t?~Qx-AJiT=#lEVh;S~EjJA<dpW%4V2H%#HbP^)l?eL+paJLV$!icgI@_$_`i z++n|G_h4J&ecm5=3(A?R%?|8lw3WVbx8WVzryPd!Y(?e;>C9zj4Z9m}^WMl?P{zDP zddA&`H*BwR6v~)4NH5sjc%Apm&4$-(PjVKNGOv-2xZCiG?NJWHIkq744{6LRq%H0? z%;ueux1fZ1iFC$hMl<P%&5aj%JMtXPvN@SE>}oWT{&A<_Ih&RFft`(Kc{B1D&a$1$ zQ7C3kGi%t{c$zmNZ^0QhEpvyRjVF0M@)U}gQ_L84HX2F`Y;H7=uDH|im`%$3z>dbF zyc&55h0Gn&7IzvRvT>O^>}Wj5%aO-$hHYQYfzxceavDyvZOc(8U~Z89al7F@+b^>P zsmwLfH*Pyj<4w4EU@Gs6T!nn*3h51-8nvVsY--%i>vOZ=F54?Jg;eGe>5ff|8qzav zH{4;Hm!pu!>}STXy>UD5j@Sp^nf8gl*n40e_lG!#^Q>k13#uD!#19lV-r>Hpvtcgx zgSZFZn6`<p*vs&iHBDcky3tC!V=u!S))z4kzA_c-J*Z-|6wlbp@R~JDe?e8Fg?K}8 z;}z~bI~iWF`sqJ-#<WaaV=u!?)>|<RXIZbsBz$37Bpy)Qc!9eiPT?%8o&JN$#&g^S zaS5N9W{Eo#HyVqd*wZkRJ0b4DC#GrQ8pVyLxC7!6J~2%a@7TjIliMLK;SB4M7=<&e za{3P{8jo=s#3g)W>Js<Z!|;fePd}le@esE_T*3#YCUJ)1Mt$)QMU8sm4~iOf#X0sc zJYfB&_n^FSA9u!1hWo7F^d>xIiqI=~%2XwOVmHG*)-^E;PO+|tdGL-YSTCWxaTmA8 zPKLXzi((i~u|CsNC~s63@7UcinfpNOgSSk1|9|hh|GRqL_pg86y{?;g{PWKG=WlJ^ z-QV~2y4~CJ``%ptd8577>i@6edtaZ{yx#op)#|!A?Vnfve-`-v%iTRMr`x^UUTL=f znS1<~t2Hm0>t_A0Isd-q-2Ixf?w`}`KA*LD7QgT5^q;4e|2aAR&k6O<6YQTIt(j3@ zb3DK1SbWV<|C%HAHHY<U4$0RXWd9t0?{s;k-u|b|@*n=+d;dG`^yk0#(kpf9pMJG_ z|MA|b@Jg-v@4@%py^cF|xpqqXr(*r@{&w%?+T7W{_clwN`UC66dz^1(GQ4N`;*(I$ zv`hGeF{6#}iMa>na^9H9@Qx)<J;A#17Uu=Ogfga0!Yhm$Z*U&)YdFUer*4qWv`%<} zapN`44SorwOsj-Dj2SJ2J?0*m&AGtuK?zfyT7p&MCC&+c3(m6K@JTq!;-;=(#b_$b zF_+;5%O#%&#Z2>rU(8{6&SIv%z>?8K*uuE+4CjoQ49{5f)DtWljf7XsVR*`-rmkSw zc!G1nOok^c$9x>lupIJvP{`CLoH2*tF^ib`0*l5YoC<yq3Ygl2HRdopWMNZRuwXP0 z{$a$ZFZ{xYQBU}WQR4wl2EPaSOm)I9W;aaZ{NS6A&lIWFkjfOUw!pk`59b5l1E*M4 z`81qjd8cNO%2X!2Vs^t6&I`T@r&t#FB;+v_30D|3?%=eT$#93|p4x+N4fol9*e&?Z zSS@#;T5P&Fqb2hfn}qL-yZBFhX0YKuQF~x6`;AJ5cg%V63BMa|v0t!D_{O-2f5qp9 z8|(+{8qP7t$s0UpT*p7*bHg?E4R#4%8CUUle7<p=bBn=RL$Roc42^9Y*hKDXXjwUF zDH$-FV-Ax4@QiT<zeR1sZ1x#;3%)Qe;m`QYV8$Qux#1#vhn>S&W+!=uUkxVwKWZAD zGh4|Y_}OrlJ;RRSEb}=Vh0ly>a>)lxlNp{d>&YklY%t<qQN!?*SxsKyXTu5h36%^_ zn2+U{wJp+G!_DiXvwFpgj5FCsJN4EqT(rnwt)U5%E9)Mgmbnhz8<pO$1Wpv3G-INZ zdyui!rAHpU%B_-|MJh*4l|%bdJS`?Y_L!-Bv~P-)(A<d@?pDS^vnHAx@8}arSGhVd z%)Lm~^PI=p6t^s6p_d*@m1p){NnK*Dyil%hO1jG3i3fU2tS7zk$a7m|eCdHlft!`= z$^w;J6EF1~NnLV!qMiFBnU{qsZZ^uCeJK`~rcZp=vn6%Ot%(WluVhZ1^Vp$$v+s%J zrMDhyl%MvwSO(3UD5UJ(Wprt3f0F&HzaHn^*T_HYd-8qaJNHfUck5D~FSe1N`g6s% z#djzEv)lByqkPHUehqu4&w;Pqi`*s|3ElOmRX*CwVsYt?M}cyvWGDYAk0`sUvuC9_ zPkS`V-<9-yuY7CbrkX9!7hB3t{Q2U_7v(GcA@)X}FFtec@0IWT+3`X7<l%k+d#^tk z-xeS3m#{DTqjAQ)S^jUW$us2>{Yv&)e@>LD?Cf{4XZri%v-0_VEBi-(JU*XspDBOw z=ZW`=PxtHCull2L&V8k_tz_pZk8)*S$&>FEAL!pz^W~|sfqY_}$*+sE`ft^^{0e;O zo@W>I`Qq$;7yGEc9Azr%@>eBazFmC3|3l4_)88jPaIclG{Mm8ZJ(|Hj=#NL9@)dLW z#Gfxtx|hp8tQFZl@r_%7S=Z;lX}v+_m+r18UwpTJO^r*cvYGVGI+j#rTj`H=C8>*T zrB~LOe7`uQ*U$XW=ZUx7itM^JU7Xwh$?nqa7vGiDrLX3hq%XeFn`2&ex8t09we-Qf zCuxiC^rk&D+jaNE_r+S$YwJR`3%+(QlYW?&lD_y_|2?xscRfm!O{J&aJW;&(T<?XP zlC;HVde7vv?40=2ZMt-3p2;r3=Wdy1Om{U(7GLW1G5>YPqiFHTUM2HIcQ}fa4W)DQ zuB0tClwNzY<BZ!>>8Ce4N*3MjykH|zF>y}!vly3jrL&!8>W}8E_^foj`(4bJs=(K- zYs4#eu6VCxAkG<A;!zR!(6vpta@J0c&x=lX-pVOSUA(LJlUfpURp7ktH$Gd^7G1p4 z{VHZk)x|e1^M#kr^e9<$;Z}E!{;s_o<;r_HulSTaTeP$LiCK}#E!|f|fp=ZY%EbeB zt~liyrq{IF<CJTac<fG&)2@+vw{~~%zhC5IBmOdOOZCKg-T(AH?S66Eb&9xeoJytO zl<q|_F53fVbbr$G+T-zY(a~-t{j5DFJ}x@meJG}-;^K^M1%0Jr!N)Gkr4{q0?3nn- ztxei6PsDuU>`otbt-C7<7a#8xGuN7<an7Y*`lj!c;~A&i;>=#n<|tRX+xg7riPgk8 zy?4y2Zgb=*s|)YVD=EL2FZkZgU(M-mMxL^U@XB9tSE?`0>s^%NvVGz`w>oKAKbG`G zS32+IsF+WD>XNFqYEQ?PMP}j~cV?V*T`U~v_hiS!sogI6LB)YDT&D_OG(2Qfd2wd< zC5h6T9jD!*&A8@pY&+!^qNla@#1|!V@wss-y91|nI;bC-bK;E4O!0+rU(%E{rT^x# zSX_MS+`#`=*Y3&p36Gt7yZCwiu9#1p*3KnwRP*A)!aeOj<dS55)voxo@I<?f{H;$H zX1Cv&;y2}~;-U6yHYUFUrnlS4PpZlIwCH@djr^vX7oQfMYqyZUHK*hK!n>W1<aT`$ znB9KMM&<W}XU;SD7gl<lcWLlDWwYdWz`RZl`AbdTCroemkv~=A@m29&`w<(FXA9jg zE)3t^`9N*gY>&c4N7{4b7uCM_w(x3ul>DPl0WX}B)pi*PPCL@>A#YX7QK}SV%74}_ z#CoFKBbRnzNxv^<6Q^78AGKroEiku}Oa9R3fLZN9@{>MYnA7=9ZBcc{*M-LX6Du{& zIZxp~SXleQ`=wK+Sk`8N+0D;vT%InlVBaVgQa$0VV;SF~;tQ{xvgMkpPn>iv<hQN# zc(-t;2LDCflC*_8o4?2$xxIVB<klyAUA7)y7hG*$q9d|v!mL&oajDvjQ_khwAN97R zFT8iZ{hnCY=3N4>oz`-nj66}g(2Dz{p2=>3w@&N1L-j(^6i>J6i5qPWc;+;jdtszU zvEsQ_D{-dS7oW=%@3g*oqBkXd;jPxPyJDYePP|)arOVB$r?Nv}M(cwN{#mvjWr~Md ze~1~yo;d3?g*#Di%gzaJohrmGZ4S8SROYyXKXj&WOZvj?t#|l-*{(R}6rUhoRW#w9 zV<q3MSdDiI_nRNE?37bUU#Q9LXt|<veNacYfQ-N2rPh+e7Ey96&lc#jr&_LfuVBvp zS9Z%&1wD3EITeYP){hI$>D7hveJT=o=-A3GsU&B@Q8D2WQyKpuYmI!yecV56U*t0G zDq=q?%kp%AhCg4E^@+C%>fA4QH$@+q*St)oq+DQX^QsrJF5ejpnNrwWEE{IB+t?lW z$k@xDP;=lBvxxkJ9}Gv>W$X@oU~J`As5$U}nMI!A8DqTMgQtwKatEF=M#~BOU^u}3 z$M!(J!+i!_<{xq!zBlObANbUu&3~cV;U4n`xrC>Tp>htX2ktU2vpMjNv6O$pCx%_@ zE|m;Z*w5HHykqp26EHt;lF@*_;3vZl_C2--a+lnnSmXXmHuJp49_5#knco?bo-~|_ z*eHFXXu;`519l_hfLV<X*ouq-?l^2^-pi|!s<4}(migr0$-n*Q#ooJDU-SQ`?1|h3 zyO>4f4&*PeyvES6h3N{<li%!1(idDY+sw?!=hBg;aJJEm{gKRyVukaKcI>BQI7${= zXuQG`VtGOSi9-rom&}Vog;R}E?2~GYE-ZQM5X1J$Xu|ZyeLO5_3I`k6*tuj@6et|7 z|G?8?5%9>Ni&>ZNNQK3PDZ3jt@wlWaXfr2Dt~h0%`!7*t?ce2J<%2H-U%686#kjrX zr;^7H{{=Ja_5NEfKKau>eNO$QfR84B*gwjf|KG9i(6yO+{~qVddz1KR{$u-;7q6D> zIePcot3{jI6kmcG12)P}`%2PPtd$@3l~_-D>#<e&VjsA1Q0mqt%UPykrM$P#BwgkD z#3wyhtb|^BtX5tLYGYU^FYWu1rgCMXpL>z<Bv2D$V&9cC6*J|vl9?qc7bjln5wQ|_ z;gRX~OXg(pl5-O++;7Qv7OR*j&z00HRxwsi>?^UH^wcBOZIw)C(UOxBRouH|I?s4a zQ0|rVJmZn*RwZ+?aLKWW67Eqlo`p+}OcZdpk~vwh<j_P8cPSaq0u=-0TFH~AJ!0J+ z8C{w-k;$D&=4HOh{)xZbE*S~k_o!A*mE=6_5$<+LS~Fi|&%`x7A*m`_%6EHBQdM?O zd<AKC1iCdDUAp5@q--mxnWv(ze6aV6*`(X<`{jSuUHN@+UVnxCrOy}Nx$lyH`jg|l z`%d}0KTn)<-vMgU{0@BUzD53QoyzaPx&2A@O@BMixv!T$`}4$CWlQ<3bw_?(eC57M z{^(DRbM7nU_x|Mgy7+ScothL-`(cUv)}I|`-Lvha{(5{-HkEI!WBC<0tKZK4)1MWe zmCyB?*<bp@an?QE?$n<XXWVDV$JV9%44m1oWxwc8#wX>I{Yv&qp9P<|C)){q4xG_1 zXJ7PZ#Yg31{bKe(e>6TSAL-|_H~O>UgYuz%HhZBz9B16)?LK`9oZi2qX3NvX2l^T8 zfBio3e(}EkEj35JU%clYX?N(;#i{*Y>`wjWc(1H2ANsT7lzX|n@6QwO7Vqj`QseSH za7zDz8k6r6@3{Nhb^ShZ(!D@_Z|#$97vH<>lm42wC0+Sm@0Xk{yC=SLt28^bIq;oZ zx!I=8g74h6OW({3*)90iZL{>rybw@>CBb~r=8Lc0ip`4ddYp4xE4?vq%dUy9+zQQ_ zHV3|P%Qthn`=UhITzY0+$S%Q`Zn<Vjn=j7lbu$;b>v7g?p>$*3l(fa?du_~L-RUS^ ze74ub+-dX0nY~8lMw<nnxlNaj%nM0de5zN&d={wfa-#P{4$IDqGkTBYh@>q(-Ya9C zb*H0n@zGupbFVubg^LgO@|bJg=_puyu$RT0Y4gSDy?b)5q$=x4=ibyPP(IN6&+OCf z75U1#(jRlTY!95)yCJ6}Re5jkC$mGhPn>cKHCwdl;$633vrV^G<SA=PU(6LrRo0L` zo2#<@;^f{(W<{F>@3`el?~MIYJ@LKkUh%g(JH9K~iocCpQXM$2`$No>>Wg!`-^8?3 zUwrGjP5f${OZCM!uA9WK?$r3Ebff!4%$KT*bGl>nCl!O+QETItR0YoIegJA7&F&7+ zx7vH+tm`uIsc|k<fwQ}B#B6!C=pw9@ut0q2&KIAR&UahsKiZS=S?O%|g_x3Oi_UbP ziD{|4_|$cp_|%;%J}I5*R@0xfN8^){k+@@=$ulKG@z$L$J}MpWmeNn!lkrjMXt$8Q z)1DU}ln!@u=_~EY_@H#K`#{W>r%L+br8_%LyGHAA?cw;aXn*$~y;r+EPP^8Kzm1*p zR7poXcBjYtMSHqG=xr(roZ7u2rlkDhl<qfrt3Zvk5^>j^9H(51#ZSh5d9r9n_na7( z@{6}!^MwEUJ+Tga?^3PyXs*Y3m)*iI{idWV*$6-ND@j+f7JlegVm<M#%U0owekRrv z-?)^jb<O1{Q?e4?>t~X#biMP5&lM}d*DkAtSNe&hD_ICH_4|^hbfwczy~udtOP8g> z6aB8FDVYhcotaUhbg}b_kBF7v3ztl_Uvo|rFFMz0p?+(QN3oKL@Z6ai#Y)D)iGC%P zkha*Ij-o{;J5|)X=5(BKnIPOd)8mXwqFU9Q6NQV8bxNp5%>gxCItA3N=A0;4bf}X< zU22X;fs%o6?aULWU1HT98C{&#$)wIS=S9BK{?1=&my87OyHpFO&g3}l60UY>wno0v zp3XHsA*o7Q!gqa5Qk8ahzEYcHBzV^)P_4=6;vJVFVcVG+c}nWS2YtVoO}y>ApZ}-b zmERZUwO7br`h4M?^Dh3Ul^o}tck<t@JaNu>2mf8Wl-~hwowx9xwNv>WFt<HPzNxn3 zob!7Avy~^lDq8YywL9|b!Yk)h{6{M}&N;8--&@J?b>ZdqJ2ol50$w^V;on->an?Co zPO8@9i=ruis~yX)fLZN!@}Fu}d{#WyZYF=JhU2Vry4<On6K9-f@W<Mv{0x}ct|h;y zCgYRh$#x}qrOyISoRj5*J_pQbmy<85S@BWvSi6{fP>se%#Ut%}@<uf)J}4e)XOkDI z;W*<QFZbzF!1VSVHd~%9JkZV{|Ev1M`-S`3x7Zx{e&L>Tq}-uT7pAs<kvmn*@m^7z zKeV#rlyf=1Z{>-13wO0Iv2pnxFr|Hgjmh^3cbxs@x<C!M0{*?WPqtln@3fEmtKOD$ z#e1z^bhhlC@Xo1H?9k?bcTVMEn>Gu)bK1^*Q!fP6Jlo8DQZFQZ;f>Y=@kN_2yml%U zD~k0v=d_l4qu!QX6J9wLiZyKxc;%EY<`ny)MA4jkre4S{ftOCXVo94X%xZNL7mD>b z>$H%&QEy7x!t<>*;;&*liWi=3H4%5(d|_s*k+{)jfoD$Bxg+&L(iWa-)exT*<59Hm zMC%D1mYo-7v>wqBNn3clRYp83rlWA-(N+<0uNaQPg@;>t#I<5N3Kkx0Wf5oEd|`U) z9-S+xihA6+ks1Yx2U`D$eTrU@uc*uYQFqJsfN8B8bV^bc_qKi#I~0B5lvAkKqD>d> zIt7buie8bYsL6d%S0q(YgZr$m%JvJBTOWxPZ4$WSl+V3W_Dl7I_l|qn-&%HjSFmM& zE4QRNU|#bFnJLv5<~F~PX{o;O)^QvARXLaH3vV1Zv0t^+_@;29`Gw4vsta?PWB4Z( z2fTJ%!@gE-Nmam{<_9uUsxHiK4&b-4J#p4?8T(W@m#To-%{OGWJX>(F*@Zu-IN*ij z0`{erFFq@rZ?@upWRvk(;cW8-nUZG<&NQEqX{o&M)Nva7RLd2g6izj(@lUeR_@rRO z?kH#SOu>-7)$+whh2zaq{7E($9~F)^3-LSIy!fDSxS5Mz$tL52!olVPGGCr5=(Cqv zcAR#M=Hs&A_^@Dq^B=xf)*h!FYuMk)PI;=J!yaqt@qWRc<_~<EiUOuKuaGGzzc8iw z4c{tjk5i5%?5>s^ryPsfPs)CIvS3H^92u7K3%4EfxPQbpyl1Ks-;mC@hx@|jhP$jU z^c2oBeb7-TXDk;>NN3!^y(6~aEmM}b!|sNgtS9sqoMTE7XV}eP#a$75U=HgJJ%@8l zQQ`-7HC$y~p{H<;>4A<yDPz7^!>)$QtUDqbUNU)!JM3yO<4)MzV9G5K+wg+vijG1F z;{tAv%?;;SEA$o=GtS|@5!3LD>4MIKGfYO}32BToxOc=fJY_niv!IA^3iphdh9^u) z;tpwy6SyTdGZ=DnY;HKtYN5BFkg<n5BBtRHlaP4Bj)udm5_$^?7+bg{Vj3PW9ng7j znrV;Dg40YpbP`T8F^MzmXxPvCLw7+wW0cr|?G1ZbQz99rvBpF;+++GA*07yHn|sA3 z1}*L#(Fdlm-q2l;#~38$u)RTpyJ1tqPSz8;4^A>Y5_^!sSioHo-Ef<+j{iY*!#(CV zmJIJ1zsMwfXV}GlqL{&k{e<m-xy&~#8QwAG@h4O_++w~Um+*~Y6Z?wdh8xTW<QmQ~ z#_=0GXIRHRp}651^9H$uuMDf$JBk@B*gb3y%w}F7_uvad9$!LL!zJbkatqEf-jGQ+ z%jm|hP{m-%&SA^&g7K2fgU<}}*k9N%JZCiHUr@<l!fsLAaE5t?CBrjDJ^qBs1|#+r zHVjW0)%X=E8%{7!uw;0`cudCO4C5i02Ok;w*fVSx9y5yZFQ{lZ!mJ?o-~&S&yM_(J zLq;}!g$f1(_8&zI`s^=?81&e06g3=RW{`XEo}rHYg>}O;<`1$7?-?Tb8lEzQ^DQWE z*u(rl_P{B|RWc2y7~k<3JY^_jUt!%ah53T4!YRfDG70Y(ir6cP8g?*SSTfvUyvO&z z?81A8eav6^wxlcEYy85q#d^X!hf20X#sTjf%Gov<3%qmK&U}+E#9H93!)E4_d?D!z zZZsyaFEYOH+M$@ONY>+=!&>Hzd|Rw0ymBaHYcdXa<&e+jB>SR7!JK&}Ux<~!ONU&x zB;yOS8r|51WIfJ0EM#uvo07KRe4`EfE18bs1!o&g*qw|o%xpAbH!>D@<}jT(k}o7} z!Kp?K_E|C>MGH<ep5S4zyfCBj2#-kGg5!-c>{&7$g$s^0im-dha1<^$+{nYOCDT!` z;9w&QJCpH+>5Y4MuB0mHG3QEZ6et{M{Kxi5dPTm1F7rp;E#?8!8aMEiq$=!f{KR%h z`ot-RP_{)z7w$R)vu%=Ik*A=^e34fqRY8OKEU$|Bg~^SN*ouq<?l|N#@BI7ucmI3) zz5n0*Z2vBA`~S_q#lQXM)qnUi`S<y`^>6+(|33fLe%t>m|D1oHe`CMt|COKW-{f!9 zzxea{*ZDd1G5;ri_J3`^=Kq?1i+}mgsekZi@~`u=>jVB<{yl!ye%b#i|D1pM&#u4m zXY;f97wcXA2Y&W{VZY%2lAq5%%b%~e`v34x`e*sG^%wpWKbwE1{>-1|pXZ<2Py0XR z=kibTr|Q-IPyD0)N#5wc!$0F^@`nFgem?&wf4pAmf8w9?kMc+Bh5kGKdHzBEa6Q+5 z#XspE<PX*#`1ARxy#D`^pY5mZqyKUKVgE3HfBm0-FMqqAwy*jB=I`XE@;d)xe!9P( zzo-7gzm1>#r`E6dQ~dq>l=?USR{nNBWnc2&<tO_o`{Ms6{(gQke@FeCKg{3H-?q>D z{pa1|-Tv?Gs=qzF>weyD_wN_)Ca25W{C@JTI9=ZQ_k(xEyZhhTZT)@Wo$>DeH+H4p zI`6WV$y@#2^UgS3{(9|`H<x$uzqVWbd&N89ba{*4OWu7>lfP2y_q}j)|4X~2zbCxA zoF;Gfd(F-CFY6X8;@WGs==X}7>Lv0QYA?O{ytDtg-Q3>=?>6u3e`c5Q&2qE<GrP2J z2k$&Dl0RL0>P_%Y{-<`6e|x+W-pN0+R^hwk=KdMAhu(btaow)3`t9W%{EzLre`~y( zyo3LdUHfl|cfl6d>{@>Fyi?x6KcjZvo5!j1^?n!JOh0Yc_?zL~=k5LX?P`B#+-%RE zzqfYNo95K{+P`1CoxGj@o?Y0tm7Dmd*1r2T^HK74|GRcU-xgM9&e!<ua5FtmerN5x zH^STdC)YmsR(bpQTQ%d#lU{yCllpU>Y`Yn^`$U#-<q0P~qX~!WbeMk}w|i&UU%uwC z%;O)&%+?w9UUsWKX4Gfc6JN9Krk>vHHye-g?LL}Ne>Q3T?zoLzJN*p1wqLW#UgMwh zNN94!5hXsu4*NF``HCwJOPw=l)6aRhdhNPduU0PYlX|s$Zr-eyT)`EGg!&9xricAH z$d^}ei6?GDlW@-iX6YRV{2wqX*KWD!Dr~#uf+M%Z0f+y0T9>t^CTaU*_pplJlHk@e z(HBls7ZCY*;g^LZlhY~L&EG9WR2m$w^2+466s<Ampffs9#=9DPl)KsiM<FS*r>G zOC=SKuog|3ER(DY8cxdEOoB45*P2>f4VYSv^UI|v@F>jORqH5wiK|;9hUK9Wo4Dc* z4ukF=n>h5lUu@#g>%Ot6<A5uJ-lKfMy6zXzEz?{-=qBY0Mv6723Wkd<+}^Rr^?~l8 zQ%b9JnocRb6EjQ|EbCqo-7>}Xg09jjr3E@kd4fgV6`MMCxLQQA+)=tG_OPtuzVi>c zMc)Og`3_cd*tXxWZF#5oNrvgXViAAgbAd9x#_EpS&Nt*1eG}NyKEt-<jp8dArEdZo z+7}deTz5WW+45TPiOiy}0&ChMY+GI_K9XTNrx?Wl@tMGib_?5<+0HZM7JU&|(w<Sw zVb&f|+;P#lL(b`}q7y%3RfkFY51W?fidOswLG9iQIi|CU=VX*V3#9QiR(70rj*we) zMp293v9jZ&vxl70CxH|`#>x)Ec7fs!gZ2uWmdA=x{0A#Kjyh||DSZ^^Xt%Iwd8o+6 z?^w}s(3wMy>5Sq&nM0=)cgZxJR@^3|^g*DZ{fBkSeZ^mV3!e(qwBN9HndY2ed1R{d z3t6T20u}8WiaNB~7Zi2ucJ{GsxvTh!Pw}ZhNqa{TherDh>y|r;^JJ9X3Hb3bmUnD- z-og8*Twq`G3)v&{96#_momVJhUufN7(|o|V<BsDU$(Ff}5BMIH32bX#A<ObsA&p(p zy2GluLzd-@!V8{9r2@rl53M*Xn=@otUMqyLFSP2gXl^j>xZ=1+lI4|xAN#{Jfo07a zvMetZZt*moRk+5JR3fmbIl#E%f@1@p(pd#N_J@`o=Nt?8l8OapH9Hu07&o7gX_@Jm z!1t&~U|O?=amOjg0KTLmfl19BGAuJ49r%*YC>-HYI-?-R{?MZ1n4<w-QlUUsvxf}J zBLzP8M2n6?jskp11p-aY48|S$%^!?9^qL<Sb?7#8$gn(6_{a9pyknnZh9t{<g>P&V zQw1W}3R4BDnomfx+*4S?v*?t<3Z6%K0>NyF<{i5nJtSG~DlFn*I;HT8P0_qVy}3iW zWwPS|-bc9tdG$a3Houpz`oG~h|DO5_pWE-+zxbzkUjD-$#qa#({}P__@2KDLxB0Dn z)_;fJ?KkaD{9AZVKIuQhZ+5Htiob{F*zfq~cuqd*|AAlaSM68)Q#>dC;E&>0{``Lp zzuGU`@A%pLQr_#o!>@L;`h?Hzru7nkn_tLZ`J?!Se?h&+=l1jV75^4~=ATo4<4^N5 z`3rv@o{=~DpYV)-M*WUI%}?b|{aN^le@gv~Kh00%mHs<C<DXD3@tNJQp5t@-aeIq@ z3qSJr)JObjek3pSzu`ywVS9;x3qSC;)JyznejtC~&%@L5d;TmuEx+SW;%RxN{|rCc z_uK#YyYM}K)V~AY+xOb1{A8YHAM>;Mp8ThO4d2<d>sNeY*Q(#~`|uR|8-EwR;}81h z@V#B5zTs2*PWuyoAD)zd^zXqF{(}07-_5t>>b^hN-G0yZ%}wU_a$nvgmh<nbKCzkI zruxL)!*gwK++=<ym-jtkcl#~d3-1!k_%~Ir*xY`@_Q1Qwb8>Ot4bu77RZrO5e$95n zyTnrdRn;Av*)6I)?jD|PyWri!68^kz3A@@a*-m)3@T}a8H;HHE+`cR9VmGbkxXb)P z?$Vow#r*TCU)*7SE@$?A!A^FQYKzV7XKZKOWPT>6_dQ`}yHWLuJIqhz)V?e1Y(HT; z;U@DFxnpk}&&VBm^RSS=uR7xn^J6)&?+bRcAF)+<_ppG!ty<#_^Fukd?+QEE4XS@^ zV%M*Jv58%;`o^aA1GWtB9_I7cRlm61Jk9pQ+r)hS$Zrj){NdjgY;WIV`{3=tQ*x`` zG@g=s_st-czpQ%2?dB=A7v3tKl3Va5F^|8fx?)rN4qJ<x%y;DOeS1*We&71Xy@lWT zs^1-`X16WBvA6l1?58`7=VgoD7d+=Hd)H9ie%t!Sy@lWSwv^A<+x$lM)g8red>hIa z6t`cuKC`p=wd|8S3%~NMDUaCO{7UxG9maFALGM32<6BW~vA21)^^AK9zwj+7&nRX$ zD~~8{zi8cY&+)9R(|d-hc9ZfSdzzoiTD?C|*?!hK;~wK#*>iUkKl7!%Yp85LZ5?rM z;Tc)2_YRfqC#^m1DSqNhdB;%MZdfi*+-^`_v8Va5tknAh741i@HSQ^X<m)K6*wg$_ zmg~JkMf*W(j(dz}WcS@Ucv^PXoyOC$+wLfS;A<%VvAg-c?5}qVp7Pa{-`MRu%{pP{ z;i=Xy?kc|Lt0>=4)UH*&ps0Pfwa?DxyRxs|DLmyXDeov^*C?N{yZMgnygQ2T`25~6 zl(%oU-f{L}Ip4nG7jqBKv;1(*@w`mg^99!JHpK^w+wWN3nb|zo^1-=>WqjL;SIlL8 zE0gwI!Mfe5xMME!8<`hp9+vVIKYL)sZdsf$m-)3!*z*Ne?H0ui#_d-u_snE|CFA$} zK^ot(VvV`XFJ*3>X*?@)?Mz|`-=g9G<Ms=d4d)ck%Gf=BVA+1ovfx}|G2g6W2jh0* z;uCY4XIdtldsxIbtysgj{gh?Exx^yANyQy=m}go#oJ%|-bL5QT85z0f4=mb`SsI*6 zEadAd_L#%`NQUouf<^lwOM!EV1$<4#494yH#UG5?^@<-Dwd)ph%wc{Y^Y7UM^Y(p~ z88eyh%Y1t_A(b!USwSjaRq=`0%=cv0oLP8EX2qF@d3?do63p9oS$fQ5zALln4C5)8 zXU`PO+trIZW;ai^JaG15E?-{$kJ{$<(pC32Jm=k$f8lf6UGo?F6wgb4*rWKJxBOnh zbKV{KJ8GNXN@v}7_}zBX{KUS6=cJSFGyG<=%CD$BJjZ;;KF4#?QTGr0YP)K_VxQtU z=?8lhzw+kaYxvc6*?dQ3^Gj*3`wqX_%<>aHx0&Wk)Hc76zOqO03-5w_kI!xA%`5gT z{LDKi|3*#oGwBO^9-fglx}WfjcSin>n&zj{r}ixT#5*N_Mose*X{GxP&v+-~OMGTC z%;)&rcHG=z-@=c)J^2we&5xvo?l=5sJ8UkoZ{Y{tmVAkt<_FRT_B=c-y=TwD)6zTk zB%YRLy3g>VZNK@Cy$j#-M%_E`y=|{~N+t6&^O(x!d(xloHGF5&&R_9~O)Gy#_2DVz zH})=k#~XCd;d`4#e#57>o#rR@K0GP?=-z`Tyao9c)y=o1>aIW7-FDCPO(gSssV{31 z%XxQYpV-W1lYJug@Lbayk<9O;@~$WBZo6fAVO?Sw@22b(o7--f9$43SPAcxYK|1fc z><OFOu9<FFmsrZXD!XGdn?<%q?BUs_3)Vd>;my02u&eEo>4bF)&r01`lXzCj?YhD) zHq&g5SmqZ}m)1Ni=AD=QB8K_7l-czKJK0RKEjG8EF`W^~{7g#kdcw{&qwEzi%ul7% zt}E<pJ7GE@lKF|$u{Dloqz<ilSjgL#oe{(QSW4{rf*ox~OcmBWEZ}X+)`($#D8+VN zVF#N*_K!_$`q?iwvFT;s*wl8wlwsY&eBQe37tzhrOh2qm%;$}~){x2@er>__wmqf~ z)*d`1wQ5b{DXDkY3{rW^vR6bmPcgl)R`Hb7f;EYGyhYg+o7#4mT0}D6k-B&7L0Q{< z;~(=De&?w^cc7ZhHvNWe^E=5;a~RJ{7M(A6&Qo@-p}Ot1@r`*4zwvBIpJChlM)K7h z#cw<t(iarBT{k{s+5B4a$()5>dDf&y*fzhCd^CsgoMh1X56^g3q+8fF&o-VhZ{Zi7 zCFvQ(Y-Z^Z#cdajJLWl_m2^7KP}OFV{==sExun(k1C?!OjWgyko|QZ|NAWXH+PQ|x zw$sKD^A?_w)H?4_*>=*{W1iwCo|JP8m2HOU0>y0x=@mB3k0qtfAE;<MYOFC&@gq-1 zx`j>iLrJdl4i#+&jXCBqo{`))=iq6{U2_^wOKzK^_<^S({fBk)eaT<v7ChytNxxz3 zJk2=4^6*sS7jqTg^Hij7C~DJ6Ur^Mx+t|ml`L5)va|%y+O42)u*fi2-SU2C1oHs}D z9gp8RhVr)U#yff+mh<dOej$5!p5cc+$MX_p#}`<)*(4t@Zo6Z6N3waY;e)=1Wjx!G zSI9EIl}J0TVBKbw+#$>SM&d=!!&08&V-Kv@ER!>2nO{qU9baJ8W|7=r+;+uqk0kRe z3BThH(s-67YsfOcl(^N?cvj+CPhttrqT~SMwhM+0eTru#?2bRMY&&OI(3e=uGb`D_ zxXn2EgiP~H!-T$vMLg4zHH_O%83yzv7V%6<?vP=gY3R_Gct+w#kK!2#x#JHk+Kw3- z^d%PZbR~PpFh7#uJDy<CcF0hmFR_59DVf2zO+WdAQJY@!1EV(GWDXhT2NM5|Juq+E zXP6<$d|%?*u?eX>5yuKrd8(36NHgD)Sktrcl*Edjhj~1~#}drjb{Tp|GT)V0)Wdj6 z;@L3;^EUP54(aB}h6j2d=JMpl|M=VVUc74mhUeUS;xBw|y{rGCPVv0>hZ@E2+~xZc zo^$Vr-|@HUt$5achu^I?^-t6-JSU#CpW!#FReZ(YLv!?Z)H$9LkJ^9WSL;>%6?KZ| z#2?fse&x>J*YK<Lvi^=phd`Et-1W80|5Bd4Iu$%WT<3-6UV+rrH@J)ASKMCpl{-jm zzrgp&3R84{)E#`wT@YVkyyrp6>Qmgy;x+y<y%c+wGgXs)+r+>!?p4tRxz4*-FX$dw zc-Lv}4c#+0onAZ^)7f6o={EW7oL1|78sVGcZ{B2@eo*_e*_!x?d5)(NWgdjDi$9u| z$UP;*Dtg0jt}^bDO=8P3CeAM2)w*x}y(1U*X1Vh2b=srl_fe}@?V|P>ZR3EB_>9l2 zX7Lf9TQBN&)H$9NciPYJtJTD~x9;I*?s?)?M`IrB+3=I~tp0+TntqQ1O1gVW+pC)r zc7)tjZMrw*$J7T`r>|!_yh3le*5|3WZ-*B|@BOLyMcveY;XjpMmowgkmClo>|CRJ? z<>j>j+r4VNzOKAFpRHcWq^7HMb=b8N>({;Uty_BZbjalR+@D+@R_~i{G=I~bu5)3t zqXX@({<xC#Fm=}Y5A$xhH2=K(EW9fIqwmCfwxPeK-VLh^nJ=*RPC)BSFP<k;Ctsc~ zR39|w&Z<eLK4_kwzsKU=mmgQ2hwU!gwktWb+I9QXx8eQ;+okSi^*-}&@V|K}?`oR% zrInAi{kqe2`LpJY<r=Q*m)YE0RkG^D+OWu#%X~tgTV0KL6w(p5?9uI0XTs7Go-SLI zB>L;>osh_VHm+%_@2oWRpZc)&Rp959N7h!=YZcG76pfjBfBlnrpZ;>5z87BV|IzPd z*~VF07ww%i>GyWgdF%fib8#{=iqgE3RiN$ca#Yi5wd-~t<Aq@rfvKzB#I)`XjSqb< zuB}nDJ#f0ar`*zLQ*A5Bt=>CBQdc~gx%knAiHoAWidUL@FV$m}{;^9WYxUFzp&Lq` z<RmgzWpPcdx-xB{Rlk#ot=790>c#Gsmv~#3d(A$e8Gg`m)rVDA7PGZyxwgLA>os+9 zLHUaC8&;d0FK*&{YBgovVwTl$>x+t~z6@Pn81s~;bl1w6&sO=LUwta1G0xOY$m?8a z+Buol@{oI>8LO^^M7qUxGCk!kRqvm@SWN!!yS`1UXW5*3t94v|&ds8$a^eS9@2OGT z%pI@%men}^z@CDitf#qC_XTLWi<<M#3ktrtVFUM+_!)nip7^h|Kl+pNoc~JuiT^@= zU4G@is;Dk$@1e|J!t<r~%>Pz*Y0>v!`MLAo%zIL{Yx88;n0x2vK6!H_P5t`yxjupA zUcH+qzw%pcz46_bG<EZ()|KzBEGu1ZvUk7rPTqGSY0HiKtplyhvQECfd?&M5-Os?c zr0me{=GnKIqi5_Eo;lYj|Iyu(>-paMt~K6SSuCs|b9FXTx>M-k7WI6ii9AX(jHB~@ zU0$p_=jHaiWjFL)>Kf08E9^IT#+|fJ;2C#+e8C*gGvZSF5Bz97s;^O}_>sFK<yg(b z3*Va_wjR<Ks7w67-DLc=j`567b=o1`&76^uX$8xUUyN}+cT&IRXVY~3lAlb|H*iPq z6ZpY;K>tte!T0LM@h^TiO`9*b|G@W<C&BaMZ~S(erl0Wh&{X{wwTkb#E9^J^Q+duE zwy)~9(^UO{pNH;-hp)L+lXxn4i+$$JRa0ISXdThNQM>S+_TKeBwypZpG-YkneuwWO zul?KNJ3g^$#LxKMbVqz%jp93QzkLkfTes`)$Zg%#dVjfJz0jSe_hB3Db@Qg~Y`v%Z z<|fnou&vP-Hn-l@eUYblUhG4TVmWvDwuI*^&*?tMdsxQ3EqcXWrnh2g+ZA@VT19u< zWqKp_BIjW#ck#9dyI3uwGww3I77N?HU{|X}bi?M>E4q7bGQAS>+x{Spds(!`U8a{} zw{jZKie1Y|Ea6@h9k99ef^I{e;#o1f?GJXgp3^PJODyJ|745LO)j0aZou-+(33(5T zxTi&HY;HZJ8<3Y+#62mx;||kIU5C8HGh#<_6wipsZGW(%^_Z?fUSc74SG30+rbl9Y z+Y@%Q9?})aODy1Sie}i{svrGfQ>$L|gH5fv(HwV}9*F(h_F#MKKHZF)O!vjUZJUtF z9kHz-mAfkX#BHW~Vrz01o)TM;^DvJ)cw55u)?K<DH<|8=Ey`g$CH8Ea!uD46=#JY> zlXVZ|KFsCLi~n2q^mpj{@alb!{(7Ac-yQ$5Zt8PwoA{@7rO&mk;~&<Q{+{|ad~5v0 zI@8}%--MU$>-x*}P1`DdZ=LCL?d$8G)Li`~`Z|1d{K`7f=h_zWOY6Qq)4sCaZ-3F} zsV~Eq#!sxf`b^s_e(le!FWMK^U#Stbx^ma2C49mD_@zHzebzp|-fI7&KUtr(&#u2v zQ<|oBbiGmgE8)~nYq~y7ow<4ePwRKlXFeN!c7;z5pB6v$=c-TIr`D_OpY%uTleUrZ z#5&fWS7)q0QX~3o_3`yG?8@t<mKS{%eH>5{uX`_~eCdzLZ+%1edHs3$P5a0`?auJ_ z<b6heR(;Suq;_WYyLD{)h4wHN3%>}Dce!li)>8HKsphHWZ){4Rue`H<SIyC<ns?_P zvAOzewQf9X9qW&fdF%h}`}BL&du?5RUpv*GqW8nA<5PcfoemG*cd1(Ilh%9fJ?q!h zgg({Qiofg2`aR^O|IA?9%2QugTE_eC+%#M3-SX4RE9P(dvnub^C(TRC&)Bp+)4aca ziB0Q|EANA62k*6Q-Dw^=O?%h+MK!EXSMOZ^WM9>%DYMo;a@t??N%T&5esE~rQ?t;S zEA^Hy`V=y2<tm@lRINQLKP|gd%~i7c{@R-5lWwp2zVhbUZ#GkZOi`P;wqpCG%~#(A zr_PhwJoQ~zW!<JbT<3%Pqo3Lx-Enp9+BZI|Y1((@pYpkyy6V7kx9z*`o+?{?YrfX@ zMR&8lX<l8MGT*C~>s(mrwywXOh1xe(F3E9CU$txg0Uy(+%N_LWYj3_PU2PdGYo}@* z`Y5D4_^j>LT~l9&6>ZC^?Yi1ks%03x)=$(@^l3=)vRQMuinOn;eU!uceEEg7LEEb~ zi@x%2@}K)}N!lut;99?}JFdPA=?ONSbo10%|Hby5cCEXnz6|NN-{>d$Y`JOl)I8T+ zq0+aObN!un>+_Vi{tdy3c}LS$n@0EAZT%tgF1X8oubpeU_QkbVazuZLya`(nz4Yd* z%cnl8YX?i_UHv)vS=b!=Tsga|zl0z8cSh&tE!`<PYwfu|TYiK*51Sc1b7oh*mVR`i z-_q1o`&Ry8n4fj$Rgw1TwWo4IcZxm@n;cwt)9aJ^(X~q3l{Sk$2}|B4v^jLfTDk2- zcUBc@A6qN7J?M^Bq4trreA|ugtSZnxw3e;ksyO6XP~p6;qTM3zg36{{y6aV-d2jih zc~z5>Vose7E^+r<=9ujEW#t}i|J2GX{?ow$(^hSs@+P>zyEc;ROi+_Iub%6UkcUBS z-m-eFJ3<}>ZLXTubvIV)yXKx<%iqkK_329N)a7e*O4GFsqHAxSIvp0f?a`*I)7CO= zXS(z1{fg6T|7?48+v{{#P4wH`sj1pJ(XltZ@>lO!`(fLrO`%iQuE;6fes#*)H``X- z_Bs_-6771E>r_~A^vT?>DXVv^os+}5{p#(oyzswqPpd=UhgPq9wAbr==<e{BaZ{gb z*@QohD@|W{eDxcht3RrzJPqm#e;8L<J@swq*6@qhLeIvj&VIVe%KN3R>8>l2Pc8l; zbF})(yv0@hpKM>1X>MP7ukTjusxwc6W_ZuE+$=I{sf*?GS23rI&ju~<Zq-}5W6G1j zRqku$j#h=NejQlcR~5_kPUFVnr2bXKQ{Dt_cE2bWT0P}$V9B(iO(AyzXLz5~HQg>U zW$7Xv*Yc1#i=W7ZR!w;snAc}z%k_1Ix%*N%)^trxP*Wp)rRi$#je1v4Z5MeEx-tCV zE<MxqmDiVU(GmTzU1a*wD<zSyd}lB9n66d2`O3?n72djWuIZXLmYQh3<ZItlEHZU< zT)R_gi_zQM=I1N4+*v=#O|`f(Z?Q<fl8Mc!lL70)&+a_+Rm(DbYuwSQtFHok+)d+_ zR)x;Fy!cR$VsXfm(1LYddtaRmUD4sr%lA}E{p+gB3nSJS6;F8(*y{Xp=cy8nbLX$F z_FAvBch%X@oOMyfS6_rK3SYTX>x<Tfg-)*Py^60s56D~h$>vqwii@kQ+L`uteO`5T zwaI#?;;S=P8?84g7JU{vJv{PN;!W$W_p6M;L*ra4MefPmUA*Q>oM~tEmE<c*E7!jb zofPgG=lV?R#Oh-)s?Sy#xMy}2O}QW1A8sk9`gDcWfyHmuW$o$uxa#O?k@a4CxIV5r zyqagd)}F2ps}8PaS<h5_b^7W(F;|~z>4oR+)cT-xVD-OspLVZ$ucaIQF?MVFvd_yd zt=^R5l)IDbbZB^+(jKq(tM;t^koIYh=h@J(RjVx8Bv-v(W;i7^Z_}QxQz=*PK6#UH zb;`_|nLeLmQp-c{h6b%$G<)UuRT|-r7lTaAgH^7~eHdCaC){?X=f_n$wA8~7#(piE zdOKu)@K3+1)>r4Ptk|k@@5|EyE!*I?eoL)G=dJwUGu8U)+?8*9TCK0X4cQia)z8)X z>YI>F!B=N$m1*5r`NHR`)zvvGW0p@c4t*W6CU~vi(kkJ5A%%6j_Ikco)62-awWss* zGMn=&AN*;#&0-b$DkT5QmY++U_P#vh8(8;CwkvPh{n@XUS?xX9^)@80D1X!M%<s#r zZOWDz&0STZb!p`-pQCB3OoMy<RIR4YTIsZ$iIrJ7dV|N*v{mO<+AM!Hr>l6?*_9^C zos6%}Txqo2$XN7Q$n@YyztFT*r&ek#pEbv;Xw`|8C;q6UYn@nm%ttkCl|itr-&2dw z$00qzx_(P7LLY^61k3uRT7*6fX$j`_Q?(GCv2vf!)6`XZ!G$xkPKPuGGx~ispL#!} zHaK%;SN^KKD>wPHrmoTse(5{aT=ZT@*s@hdqElDCtGo3n_(4eUvQ4vB<!NaKU-T7C z)zSz)>#J&hb@Iwb%ZiLd?}X$B@3j5;d&>LZz5Z{1X1>?FxBQFE*56a!1y{~H^f}~R zaQVDVpM$3@FPOjUFXso%+w=d`efquf{mNU*Gv?2#_4>Bb%Kqu!CEtbb1#k2}Xy^KT z<@M#yY@Ys_GH1Tf{H(t(KWJW?&r`4Ur}M+|gUiF_2i0nw^KY_m{Q2^<e~<scO0BOe z&Fwe-%sd;s!hU7tt1p`7{xj`De~G*d&YhR^`O2*2Zu5oyYMu$sstc;o`l5MZ`6ZjL zKQBM_@3S}k_vESO`Q=viQ8itkSDu}(GyhTz*V*9od8cYloe7@dA8VKTGi2s+t@(>; zvOZ~^T&`4a^jY{>aLT-<KPx{iKfU~zjq0=IM*gjpuRdxXUoJI2sV3{A=F#Os^POs5 zeb78S-(<elpUlsihnBO=7pmbp6C6M9)2EQ>%XippeY*0%a)$Z8s!zRNxo`Ovo1@>a z+zXDJcj(iVsms62J5|l~UQ^pYw6g0|aJj#4<*9cocP(FH<N7^h%JKy^rr)RB3HG1Y zRekDYaDo3`+o#*Eybs#v{Z(&ky5_y5Uv#$ap7JiJa@wKIA@739r)}CS@-Aq*_f5Uf z-6C&;HhZ7c3r$~nV`;+lMVqg@4l15j6zg>^Xs!1~y{)^Zyb3Cu*0ed~RZ#vkr`T5| zn&#d!^+I=vybQ{nmbCfGtfg+#g<`$V1}*e%)SH^N^88Yp>91nCidUXpYBJqv^Oc!P zjiwuI7I_vl-8)h*G;QUnr5e*`#dsC1JhAkI4(rY<GnO9F5lvfpe5uUzteCFCl}DF~ zO!tc6DqMMZDbIARn684A2bZ!;XWD#a`qDi*S5r0hymKS93N#Na{WtAX^s0PKUGI;& zTepWyTe?A~G*xr&(ofS4MV~qq6gq9urYm=Yf~ReYUX`b*>3vaGG*wf>`>d|&_A8T@ zKAKjvN#ssYzV}YquhmoD2kv!$YuWW(!`A(++|ufhd5b^DOs&2$ckvsU*6J&71Gl+f zm2<7W@+NST`&CP=ZyGlizmWM_b!E=tnEpw{A+H11xUZF4S`{*9@dKHuRaa&&4(PYC zJ#{v4nfp{Z*Q$`&i*LwmeYWD_Vwe7);*b}C3*47lzWS_jez8^mBb%(x8fO<@kSTq( z;>_YRGOd+Yo(4{HpK7`4lg6pVYW<UJv_5GVxjV|4KGQICZ?$~&QRDbxss1FJtdAN; z7Yp?}*}VFoad<ITzmiSX2aSV^56FCds-f>*YT0!<FuIS+hU>$M{fqzfy|VT?9a!W3 zR(9%B4ITGbORx7U_ALI;x2Y&(>f#kLrR7(qEPm6s%G&ExV2Qh{CD*CIV)v7>U!Sbl zv3QOQYx$MifqBk<`JP&bybq{udnD_1K47==OTMY;8aB>P`AX9@teqe7m0C}E8?e>+ zBA==Cls5sTZC$clWg1q_d-+V$HLfpw!gJM1<aNMm=aqb-=^7T!OZmR0X<S+8*Ir~i z<z>K9=ZSn*(=^PS*GguUXk1))g-6s%<V8Sc+b@|@#VgJ&v}nI2<5jF-;yhPUt60O> zIgzi_a>~<y)V5VJT}3NSE>vmnlIc1VFu}Q3((6n>Vq2BWslpY<7D}{7$#@m2on0u@ z?(|3IRe{Fgg<SbrGF=5L4lZPAXEMGrec>LStEn1#&bd2Jeq45ZAyYfk6q#4=ml<tx z{>gjQe6niVGvDbu7jN9_oH<jI@1@UD=a0Nw%|oUw+;HZJ^_8g$zqFl_=E_&QXzCm} zGxJly3N7cr!YMMZ-Ys)np=q8fc`9$kuGx!tR8uu}&3^N(t;<Mc%ED)DlcZmr3@CKA zl;nD+c4*<fyr8+6U)8qHUgEIx?w6mJ-}~>g|N3u>`e*ff^S|7AQh8ZJ+PtgByz}3d z-;>|@SJoZ+9Q@9|yl&HH;dj2Fb-QdAR|Y@#-)4XHpUdydZ~QmeU;U~1P5s9F7dBxD zA76f5ZfU>spUU&)*XBpmNB#Buy4=G4;7`r3%gyZ<{ww)4dG>stdab{mUzVGJi%C$E z`QMUf%P-7#sQ3DO`MLi*`_g|$eqMg&Kg)jM&zEQXXWGyF$@zKt>G?YKTAzcT`cJX< z{ipIX_=$f?UDKb;GyX|+T%V!k=aC<mANhCLtNv^GarvQto4x2immilOz)I)1x>uis zr_bN^N92d_1OIyapMS4>pFC~;kGfmGHBb9T)b08-`JR8J{lmX0PnT=i2mXBdZn>uY z&A%bvCr_FGqOR+c@Ra$_>L&eudD6eo-ts5syXD*G-zocb_hk9<dvm|NIkJ25yt!rN zm+oqw_p2y7b@$~tzp}DJcVCvN-=3RQzUXddnflGSuil947JlPbQdV_0^PJy0>ytNE zma1Qy8&)24SM!`-L7CUxmuLM}SkHa;Bu)MDT(5GayDQK7<&;HjzWl;(k@d=(nkDKN z=3aX9W#{DQesir0-)-4B`I%ovnbqdtXMSmAhwi*AQa?TS)SHl<!cYAsTYJ6}*(p48 zu0pxg=E*bW9(wa-$K=O;z1D{Bw(OYv$gk5{@m<M|$q)Tntp(qi?3nz(FQM$$rpeRi z?s{`1b-AuJ>pPYmm+$-4S$}=IBvpOi+;3&4HVIFgyY9`B?ZNl_Dy$=Kt~})zQnu@M z<|)52>#J{7Qq_0OUG#<}b@|S@Ps*w`1>f=WD{H#_GFN^3?7I9<dslv6W^4ZO-je6b z?#?dBKUFL|Z+21suHwmaXBXt}+I#ZbvRkt=@@MV!{I<->{OCQFYT>!F<ML+}Pk!xN zl$W))^Xsy!vqSQ`iiPLQ4$7}87JlWs+<fQGlV6ryn(dLFRV+Mv_O&}ERg+)%X6141 z<@~bD#Ju+2lgi-dzH`iT?=7hmo;Ca2ohg-*XU;ar-?iuDr)5Uwq4!)WFF)~}WbS&; z<(b-v*~jjvJX>a9ZhP-ZMet+a9&_D$ODcjN`F5Dg-b<+ne(2j`&U;U#LU_jPeRrNb zU8ZMVxHI##Z=*Tmy)WgH@B7x8XYTBLzijX9O?O(JF4H!Dd3Q>=@IBwKyj4ZQQ)j=+ zn^iRVu5YQi=g!V|%QVa#cV@m*+c|sQ9g*_MlV?B3tJ-}sciH`!HR-SBW}f%iWBhVv zX1UtknV-(Iq%XTO^WB-0bhSG(^U^oXU0J4fYi3&dq`524`D`>kc+Mq#+4Y&v&OEW2 zJZEN9deYp?QnjlyADv-IUv_2Yy)!LVmtXqir5Vj#S)z7n=B+bF(w3PT_nuR+nmlW! zQ##XJ&9gr9jVsSxu@rvpGut@xTua(AW8>6wDQRkFX6mJHnzQnZ&s5{Ub0(IPpZH8P zo;Z`UXqlmL=S<BrKK;g)=dM@?KlbT1);u@GLimwSyRqcC5DVdlKF!9Q=UCE~=^I!6 zWQm$Ft$V%RmUV{uYnWVJ3wK@U=;}GO=fH(ydp5LmbsU<p>&%hVWxB?!=U6N*-}k9A z{(5#vs@lGp-_lMQ2~V53?#vSN;Cnt5#*s5up7IGv+ci7$luw!Q)w3$8YP)7GI>VB> zZ0F1;X;ntScYOTPnr6SuRokxpC+^^PuD#(midpYzzu0-`ooLDW3D3DI)=j8xwGQ7< z%z8)rPTaw7TwB8z>^<~GG-Z9jbFPiy4SSj9YVV0lJSQ5nUg0^{+VF(EhhB+>tQUCB zRj_VCRjYZp!`?$LMeoEM{KB;~Tw$-%Z0!v@56#l<iF5qIm9<WwiuHo_lAVX1i`uNe zP|12uJ15TZGgrpCf@fSa!wrgA&uGWQ9sI<Vx-OuS^^~?xoZ~01iQx*xtS7X4b~eq> zZrOS0v8c@Y3l*%#v~}VfKXP@3JM201P?TqVKn3d|ZJs#C4_pcBK0M`$TX*3pSIoK% zPq`Yy8TK5yFZyTQg>qKi@CUmO-4opu!+2VBU5w*<uFCKOyPKwJhwNmUs(mLm@s#MB zbphq9n&As}J5AAc*m>xV=#zCHo^Tb07ZkDX(B2b!Fqdn8@Q1lh??t}(G?sH!E!$wt zdROzwOs097Py8O96M5sqSkARQc*9($w;~zK4XjyjYF?S?^hP9MxkEbF`rsMHtk*S9 z%yfD!60v-P73)>aBQu%iXfE-4cvd7}d4UzHd9cA;r<Wog%P*vH<t*Ef#<e(DU~bba z%@#k#60Xc;A1qnVYnJ#m7IV!GzA%Srmgbz9PR~Tn_%If8O%L8M$7!Z!h+kt7*W};{ zbDW-tobX{R;!0kokj9m`?1KgCaZMAy#zL;{;D9+!k3<BP7g(?!))et;EZ}Mm7MQ~{ zL$hXP({#;}nM~6)b7nd{5MfyU!JKu!<`-Yb(;`2XZ7^@u2|i%dsvUe`w$nY456co# zxk8sYq;i!9&oE-$t$D@Q@s!AmWdf;O0m}@`S$AsM%yhaVGRKE8k1Id8p!(2z;hOmu zez(~AKd5b*r~byy@jGYbyoTqTJN<WjX0i4^P<!aDaL)XI-z>M(&)7MB<1C#g@SEj^ z`i06vuZ5r49Q?{zH1EPMmTT&3>>R&x7S3aM&biXR;xo$?^*MG2&kFm@5BSA$NqtKt z(`@xMm4{vkyUbtkjB}wsL#@*+^%}c_pE>9HKd3qMOxR?8!!yqGc@uuJ82cZnIrLOm zXMVsl&Z+(jY7RXSR+%sGlf}rtq2|yG^^QuX8R|B62S0N5`X|&JdL%3|f5H!zBkD4C z2S0GO`YY5NdLYa)pWzv2{JaNGIb-J?c*+?)Pv8g30rfw&2j6qn`d|3OvQPbut>S6n z4K@!?34fV4;XBJ7^@vKRsp<ihhwch5vpM*Vv($gWCzf66E|pAE)X&&DzT@<tC-9v` z-M^vw&~4#6(?0BGxv%;~FY&xk#q<T~oV&d*#5&DWeGuvNPUxM^!E-_d(+hU9+*ZA! z*I356*?U5)(_GafdW>b9CDT6aYO(Y#h;5pqx<#+ClykLrK&;ao)dP`EuY~UDFqU#I z_b%AXa#?kXUSkPo?z97GoY~V1cClPkT@mT@LdaqIgf!0i-XCI|o(ow_->{SAtm=Zu zLo-!V^bVd8GMHYlljXGPlt`ziLK@QzcCwsQb<t})Bcw3hAdNF=nm`(7zjr~5(_<lt z=^J*i9931(V=Uxs_cn-edMLy(-CzgHLDh!HL(^3&BAuqI7DOJJrpltnSio8D{UO@v zzR(t(#(d6d?-QF?bi8+LV%e+uM3*t2Gi+KwDrd;Fg6%Du-W#Hw?g}l@Y0TpcoW_vK zS?oO_`p{(6Bf1Aq3O$(iVH?YK<v(%<zjN$$zfsI`Px*!Ap?88M{S%&ZRP;@#Zn1XX zP|R{i`HtMdZya0Q7uX(pBbd@3@SJ0#dxI_0T;)A-iRT1k`W2pYtaVSYJ@iU2q+j4U zM?v3&supv12irq01@Fil{KB!+UBT9Aw(<tcL$j27<Q%_nWc3MDv0PAIVtMF!Y({)^ zOms$aT!gDD^QS$Bwj5KckX!hfV~+a`o2F-i7i1ou5j5&gc*ZfqeTPlcJ@tJ%y1LdK zJ9XrOo{VVegQUt9Bli_HOiu;X`V}f$JhW5e5+s!-STa2kJSO9KM(~i#!;c(&?in^r zj|Ij07gV$yQC5(9_<^I%UBiayp&(noLIsP#^vXuiA*MoFm%2VY<%sLM@RTE_Z^KiL zMt26AL-z&$^j#=t(RF`dedwOxCK<-lg6m`)-*Z&DAFytksvKg;G*$VIY~m^LZGJtK zAY+uYgqj^HS#~R5k##&J_@YnXDMx_P8Cl179R6(ql`T7zEi9St2;S>^VAgU@@eiM3 zKF6F2XAhaC_X1Vz8`3%UIPZ{Yny2W&*I3B0+xdd5(>%ool1}dg-tinfCs5E{V9jz{ z@el7o|1$zIyLk?t68P3OA(dl>^NOXd(T8LY-4?j>ZqJn8Li477db4lIbJcs(zx~+v z#hOLSc|!E6&y(JGZ{DhZbDzlXOK-gwWyd9bzVzCAwf@F^S6=<PG{;PTW#viUte4*T zaYnV8rsup@>9_7nd8T@Bx{_)9t(wlyORdjOzqDry=wM=__(j#8UAMjV>EFD%x8#}X z$*EQGi*!Cudg?u0KT+%Nx-HL_o}8`_uk`uSbMHd^Ov%qeQ}<10ix>K=dd6$EcHz1! zJ10H&ny;O?Zc3V}x%SC*CcA~+dTrIdxvpfl&@-=@+H)g0&w8bWF~w?@sG4ebt~1$n zN$SqjknpJ3mnEu}Yqal1YL=_oXg`hgEMIzeYE-xr=#=8BuuYqV-t!lI{<Gj4`|dsV zFaE}!eEasGoa*k~TH29656W7U)lJwX^w_IdTlAm9bpyjmJ@=YT`STKApINu>pTd`7 zRV#J>ut~oeUwBnC<aqe{hda$V+_l3(&G0hMvL$LQHx*CtEj%ZX)XredV&z;RduWd0 z4nD_o0#WS;tXi%ruHaKVC-8trv6Lgft--40vf>WOrk4U<?G9EgX3h!5EvC*AvP~}p zuJ9<9a4ZnG#PhJ2W1jO18K&m~X6*|sSxlTQj9bnq&X8n!CZN}zVA*2iyh4WQseoF$ zf@RAI#R-y3PXvzfIGzzW#PhI_qt7`*hUu|@So;EtmLrM^d=Cpa+MG3Hm>vqSwJTV# z7&!khV$pYgVZ@^6e8Z^afFc9m!+ef9=NHmV(-c4OCgyWQwl$=3gtsj)Z`q^xfcM}j zfmJ+>rv%=$8KiQQIj@jznxc4tSMij<0-nS?jw0s@qm~_t7LrVN1n#vx_;%^N_dflv z`?i46*Oxt8eouPmT^V=ibI?2Q^0-Z(h2D8@*T1<h<hRgU@6Gxr_k}!PdSiM*{31|d zD~>Cw)vWAmd@ix`_>N*8>*EJ(kG(a_=?|>txs`lI&iR`}X`f&<&yC~@md9QjK9f28 zRidcxVinJ|<TY~6UnL6r7@te5JYG@Eb0v9>+~Ko^KK+4JJeQKUShCGdUSoOeg`rFT z!e<f-k2Bc1%}TD3JN#K<?(qjU$DSFQ^fx|}Nbj3i$zy!{fX%U|hC2O$&m^WEUtn|W ziJ?lrU?q>y@dle?Gm<+j-DV`)$Q}MD(R)0>=GY@ck^YGlJV%mc<PLw3Xg#iAbL@d3 zOF!c?iTJ*UPbFge4nCEL?h~xwIgtEE_V9a&+T$0Bc=jc~kySozxIyOeDZ?*)6U%w_ zBu7}fO-&B4Ja*S`natsL5~ar{6!GjzcCloel6*$i`JIG+pI|wU`tb(qW48_Ow0*SZ zxu5ujFZsMdMf<{ZiQR`U$hyr-d?4xe&fp!-;d2HB?S<Anw-c}MHJ3?jK0HCzZEoTb zKISrslD3alJ(h<HWZUK>ZsBV#l~{c^K-O(e;sHswR|fZZm`f#=A1*NFxtutKuen4b zx9wn>M0T5@70<=Q6_Rc*3>?}grb)~{{6WU;xq(IdMoXTvi3=o;%}h+;JAB5#puNzN z=XBx}Nw=p48tsObJSP)f_?picD6|`<NhGxirb+Z4E|771Y#`CT(Sqk_q6!~#p+x&( z0~xo61|02%7CZ+N8zhfSPppu1o1R!8d2CuD3m<cVME&6p(r)(+w(vCPOH>~|VZ@_z zc!v?s-oz)o%=r>wZGov0A#H``J(`C%NW0xNSi;ksClT1jm?}|xc!Kn?$%#jJ51%x6 z(Du=cXM6mge}}${@7;gnGxxpt7e9}_(=Vx?_*}fAZsPB5>-`%(bKi--^Y73%@vZw8 z{5|?cKcznKx%kHY4S!kZ#_#!;bWT5}Uh%p3+WiTCkG|3msTX`MUQjpjSGW0ohrdT( z>fiZu=!^K${R)3wXUA{&d308M&p)Rx;#qZqzql{NFZp@&xxP*P#h={g;&c8veHPEC zD|{wCbHBl7?lbW*{|<c;Ppu35$$cu`=bzIj@rnBtK69Ul@A=s}BfjP5(Z~8S^%s9| zAB)%d=k!s$bHBr%qYw3Y>H~jpAByMs=k!55q3+{T@wmE+PsL;EHa-<^+|Tgm=zaY^ zbr-*L>+XN>`{+IWO@Ek9>#zIc^j^Gj|AF7FQ{zK^vQCY^^Ec^~{+qhM@7$XE7yNde z67TTy=pFqhbswLI7xvfAb-4WK5R<f)Q}Ly%uE&%d0xv|qPv2iq^{%0|*K=>dC+;2b zd;T7JyZQe48vECObIzylng8-<&UfRx=Rei7J>Pui{5zg+rfQGYoY|Uw=0(gkF6n6X z?$g|_&zwu&G5>B|+V9A>>09QXtyB9QIrn^$ee>U*b7>p5%)Xh|wtDx?d1t@mggw{M z)8DlD`uS%y%U=GPIp@5OeKGUTo3GNR&R?qdIr4RS|NPdQZ@wB|J8xv){m18%aj4<^ z%%3_RHtWtW{P;<7#(5rl>pOSWlpDuiI)AHX+K-tJ(jy<(1^?msvRO04ZuO^`Q_p{} zyZrmj>GTLY?!P`~(-+O}t=sm@*lhmPy0WLnc8AV$*+>8Bx%k$2_xXEv#h)VQ+(|E< zf3^164#_uZ8)skLxR+<zxwM_L-{viQzUkW8I`iF|BeR~T&zoOcw{82)*%tE)^S14h ze4Vyx_Q9JuUGfr#cV0CwaQGN=;$}{<@wszn4WfO`n>Te|-WB`e)y>Cgn=hEn-uTmc z^UY~z#mv1o&zu$eAWv=A%@t46Qp~vb$oz3xx~cp2**j}C<h7-VuUo%iw&zXPxi`<6 zluw*BJH6a^hsErhZ<We6YZTV+-m!`MtZ`X!QAQb~)vQ!uzB36A^>|({iTPGN^Zi3T zwepEOxR1MP>~;`gmb|&6drxdYSk${mMjr~rqxEk0-g)!Q=<ewcF>2NA=hF6UEh|!Y zG(Wvra^C3}+s{2x(*o}~9kV>F`lbJj_>PbXxeG-#v?kd^|MmI0*<${|pE_SRo6lcZ zSN3b>?DIbM+JAe#Y&M&}@n_E2^u_Z#>y|y+eBr!<z4zyvpkOMkJNEPDv-DZ>7yf*6 zCVl4onLl|xZ$5oq$6ot$<kR#i^L^{oenvh?PqAzMlXE6L$&UN;&By6|^G)lH{kZuk zy=%T|UE7bF57XP`i`KdQxcMNxX@29+H>cC%>|TG8oPK^=jo1kG_mf7V|6K6Bdn zA9lBY>wuzq_otcn(ktgbtWA5mS!;ga&o}QjYtFw}8}@zXl=Cm_x<5%yIseRV^6xh% z(+lTY{^WVLdHeZ0X20*ADc^kW?6;g_yJyZjTV{UwuFm<i3bWI9-<(S;Gdq0uO_}lS zvsvbg@8*;l-#q&&M{Kv`o3s+M>bp7T($>vBd2>yv@wKyI=D~M$&ZQNYdEb3=Hf_c1 zxp~jhj4z+{GFQI4=4@JyS@h<cFVYsxUU^fe#Q4J5OF7?m&U~IWcXnakww*JdrDd2| zZ;pJHmS%SN&YL3R(`QfRgzc1knl^d1XP($j$(d&r%%wNaoN@M0&bJ*iAE))sHq6_$ zW9Fl@&e@82Wjkg*OlzGjm}j<Q=7Y2Zv)`L$PCvUV=UD1y-Px>pY&&k=Ppg~#HFsI6 z@xHU)%ua8ToOX6y&a&;1_tGk6N8Vg>DlNop_wAfhX=Sso=BlL{?>f6Ehb?vU&a+R< zsy9X6N%J#nzWpZGczf)hcZbTw_wK&2nfqSsi<?K^>6MgEOc$>xo4C8%diRFS+;?K{ zygO7TzIFG4yGP&XrIZJzi*MZBaF=y%?4EZ?=k#LA71PDn?oPOS^p##nxnR0@LD|Gz z-R8R;?jC)qcjwKa67i+G74Evuj@@wc=&abDcTOeZS!IH|xG%&mxq0-to=y41o!sYQ zbKW@>i)WM-ristoZLpd9Ol-`%Lq+1LWq~`nPsRGYb1D*_xLaW}_lek^o2@fqTW%hG ztS3``aR>LYSe<uHh2ovN9qt@`sK-+txP$vpEYCZq0`Y{hkE!BuWfxP$W6CzBiZ||N zxO4Qr-k-9I+qrdjKe&DLp5CT6OsDnMy>ZGHuiSm$cI(vGkejSiWAD68I;HofEO0xw z=I#ZzU8lr4+&p?m?@8Im6!F5{1)I2c#O`@}=<TNar)%_I@69=%x@Y>!ojKo)?w<Y> z)AoGRozw4P(w-aLIi07!dGDHUMz>C<=}+Ff=3MH==?CN7o^QH-`dQ4gs+n_6N9iZ; z&G~9{_4K0{w&$C!oW2*+R(11bYM!3)-Zfv0E}gy=bL`nB)9Jl&YE?65op#b^-m7yq zb^i3qxNDV?&r@el&x~t(w#j&UYFyegqcf-V^f&KWb0&4_^uRc?%9&46Cr+QZljqYW z!|9znb<U*rPq&P_Rw4N~wR^f|+_VbGN2%@8CF8;>Bp;?WPv?wdd$vh`dgabFr&D9} zZWl#9NNt$@_pj-(qmNFXUa{hYZ+PIvi?dGNh%qyl%v>qG$!yo;w~Tk!1iOi|fBO3U z3$M)a6K*!`Eir$%-qxLbcIktk+Vx*E<{W?XOHW?ggvX`E$6IUuJdNV~r2YGn3yZqX z>ZQ4VE7{tYu9)&9WvOaf+6+G%`}GEE4Vhe>x22X&zZt7mesl846ESM3MrOHs#k<d( zOf8&#HrCDj<}BOEFPkn+-22)3SC!<Qlzr13HyTT3zI-WdGI?%h${e4-qK^WGXJ$AV zOfJ1?bW>a}T<!2C_M>^5G$x<)H7oyp+I#g6iIj{t$s5v*&e}ZtIpcM5zSZjqGi(2R zn(-=mb^k`YwC^)y4u%~*eqc-G8-a=3FOygF3)&rfw$UP5?s5?8`;B|7Y$LwOU9RrQ zKYu&9r2FT)Pj+glc^l39cit`BbmM)pzu4<qojk*E>*ZUDio}~^c}&l}N?N~l?)(Ly z6N}Y6PGv6bN>9|dCm%Lr#<d$aI}Numx;pPj$xwSehiCJr&zm$R|MdGNZ65hPW!B`z zxNl}Rm)uR+F!}1toU%<(R+A^r>?tuapS;k|%qsF-N~K!&W~<28DeHDmcJ)iMnE5y* zNzHps&&N&sP9E~v##&%>=;SV+XHSiECnxUgdB17z=}kV<o*L;)kKO6>e$$@QAM`dC zMNU1vBBreT=9J@3^1+{HyhvW$zqaztw~e;_U+vs}&v=_$EO)q;=Zm3r|J_QR?;CF& z_mQ`*<vEwUssF59*>{PV$3NH{d-3DO<K(&hiFV(9Mo1a<_g3<JGIZ7LS9Fu}vvd0y z@iaMAZgb6>O{bG5?dpGPx9s^woBpMCVrk`u_l}0hSAUu@<@mEjHg4kIXQvz9x^^^6 zzB>BM7NZ{Z`S;`E9xZs56fCw{nw4A6(1a%@J|@=HmGRh=o3UZ#GpC$>q1Rm`Ipy>- zy~(@ZfKrI%PM&w0wx7PE_IvJ`@=f<ne)BnIJ#*g4GWE-Ib<U?$sGXks=3Gjd+Tpox z%8YKG%u-)GH>b?#=E+w+V%CyxQcBdS=jNPCSvUFQ%r&J(*G`712hY_xmr|hSJ@?Jo zlogZb`aMfCx_r`0U3u=Bvne@h(Z)Alq%4}ea;8p+(S?(je7;%Ee4a9Qa-rWg%bCwo zGSsY%BcG+DsU4p4rpV~@$x}XImXc3XCQtVC6SI_@c~U`L+IZ%SlZSl1f#R)qvZ3EL zi<ys7Iwvdom08Sun9@2~(9g_b=7W?3wckcFr=Q&Ab1Zd}?qpU!HjA70Q|cyv^<9=~ zwD06MwbMqD(@w7QS!N!2FQsB~<jggvQbN>r&(1lOQa1UjuUe|nu9J&=*itv`Jo!Ye z+9>i)il18Z>^Hea+mF}Df398geWPvvM^L2PJzgSz`m@Bm<3;kjKhKzZyg+_;?U`>I zZynE&pIz(oZKGBHQ9HKZ5_6Bo$<O{g<8^Y8Ty|~G*Ns<?hsbw-mY8!qNWS{B#H-}x z{W~kqeA#&ExQBf9XNlRzui2RWn(-nzOOCsi=gUTu{#v_dKO>$e&*{&#TlQ08*70*T z(|*pFdE7vLcg>kk8;$xy?c9Fec#=G+-__3Tnc<1!$86M|Z8Ye&wR`p>;&F0MzpmY~ z9}$m|JNjkq(tbodOm6AtwNv{cG2{3?n`ciq>h%{^=A2G$>}Rz5_I<|v<l6qs%AWTd z_a5J5)An?ucK=J;Y2PL8C5Oqa{v<K=_&d4TpJv=mF75ZM?0L6Qqu;SI=bhos<MV99 zzR#F^{DE9`^_kp__m9?yzmCm0pR}j@Wn@md;oYO3blTE4-Z}bCCoSFZ&e1&a&9Q6B z3~wDx6Q3Nr=3LUo?t^-6=^L*feWvql*Nizwqr{VAb4m@b9(|<4mcH@I(R(^=yKcNp z$`dn=T~lIs>F6z;V`&>ryL<K2cFmY|)JdE<R_AQe{O(G<Yda;LC(Z88)N4!IXxyEu zmzHLD=BS?d=9o2SlBRYC>Y44F@g!+t_ryq^qK$^#osl|clKQ(X^{(xZc%0PTt*JL{ zhs2|#_HIeNupJT)lbX9Z_1Mxj>UUR0t~s3)BX)aJ#Dk=U?!UUvwnyAgiV}Mqos+*& zr#mt7&8eiS?x(tK+h<HYx=P0_b>r@%%XHGVN8FXzv2VvN77@XltxvrQA1~c|R2G~o z7cUY@3KCl!t#c|VKx}rjPu@oL?xVWTQVe$-y(d;|{pP#D{liuKw~Hm-Csy&@w)OeG z!KVG8rOx*a*6lYfdA@JBeK?1IwQbM04L1*`@UJe8c$2uH{h}mun{a$gtfQ-|*2R50 zctrkw*}A~?&DRZ<?K|bvo{Md4-zs;kiu-!>mU~TKH(Wg&l0UI3V$R_QGSjMV%#NOO z@6lP^fWra%ZSE<Z(PhhbTv5TTADee@$Bc6By?diGcCyA#jXvXfaP{upt#@@-+)>hd z^ToiteWqMkmBh=$T)yPu8?z3(@eAAfoK0NV-Y7Ti*@p9nZTMf?^nBiM_OJ=RbMcLt zhmF`z8_#%`u)TS&Tw2-&^X9pHV(A7U*AG5BAn8+T5Pa=m6uY!+&)J0RD?Dmd63-H+ zw@1o_J=<{Vum=BZp2;>kpA3xJ9p%iP85p*=TD~dVVA9+w_sk;VSz>RqqTDfy8BY_t z+co8;RY*KaSkOGz^34Z>!-u)}m1T5_3{D+p;}@3UIh&Zk_gm=M(+zs<g_39TH|#&W zO-8Ij;z2@r{nvj>t!IcBXqD{Vv#+xLDc`rW)VvK^70s5CZ%!rz{`<AGmbvp+_>+Xv z_P?^v$|LS4M)5ti&UwE<r#;c~&8fty_NTIK<uj%pUM1u9bi?k$%Q)oH$|LS32JtPn z);X0Jz&G34=iLVN_M@`To*3*ne2=eq_tE#dHTf5-yKT2W*xNcU`pr3~?XB;0Kiy$E zuUnK~_*|?kud%xOcJz&Vi@t3T+p>Me-qts|ukI*)6Wg$TL2>u>=rcQ8U+X@(v*@eX zn(YyLTVLruy2Er%Hz@z(GqDxhE%vs~j-GLE(HF5L+cS!}&9+ArcVCR|xaV|M*D0T| zs@r7yk3Fr=b*=IbR(79_&bY^PR`=W;rO#q%d5x9br=uh8EjpvCmG4;DeKOkPp3*0= zlsv}DZo};Y#oY$mEB3TL)|JXXSkZknTH~J5N3o9W7JFJB>T=~fR&*bX=D5dnMt9$x zL#K6j-Dx_lyX}tB2eF3jKX$j?*Zq~Z@TpkM_8Yrhr$s01JUTV{#a*TMVinsr6m@HD zUr^M&JKASw>s{Sfd5TZPO15_tacgX!vAgw-?z}rn@5KD_7|Xl2NAKYMW<BG5!rtb$ zl0D@Hw#{$(mRU#4JNSWTn)Qvj2jB3tS>Je@u&wzjpPTiKHwl}XuS)8a8QeJdg6Esn zjX4Kn*e4rDyiQotyq0g7Rm7Zw4|t|o-I#qafZba5%-Mux%~Sc@tRiL~yuq_AZNtTb zF6_a^5ib%JG%uBWQ*3blpcVULnVe#Svj;Eml%;JrbMOpLo8^tC3DcUVO0Fp~ICW5s zeKIJu88tidnWY&RHn&Q?DKt2KP>MZSCa2Kg=s_WNXPGwz28R!Fu`A2u6c`*lc!1|y zs)2rUsbtUTglINy8J>a-`w#wMdoAsAI-#cdE$_5c1D)nrNuT@;dk%hJ+iVmu_23Gg zGV>c#4!&VqE$wqEp`_VWlIK)Haq~&uZz&sg9Gt_$W`5&#LSFsfe@}mhzmKo}_vo+p z`S{)SFaJ#ijmkXzSNdGvy8hw6(%;kH#&4~^_|NqB^f&RP|GNHif77?B-}}$>x&HP2 zPySr}CH^{ob^XeJqR;g$>X-ie`b_`Ie!u@kpQpc!Us^x$-_>XOX7y`-W`EJYxc|x@ z(O=>(;xqsK`g8j8`g8j&{@?oJ{aN3ne(q20&-%voiT_G}PJbGo`ft^r?oaDa?pOKW z^{4wx{Dk`6pWbKU6aQ8HIsI|{vHcSNqyBh*Tz_Q0z<;Yhr$4Mew4dX@)F1B;`Uds2 zKTn^IkNx-P)Aeclnf^2VdHr60|NdY9E`1WeA75Rc`jh)~eE7dhzqQ}%@7cfRPv}#9 zt@^uvO`q!T-v8>~q)*~^;{*RSeY$=pzNp^zr}jI2_4<Q<zkZv3Tc<Alp>_AY$Tu@t z-|KuilT<FYtDI9qYSQ0Z>Sx3cMy}!e<?zeqruw?KYQ~(CQzoX1RisU{?zY~%!I=9_ z<ehVe%EY#AUNHCQ8=aK&z;v;Vn;Yh`&W+r2F6o?3OuAzF`lEcmUyB9rVCudWxuI{y z5!JMfzdB9dY`GS_*y3o+>WZVWn{OP^2}u`B7b{4cXw_}L*<tR{mpXUO94Zl8x>;ea z>+Hx4Gmp-S>^bLDB9@gVXvKXYa>>l2&vk6%XS+Vvu}I&TY0~n5Mf=B#`Hg%V8k)s+ zarZi0Jb$m%P%MVI>)IzH!EK@2l-{_^yeYwDvP6j~E-@<EZDxv!;!y)VyG;%uJeTLN z&WfBf)AgConKMkqV$(NonBzJ#GUQxSk=W$T6Xv)+(K&I3sYon2O)*U@G3}!T_wh)R zb4`U}-J1jExIWSmNH4VDJ{&1>uBkw*d9%PA))|pCGh3%0j`+bScVoF~|9Y-@b7e$! z8Ei5%0$*Ar{x{)bs#r|g##FJ!%?xvn-q-n)cF~+$ck_eUNAKxuI>U5YXWbd6e6h;S z2WGcUjSQK|IyLgn*`!lCZ_)zIxivR0nC&_x(qZP&J33F&KBkBjZZ0t5-VwRy?4h^o z7A#VV+h6_j-PPUK=k2ZderfadcX7L_pWfs?AGfpm?#<KZ;&xQueV4jB{B7Kp>a*`u zcZbj2oAkZuZuhyk_0?x@o-Wn5tls+W=&tLp;#O53y~%wpZe{h}o7|=AFYmqcCUsZ% z%eW=gTW@xsjm!Qfb=SK@-?X~*9qX>}S$plif4Z}}SpVE!v+tMgaG#A!|90xm=`(RN zs$<`!?hK!~SL^$tJK070C-*9SSK2K8Brf@z(B|+Nd*!|t-C13ze{8SV_n<r4h5ASK z@_jeDv${b4&|bFhLU*{&#KnL6v?+Z0-W_kYrmjD*m*M-b+o$u_@7ufO&C%`G@5M!a zJGANg)V*K6ox07PudiJlD#?6Z{CfY(PJKOQSB^8s0whEgV>dLoiA_t=@%GN{b_i_T zpuAqA`l9W2aS`=`@~_W!o(}!aCmRuY?ZDpMKYm^5*~IC(xij*a5l_nEgoWawm&~f~ zzBPTSXR)gK=H1q?kT1^1SLf+#R$qK8nyRl+efF*D_Un`PKKfR)N&HS+e)Z0~U#q9T zkKJ4Tc4zl@J=^lP_m)<N&)fas&eZDbb9cYF(^`G~ZS1!4tM^>1ufK`iRDN}*_BXv7 zyI<V-T6KNS?wI$Jio;*Wt|?!8Z)sKdoZS!ZOs%>;dw0NltG%bs#x5(Lde5~geD>}e zceXxTcX7AN`=H|R7qJV<m+pN1S?~OAtM`xgWPjE>yZgeO(r4?=>^^g+wetGY*lFcc zcdq`VcWSrV`$>DWKj|5jJKi&Wre|2*y7To%z2m#3-Y4zJ{-}3!x6pg1J+D9L9p26L zUTIJE2fc&458V0sR8POWbZ7VJ*ywj$d$>QW+rRtIyH~rtPsi4jzqNh#)%dxK__ct* z!0>6iH{2<Gs<(Idr+0^TpFS0*b_jegmzQIRh~h!cO@<qF^z>O1*}4QuLU(qbiY+hq z-Ff=mx?Q`M+;J@rpR#+w9n<pZcVhkDb?rWVGPa<6@7<^0y6%Vn*th7rXm#Ad-(0r8 zdn%9WJ<%?SpZHv~B5vaEF6;FhK6Bj(zq9YqH_@%@7t|hoqn#2T_*``3`i5H8x#4^E zC7sibiC26sx^{g+?a^1-A@PFGMGN94{^~Mc?@)X6rS_dYhrWm|U9V8<Iy-zr<<VK; zJ^P%#h-SqJ{^Gh2zNGT#b8VaWi$A%}h3D*Z`Yf6eSNKeH=6ZwATs{Z)?RU_Nl~J`c zR6kf@SabBLwoZKDGtsH*7t|bmqOB4y_>;?MeM8OB8Q~q3t~0`I_8s~t+PglX=IA4B zk@$%}xQ>L&>^t;9v~|5g&Cv(iEb)xbMC0QgJ{66PJNQ&II!^Eh*Maaqdk?)AtzCcN z6W6}*H+z*%Yj4=|=#=)CxQXAn_Jl`Nx=sxbs62XCd)b~t??g-2Px!>OE8L}$bxQb| zy-x2${o@3`bE&Uys6KjI`%c)$-CXxWzpP6-uT>Gg@cD*V(cP;r#JbK4eGuvTPV3#8 zL+7*##H-(kwVyqd$t(S=pxyRQqCoqPBT8X~ySZ+MURl>vCc1g`gjm<Pp-0v+m5G*w zecaV$xw;^>bx!D(bxozBt5*lay3Pqb5b63#>)slsQqkqB3pR6I4xO^DsYEn4>|mN` zc9`KVu8W~7B3)l-IfPG46P>^MLyYTlEsOAtJGst=E{HrjGc;x0p)*<r&#Oe3B`qT@ zr!Q7bQ=4^m=MM{3>F`3(E{Q3Tu1~cz!VPzFoeXtZ*K|fpA>1%cG$~9lO|*Y?L5%BT zEs5}rJGhR9s;pxw6m4H^5aaq#izD1{2iL*ShRCDSLn|U(r-v3q9-S7-vW}@hw0`x6 zXxIB%Th=t?i&n2bv58A(^^Q$kdqbbBWy%)~3kysY4GAmU-le&EL$vE%ttD%k@<ao} z7*j=yS5JsOIyv;n+CwL`9)x||#<hKC-Sba#SC_A|E&h0J>2tj;3@h!Le+#_3y#4%? z!?gmUlCs|gnJuq*H&y=?J(e$T@SEY^*{#;o-^EluJ7gUGE~fn1CS&n;G24r8o(r`W ze;c#8_~g0J^mR9OCOltceEoGy@w1}2-sfW07H>Sa)oS{yn8IgG#^JAG@}D`)eO;nw zUOe+$sFnE3nA~Sc#@A=<bbBr|*ZXYD!s5nrQ`6R+-)Zyw)tv6)b!T^)Ja;m_K69th zb0cH%XED=@BhQ7Vtvj_-<N2&P-bL$9>^yOX)$;m`okz}yrmZ`^Q|5WrobJMPM|X-m z_nO09xbE;yp66O~x(n7F+{yBs$@u#8oqNt)P1VyY&Yh`Upm$*Bzh|Fjug=%gE&h0R zt9kgeog2=Srt0n8`RUoA*{4s%gg#qjbp38j@Uu;`SLf+z7GFFonyRN!eD<uW`Sr;= zA3ZBF62B9ZU%Yefqwga7mcOt)IxqOcJg4)TW&R7RyKI&pDDJuwe8;kNZt#P7kG_d) zTfV}U^{r-_zhZTl)$)$HCw=p7A3teV_}fO)=yvw+9dAEx<G<s^Ry3j2CPis=>;er3 ztv8x4)_892@VVi-V5f<3WtZ`+IUHRb>oOMZs$5;5Yp`*7L5lOUwI_pnGHYU9e-KH$ zW)#CM^ik*V=4~lwFRofNwY=+k@EOZ1Pi&6f*Zk$jn5N^k#$Qi!*Pf?8!tO@x&9|MW z`dsJc=Az9p{twNs&D+d${*!d~_cc1pFBEYd*t}rQp|2uEeiy5_t_81|=k!&i(2w!C z$japv#avf{=gd2FR@29Q;p%je>KgZt)m+<y_e`DFB*OYk^OK)rIhS^Kf0BZ-yJBUR z#^MDwtoJlmEtpZGeEg~y>st*8Pxo+LS>uZ#Wpz!T@?I^v;H=1cy-cJq|8D1}Y4dhz z?_RvY={d`W51sF&6W`Bscj!~NJZsTerJU_)q5cP-35Gw~bM)7>+3quLdew552o}qI ze6seM`-#0<t)7cy`x#boT?}4PnO!2dtNTW6%QH<Uf5xgVljT23mMUxgoc2aZP5b_@ z8g1S;*Jin<%scd1Bzo@h2R28aX`1*qJ`+jzn^?(Zy!?R8(Wja^{(;X#rY>J#bM#50 zl9H{i`SJ-duS;~VYz^`k+$lCYc!DMClc<f^t&!YkqOz|A6?Ylti_UX8qj_k~qmLqe z%QI|PA8U&FFZ^-sNo1Vg#0st>!7^^^rfwIz7ZrAHQf&9BsK{%#qPz2Tb(b4lSygoH zUF5Fxr<UF4G`Y?nvRVCE=iFwq^S6q`W(Nz*yZTJ$(q^yoUN+nx*Bsu=bN-S{_oubH zw!gVo^+{}MFweTH)m#U+*7-9&6N&eG_*D1UcA5KCF{=x74{zo3zxY%n=9-fX_t}W4 z%WoKkJ=0`5Kg*i+L1g5)rlPQSn*WadlIi{~Qn&nt_38H_QRld1vd?S&@Y`74rL+7% zQJ41e3)ZgpG(Y$yJ{1Y|b9^dNzI;Xz*Y4mebDd6UzVH)#DiYvlSkARG*v8WJj^>;> zOz%YUmlsGMeXmjDe$l$icJTw*)_H+%`kcx|D%~2>MRqRUVa#Q{_<-!uw;DO_f!18N z0?+h0m5G$P30iaA2)rP9^tHybo<pS~MQ#_ZxUL1R>2oR-DRg5@7g@Qu!kFty;GDig zXEl7>1Fg6&1#XdKogKJF^5_c<7x#r}A`2HY$hyu7tm!*cEHZcT1DT`GG)&wZ(?rtU zCR%bCFFqi16tuk}Fim9Y;sr8CpJ=GK3tDm+EpCuGIwP<{(sf3lP2ZtHk>14#GDjb2 zh`3L*;5rg0(|4#qq;;`^%+UuLEbfeHBJpkyQ$=Fk4yKAky9ruw9SHo>dnjL|cJT!x zu6==TdX-LVZ0LD(O5=;$M02h^ff16fQv(AekKWZ-)^jLNq;&BFBd%S6E|RQM0?+h1 z<%#&a37T`MFK&=NdRybpy<fGbzpuTw{o9_Szo*UHUUvUdt@iooihHMOU!RLEyLYJe z^*7zy+q3R3s?GkUdvp6M8>c+MUHM1toQec1?={tSpNn3ff41_-)a^0%Cw&fk9la*) zUQ}<5_t&)+`3EbtO9gl2?~Oe2E_y}xhT7L(bj|x4a%zq&Svtr4OyrT5(aZ9u?sNSW zHhcSxJzJlxy|~@we$eNz7s`+BG2Qk0eC@fCmil%Z&HJxvx{Jl)<Kp67U7gz{%uFxl z%#Cc(Z{wbsw#~r(KyIwwqVG+ux~JC~MNf^M(tYDIhdH-qKtZdnMiGO>wxw5FryYIT zx@^ITgZHmJiJp}2y3h5Q?uqTk_NYEvYmjfd@9B@Q$I(6cy8D*?2zwOWkuSS1^+(vl z=$3rmeX2jiW^CWL=jqe6dijNw*{7o$^BMPj{XXq}bZvfSW%v8Fd$(`e)B1F+cK*w~ zQ@@Mdiw?WD>XX>i?eFf*`ZVosbZNe4W%s+a8u^Zu+3$3BZlAYD^!v2Q+aKJksy>~& z_WstI>#t(7&qwXaei@lvu6uXur!}qVYwv7*w<a}R_s-V5>ziU%m+9Wxns$9s?CNt- z8?z6tb4_1+ee1I|Pj^k5vo-2^Qfzjq?$xc2*083py|VS*n$}&{UPk3zGm2eZqI+rU ztu;r})|zJbu2bDLZPr$&>rAoQXQSq4SFXFdQ|x)v?Ci{St!ZnGvs2flrs<y9s&{=; z%<3~yQ?motneLqSBx+*z#7OR<wT9W9k=kdX`m-(9UELw}II25abKTS(VvnNQvnAJs z?htzz)tt?_jx}wqes*Q#>eEp%*KTbJdl1!-{deut?P2$$qOLuP&dy(}lbsm(`cza^ z_S3bk+ow(4x@wJU>e}5~m#s<N9(Fe>=-Q%a?Nd<!*Jed~=dD%GKDzd4itdiB_pTLL zzy7Xse{<FOTg77UBdgBcvi1JH#wPutrS|tV*6BAbxxcTuy*cOnD%<XFYi@2%Ilrnn z>`ml`^oy3Szpk-N-#Jh9`I>8+BhE+JdVgJGk$%up`|BF>^o8?EtESD~>~mhrw)@K( zv-FLY*=Hjcr+3a<`fSaG%?{_iimyG7oR?lY?`Y+<XOXkg7h1kP6FD<|rX~01HK#Z0 zoYyK2dm1?<-FKd9W!RI*lygls*=Hh?&T$oAdmP!9ZaVL1#kEI~UFoXxS}U$SjBHC6 zo#$F{?LlNydZXp*(~)uKUKNQ=-@I*(Xoc8=$oll3bFY?9o3{DKxm(uSrz0cI?JAmf zFS0WI;oQ`xYqZh>EnmM|qnUnlZfN<mDVtxM>nakPviaG$N!G7VMi!=9T5`WzvwicO zW4~lim#?|E@mtSP>uK{gmL0z&t9?GA;@BzK*XJV2jvbPHU8Zw;W7hFSve{)iH#ffO z5w#Y36H#)kN;dmk#Jc2@lB-K~u5AoE9we)ME~4O=m+b4a5i64C_B~D0xxCTqxRUJZ zvk^JRqKvP-h**@oQc}A_=fcKIJzp)SJ&%~1T-dkOa@w<qjAK^DVb3DcjvbPDU8Hk* z<EfrdOR=XBlaoFBL@mW;Zd5ofWjt-h#zQ?{Ev7w==uI~4+iEfGQAB65VqdAnw1*L` z$%1{R7SkR?Bpmx?G;R9ET|Gxr*XSm*_OV)AyB|@P{Iz#!s?NTR-;SL!5}UShUC&bU zuzL{|$&r$)Pep_r+a;ZSDxxg;YOiXl&aRD%dRSA}?A-X|Sd~%Ood~~UP13J(b+-He zsXO#tc<=lhpE>XOzxa9NoqCD=#OJ~lb`yVhTF>9`ne&eSow`HcgtyLL@b}0Y^%VQS z=fWH3H~eLp>%XTi>706uz2bA>weu7H9(ko6VlVhyxWI1WuTJy%4u6llRKHVm=!@{u z`3iqsX8UjWd1RJ<Po2{j;Ve7BUz`{Gm;5~PT;0b0BIr1moI0n^!Wnjj&xB{rH~7qX z#y_U+&?n(kyTG5Er~G~DoIVLpoUibi^MrrT&z2efEkBPuR+q8A_=EG9zfPUgN8!%- z4u6h3ROhh|{K0w1pQq00gK&b~$EU(^b{C%t$JlLrD%?1q;m?u#>VNDme&^Jk|KRtL zd+M8Nm`<y&t8scSTsi;1@0O|lAwOBB`roNdI;H-`F7P|2=KKY}U8eXu{5*0;{fXVj zC&GpE3qEn~@ZVE=C|7v@><@Qc-m87fX(|`4GTXSD^RDlcn=JEupX5C{r}id?sa$yb z><xEa-l}Do8}8=3>3ij-%Nw->bH{Yy^|NPe=DhBE;-<@MwFvW#yEw1<9=XXf$9GBI zqqAxO=7qaB&1W0jb$O}gVSX`9ILB;bn(*S;0(V<x`L^USl?Z2=ecZ`;-nS&LsaSaS z><f2TX8F#!>GDkNOb%1A@buXm?zqhK4asXN5}rJJ!X1|<YA14-iiDHR6w`zg%|7nn zJnn0f*HkFnJv-oz%Of=b^THjRhkZrznhJ!QXA9h6nc-V=vt_z($xW8&zBxBt9;h*x zf85Tw-}g(d(`mIIW*fJ6>dZc{sZ)FQh1)Lo)IOLcrV59eIi?Di&z`Y~bGPr6T&GiN zFU$l}g#*kCw{!0FwYlkXM{Q0HQ=V}C?1J4#-mBK=U##x5o&I2N%RKKlaZcZbD)kzl z3+<e~qnOir`hmSi-m2#42Uc_5@;(#i^i8N#Pq3QvhWCY?M_#Kwi#haFs7UW(73VeY zHE~W~g$nf;p9`&=UQx_>#d}WNp|h$!`hiuPm%O*^WSQ-~X6KO?sxJBqp9w9T&al^I zmUm6uq0d5dr$5+p<e938e&aKtbiIj{oW|1+>^bsORYyPYnb6ef3-%m&qN<`VSjlNL zy<yLh8QvW`U1oUO#2xx5)H^+4&yh!}BKi|6IFESC#2xw|)H+>Z&yfeJEc%SkgyQuc zJ{5}9JNQ&6T2HWo^MLoC*hBAyYNuZ);@s!`CRXXR>V}v{r&Pb_O)Tf!;~lZnWvX|; z&LekKm&F`<CsaCpLJ{XKZ<n1cQ@qc_I=vI}*Apz~RG;3k`^at8J8B=TIq!RZ@k=_d zQlY*uU1;~@3v*rOc|Mrw@=oQQ&!KZF1?q*?oVPu%_%)RYZJs<~uFG7{BYsR}LM3V+ ztvW3y7tC#$<GIDJsZ?n7<bb&@b36~sba|z6&xfg0X!+y<W6sN-Q~a7rgmTpmrU_-M z8Cr2(^jtC1<%Noa`ouJ$`IA4)ae1y{p}x_Q^Q`BBnMY=NruZE?qhg?5XvulnbIMGY zrz#rihL)TsJze~o&ZsD;8>R^*sR^bD^-nIC<MLQVLVcqJ=TT1;Kc+&V_Q?iwTpp@$ zs2f^v9`tOOd1Sh0#Y~s!o&__HO!H*%V=54;pZsCA%YBtCK27;T)ss&caq3LoVZ^!D z^NBB0zEGH2V5(4vTA_KT=Hv~tUGAzZ@oCBv3RGiE6)K)QVfK;9o=1ESom6?C_R)-U zyZaxzL*E7W_TTu-anJol<&k&FCGr!W3s%TY{M}*Qzu_~-9rrtShrS7J?O#xP<c)HQ zeBg7zjr|R^EOXuW*d?7)j*(Y<F1WToq4vlt<q&zn=Yj=t6MuD>_dC=cd8vHI=Fk_x zrTq%EF0<V?R34e--ec$VMKDWF@E6Ag_a&7_o-5nPUj$vWlw;@gSujJc@R{JueuK{( zXWV1#4t)|#l?(jIamwAt&gqlj#D0a(94Fj+DqCi_w^SZ^tSlpc@dw8-cO5&YkAj{3 z4mC#}D)Yz({@^&|&SU5FK`=q?<5R&nxr<K)W8^kI6>RKhs5x?9`H$Si?;N`Q52}yc zQ{H65bXs|xjnjL<%Kih@EmPe?Dp{tw-?2?PrTj)N@H>ZQ|AK0lDeexHNA4&;k^A^W zu&}@26UPqsJ+_B(1^0J<h;@0d^i8L!T(C-P<8F?-u1_La=D9x6dvs3ejSf?};P&nf zu`X|wGQ<scbKG>j66x|rDM8#ZU2uK(jLjU^T~9>1yjF@3-?)q8s_T(RmN~9V^d6m6 z3J@>c#bMrU5bN?%$wT~NnqZFD#x%jj-2$;Kvs_#Bm`VgQ#Xj!jIPY4b*HkPxyZb^6 z%PiM9kuJ}a&gd`|3r_Fe5aTk_HAJteNN{rZgg;zsy}Mbtg*7)^IB+aLLDcesu%Kp> zkA&2Wh-nRrIGU6c#0}E~lf(qm1pB)SVq6|8Nr-RU!Ew}8MUSaau)W)W$75%5T|J}3 zFVCg2Tuccs*fq<0QeFiF1YEo@W2V@xt_{Me&aST7hy13nYH&$OYiSutJ2#pwcwr!K z?qs)rzivfi#FigBQa=`M7JhyAc<#HC5i+sD_to}y9~HZ&Qnqc{Ip2c!4=%Q<2Dlt? z4@;Lfcaq=kC~xj4Z*CCzqvp<^Z@1n&W?NzIc5Ax%_an!7_59xO&a1t1XVC@IpSg>k zml^uq*}&-PQfrsjVwV@oviipk);`yFkISAvf4t0GZD;@OdHvFJW~`c0duPcF(~o;k z&O4fQ)Tc1@Ym2BT>yIym%6E?EUO4XiVdItBI|ppqcJ<$$UVCTHo5$Bu<<0f%@}j>L za^E@5TOhxEf9;(=-wI#fKJI(kF0bbIj<;_gPfL|I*ZI98_U3WleEIErYVUmbR=9an zfArMaJ1gEiF5BLJTSVS`ckP{5-wG#xnsLW2ucZIz+s9(5^5z=9cbt9uSZ(`__jY;y zHFq9;D=gmBFMY=@FW)XN&$llB(d{MQ)v9NGxaZ<O`R?o&_f*dNez>FZU9CJX>ABht z^PPJ;-}+|dJ5^7<Is3%DCFgvT@|miItrD5KI*z?)dudj=_vD-n3l=H4vzRyS5Ii}1 z$32&GzESyyc1=__kG$J)-|d&#qNi%B%sW2|9_?4T$8yd$DF4$lwH4-;dpl>(o^fx< z7qunQiF-U}&)%@}<gDHmc`0Aj7Mlz1?VNS{!x^#i$ro;Fr7xb7TV!@}cEh=_ipeIM zdC#d;%zmCbcYEQzZI#kba(8SNy!Yg@+C2HnPZM|C3`(yqo_y}+xiizuXV08naE{Gd zdhX4*^x4Ie&)!^jW|?{PGhdVZre|vDd6O!Ijm;14Ir-FACx7=|&zZAB?zMbUn`}OD zkLMHL6L(lXsU_zrJyT1}`&1!(e74EGmXB)P=7D=WANdO87gY!!o-J~(<%3$Yx!@ko z8MAA4c21vNvXgWA?3|sR58N5di_(?XOE>Q2eBiEU-}F<^ME>HR6VH7A<vsd7@nG+f zdrRJ{MdckTpS*W=%1+K{vtxF4-t+yG*HkX7ZN9QdSj&9p?vqny-?+OZ&+OuCkDZ)% zeHYzfIpzB-PpN#ex_RgB&dIY6+<o#^EzkJp+|KttRq313)%F-)G@g8S=8JPG=Y2k$ zQ7Km|PfJQy+hM$OZs%K{taK;q$v0=7IJe}SPf|LQwXl_O<=m5VX6`uWa?U3z{gBn< zt20-eQ#t4J;EYPCT7FuS)#S@Fcg*a3>Eo5|WHs5$IMH~rsj=kT&KEvc&Zv~AEim>p zo_v00#knQLYIBTl&gp#SbK%UBGd@P?Noi^`w&(8D{a|+B_P?|uOX1Tqr_A(x>Z6fv zWGQ@drpvjOGd>FGMrmqEX+mjg{o8Ne3#*oXn_H0Qz4z_e+!fpB-aGcxZ1?R~X}61| z@8%}uaTniyoZGkE^qyI&TAy*|9L~o+V(E)4CLfupaPCQgTAQ)v9L|S6Y@o}$42*vo z3F{lbG!oV`zG*c1z)Xg7Px963j9<>~oHp~r*_3>>$h4+ZweYk>=9Bl#d~o*2DW6qm zT2A@A3)^ipS<`sqY|pzsOU|_9sRgDnrK%MhPn>;n^2{S=kDT;*koL(;c>7hR@Y|cD zreEE)W?JgjYgeDFdG>44{pmmUE%~lm9e3!rkgfjB+Rk_0pZ2hv_b!Srdaha)cKDOf zo#}V>9r>oZbv5TYvmH_ovszb6u2b77^*Adm&gr+*-0KPP-L-4aWp5JSxc9_c*8=^d z=St;zhbkxTxcV$+$rq(%>k}(=PiI%J|G0PAQ>ALXOVxt+yZ`KaRwj7qYFzm2nBL;8 z=dRj>|E}@<y!GsLi}=?yYtLl2ub;VZ+K*X}vb)z`+!ywH*4ymu>mQzDGoL;AdPKZ- zEpOqrb2l%YVY7;UnX^oPW^Lyi?^k<NzNv1|U-)^__339SJ70T0*|X%U>KgsX+Rj(r zkM^*f^A3vt^h|YybY#uRm)>{w9QmTUR9~^ybN2KNl_zIS@7d?_MKvo<=$Fui=}Rh4 zKKHhXzw}e+T<@OTBe}|L+cPUqKFh7uzgWXLD?a6<_vzwU@4V0LQTeQz7T5H1(&_j& zvdrRzlI`9))i?JnIpeJr@APxh$>|>ZR6ePu#4-JxWT-Frd6I#C<s7%i-ZK8T=ekX~ z{9w+qXC@afyZI;EuKj9axqRn5vvjE*{mdH9$KGP`i+)TxGF@TclMkwG`kFPI553vq zm3{~r=>PmAq_6+-laQYN%}<jKOlR2l<h^R0{>$plY12RKO?j^x8Q1hwHQX&(X6;AG z^3ZvSPbDkPzxc$XfBwg&p1Y}6BH7*>zR_7+F1hdYkJ`59#&+{3K9gKfIB(&v9)t4& zHOC$ped}qny!CX^Oyk8{HUCQM3Z62Q>OZ*R2hWMr1A5KpjN&xsJGy<6oV@($ytd~b zC1xJqVB7ZCFr(j)+xfdh@$nV4o%g&y#Wj5w($-)3Nk~h7XZ6V`({Jou@=i4<&guIk z4gJPXlXgx&vG>VI??-Wuo~Rb+S5|l4_Nohiw0qLMsc#}V-+O&I(PtKIJ?m}e<mG|$ z!YZR?Ue@uyZ2k6>SDF9mqFeVeTb7H?ORKo`Ftg*ZgwB`f&Ucv+VUyBTE5au2o@A}P zakJ2!sdv^LDO265y)gFV8?Th`pmf!Z+KsWCbEocEmvYW4CR{09b**+{?8#SNA>l&l zss&+_c1<$Zc8opw((BHeBPFU!_y3S>lfGjx=LxTKzUq>->()=!&fF|yrX9I?(#5GA ze2-6gU0I`2qPjrabMvJ0Q!CajDOR1MeKV%>nb(CiPtJH5xjV;p&YYUC?n#mAG;Phz zlTJ+ySeH_yI!U`ThI8grhjl4uypF6<IpZZ4{%FUfV^a;*r4*`mX?w<SKJwxVPuel* z&{TnSDFv!c+Kihg>1%)7G)YhU;igHt+MF?*54`?`J=#8L-_(pq&ih{9!X~AvMuZim zs#a;AjOM)OwPwwdQ(i09JjqiH4oliTX;<bSU*-a-#>+R1c=eX=ocpaz>Q1JgU$^wz zuO(8!VM?h|ecB77J*P}{h&&lHBlFE#mpoPfFrn>2>e`L7+ooh*@jaZUny+1Gee%6$ zjsK<UNw(?_Z9C^pelySIyGkY7Zz*qq>sMWmHLW;tLVdz%yV!3ZA1&_K+OPb;t~U5v zqYdZ0$xr4zIp_Ii4$F6y?dltCJ>Pm}_#0IV-JE>I(({dHg1^&qmG$Z~i-oRFK4Izk z+B3p`Q<c!w$ww?X=S*HQ@5x!u0RN&YA#-&@ThEuC9{!h}spR-=dZw~iUC_33*5sCX zEMHVI{XSI+ou6DXujRAKZ1syaoU<m+vGjcAd1emFXO-#d8*MyiP7ayZ@=0Z~`a~Pg zC!QzfuzXTU_EUPMlIZuTLg@HplX)#4Rl3y!Z9E@&3iuaQ2pyg*GOy)>O0&A44d;x> zHI|*zCzn`qPM@4(>G{Bu!T(da(EiC^=DM8r{NcB$e3Fj(!J<jp>KCm&?|FXkOM0pj z>gV)SrCfbxk<jkRSLV8$@_gYZ^i(Cl&!}8z=VTj8&pV!T=CHg|$yYCwKKb6G#{H7@ zBwOW&vYqoLzUgx*SE+PsN>|ybywh07TKS;t$+sRk?m^Z<w<ezHb173PbrZ4{x-s#B z<jL0_ew!vuSJ2>Epp~?K|Fc7{HnjO$*qTkUR4$b5oHKDtUrVXVYUMy#&p8thNP52V zxYxr{s<K?U&{*j5#3_9(B`UdYhtgEC-Hfb+E>2t_>G{IL!F^Ji%6#RIGM>*pEZjF) z3Z0#}K=S0wi79<Y&UhHO7g-9Oo;XF)^Qni1yOE{P$%!s~EoVFw+>O#ylH7#SRQi<* zWjr5yNVspZ5IQ<hrH`dhrCr%j#`B>Ehr5x5(7}lfk|(E6tdR7aKCwXZ<g|$_eJlkk z^~xWmJ@0#L>1oMVsa8H|B&4If(@1FV#3#Kh`6^*<L8&SsZbjykG?h0>d*1a}($kWs z66nU1s#2^xQTpWMiAQ>mob-6$_Q_0Wd;g!hBj1(x%HRAfc(4D(&lB(5OYA2-SFW&| z^n0SU{Kn6Mclz(t9r>obRes^$6K~v8?1P>wZ<KHR%Q3fqPhHA6_ZWMn=gMp46aSug z<sM=$^jx{XZql!b=JJkzPrP)$Q*-2t@>2d82jUZ$<!`)THMZdN?dIm@aSUuYrMyHw z^Ru9teB|ee7yCQvT+X^X*)#o`Xd?gfPsek2EBiw~C!X!ksAD<ney&F4vvQhU)6a>g z`y=X>oN?E(cltT;WWPt9$|vO%JEor#4dn$tPc)FP{L}H+UCRE@kBLY7HR@D8DtE|R z{^@w=&SmfPW8%Snjyjez?)z$voOa(;({kE<TaC&G<p%kmzdP=`|FT>3RJlg}=5LQ_ z{Rux$OznSBtMXpCLVn|?iCXdtKTX`-@AI?cuKO!HrKidz@|~XqHRNah?zrPVuSVsa zvY#E(_lev4cjP`PSKcT6^6rUwy&v*i&byVFFWNoPM*869iFbPM-0Ya!`ylU0nesO2 zm3KMbx}}*b?Ve~Q-FcVejoXWyC#A~8W{-9WT1sc$<#_EDX1-|GL<{N0%@eQm?zzeF z%FWOGQJV5HY0bMFFWqkCw48OjmXlJVyhu85^TZ3i4S6bO-R#UC?VNb7w;(U2Sb3JT z<K~IR(kJh9%<N6bds3u4O<HsF#8bTic_~H8lcYQEaLnv=$V)lnb|gpTjGLVKqa72E z^%~@*6e@Q~d*0!A<i=;7v}59-UV*%n0_7%Y#?2G;r9W<(s3-k!(?nfq&O00r-2Rz8 z+CFh#Z^liI`)=RNCZ#Gzm=&ceS4p3|&2i6dP0o^2ZYy%0<S7T6C2gO$tJmWu$6dEY zIV`8#o|!3apQtX~dAnnB?}6MWxypIl|K5969sNGHI`8pb-}AY<x4*nM?YWuF_NVvC zo|{>3e|WE~diLAgt=li&GpnBcCbu-NdoS-dGpp@;@0mR}yMFu0ooiLnuX9&#UwKdL zxtYcGrT4x)GrMxzFTc2W_RHL*+b7<;_RP#|``VqkU(7DvzH&#bO8P}^X5Q~TXFqQ{ zciSTW_8#BQW+vO`?$rHkX1qP|URmYrr@5(ltM~MN+II4`N`CjA-ZQxqw)gJzJ(HW5 zSH0)#$8E=MOXNrI@%^~%$Zdgq>pf>bY&&$DBVT%t?*}u3?X^44p3aTUdt7vT+HIzM z<~?uUo9(~-EAMiV^!?oG?WsF?Pv?f`UEZzx-fYk9HFv_EnrUsnd)Exy^39uEBz-qG zFt54j_MP0K?Y290-<hdzKX~_B+3edn`#1kQcg_0tyqgv2myK_~%h|R0=}g}9IXgGs zoq6_L&W_D@&!t&Mzs=dQ`RqA0>*%>RlhT{#_MXdGzxnLUv!!O1o41}jW_9~j&Z^Bv zXY!uQS-E-dOy1IMmv7!VlLl%GF4??wX7AaY>@?}Qz9nX+n_JJZSw+vfX_x+a&e~$L zb2rV>FVEpUo0Fb)dd}H1IWsoLo=dZgo(b=w-Be0fHkN*plbj}O96jTvTzc`GwS{KK zZi=M`&(SS3J93jR-FVL00<%Lm+0upQ@Se$uPy1{XJ^kj6Guu+P9k|Jm{(JV>{B8Sg zZaH(z{Pw+^$h5;ox2N9xl6HDFZ@!uK=FpkFr*g_S`_4R@w{6$WC1>2sqo>?laK_Ah z_MII6wC>qwPv#VC-h1}hw_ESC_pSfBZ`*Uzd)L40+4g(ZyX?xi!=IzxWtYco{w(z_ zd;9vE`@()pz0Kad{^Y)}=UZ=FPl#Xq`PS>~;<(~k-*ee(*Kgdn?bobV*@bb<pQB!7 z=f^qMzWriqzJBJuuwPOyvvcE;Ki`^l-7Q|Y*7t1o!u5^&rajww{<=;4>zZCrGu$NJ z`SY!r*Nx(hKTAE!p1wYEU)Zy)r><+n&#v+PwDrXG6MNWx-kNd!$R4q0TaRCtiO;U- z{kZk$b&+`Q8s3jv4`1hr*RJXPu=U_|mU!mRx29j;v*+4VQ@!=MmAW5H4_yBj_qlrQ zdsE%@ANOwi9yRUyhCO9ZP4`~^6nD7#?5XU~xW%7t-OUb;+g!c&ovG&fi+jbMnrf^+ zyI1Y|t;yFP#T9>&x|5y1erN2H?@If`U+z6Iulqxs%X!x_{YBLiZNv{2PrTE8XJ^OU z?gw#CzA0@JU%8j#t!tXTQuRbD@y@**Z(Lu*Jo&0rtoNu&&{8~eFUM=wF#SbU6D`CW zizi;`-m{bAm8+lrqi0IX#5MPFymY-4({k4JT1?6prA6X_#S<@dH^ix&b+yxfR5|fn zcR^grXQf%<j>Qv=#ZT_(nAx2W_vDk(G;z)1iKn^);!-{-O%m_i!!fhlAui>N>ya3h zGp=&_k18e}>o$l>`KZ(-?zxBKkt?5mQpLnW-2!nbAC#KJ8H*?Ci+?Pds3-ogXrit- z=N^s+uK)BNl~3H)ow1YSzUw!=Nl%p`^opJ;Rf(V6&2i6lP0W&0t}9}myi*F+ODdnZ ztJ`BI$6eP&F)XKCpXn);PgEE0+}$y``#|iIw@P`d|E_zsJL-K_b=c!r-}70!SHE00 zE#1^+_0x4_>894JAFeCgJ?m}O*3}o+neCqSCaW~8JC?W1)N1wKb!O?N*RMWVb8VN@ z>#WtQSFRIFH?>&3bltZ!(<@i~!izW0dYQF!^~818(oD@(uZ_$tF}-;8${Mj<QZKSH z!+yt{1$FZ*!f(g;7Mq%^o*SuKY-+qZab4NYSx>W4!&b-i7HvIwRVBPTruR(Ngw?%~ zzGt!$!>VJ>7H&OuRU$k(#<y_mk*fmX)-h)bwjR355iT9$TVQIix;FCc>8#kW$D3|V zyUG;K9P>8cbpO>~VV5^a-Os9Cof^q|Ix9Tva<p!~>7J`=)`X>+YOTJz)-2U@_tjTn zlQ&7-%?b=_-gN6uR?%wPNZmYB_0<R0e%m(dwo9G*BkPIxI^WFXc<=JXC#76zm+(nr zK^x(db5G3eyfKsGolBm2lJ&$}ofrI4%9J(<uQZ-`qw|1Y%Q=@gb)$5pb;1*kCtmB^ z;FnUWv`V<sSkOY)bMA@RoeTV)lqlt?C0R|p)H%U#$yt{hJ}GBi+|-q<1WkoG=W@Jo zx#aVtSZSW{%Q+m+UCh)MSqhp6TN+P1(>Y@%$1@i_^(4!QM#3xSa6ENUQ&+N_c%pN{ zOpYfm$9!DQxE%6%QmE7?oH>W%v5T1cB8!PfIu-n$6ezU`YtG?#=)$J1WFcrE{L@HK zU-+ewpq}teqlpJP8T_8)E7b|VoZT_4^Mh|nzEY%GQ>s$9+9LCbdpaNZ9y#T*%BSU& z%R4orRHZWEm9smhbYAdPIpwmzCnZm*NVw8y;*L&>nH+aq?x{WcHsOBz54$Db6|3bA z{T8t0zggSy&iRuK%X#M_`J(5FWpYiwC){qoVYlR);uijywH<GqU)iX9Q{2G6@biT0 z?Pn@GUOPXrS@KnJ4S!^9$1CSYHZ13ygXBLwQ(VDsS=%wYeTLnVFN#a}Gd~NM@kf51 zaIw9^&gHDLlRVR}2`2nMYdW4gTge~#IpJ)3h8@dU=W{kHpB2;Onto0=-5z1L<czbH zywlGKC)+*jR6Z%D$T9t#V8}1{d4d6dWlhIpXDRtZKPDV)*RWIhsMx`8S<~^*nM>a3 z$Ap9J9Cj>cocGxrIqkg5rscHrHXD@>iVgfft2^#H|B_quRI!HtX0^w(_Jqn4Q`=wI zs=QaM;NSRZf)@Y6PZM^x`&4$^b$%tM^i;8gzw?uT2LH_JjyultY*gMU`pGeUpRm1s zhwhVd#eLi_V^7R${h;S^-l<G{(e4R0+y^&LxYK$kvSV)R1HC6@irctX#&W!MN)uPw zJ;92*GnV6x(+iy^rHaL3k9G-Ia%aYJymkr`U$kq21$X1-30GS8L~^`x@)LiQrnrn- zGnV6}(=DBrvrgA^Qc4sTaR+XmaG|w9PvxwWo%o}j6VA03=%o}Z&f<35Ji(azWK74* z)&#vLMT*n7H8)Q<)f%9eQlvPEyEBGkW~+l<${D94Ix1(J<isECm~gDsKrf|Gv5VU? zhU1YFpLo)a35Qw*^im2Go46S_PtfQ7xM_kO_rpySbh$ZWI376t6MM9M!oJpwNRInX z-^3=RDn^JEr7Bi&pN!_X=d?y=$tkB5I#2QxgT<1zPuSJ!5y^4aX^{@gDW_**O4}!> zb9Y8}Om01(`y^K}kNu}@$9u;r{!Pyn_OM?po^ZGMg`CQH#}6_p-xbRFlAbH<VBcxm z@zybm->G`S&E^wwOU^ka@iSEmSg}{yo|x0TL(b)#V-)|PstH${SIDWHb9^A9@>L<9 zuc>On<>noh9WNcd_?@aIn6W1oPcUVdwC#A|ctu9#i^2kS&*BN^n=9m&d{&sle$%Go znd1eSCubau_>-O~%wXSX)A7{tl+2P(3RBo;+H^c|RN{AfrZ9nBvRJ^7owInt@n#FT zB_9=f*duK^9yto}H&skH+$<rt<by&ByQEFW1IGh0Pfk1Tky&!uafeLGX-6i0riuys zn}5hId9M(~cc^^A-sTibj%m#?mL2yTKk+q{3uv>iEE3RS-)VhfO7jibCGQl1_?*fo zXs|aHP1xCdLiWi?$47jRo+uQsS6X-6cBo^2WIf?t;~Pni_YPlpQpy!}F`qORuwgza zdtz?m4M~o74teZJ))Q_uUf@e9Q`p43(s;s+#shpU=N#hLjnWm?F;6s}aIJ9zUrMRM zD&|gO0SjhN*%PxH7w|nPQOILUvYK$IaRT3xvko_SQqDTKu`5{#m@;$9a=dW3#Pg(B zVIK2K8II=;X6%bB1x%PNjVGLGoFU2a%t4Pm$#Q}b^GX?xrw(fDN|qB&G)|D@c;ax3 z$K{N}A)Y6N3VqC(G8~T`#Ml>EOgPf0!1ts;p^aHnhU1|F8@rN)fC2MQBLRKpmqr45 z%r}iD9B5?Vdy=nE$NW;dV_M?}-jsZWNVcX_g>be-<`ecbKHxoa%3&2x%PEI<Y(}XH zWy~w3JEk;V;8i*0uz)8ePoapp(rChtMhi)fI}Z2Q9+ffdXMa%5u$O(qbA}3jhx3eY z<Qm>FKCxu5VV_XVP|kPY9ODDI18*5`$T_@aydZbr4dV$phq=rhwhT9z7ZfwBXE%7x z5X0|qj&X||!)wMhat*H-k61ETuurIB$me7D%HYR;;4I?|Ifs{w6XYCbGba=?Wb-9_ zVQ}GhILp`~$MAx2iDkoi<_|Uv=a?VZG@NC=V8dX-Uhs^;i2uMD#ss+oPZ=ZR9A+}F zuwgjGJi~_JBy)!igAqGJB||cw!x_dF%ZB631vU-Gm=kOojxq<>G#p`euxU8VY+%!H zh*`m=;UKes4TAyuho=mCWE`e5KPX~|=2Q5<z`+0DJ;NWq1@9TQ$S~Yzd?V{Hjrl+k zLpYzqdxlSZ4DT7%$T&=8UQonP&TjCO;SJw{cMMBp816E<STbm^8<aB?v442N@QClg zNyY=R2W~U&`1|;~{J#G${vMxK|KXqWdHb^e3xD_9{6Fxy|4#j#pY3z&AN+g#O@7<| z6@S^^+Nb?j{M~Q$zvD0a8~Yc39)FcD{`c?~zvcgozwEE=!~QS))o<~?;dB3$`aM6{ zU)lTpfA~y(*?*0{>@V$a{b@dHf9+557x_j113vd(sBieEeAeFX|HGgC=jsdoC4ZKm z_21!hzw!SQf7)l(C;WT-Nq*XYjnDn3>I42If0Cc{zvB=4%zB4^$!F}3{82t*FZci9 zkN#uz2LF;j%6I+u_{09lp6`F+kN!jT0{@af$T$6G_}s7m|HG$#z5fqB_3QrU_{09d z{@=fc-~0E~XZ&QpZ~yJz#HaER{|cYVSN%WnoBf{snm>zA*{}HX_?>+4zr^qTyXrlD zvfs5|^oRMB{j-0H-}}}7cl>UjTz}y2<G1p8zkl3qe{WayePg=(p5GTX_us93@lN@? z-G?{I<?`j<64T{({N8c5{jFWrcgNlRH)~J4TYSzg={w_YeyiUVcaP7h-SN)(oL$uS zgS+~#)~<M`e9rE{8|6~@{BMoB`Y+e+xY_>F&g;A5u70!M37h*(e@onLe_?m!jdF?n zg5MsS`_I=_yjxr>Kj-(2JMGWxF1&es#?I(_Vw(Jn-#hNKKeapcW^s}Hl;1P%v_G*^ z`tFz}KjF8;W`4up9Gm-(*IK+=Tqxi3JK|3JBRiq*jXU}e*GjxwTp-`_TjEap1G@un z9-p?`^JejByB%+mPunqlXWY@hzxK!5#rg74-wtl?-&>n<lYLrk%+2<DcAvgAZs*tj zy<!u;*6$s+k58$+@pf^Ze9$+??fn|R8#eXttUdAe@kzT!-yWvO7yPcc-G1A)?)}5+ z{(IGLcCx>>{c<PyyWFntCyM!PzMt58d~WrPo$T*y^WG;`_us0%a4-3r+@|j<iu-R= zAGp_i&NlA7;d8ll-zOCJU#s45FZrw7s_z}e{1)Fm_8y;Iz2M&CFLHVB607<zRZqCL z_^j=XJIQBl-QFu!@tc0<*vtOH_R^ilpXKI#f3b)Cxvkmzg_Zm!-z|#!&s5LY$^Oh% z?|ourztQ&<d)S}as=ZgN>_1UGVJG_&+hcc}&)6Qi^Z27&-}j6??2m25-Y=}^KT@r5 z@9_t@w(lBy*dN-mf!Y-Y-+vVG>wkYy#IN`LMp6HPYKD7{-^<l~f3drLTJ?v!$?xSN z-!(p!3xBt;ynj#igS&@M*{-_Ne9HFSJHw}PW#3oqZl6+p;jZ#2+XZ)$-^mqyuPEx@ zQEjo4{f_OucMr|_?^pgfx42xc`q@Eie%sGC=C;4H`E-W)yiL*b!gRT^XN}hVw<~X) zTU;i$<@1cW?Qd*eol!26+wggTasTzoGc(&?+dMh5xKwV<=ZLxOuWTNjVLoRQ^!#I* z+=|Z@bK7TE&N#QYL~hCF3}b$?&k@G`7b`o?IiIz0dd_IoZ}R!aoc8B7R?iPw_MffH zILCa}=G+<OV!5<ujh6kVD<jS=K4YWx+|jcCWTnSB<s!M1XN;EphMxtD`wc!<%xQmY zBlY~CMgP%CjdRL{avh&7=CnVw;d<_9(SNX#;~euDn|)^vpSIa`runqZwlm5Fat)t< z%x=GL^Xu8dRJoeZH)gv}t4x@Ad}`&3v$6`wa4^=o}zVAQ|6(r0G-U7J_W6jS9& zK6e=LYkZzDyZw&Myfeyqa(>Sk&HJ}k?%4bIyX?OAFKUm^EB~<1`Mh=6{e{2#Y~COE z+;^w^PG$St@(24Kf0Nzzenl<&TkEv@iog4;-gnfpzp;L?=kZtB;(HH&@maplsAYd` z9d>`=uRe?S4WIk2l<%oze`W1=|KT&)W$!g=*<V`U+S7d2`r4l4FS3i?2Yl|kP~Nal z`K-0w{f9sM&XpJJOa3f7>%GJ0KI8W%YT9R(C+vItNp{+Mjn93j$^-T#f0CW_zN3bH zX1T+@<TKVs_9&mRmb?G(N8hn>gMG;#WxL*c)UZFY=DVNxqwi3;z`o=UvQ6(9KKJRr z|M00#@BM>MeY)>CYS<rG|GW3_d*8nDj7s+V*5B?;d@37pukfjC)%z3G?DwqK>{)!u zdc~f{?_`7TC4TSQRqj#Ae%E@@9_CZl&+aLH?^A!@QQbbd{J`GFZ)Njd|A=jWZ&h`D zW4i2~*B3VT-7S5wPWimmhc(LOvgOwj(`9$O-VxjW)++0|<L<tjr6<-cK4+D5opCpx z)$5Ab<8w-PtaCnR6?OgKuD+|KE7mEWvwE;bxl}g)TH~(1%cVOa+h1CFU3c8oXZAW_ zbD!yJiP-iRR#(<2m&h)7?XkJ<d}+nH#l^C7Uf+mme`a-I&EqpxM%NS5WM{nI5!3$E z>eQOWMY2;~&xmP%Vx@H5F->;DYl+Q#hOap`_Z=^_Shu)Pw&!(3O#34%q3ex1`VN;$ ztXo_l+wxi>ru~7{fi;g$TkTo1__WoIHOZ%~n65MK=-XfVW9{O6*{Ev=xA*NWO^IZm zRvHu8e$VRDwZ`py+OJn^;?sJ)Bl`H1(i>|R=g9_LbKKsi@w#DC-_Ft#YagGqdUWk! zifqB_is<&+mUZVJR`=a2eq+i0-tx<w<nJ=Oo}Vb@vw42P_W0c58<y<vEc4DMR`=a1 zzA!KOo6M%?D~kJW6d#z^e9kiNyy0`1b<Zah_gyRAFfaM5%&O-d#e5deJ#3H9E?zM2 z@fVrAbBR@bmx?FMTYT2?#+>A{mTu=2tN2WxbJ()Ku)H+q@n@NN&tKTEKeseHzp#?e z<hez0-<jeWmh8_g_0A_&_8C22VZ;8^QtiBAW#5V736|_nERW4`K4W=k&f||Vea|y& z*dJSponKhdccfTh-s2B4ZO=7q*dJQ5omZ^jGkE@^h)@6diy}U~=QoP_4iq!Yd;DIe z?)eMr_G!f*<|e<Fi9FZ%R3`k~!t%a7#Si8lK4rOTPV*_tcjpYB%9K4{VckBZ_`+P} zQ<e+nB)^j>dR|e~x1-p?lKqb5y>k!E`tBG0=v!PaQ+@29HJ|O{8?x>1EI#!xpSLJF zUYIUZcC695?{?vhzQtuSTOQAlZGU6&sz<p@X2atJ#(mcd&q%hvws_LBxKw7%;|SUI zR~C<Yn9o@R9signv*NLZZ2RoO8GVaOWR^V6Fy=FR9AVsdv9P1h`K*Q0aYm~?lgB?~ z+Miok9Y1K<ceXI2kNK>{xgO<WnY3e#mVKuSBl;GfvCum1XxVqN(4$YeNG9bNqh+7r zV*%qngU1yz?T;;_jvut>J6fpGr(7u0@z_G9{h<ZdaYu{3gM}P@%x5h2^&CEJv8$)~ zw8gd_<pP<8$3LXo?_2yjwlGzu=J5?__i2R*lE<eOzUWoXm#KKX!KhE`@dBg1-Gx4q z?RPC+9aBt|DS6ys#HaCihIIQKi+MfDc`|;-7|r{(7w)Ki{9StA{TF|a&CCB#=X~D0 zZ2!XFy*Bp`eD1xIf9Gf0-24Z1kH1N8yT9Tu+gtOr{ffVPt?qaHWqV`(qUP~e>EeA4 zfAL!0&-lys+B|Ik!e6}>_ZvR<Udi9{lkJtc-~NZsq?g^-_{;Xv{8ml#S@UZ($zP-w z-4FQOdm+D}PWi04-TsF^d(Y(;)FpqGo^{{hbFcCJ6Mx!f<|ouW{v<u^zQ*U?Q~3dP z$)BVr-S7CrHZ$L$F8Pf4ks9SQ=5qTV{^&iHZ%~)~QM&8C#~-#w=6w4TfAk*87pP1A zAl-DI;d8J4{STjd_3l6T)T?`+;}6>d^MCsue(&9vpYfCJzWKL(6Q4>)>??dKU3LG& zZ?=2pYibssGG9^i_?>j{zQpgnyYfALvfVXbRKtAA{MkOm@4f2xJASuK&OcE5_^ou_ z?H_mB-kViz-<U4F=k|roy?1k8<SCyw`;entE?vGYF<pAc?Hza9-kN1?cii23GxtQ^ z;&Wz6+ZlKBTHUU=du&ebjy&gcW>MP@?&`goyCP5doY{jM<x=VVZH>EnFX!&K+4j=R zYrEsFUbEW?n|n=fOWbXHVRj`)xkP%wZI8{p=W{Fa78gs;xqahK+cUEZIgihn8EsEY zlb&&V$DOvPW~Xu%7fDaKJ>yQ>6Emglj%m^pZcA+DHN4HSx%YUkMc(2<>7LsWciJAA z32krO(R(;oB5!elbjxjtJ8cil4&*#OZMG+8@oBRiImxHZn6@+S=-r?DBX@DWbkw$k z+k5xsrrczkmK$@k?Vj1EZH?P`wQsN3#H)3C$L(WNa&P1=&XW$>=D59A<95TQ-krH8 zavz^Gd$jFgigdy4ira0sP3zV_tnR&+{bncId($s5$={`RT|ZIGYjgd?-eYsKZ|r1y zXPUP@vAXwG_Jz3QZ&I7CuPE-lk$oVp`J8Fodc)^Z>#k2I?!A`1Aujo=)T-+p#k>~R zJ@y`(oxLFL@fWGQb%|BIm$E0sEk0{{BPRK*soQ$RDqhp;9DCVbm|lu`{8?(=^%r~C zo|~GjUs%a&a^0f1_e}PToovrc_0}g=_8MJZv4`!csoHwQ%H9*%6LzvaF+CRJe8%)p z%;S$zeb+Pgust>vTfeZP_ei!v+~W^YZPzvSust+oTd!EbYjFKX5wHIB7e%~!*KZW{ z9>`{hd;DIi?)r<}ZPT(p#3sL&id@(DR4RPk!t&ld*$-k5pE6w)(|pSG-8#dkQf1dy z>~5QqeIZu)l<9()<abg<*DH#8cVt`aWV>T}Z{0()-usz9{1%r>RWCbe&1-x4#@x1d zCZBwm&zlr2FHDyzTh?gZdpq-n-{LZ<EthA^ZF^(#%160OYQyCP#=X}w&&+IlZSurt zajDdr%Mo+iUYR`dVLoRPwESb5)QZa%bK7QT&hT4YBDLglhB2?%<p|^6i<upM&Sy=W zmNQ!Qnq2-dr|r3k)$)Uuy=OBs{Fu+0obypGmP%XJXxV!@Gs182856DLj+VVAGd=v2 zi=<MPF<SN-UKTL!HMm?cr|q$c)bfKCy+<=O{FDo&IxbtxX?tkGwcOF7_h2T6AM+WL zeLjazo9yywK5eqiN4Y?%;qs5!ZTC%nEnAo>Rde~qY`1Bd2{VsP&3xgjoG(>zd4o}} z*5w68y}L7gX13imd9_S2RjTB2hY_#F<r%Zv?wHK;QO=X{TgGVKyFGKq+;7#h-e>Mz z{?@YhyNT`cxAT@&N6owZVa~MbTXQeJnbTH%>uu(?<yYsqRo{A(xoP=TOWkiKH!i=J z^R4REoXau(lZ&HXXRcYkcHXk8s5zG(%$ZhoYxd;;e{0*bXET>ApE}R2Dr)xS8*{cj z+j8-;i+^x&)Qijo%a>Zd{cLjnvX%d1o7~SPXD?rvQ}%4jnagM9v{l}EnmKLxRLixW zOio=^^Pg;^`^m&;x#K*uXC{WrTP@#yG&z1*%0JmA_oK<t%R>InHg7+e9KOuuuWXb1 z!Q|lO19QGTHPK&Q3JUaSKW-b|4_o$M{^R%B+V^y3&GNT%r#&^%SsrWY`+m!w%OCtU z7e!6IykbsS`K>9J-}tSz_C1wZvfR~@_f%%_@{@DFJ=wD3@|-zr<+pBU<}Lo)_slx# zeMYt0V_Dzx8M_z1?3<QuVzc;ZUs<||_2P$pW!AIaW^7%2vCqtU)|-q{w{BV9G83!C zd;84NO|D;j(sRv9>UGBI#Vh;7(oHNDFYWu5W^(1CpL?<Ktd|)}7f<ZFmS$qMc&%h^ ziOI!_S9-*(q+VoXy8V_pTfF7mMGN=aGQPzoCX44v>K2<AFHY<$vz+xbBh_uSOmESa zlNVLoyJdRMWK3AxE9rYCBhjr|=4|1XV;3ddqh)*xw;Z`B;BGB*wqVPliyZFKGQI^S z28(MY&z{bRb$e`dYuZI7cV?Nl`6l}>{&Kr)By~TddU2{G@9B(ix69JH`6hcVuIUL& zHPKppx7RGyWcS5aZj+6q?q&qKH5=W!lTozTR#G?5M1AqW-fw2JZX4IlfB3uSUizC# zw)e(gY?8lA?mB<sGmp*r6Sc?Yrr)S!duN<CKk;|Zt@I0a$=@V5onP^}=SKPgyXJGo zaq|tIORhUV;d9Tm^bK~&UnN(a@A%APao(f$*zEKLc8|YE=FLm|)pIF*g5Bb?#y4z| z&l<bUSNz3edY+?}?S=6ro5!Ce=be91!}i?RZ2rQZJSOKYKKGnSpHa#7%vf)J;?EwV z^DAoDo*JvoSNz#?B7H(7+Y{qsHqK{^57|8aDA{*DqlWFVvDo~DKYEU&E7(2$AlY_a zqlWFFG248_A3O%<e}LADz4*kVcmBqwo&)I&c8}jn)}4P*-8L=#gKhGA$;f$)PbI_W zE&SfIC;fr#;Zw$|Y?@CQznf?HRI=>+it4s0=@)F3PZ=+;Nq#3;biU$K&yIA9O13-3 z_vSs^)^k7ghu-3H$?9nbck|euz7gB@&ghd4^LeA9>4oW%Wz!mW_uNjsp|`k9a?9x% zv2AaRUg;>8Np3j3U~|v))H9K7uZ^DQEH0H?b2=in?Um6Z9p-aJLDN5`Nv=3;5!*I9 zb%x&J63Hc}GdA;>osQVtb1}6;&-tv8({#pNJtn7r#I!v(vYLKyXV2Nx3_a$vM(1>t zizU;hHSX*=of@IH_>7U(bjO`NCsRH2l#3)&rZMj9F+44>xyRsiMNHdcBdO^Jck~=h z)zDKel<YWd5!3e2h-<pzj-G?59D2-WjP~gqK5ev1r}?zeHXY>x$%fNEqTB8p{hGEg zRkG&vjcB)NsR@zCrl!8oRnC{JIK5$0kJjl0n|gMq`b4(fHF`BoF;%kUbjKzhjngxt z+wK_6(^1Zo^qa=Gy=Qys4%x@wCH5VEVS8*|@&`HR^M+;p3#)tDdsi$D`lg}hQqkez zB%;_QkkXcxGpWQ`$iqNDf~~DRRPA{YyRd^}^b$i)fukA%%~K3dNjUc?DnUW#B<9Z& zA->hKEyZ=u*S()x8hYaklhF4A7jBrPi|dQc-=KRj;qi@I6EyrTB_wF5UAt!a<nG2> z>ztQy9^LX!k;m)d^4OR{?OumlMmzhr)$iB)_NY{^ttGip)$zmT)`d2Ew;tJYJEf;* z%I1Z8_x)1+`LS!qoVBf!`5#5zdAsS|r8~-%TzOlgEw-J$IZf(R^`wrqlLD3J_%7&v zn)X}S(nDvK+3W`_=N3wCyQY@rtY5L#h$HdzwVj{cr{xMhm46zx?LV`1`tF>fdd+<s zqXmQZhU?1hy0YL>Z(pw4hrMwN4eT3z+Piq?cD&mxZlhPOw>$FupSUCIHt2Ppwd9x1 z+|Hw>W>n9<y45sv%Y(yV|F)k=6#oB4R6=aqsigF>I+xI2T#LBVly69AU9oau&*5bM z^X4PxY~|#W`@RX@bTNHl)*|a8P_NNZKk@qYY2K??YIuA3<h9pNRnmLBw)4)X!oSYl z*SuD^MoRQ9=~!i%zO~z8?zNJXX%9AJ`l_~EJ+yGa)|}R-NhQ74SMT7pczk%R_R`g< zooxMr1sAVBSjxc8yL$Vc?_WEvD}72fFzyJgm5cfPNq@#K#>6L8WyfzX|I}*!Bg>H^ z)-z#ZqLS?Db&)%(6nVT4m}DQ>9n*i%_xy(MYa2MX>(0%XaL{SXDWSV(9h$#v{+00V zw~>#r$E>TO2g58iyc@f6GM1)f1TJ33`Rw<;9i|&@{EE{xv+e7?Yq<O9yVfru3=cx@ z)cJhcQgQCFAfwHN`V$*7@0}{0{ME(w{#F6spHqcW@Ad_sDtfx;=d6=1^_RR#SKpCg z;1%U~Sn|PF;79UVwY91o@g)zBeGhj_@eS~Ps>;7J+IQ>JK;Z=wQ}tE}m?x*OZd$a} zAjgEafcu$SKx_7{Nt&1SW*nMi<5&AMj8i#gU*HDGJ6w-h-U@4HnM8F3b+#<gyuUMg zQpGKSFmKk_b@4vw{mC(HFM^ItS+cOA=RlO>yro;!W^H*W)%5#8wC1^0w@w*~)IaIG zq%<}6#8081O&r=DX1BP0x8*KSsb=!>l1c4oQn>EuT(e{CgZQ-z3`0Lw_*%SDSoQmX ztWn?7S=RzMqyO;fd`R5A)@sLsaF2)pt##KW*LYnhs=0mY=3e)er#AhFF1LEQa%#Bu zwy1?uuCZ$iS%$>5n*C-y7`Be_2(#tQEgz2EwMtvgB)=-m^-7}W;hdrt_nssF>ylq9 z+-ba7S|Psd#3uPKMOV%;JZQXg_*avEpE8?$#g>~VCf=IhY&pyJSn^D(O%3a{=cnYq zSgGu<bNpw;lC}q%o-AN{D%I8A@|e#u>a~VSSqr<A<+9f8Rvo6d-uz(O-*@frA?cVE z%9blc)a}$(EZS1~fR*X%#Izd><x_Sl9yfR`aO|*qYo+Cm+_ld4A51+wiFa9+TBh~2 zb2HW!r60T2mg+O@`a<?S=}n13HUWDdhaG(sA?4&1;hh+)U|JNaDpHXoQ*cLo%O*{e z8Iuy9e`0D|&5$|i*8KZA4BQP2FTy{+TKdHC=!M5l2ft5<otC@g{mKYE9p8;Pt)=S} zHKxhjbU(lEyY~0UO;!b0`4d0BpS$+qj1S!VmU3z@*erc7>JQ8RIwj+FNmXNer_d?M zoT0L!{zWT~X02w_?$wT+U0k%s?YHjAUw?E~-S9JiGi_;$Q?B!>c~$!79x>nLlKyi0 zz|HIHuLLeh65PE{?99~tpEh4gnYi;svO!+G^6oJ2GY6Eqxvt-I=S_aPg(323Ds$bH zxYV0Y$tr?OdxV$rU!1GE@Q1^#X7yi!w;e>@+;ZODGp9!9udI82qvtw@+V_$NcYlp7 z)%^FYNO)2)=hF8~N7@>Wxp*wRI-m1|QV&bkBJQrn2UirHi)d~#s&UET|G(wX*W6_J z<%g$Q?0dA}i%&<}ia$CUCCf6}P8@w$-+bXt`x~`seHV`iUQ_0h+H<6%!P=~QrT(Nh zyPZ=Tf`nB~=9q2lsoQArL9?x--6r^h;0+eJ%)QE|7HoR3vc-GLZsv}krq`0Krd_t) z^p=6+@14$)e`!Y+I$Y6UYMNp7D<j!<^V#|P%BOkW`P<gTpnAdH^NBTMP36K?QGQ>> zpr3;Ay>?Y1&tEe<tC3~5ig))<wblLQVD+1yb@%J^|DSxC&vbR%S9|lC=k?oTZ|d7N zmxuhfjJaE}Nx_C!WZj$ZF>2iJ-yAc(bKB`&I$v?##C?&66u<So(&x`Fe{?~e_3^@b zt#y`q>u=ax(Ga|~Tdhw=S;2bY!xjC%{y%!SQP1t-+#KV#Yf5t+4mRA1xpA#bQ6)fF z-OeEQTIGdc-&cns7YiuZGWdlAEKEN4OR}XkILkaR`*m(WCD$9ZbF+4EFJF_Jbv^Ff zg1p(2!e_5s`}S1jt@l@M`1!oJGWEu+OS{!SPClXKZ*Cf>cwyo#(SEJ$FZ~6EoA{2X z?QGjoXpz2Ywl}NOoUAjgHH&;YJ!|xGPQ8u$ksQ@I#pwSuN0~jWJR8<7G}v-v0pCJZ zcXQrO?{$x-nsF7r+_d78zqm|SPmk&;mI?*e2{x)c2bO;=*cf18$YoKaz_;gRU-@Fq z^4EFWqRrowzE0ay`MRTUdtYza>nlvbyGt*NCPcHRo?SbUv0-DWXx7Tw=fweAf*V+D z%oU})I&Lk}b)EXCv*rj}BZIKKarMkBrB^Pqy#kUxu)EG$p=p_+{Ha|<?2O946b^xp z%U>^KlBx|+Qw&KJTw?Tn>z1hp<`>L*65%WAdP>@Vk{kPXy_~hrj34SSS^n>mHP4aD zPv%h$O%U41-p%NJfk%q>i0Y+4rukBGN@3Pa9}}mte|>O+M~8h);*8hcpNhMUp2qC; zP~hj=z#hb2b2w$%EXRd27x_52_BsX&9Wh7@e|&Gb)2VA5YZ#mxbUz8iSsd|~S#+c9 zt!BYI!?L^SEtAEZCuW5Ceff2m`+*CuQQ(%VPJv(Ub}wS+T(qWH*fl!iuEwfU8dc%@ zHM~3yX;`wJ^1if9TQaOz!y~S5+uXDlX3DWEE2_e7Yvh)?{CULjX!?@S?Hg~L_nfd_ zX04Ui;@dnWu^(S``bj;y{vh^gfT5>$Y-8DkVs??@Emu|VZJo6-+tcv-L?zwb*4uA; z@GI(MUQNBVip@IewbtybZ!(Urdu6eyJBlmf5Yy6iRlG;u7%YFj;}yqJJMRT@Y=N<& ztV`{Z0@oL;Y(8|)T*G9m>gD%S`Y)e$-E>@JUDflTerfBSC-)`awRq#2tnT`{d&}gN z>$Jn={H7akU-zEn<+`QpEPAij2K}$Q%-wa}{N>GQeP7dmCA~Z#wfaiuYiA38(b}hO z?z2ngf4p(;qj&8i#@!z#-1D`Pcd4~*emr&c(sl{!HNXD6eAT2N@&Bm}`>PdltGsJB zZA-Vcy|Qk*r0TUj0ejt6uef(fI&<Y>Nt2T`J6m=iDM;sf_;-iPTBEjCUv8}qh&>l{ zo^zR#p|fS<){CkWr=IrRW9nFX&10qc^;MHgE}1T>GWp?^Vznw;jANP=zn1-4Q;t;m zhFvU{<rf<q_yS%vZqR3KnlpJyyzi=WrcDYlTvv=(&0j_^*M52A`{bdKrh@r5;lF|x z|2}R$-+tsfPxTc)rXT)~d>a0()#k6b!PaNq{GR1`$lEnuN$Yp7zvsC8y<bO?e*V<S zTz!|7?U!p`^y{~B%bD}@)Xmp>t7`9O{19PwX*|>IU2*Ao;g2iAKC4RK%wf39C%o)g z?d3hOr>CpiCe_WB*>@~+^Q8APnEx++UiR$7DS?(<c`wgyiF2J>yfWO?)`FovYPtV> zwYg^RuDLsw<~gn63N)9#`Z7rWec?$Xg;y~@@;<((C_a+Iv+956boVPS<5Qi!a;=*^ z<@;sR$iV(u#he0D#=@%G=eKJqO?!FO-nLO{(q^gU?4OHwO)7p}C~aK(Y^$ffMeK9o zx}5VrFE6(Z7wxx@<J<Rq(F@K?hxccCeDEqL5{c#W4N|+b{FY|uMdOCKCw>ZTwSGEj z+tSUy6)Kb0#d;>mb9%J?wm)pU=#xzGtc1^;&vvYc&d+JL{atbG@z0n4ioX_}J^bZb z`qW*U(xg_!r(I31>lU`JwY<^&?8_4$`R()b9(|Eo!T05wd-J*={R95XHA)3n9S>bT z*>33y>xpxN^p90qocm&^qgz_>r)2GlKT}?Y8!nxvIJe}g<tk&tK-oCeolbXoCrqnS z44D}EzLI&BvQX*5x0835CMJ6CUA;GNQr3DIz0!M!OKh{e_pLks^6hrLnJbP@u}XER zO*-$_zUA~w_rm@UUw2=6@ohHy)pP72_ouP0zSsY?)BBydjiherKgsI7hR-L*1-?33 zYWw5n>@TxF>wMLH#k9G8m7G8XqbXx!`O0RM!24^jG}?x|$~dsZX(hMftC!ywW*i9? zdVk@6SZj9i1CxZ6-_>5#O#Q|4h=H*~_`227fBny&dRljV)nuD+bC2MO*I(>w54`le z`|YLCxtGEhmUG?<oe-As<<5M&KbEmu=C*t7)%aAob1Jh%PsQxK2@YLL=k1((!pQ%| zImJl=vz`cM$ZpNOJi*{<z_N&j4Uv|K6|UkPN3LpB{@9p%C0x17+$`#e+xF+h>wN{D zpG>q{lsxyM^IBHX{);@*Z9B5APZBE1`m5`4>qx7U!-1u}xx1Sd7lk~Y)@F16@lFYu zO=S;we!eg6S!o@y*R_Ukw@mk(t-764PJg`hhpV3Trr+F0N8i87*(dyIhWGjf%M+QW zZ0?Nuxya!}*91dujoDL~f{$xxxtiJ47u<RFB|S~<SaS90oabwtmLBp|{HET~o%8gF zwbHj}i<q#U4PE`8Pi0?SCGaxqM#DCx*V!{0SIU03e{N%HA*?fD<NIAV)4%?{w#8WM z7mJ3n+!V7VG4pg7eUjQg@}^f?KDC?UyR9WFI^<N0v1xWse%!_dE}a6Ea);*}J$(8B z#{ots?Y&RmSbAzzUlG=S`&?`HAsPQo^CxVw{ofFB^Za@HZC8U%1@3h3Ph2wNWW`n$ znTKmn)n;CsP$Pdj?z!0%p4)ASh2;m^&6D4KztAx|@q)=UtGdS?;SA4=eI(}<ZhU#< z{iP#8Ix@E3Zb!}VTYb&z($UGKpDu@3?yfMMYa=CfL?I;Q?WrW?Mn&F~Gp)Bm_7xs_ zt8*o>x_-8hu4%?JX7whk^Xb!F!Y77W75?hXI=moZ`ix_e`4{994oV&<c;0j|V&D3& za(XQe&kWw{Z(_~RcVjx?9lnHPQRkbvJlw0c9WacMJN4=I)c&bYj0KxFMD&Io)?y8~ zm6V}f9x?Yt$YR~iUPsgF4enq4Dv~|#T!H7Eumr&~)6N|&an}F0bmr6zk}sb8Gqf<& zTsU{*{X{FT-^Zq$<yq5P_fve?rv<MAHT+l4lY5=)@N1vFxX#VitCPb2<@H=~P>imB z!zbeNAS>l-#LG2D)(bCLRTU{e`E38S8c|s;bFO+%e<v|J9oJbA$6Tu8BaX#9Il3<- zV)>Rvo0F&3_F73*UoM%uCey~s-IqTw!qxA{hb4#Za8`<LeyJ=I_-SjFq>ZLoNvfaf z5;c)d_1TgBeZA`ISgJbA40d17Z}i%FM<C|3-y;ppho`RFnaZy%pEl)7{-a6z43?}8 zN#;p^zG`xc5Vy09hWVX_t!Y9pCB%L1yKbF&^48gnEAleKO#Yg9R2mD;-*#U-#A>T+ ziTs-Dwc<-3A5iOixn+%pu;)*~+$&<)NwZdJ7)?8Rd0koH<)DQc3$te0W~v-n<}dp3 zVRMVU+2*i0p%qID6qiXn>t+o3-8SKbL6XyjEqN+a1ns0|aI3A*HanEXXsN5)CAD_V z`ik3$=U)^gJy9qQ?XoL%ocC9FONZU%0-Z}OLer<rdhxs7V<!8=9FrX{tj;W+BXhlf zQL*1Y^_h&x>)In8ZG2#TGDT?BqVJcceHMzoWIxM9h(~?#Z4ZkrZjlH06@vp!MGF=s zH##lMO*GJp%2X6oF?q6jUZ$$K-qUEM<zX4hH@{aJU3mEBX-`-0jTt=$nGGd&=hnVd zn0b5)gB!nc*P*+tLIFKJd8Zhj+;rh{FXwWS<(}zcDqY8a`JL**BW8D|&HQa1QIzob z;NcwOOG4ZkOM3i892Xl%mqo`Z1xg;7*LG$@LT8)Z{B7L~(mztXy-sXs|DUksj|pq< z`;@S#RF6-dKCfB?()Jl`TI6m~CzYf+$+k^-=XJpkiF1v_78>LQOmseYnuW!qLh}Zb z*5uGbxt9cwF1Ym8hViI=s-ydw%S*0I%U0@$jyz=5_s(Hy0FQDKORCG-D8UPlx-=&o z5n<Kn71+0cH$owKp=uOk>Asf}b-m3JdRExAOz?dj{zoM~%iWZ<^h5F4wmy^XZ-TdF z=&35ThRez>ja`wpYZi}zs$r&qOZ>GvM_&fL@|P$!TCe{>{aAr_;Z%l-HCGl!OsZ(+ zl-_OhBW(o(=kX4YG?AM;@q+JcIRbn9gUV*iY`!Nl>$y#^MyO%cjm`xnrB{`XE($zw zMrD<Oddb8!c2O(=-pni_3?Lv7?Gtr4xc<urW(Ecj=3?Mv$jL9s*UQVx$tw;GVP#-` zP_`yrW2^q(1al5kc?Pqx-ChqS_*e;~%t-tf@VbFb%OH_WFvX$%#D%&OJFJ}K_3j+% z+QsH1x-n^c-dp|e`z-bTI$vI(_~W>nSMuc*!AH`<xET}~1a7^Vn>s;$8^aQY#S991 zOQb^*noK*M{Rs#y{}r&EnIq!r!-n;*KD=p+xx`vJo8jd=E}P|H+Wx#R&)(c!{v~PG zs<|(wRq%6NpUT{}IX(ZxfpAj}8*lT{2Zm<5?@8`*FW@ylBy#xX@0bbC1ruac8dh$U zjJ<sP^heV;|6}IG=k~m*F*aHhEp&gU+`Vwo8S64W6g$f5eB)t%Yv2+(g>AKog>U?a zH)=Ny%Qqbm@nD>ucJ@ein|uLBQu73N<Hn3H9EOK?v6!EqZSb@~`HbTF6W>0a`MBZX z5y#+9T?I?3Pu;b?B+D*4&u)VEw579+<ah12?O1g9{>$Gn0v+!rD;F-9eZs72+f<*+ zn>)3F&p%yN8vl2nGQ+&mZ<gir3l~1WJwJDOdhpknP1mGNT(kYkMJEYYo}2jKgE3!O zx9xqO9QE^NBF0Z|#%M;)J3aIDp$tPa>-#5n>0U2>_q9j6a^J~$xmxGbP8Ua3uZ!eZ zKW(S?DtAG7#nP5;AKiy5g8hH2x?-lkaMyQ%u=96RmPpH_Ugh>Py0q{7E=ifT=!47= zs}HRci@me#RpF87X>SrHEh~swq4>x6)vRWhTL;6Fct49r9KUnmcX`li?L91Co0~gk zJzKI>_Rh<5*4Nr%TpAy@@?_h;+c@vz;;$Y5H_SWd`ugJ++YB~-Da|*zdu=Y>Z&fVV zYHm{WIppHQRZR6S1WG)YXzE;g+<V+Zch!#*Hm7er4(is<v)X#%k*2rY@~E7T+n$_a z+;C@A$s6Vj-DyA0P14=Jxjm!)?ea;xeg0*A?Rc!NV*9M()M|Ix^v<t49-DYCGg$2| zeSDhEdaKIL)v41L#;88kRbBf@%Io$z>zB9lHFYQLXqYPIKZn8laNL4xKlV%$^ZnDH zYF%+{z48XL)^OWvJ37`JmpC7JsnxftrSS5kO%44k8dVw($8@qNIZhH=a*2^aS?QLI zU_fuHo0<Tpi;7ZLX@bp*M;AByd&I>(-@f*i?e*}?Qz<1KXOFfixA1m-it1l~{nzY+ zr`&7GIat<c-6)=6-r4`E)a=RaFKmwk`1)rZz1w<xNB+_m6_p`EsZHGNQ#L)(3Rv() zXeGz(6IVIc?%5vJH!b#N*ZM`)bH6`6ar!rF1=DZlWew41vrfeci%;pj*MGl1zkaVG z+dJ0vD#uUHocQ%lg{ASPdD7uC9n#{yEZF#x_dvrz?{o2d|DOmwoUnA(WckvxISqeI zA8M5U-F_i;t!`X|wm`@7Cp{I)`V&G{9y9*Nj#@yx%XXF(=Vf33VNO^9QIV9Aj9NY% zOv%k)cr`&lA#8zPr0uW6+0QQ|Og>(bdF0b9nPc9<M=Si|8uWO6t+A7S{g!w0-K~Ld z{`2k8_SZdsI?G4i)x}e=_V6^3Ju)A;*W3{bldZN?G_1R~>;L~H^R}*xytu8C<u&7L z#wUylTzev7mQ1h6jCc@JII+(<RR4^K_{%k&NejNjEZP2)y{G<>(%rwyB+Qrio~kaG z`0w5XGkeQ8{(|Xj)u#P^yge85PnQ>ji>u%GAam#Ythm_!B`>}oo^H{u=cVvz?)+Vy z(~qsJ|C0Slh40dK9;Nd?SKe+qAYW_zlb7$3^L07Ph`J-8wZ|XaQWh@^UB9%ZWBaeR z#KX-y-oNfUcih?WzTKV^mS6rhW%tiH`uEw2b-TVvB(XN`mEC*lLSD&vah_EUj`#QQ zSGLQ2I`~dOM!`hz&`Y1*REwRz!q=Z*JRZqbmcQx2`Rk8^`{iB3zL)K}=-T~}?ewd8 z^Aox+PQQHn;x74s@TB7gd1CyY|DwOoS)4MPCpNxCO2_Ar0gvw~0n><<mVMh_FD$RH zlKR1{^Oe_0JW=44x$Rup4aXKX@BQ^Xc;RNr*dyCb+8zo;o}K*qZ&gj*<LM9IgaifE zE#^C}{xqDuapyF<Mti%hs~7!d3~btahtJ)0gWE^eTAL~J7IghywDQ)f7hlTu%-!@P zknx($J`t@=v$mhUxK-l*)x8(Bs-rVarX8REVEwy~f2&?3@ZQn*vi4Bg+sk&1`8frF zDhF95wC$hjoGfBF)IK?S<7~;^DbFgVJ^r8k^Y|p0c-6>7W-W<37IGafxKg;tWcHbs z)3Z4Kq~y)n@WOFV{}ExaYWcl(y*0C*`W$|q*|p1ptG7kx@|62xa}#&o|C7>`5YPJa zthYj<McbMWEWfo@Ob=5MEKQH%n(L!9(L+UWz5n72oy+yh?*5PbwYOJ4`RwG^y?bSC zx+?yDOuh5+X@-i5%CDRwM<?*aKGfLsEwi{!mx;a6;=yXYyaVC2`Mf{0nCo6CC+tz& zZ}?z2x6X=&)m7d+GTqZmPUds%$YSEm_z*n(<b-A0C)_vwp~=K|Dg45UjR&_>FHR1f zck=<?{?vjA|GL5@qfX>=?0Ct@F4e)<+$heS!&;%m$Py!S;ZTFTyqI<fOXGf~sv29l zdwh&CSKVa~oQdU{J4edBUCEq5_kb^hnR=Gbg)=A46v;5}&pTZdBHp`T-JkNkYwtX^ zShai0fwmgXyjP2OAC&O^lr}FF7rQZGdttD6;DSXjr9PSFMO_tHdN#qMr=l=(;>I;c z64qbVv3D;O(yrOH<f=4Rv42rW{=$RDjH8T`uYS3<*NclQ{Ofc{-CNu4c0X8kPKoup zoNa{h%O1VZX|0}iEdHe%8zngIy(-{gU{BDy_sVd?WcE1uiq*&Ug*na%-Fx-PQ|YkG z!?kv^<&-qs@4YfwdZOq4qqTOQoqu?WXD+B=zxT?-G4|~0rvm32=YO$f&S4gRX&%Aw z=bM;v`mMia;cquDDqpKUKQ=M`?}T~lUf3^CTi(4dMq+JB*sewTjf$Fye&uYlRovY6 z#9Zv(Q&F)~f0?!Q>6vD+zc{9EJeuQbf6C=dSlF)3#Y;~|L}{;m5xsGO*|)@qcJVW! zwe6Fc->BS;w7mT8RQgNZw4DzRM_kNTa7@sNY);f#Gi8&gEw`)l%|&b8X(ovtI?`Fk z>XiD(VMPI_!>Q6ui~Q#<I5+vr*Mqb7L|ptmsb4(0X<e2LbM{MpmE{xPcl~>E@JlSe zNl2fkZ)CY-ubk4F7q(HdYi|7Zx-x5Fp5$wt#Re?tJm0eB&6y+fx6g1=NPOvo0~;CB zgl*Y9IMbL{Ufj4jCG*8<albFIu2m0140(UAJT=LfcmJ8`eoC#M{xttFRybeza*N|X z$pHPiLFLP8qxw8Ei<do&|0gJW?8!k(H{}BN=Z{}T%)Ybk?V+O=x^0BA&tJHuR4}2V z+jdL7Tf&FuuNO9N?K_)wn&W%-g@(Jr%Q$iseRc?)N_((uuk#IU1G$!m+B}CpY>kyp zOO_~`u<-fMpGB`kEyeac{!zAnSNfGk$5>wmuKRB5D~t9996xxnaaZ>;=Oc-$7Ku-F zTBh}FpU_ml8&Q+~ScsWM?=ZQ%ug^;V`sJI9$7SdCxA&>2Ec@s9Xu<4e-a~t1^_Poy zU1(_D)$#OE(Bd{uwb@I#_F7DC++%(G!QHv>3ef?Kp1n+yZp~MiwxCCFuaEM*xhBfK z`MS6I1^4c;)@%}vIXn00YwOc{ihOQuoK)DPy;PwiT=Ld$2hFDps~9J`^YFb|#3vzp z)WLnPg7}YUM!r{z;=NP@loEDX@K<bYkQDeG`7tr^;l`$ri-C?pKkD~S(OU4vur~eu zQRc@-%fdJkZXWdM7g;*xoX&n->(eV|J`7tM8J5Od`rt*t6Sf7cJ2($KbMcj$?U6i@ z-B;7}v$v+?v`W{$qZ?+3*X&ysy>0&7*qP$JnO0opn~rUiy4+#owZYsX_Nv6Tji#c$ z*Tr<xe$Q}q+`B%j>r$G*4oTnii3j$+{<Ul48JkCQuZsD_hMKkR`4fEFRqdwOv~*+Z z&9xm{cC6D<{(EB4qK~_O6)tTpd~te_#rI>^!a|xod7d)1Ij6s0v(76ni+g*)s&jWj zLVB}{qN4++v~O;1);xV?b7a_U{)v<RnBRAso4#3QwTZsW=?w1cVIi(geQVZP?Ka=q zdeeN~UGcg1&DX7caca7SSZ`=#+jpU<vrO0um+UZ~A|%bTf3r_?q0Xs=LV-!#3xz)4 zWUaTfdST2|xhKkf@r{ojS!+U$F4VXFv{|Nkm*$s8oH89k`$cU#qMKt@7;T*9#Mj%? z;UVBTNkx{4kHheUicuDyP{V`(9=1XW7e$#P20Rl4l6Vwb1e`h!2r#O+D6M5)P-e4Z z*$G{(C3EH&Fumzey8idu+b%Kwz@sbq9kqXN>=xhEd!B{=NT~XkEo~+}UMG*en!3iK z<3`xCEBwc%>7Bi6edye|quT|-v}3dQ<INxO$g0eg>zw%2m+$I(?TH2APkrXhaQ|L? zEzQ+!Lv6?OYqCw;=1($3E_~$uw`lqM8R^q@+>;cY(P&_OGvTT8{@pKmI#1uV%u(6z zw(XhAtqsg&_XO>_`wG=fmZ-M~8NM%Bc=tp|l;YNTH4eM%KXDaSKb3v>_K5bS-xIHQ zL~Fkn?0Wm<x1De0@k4V?_Bgq1I?@?@{Pw&ciDv$NYoa7i9oZ7U&R62;ku85O6*~S- z^W6KNqc4c>!Hv?g4xJ~d6|UcOi#Dh2Q1V}1%b)r{p5y%9dgB-DEyefmi+o{zxbu5H z+uz25yx+5%>KrV#zbiL8`oJRZqu$@*mL&BPot&9cj>qSpvgCH3ZTa@_RPM!dXZ(72 z>(oUxy;tXY6D%Bz*G^_kK4{1`TceRLE@_^N`||RFEiGqsj@oZn{y;!yJ=?>S{N=X4 zj+m55i%gQvonW@YY>DnFt><xy!YyGdE9_6kaNJXz-?l#Lv<CYR!9vSWBg^F16DI6m z@=G%FY$i`@+~NuT92udV0@_{bJY^yEUb(TXC!Bne!{x+7>y?Z`Zx;mLQAoaVQNU^2 z);}K4KCuP9JrwMlWFU3Xto@ns;ap~?RByS+qZjz{r}r^4$KF1|ezB=yo#w@sB>~Ls za}R9@ieI{{V1MHBJJR#!y*=o0#xhQ8(Sm}PITc#ZW-0xU2p3!7#Oo+(_pJM9#KXBW zG8>o681^UG2=Qr$Y+P9GaJXcOQoY>tF8BGXJzBHA*fGB1%>NeNV(EH;&F0wR)oUkk zO}=tBOnaH`QKgT`b6TI?<1kU3fBebgmQ8&t9Qte2zXtXFOfn4n)4V{$px@hd$&P-9 z(4slw;)O?#Gtasl>Ug|@Rex&7^@A5z^%&04aaeU@$3M>1tGZ-9FH%a}rD?k6=wo@6 z`7^?few{g0K4B+|@c{|mts5+!YF&CSyXE%Vmx7I7E0@WxHSCOgb>l^>(Ye$|PW#XN zY>b%~+j{ZnBh}Ti*X1u)Hz`TPPy2m^`O>aP{?0}r`Kt#0R*f8g#Z0sGY!>jBu9pZ) zI4;a2kkP*TOnLhu=L4k?+U{!}%uBkosl4m3#@iBZ=GBi}Wp9Y|au}OEkiJ^>ua`aQ zPsR_8D)!?KX2t$K&2&tE70+~roK;&)&z*g}`O1yL!#%MRYXZJL$zI|9aHaBjzATB` zhtEwry{6}9Qr6!sn?7F?$n$XYty=!h|Iqex4S(mf#;Ywk-QlV!`gC*U_din?*_l{b zm6yDG!x8oA*YVG{cX}q-S$FZOUdl)<E;Ex=n(*HL;Zvr^H$(6Ba5^w^i+1i_mF8v? zBRYLy?{U^=--9zII@G!IJ1iD^@#7!Qd!8>|o)KF6O-ekqJ(Hhro1|Ihr#*4exvi5l z-^F-OdUS5<VwRbc9ylD>EEDG@7jS1;k>`&_mXzACcYmH5%bfU?v-9WibPI#mtl#Ed zx*pwkg5{~^{PHiS<r6F&)#PPr{;|xE<$uX;K5v5Nx7y$%7mtU&Kfh?3)$;v27*BI% zo#Q&RThm%AYQ4ziUlR8Yu9#tPXxYR9qs6-R>pOT49AjEo<QmVRdYKE>jpAScjkBDb zxj~1)jgi4JkBtF7&XST^mWLQ;>De1TLFVMvWtE=W)`<OSblW^TWp#CWdD_{s9NkzK zmuJeW0`9KKWoXqCxp7wg#=D%`d}nuTPA*^9`*x3G_S&u2qM(H7HQUWv#<rh+bQ&p3 zKmGr`{di%2LI2~4GX>AuWnRhnHuKoa_<#TU_y3>%|NZW?{r|r2|Gzwb|LPz1|1Q`6 z`)Xeo{_+36>;FHlum8XQ|L6U+>cLL+*T2aB|DhNCC;$H6*X#eky<Y$K{r-RP|8HO4 z|95%)ujT!x?ydiGeEt9P>;FH!|3UMVU0&GNzu!Z1S4VBjjJ?StUl;c6_o`23_sv&l z-QBXMGWE86l-c2G!?mTU#WjBlZ?cE#_TJdGNww~CW#r;j)3#1E)$KB`-tV$iy6#ir z&E26lV`~%stvdJbYhdKf>Z`d~zPUf%{!ZPWeRYfEy`NjwocWV|WzFNu{HN|^UR_pM zyyy0cH^R}Ww_oiKyLKhSboG(<KBktzGjA?im$>%dzxaS}c{lTeDvq;6-FMoPpS6AA z-s}L;d%tUMy1TwT#QuKj-)l4KlFJ?*>E%D0rY7`fo!$<k&-uB&qIKD?^8S9W|Go8F z{h#acAEj?^cvZCYgLH8I-aDVJ*E>&sBv5yK3C~g6d)LDL1kSx-cH3lg@$J>`Q=0!+ zh&|a|uk+ictl`$brJ25)Me2UL-b~+kc*@#8!o@wW`9s5gY*^Cx{_oB=-IJIj!r$h< z;d|U}7=8Y|udRJp*tS(`R`UO>6)rNh`?2KB;Wv$EciEY`tCkmRK5A6FrkGvKU|-nT zSKD)QymMc9&!0If>*A4&o5{29ZkhP9>skGOd+oZv$L%-S{);XSy1|zIf5o=?Kga$A z{{CM7r>kB|yZZU_{r_LDpK<M-dHfVpz4e*57Uf<}JDcUx{l@-m@DA@+OyBE2r`}9o zTE(TGq3~f6o6z@+1s`UyN#&ndpdvS6*CFSi>lH4q8098cb*L|qd#Jf``Ny6s#ua`q zbAu|pUopwndzZLKU%ojvQ{h4<o6y^g1s59Gq~6whv#MU4eMPit;x0G;ApQ%@SDZ`K zzjEC5u<c#9WS*nAyZ-;3$NnXsdlT-Le*HBQ`<%^s>z5Zau`#J<Din6HaV^hWP}s)f z^+;i*wv19~@8>0Q4-8heTR6QE?3+|2b=<?Y`SFyzb8j{;(JSbC#ZjFWzr67Puaw7~ zC5?x4r84fQHBY+X|B6f8BlfY<O7<NtuN3trSG8y_nRhHS==_6Q`2pVo!}R}0YhMjZ zdUf2@DWj!T{(te!@W_RWGGB8Qm#$uS_FCYKqG_rYEN-=b^i$)D`$YQGBU$*=B31g- zB1QaEB2CVznRqOEufE55p?{O};_@bChxIJ(9UD0(y3LsIz#}=RNxAu?oO@zUo<{*k z&exLaixqR{rU=fMoHB97?3BP6(^D=+Ot_%X8OzDn`jeBd_b6u{!(|~E#bxRiN@qL@ zmKYizoOp1}jK$k_O)Z|ZWP`>*MFXpAZ&mw5j;Thn98-@}QB#W)S*8+c@=evmBgwPL zIWe=zIk~b)`9K$od&fi0J`QDJnam!Kf)s;ek2TIP{T7x{GyGIieNm^t?xa$I;z^~A zmM0f&&^ozjquN48p>`n|u6AJ=DR&_m#y(XGr#HR@E*3K%cswp?Qf@AmuaEw=e9vJW zk-5Ptt<&EZ#XPvV`~K9wH_r#Z_$rqzP;u_M!pA0ajXjTerPkkco8fx8TE;xQZd&%2 z)XIiB9sY~+p9->VwCQcsIiJ9Al6&zV-kbKVhWGkx+FX_QSmzsP``=TzIL};Tk1BuB z#S`xim<2u3yzSB2_vV|_+(@|v+^3yOQg=ok++@=`Pv`uC<JDg?>s&v}2j>Rgn0)^C z=B5LA;Q=>Za!FZtu_nH{@s5k>c8p_Uw|2&wKRW_%9=BY0ZOsZ_)!9j12ae5Hw83s! z#+qUg=`*)xC~dG>rZJ~eQ)>Aq#=84kmKvO%FF0R>vr(|B=2Pj->6Qy${mz=GCugha z^ZSB7>%5u|zBlD-O<FbOjUp1X+EtGgL?l`W&$PU6{~@?loabuA$qNkYC8QpiIxCkX zB$;uGx6N|pzA3vkbZY&|Uk~*)dmd~!;K4Qh`Sy8UvL~u?rfG((e#I8g^;ts8VE&q2 zeY1FG9%d4@(AhZuFc<rb6Dnc`A&DZ+rq7H_4hXchNu0XCaady3v5dA^Q@%B?KeewQ z<FnY+wb|OyTUPy*uB!d;e3Si@L+{_FE8E)t2)_CJ=oz2%XJ?H69~ESpDI2xrck{2= z2Bj+^LocU=YRV{=Hcpnma=*0bi^#4z52nUElL<SV!j~3IWs|y|A@IOTa_tenpzs@x zuhO16zhVrVT-9N|L~h~b_9^q+(u2+~@Ln00*MF$@(y!BR19ybq5)AWC49iT9Rxp^( zCiFRDfx&z>spbrU1?)GuI)A5Vi^xsbb<8=4|3k+WXCIaC(ur0pmm4-;F`n`Bq5SDt zJF2vcE25TOIPc8zOL&RR?z0U1f)Wv%{=ZswcGX$2_rbev9{>H3@BAxGou!Qjgrq!l zmNgzylFHCo;@H5NvPI~xN9;oVmDyhuzcTFgv^`=F)W4zW%H;^ZSCTr~S5LnUoZ<h9 zX|k8~p;wxF>iSuj@-q}ZPGjSG`=U0(X4!U*3sZZp_3Zqv5&KYX<?NMHuP~P=z2?6- z@rtsI@@vn>oGa5meA)X$W97E_{Ifq^ep@?>qeR`KvTU}ToYIBQf9<E3YN_qye$`sB zKWv-H^)siYMSKY4Gzj-$7OVYIDcR-t?NPPiJ)Yu+Yx6E|GHdmgQ!4ni_eSW>c}ogj z*YXSPkjzh#owVcO&B=#+`7iExs>qmE?a{G)9*5GKj}uIO)jCY($ve*9^YY&;sXG<+ z)7Y+t{0j)YY4SV%i%$1kpU9mudru{;Tyk}DWPsWAm$72j%PeF1>{M@WU1z#<+0Ob4 z+f#P0iR-JoQa05-W&7$sjDJ_Y)vDJx-mvM0<(J~r;Nnk0s}3kQO_lIj!R7qu@2BF_ zpXaA)@~D<JCN9x4oO4CgZNe_M>LC6PHgyY6zfz2wP}MU1qxZ6tfv?>H|D0K+%q0HU zdFi|*A5OKi#8thTyyJl)*K=E!$8*|QEM6-ro~!U^(UzNZOq&1XgVGw2nLAJQEE0b& zK7WDM89mci(-wc*&2+BIsEswQKBE3`+ab*o&Br?&!l!wcB=2-8pO(#&QrU3x+=rgR zPV<=8qW6j-7R!cLHl*LVrf5|V?f&A#Lldh)i{^7Yd6N&x@Xemebb0l+u$M;DxOLKZ z9^sm%y(VSnF)h8C&GQ~^h`d@5k<8f6tn;Lx!&Rowp!;y9&cgyWZ_SwU+Xs4{ddi4N zxPHx&TW&D(DN}HSp5fG|T$dx(8P0vmm{xFhvc%cMRzsO<5~m+BJhqTYvT0ZLc~BrF zY&qwk2ixq8Hj@uCeP1DI(;s}}NKvD$&h#6ni&|@SuHW!KJLzjol5xA}wt|Rc?RMsz z#|0g4W%@QWAI^O9q=0R=ozA&DUA<i=xBvh9Xm(BIjM(%y-NwHIy)LIs4b`3f=s>$& zSYPu;*=rkLnQismXg*I><lr`@^1A1Dx5@1OdwbgK-1q<V<bwbDo_uBzB9w2N-8_{= z`~1C)Ieo2h*Vww}R0p@2YOi0lb!I^Q^S+s^-_FgRX)ZoJXCf<;_nee13_%gE6`5Y! zxFqdu*U0%G(Az5~lwdBq<w%f}hR))~V?|Od5su9Q+!+FiqP&+Pe4BZ$O*n8S`+9~# z!Q3~(*3)f22sF0KDSbTQEFxFkaZH$>^TQOrTWii_CWt*VF?d}hH6zuM*Xhne$ClkD z9*?znjdsLyo!XrIl!uq4Z^}1Mu_Xo9oLSCQb{whX58UxUQA*ra<$$VHM(1La01G$f z-p3{!1x;*<ewP#uX-X|AoW&-oQ}#~n3bS#T-sI>R8;e@s2wR6$)wu{a9+XouJmM_U zR@HILfS=Rg&d&YQ)P-s@7F_6NliHgput1;J>C0lrmVYK5$qc+k5<dbz+P3MOs544% zJIx_4B=OSmSw`6x#m4EWOIO%zUmtFl682FpWxv^DXQq7bb7s}o@4Q**ezMhsn`M{v z_8(jdtz~jbAFk|N7^ol=J@<A$Ytkk={);!>C^DK^Zm<08vPk-_RO>pE3oo3S8RljR zEE48Dqcxu`vt)rI+gs0sz9(**8lPF@Df~Wij`6guOTx_d8D9=Li%hHNIF`iExuJva zmWsDYK!iJU?^Y9z4Q*^{-3uE}CS^=G<|UP~VUfs`^y8<Tq&U{-y`8M%@o+;shs-B| z&Rn@g1qYnZoI35y^k#AMGz(Ye*2yLpB;MD|nacjG$}?Z^kp-`k%wk6=_sj_g1%+m8 z+%%mnZL9aUPFd|_8<&KZb`PHK0<DR1iwti#Gx;oOo_52Rxi!}0!VS-62J4K3Ylloa z3MQ~+`Ydo{OP<TWcay^JmGdNZFV}b^>|&p}*z#~YOPUZU=pyY@430OS+4t<%gadoN zCv{&s)zuZ|o4qRQ|FlCj`oc3_r3wY_@k!h?J=5pYCI;udI!8S8yA59LeZ()JbNb$D z30eEZ*LL=~ePti7tnb_6_&X>l&ps-*k5BFroBo{hyLnFD<CXj#_vV>%=;{A^H!a#$ zclQ2EWufis4$pmDeY!r)+V0+}Kk3^xKTdaeXnZ^H+;U&n6HBV?R1B5oF+R0FH}|<B zm$0o%a#K5t#G}lhj>37lN<CjEm>jF{U|T$wf6=BR-@bnM-o5{G+S5bFcb>iYRM|ea zdELi5*55Ppi%S+eN|*fCD7$^}r_2sZwvBsu-KNfw+{xcHJ9%0AmV;~hU)Apl^zX8F z&dd9E@Xe&VV$rt}{5+rTJU?@Ga$EbBgEp(q{c*o=)^PsQ+zk^}?fk^P`TS0~OKg`P z|BbU>RCV;d-?eS)wz}T_k@>NgXZ42WTrFOfWk;A-Fl+XF6KIr^Qz|stx8S5;=gBYs zMAa@jBrEYU`7Chk_-N8$(8re9v(%9-`O#}<=6(71zO=8JX7R9wXTEc&ZtxDzS4_t} zV;@PZ)Rs{#?Nx4x`}_C1g-=OksdVv)_G6z9$p}a|F?Sv`36OAM?rl6L?oo8$Opxy8 ziLzSSw!T@jLbv-pT+q%T)1g1@wI^3}tw%yPzh}<3H(p8m+cn;N7U<n8CzR0N-V*Uv zQLwDiL+ZAzN^@@NQrmyUzOnuJN@l-z9{cvgjo&ake9`+&Dy`LaDh1cuRo+#UrH0Ls zOPV%EF6r7Fxg@c<azaVl+g&OiDQ4Pyn85RH`cb`Y_TgrxC*S6JiS2MbnHK4OlFOXq z=8^-~H6tHLsd~pOO=&&-u~YH)UwPr5s!|*=OB#>d_#rz{Ccwfse_>%88{@f43P&uZ zTrB*VE_;h-C=|4^3Grtx_|U;7)t@QwK$F+WX0c<-^N+?l|M#xm`O!uH|K8P>ALnfQ zuy^%IJCzIf+EwI^8ejPnzA3G`DPYD^MJZ!jl><EdoQ8Lum3%%=Fj-dR!4~{zX2Cn{ zNdEh)|JR0xcAM?L5kGzJ$^VbEmviL&Jrb(2?TAS0Swq+4%j#dJKb&)E**0Ha@8JJ? z?+5NWP#ZM8!r>Jczh~^@i|?hT*G{_LntQ3YKY7xDWBy5#j{NOUnk2ckaQd<48nqDh ztJ`0HeD9&~p@mJzKXbu{9yY1%cemY?{}@|*JFWic_ba`({<*zhc-!pv>3!;j(_dO` z&sDm=O+3%xtHT3TUX{3u4i8OvP44Z;ot(Bk{O&f-&4-WYUAgq;RbY(KbGI0y&y#PP z&CI_YZN8vN;levvA&)J~8=cstGD>QWce>BK8&`CD*%?0h9f7yg&&rha&o;8&DPNvx zQ+RvXr`*?1*h2VO&h749$1c>KxuBqhP0Ic5<7H>_@^|K5PHX>uXT?_AzjwD~Zl1im zaQZRh?YUO>w<YH}d_Ay6IYXhaiH%D=b3x&$+~|a|+c$X)H{AE=^cFgQcN=S(y8fd{ z$Go@aI_}F|ez)OO;{i!2k2y;l4_QiO$Q0ccyLI_!u17}yZ0W7FcP_r(v82Fy-$KcA zdAASM+}7K{c$Il_$VG=oLcBhY+?ac{^KL6u`|VP6OKUfOJn2aE_S}g#PxkKcUVQiX zxw^$Qx7Y0gX_#=y;gJ>ZnY_#eg_m=qZ@t;pES+@g&4;QOqs!B*wJxWrhd<Wq<;%N$ z>F2h)Ma-+%na*b_7#^Ej`>OF!gj7aP@$-{CH*>AckM!JpICY20#f!Po$L7ftPIpt^ zo;$PV_OacJSD70*O(x9nU~cs^xiI68UtwE{{@wn$KBwb$S|1nb)H8HlnC)|M$CH$( z*45vplxxH$Xsz`2Q7&!0xMZE-VaupXX;JIvK32$GR(jEr?^0Tn_@haOe(p$__4JIw zrU{v6OLkfCv!q=-u;h(Nzzk>R-ko{3-#n~(xb3Xm`r^DR-x_4g(*!d6Z`|DB{kY}! zwnK)qpR<KnWPHw4*x10v#htle<B8naXSdATnY(%BHA(ZV*_m@oZl@Wqtu36sGh<h- zc1qOWyA513!^EXLN|rV{nM-Aql!N5e^KR!XGd<mVD=ljI-EB90Ui-vcvUt61?S#y) z1<YD0QMQ>17rWWG_GT`)cpPlOZjc3*U<>-axs311ZJ2q@JH2d5tIz87(gBK>U%4}P zo-+xMaA59j1eslW5M*}n-4(gZN)6uy&5$Y2+*5ix?8Fwfos6PJYY&=CkZ@vdU1)Ma z;@P&dX;H`TtjJwvdJ&{&`eVIT&cf+#zy0U@6*F4<FwddYC!2*=#qE+qq6n|aGO$ZT zK`zlPUc7DQwW~Y46Qk~=iuvW;=9(Gium17`bK~}(>Tj$Z9`W*?>6*eOwZ884k!0hw z*?CvYE=Rp~%Qaqmwa_y$HRjTbM<5^XEdlvhKO<q9v`N5?-+G*9Hk|_bc^%j$8IVnP zJ9djqI~FT7{qD!G6I<r(nkZ(pR^7zlbhVU5Ntu76)3U2i%a2M<+Pf#Vut=@CbobAR zt3B>?X{Ln=2cMaG`Q#R^@NMhP?Ok!Gb*=PD?-KRW*0?3>E*?JlbL!bG?<|fx-t@_C zES8yacFVhCv0R@Y>-D}qcQL5dXZ766M=L?&F=~R~oIT^^X^^|xEW=Gxjo0o3d1seo zxu#CvZj)&ii+x0m*2aPZi<wvD+GU4CSzeQCyK*-#f8=VORjtR@<t@bgc+w%M?YZAd z_Pmwbe(2|pW4tR0oYpOCJfbBvqqCb$>N6-Qte!2s_3V~uy900gtd764)WG_kQRkfM zJ6tob6`3z^TDG-^O~^ZQ!G;z#scx|H=^*84J3z|Q?<_U2eRt`_b5OAA7J-75J2PQZ zy_Ck91&zlXx96H&e)SF%{vY$M+&sSPXza5i{ku(m?FOY&_B#z+Gq2T2dAwQNxO3G- zhvfd-X0tPSOF>br1&V{U9o}g_MW&my?Eop?4NBJ6+@w6-ENMK%CzX){PS$pyq-S~_ zl#HAo>9u|>oPI1|dv2>w_H0lZz2(K+scRB&!-u)o7p(9ANMSH2>0Pyc7c@hpe5T8} zx$4|AubF^+YTCvov^X=tC`Brx2b`%6f<j#CImiRc3#T92y5sa5v3G^qDN)K`w--ox z@GNeOym`T4@%G7?H@y$Ys$bp|-muTmby2-fV8zpvsIp)8AErdL-mKp+Sy5E&zvGfT zy(LTX#1<{dTc-a!BXf4<+Y+nT+dkQylfyD+XX<u)bFF?n=~(P@pDV3CtLI-nTA8q` zpAFPLHfUj!`g{kJZ|4>FWzNo&EdvG9!W~Nr6!bFhl!Nlwvt6KkW@$2EhA(q#q{)RD zFG2Z?AEYUJKPbw+9aSx^?DUr6zq?J;XsskT<yi5m9J}O@sKsltY)9_q!*3?uHnTo= zZAakk#%;=anmPU6Qn7O-UBr*wN-<w>C}Fk>b7!YXzzhfG-j8{p^5l+ezS-qlZ$JSQ zTR8pDvK^;=_JMNcwdC~Bne1taFV@WX?2shKd#0(2O^W+o>7$J^uStO7Hn#-i{<a+{ z>uwZ!Ca<}@&1u=z3%n~PWa=(zJdz|egJ&k2ls71~WlsU6inZWWv1`YY4c7Y>9?Jm7 z@Jvt)NBJ>NzID+dQIFRo3|u4{n7ho*eB0?QRQ-6;p|%}MHr~&TR=gbbmM!GOmTMOr zl5}}}lKhx^zrGiX&wN`T{ide)c;7bNb60QhZCh*Tni%bKQQ}F=MsDTY!@H;CovRL- ze#7OJTBS;9udZMB8wKeXTTb+CjP=`6*73GaldC$Z>lnjw*Vd1w7dw|2>}i{{>*Q*W z*hGt!**yhODec!7m%Emw$QCZz%KSRRC-8=3@R?iZGXoPtdP|fvc~%83$=j3=6fWWX zDs5F!R0{hQ#pSMLHfswOZ9V+5;LPFzm1P++rBNXg-jC;aa5-n%tn~I#D4pyxan~`G z<*sE1FJ10DyDmJ;N_W%gsZR}EA4cS88~?5e*>n6*RO%*S&%~8Rtyff+P1<$HB<T8# zcUw;A1@E%lcr*0W${9)<uVhY)U7XWhX(DE=tF<N|&0?a6n1-=uY?9bY?K5hny_WB< z)id9Dbu<0m72Ex*D!H`(20Xa4`|ExNtyayL^c4qq+q~wat~jLImUSmBqrv(go9CSG z&aK>aD+(|2Gsk>7EHM4A!68w5pU11@(+bXV-(FI1ouBnx<?r+VR+L#gvz8fzB&j=# zmKla5Z?{kB{9U)=!vX%YT}SzuZ9W|q=>KbQNZ8)z@dEj@4QoZN**2c)Ic8g@Veqb8 z_3!j{O&RUCcWp#1&INP|^MqQQ4CoE!;VrmzUt#mJFV3xh>sAyV;AhVHdRU<SkHMit zd!NT0@@WNExX)(TJZ@*Q-Saoy{qe=}KOqk!oK^dbuRN*bxoYvV&pc)F{oe}@+1Z;| z?w4n?c+jrM_bai*xo*a>MEf%jPFR1nKXT^KES+`pQ_lXh{uJDFUB2x1h93Xd^%)oT z{Aypt_1o*owcOKh-)`dGzqIo}CI3W==ZakEwl0s)<iD=p9q6;^{&)Mg&T7pc6+469 zS4^16SreT(XTik1JIiLRyKpbX`myt~H(x_DtPXFVddyPl=I?~vFS*|S-LTkBrSM3* z$hqI&mKM&qck13It0&G(pKClGG40i_)_%PpU)$LC(h0rt@4qJ;u#-1ZzTbYP=8>~n z`J#!ji|>6@Y}{>^a*0tssN#jQ6n{pF__Xge9uKzhd&Vr`?}{zH_bGR2;bgZm(~86G zEbD$xIASUvwBwbtRCq?p^n&Rx%5HAGlPW)H$D7pwiOu=iz20BK%I=n)X=h3MIpK&< zReYJJ8OXJ6MRi*@UoYYpjCiIf#BJk}*w>zMMz#5L-Rz1j{G4aLD{fX%wO0DA`?z)A zS`)F0C%zp?k{612<gCUc9~9x{o>84_+55(3U(>n^=N@a<dL&KdpIN$@KXc8(iF1!% z|25%&mHecL_s(e(eoQ#lRlZ{Lv9e=opK3PMZoKKNvaO=yP#FKk8*W0k`ET1EStDwD zWR0-xku`$0F3F~zZ<elE-_FtVNuYD0+#-WR&L(Y>kHj5qtL!)sz&}yqks?>KtxK|i z=Ns0X1??O>9|Su8$t}8Y-r3~Wgd=ggk5zOWh~S?n@m!JXv8_uoljob%>_zPyKA!|S zPs%MaIO=S&Y|@dqjms)K4wUdujPUHst=>87<xRK8=ki~9t`@65`!D+Lnpf+~uFOAk zpIuK)&G!G2f1bXTci;T}q5iQdD%@=SniZlEQ~OV;3az$vN$hRUSaWv0>Dm8pOZR6? zoqFiupWBk&D-T@y)6Ky->(Gin%6mC=E=)fxRBN&3!tBm7DN;Yp*`@`x9_2Be9@)kg zapHnNu!K~ik@Mvn$1m`>O};y^etN1tANQ9f1rL2^2wUhF%zO2E*VHnu#}Zj-5}RIG zWi3nR<1Tr=;Yj5xmNmz@X0MQ2dsrx6a@B=-nN!`Y+t@13T#-o<cUGR0lp)nDG3(%x zKeG*9XI^yv^!v&EmX`<KBtNWr9$y}L{1gAQ<62Bp)91_fU;eqB``W@ck2eJG@OZ^E z{gc03VM%f8lEwoCQXV}E8V`9$W$-L;Z0Jncl6KbRRoYLFS80#bzcM&~UZ1CR&Dlr! zui&!DRZ_+tw#_q_R_(Oqa`%i)NX~SRux<TnVqj^^t8(qqftb9E1%=bt7|%_(=(R?n zv~}u|bsOhiVa`$hDiG~ydq^zEKiPO?`Ub`SN1pA8`>u8-RZeNcbcLrkbH7z|%<S_1 zmM_0@_My#xZnJimHd_B&UTay!Wu2k0VJ@4{?TiH*TG^y#X9^^!O0GR3928#R@=A)| z)Aj&!5P#wPE6R72znbt(u41$H{#sNmVm4{lfwoJ18>+aDXDSr5unFzXSWwWxCdHm9 z@PI*b?GdY>@Cff$X{vsZVR+vqd3v==<{k44I{(1spQlsh-gmw;YQ2y7|DA1c{>l8A zAs{!NtL~6={;9t6+Qd7oU-SNT?)_SHceX+Kv-wg}*`MAtPkeX6e)3kn6#aetkF3wx zhkjkv&JlCcKGf7LsN$hB(|OykGrQ-uYs~p9U_8Crqv5rj(4yi$6Q0V?y>-y}QpMAi zy3Nx+GEc59$(sJBndkM>Mdpd~I{X*xbYJkO!e(m8yn?R7AGyQtCi@ufPT76!X#Sx& zp_^jE^p8)dNPBIQCer+Q{fdGD%V#wb*6o|}56$si!BlRq5vrOeyvp+2$GVetuXI|u zm*^EtzM{%Ean~Wopz9JouNbY4U0$|E?vdon{tq^GPd@vnSWDS&{^XxxJ*|FQRL_!v zv+XSFs$NZwc&f<t+SVm`bvw(B*NTdA)g3Qc`8^+RYELP!{eNSt&BnzqO8(h~nzs6< zzn-@EY;eRM&1TkRPwnR{E#R6Qsb|poR46!dok7=AsmnV*sJazLB=WSI9xJjqV8Pce zasDBPu*IBXE_}09m73pferTy<F!^QZ@2MSvI^r*m6m_1{34d{@sCQk=524K#YYb)| zmMXT8NziL|KJ&Ps<)@5aGGn`OgvY~Ox0%w`ls(?yP`)bsvqkGa9dU^RMV*a0;SxuR ziaQ@1n*QQoV(UDaYcGyIWY}vdvxvW4x#UTKRITM4M|-x}8?9OnGda(U+nW6KtGbMM z#o?mP?K<HZ$KS;-wiVxZ#DGtm=lKRVc0TrsZ=B7}HqQ%M#AW;*tF{|geD(aRyXVgI zhP5&F_RBZu)m!hI!nLM;`M#{CS*Et_tYt+v*63KS*)aRCl)8n?1KrDdokw{>Z=49| z_2uE+Fms{eHUpDGVQtGc_AZpn`5tUsa{KB2hzKv%Tl-(X^|CIw|D*a=-|cyKt_kf0 zl`My)YG)i!wK51<sO)TNWoY7%-q!Zw&;^cI$ysjZZD|+gF8C^3!c{A&b)o;oDI4F1 zR)rFuBOb7|tL7C&JQQm;%`3JzAp7Xa+T%ihXNGC>B&;~*(8eZl<bpu6q|`%3;bN(9 z$yo<Y?YG9?NMCWlwm$sU!C!L@GwECCe4KQcYrVyqkFz*q9@#mIt}_UEtmw>ale8jo zkCDkC;kIQTr!17TseZnDll}DTe|}8gW`E`1rERIDzHj5RwDzyh_?NsY_w%dy+SwbP z1+QP|yE^RHh9l_$rKW4XN*9^x{5AQl89n#l-M?Iuo^Ffw-0*AeI;F_G-B<k_)~>#N z<o2F3`6sS!IG4WS{@<egis6TU`ENF#(z9??YVPf6XI(3w@@vZcHmPPm8hU%1S!t5= z#>NAMNz4;(Cs=Q6Ji?j8Jo9!!`))^Hy(P}?*ep%AAB;<4ZoGVityJ-?qS*us*PH<H zOL|Gnt&@+iX>C8~m)?BstZVA&G|iksvlYR`(lV-M0ylhe0<O0yA7K-c?&N)St6;_r zheY9xj<M2wyQHr?ak}*?Z$faf^c!`v2{#--#xW)_PhKM=eWi8F`^)^N?`i&zUM&)K z{mDO}8DZb2ANjLuzew1%ze_jndAFV+`_(_LjoaR>=XjlMr}_K9pR3z4Ki?8r79#G& zq}=5tq}=VrwYbYmYH_z0<1vw`PCdF>t`gzBoBDHB?%Cygy6cq4wQWT#jiKulHr^2x zN!!_U%q^T_!);NgoU&Gq*-@sNziS;cZeOaa{_w(Ib<v@PL54@J1sNWCHQ!FF`h1t# z&Zt|f{^d8G{JCFM?b-jZ8B_j8Cwu*S&k+2%zJ12D|JH}Tg#F+6#y+Ixzw_;`Q=sJ~ zY4^A0=5AcIEBA6&*Qsl3Z~yz+F0ZvJdW+FynRoTS?5Ed?8*k5y4St_<ZOgWmI}h0B zHh4dXWR4L!Fa02>;ts>~V{85YFW;13IsM4ql*xzgrc6FIHzl}%{p2zM{>i?Aa;md0 z?U<W$>Otq;;DDU0*o#}1W<+1yGWDc^R^hsdJHJhPlx8YwzsUXZ`cwNfQcb6>)y}@S z*)THSS2{Q=wmYu(EK6utbhw#&{;pfs>R0~SCszC7ZI-V^t?i0P(O*9UO!KM(y05*s ze<LM&=?Y)%%f(YA983eAS+A>3Ui3I+-D9>u-6^HA<}=o9-}ksJ@Z+hR<9l;l!e+nT z;rFF%+heQh2`_i#*@g7(n}6{{Nlf^v$0@bPOBYJ5_#}IM;wy`(uXpf;9ACMsFWdim z^!>uOE0(RuIc~em%I|9Fo!M?r%C<d@0~ru*7t%X1<oL>*<9jn)O6IJ3zvFpt2ivQf z<F<?2R-G%o6TGT**Sg2^E_}UKekXdBrq%7j#VZ~!d~KDda`mCr?LuXn6D4;F<X0Ut zSoOGM+vB#N^f~L^?@-(rylUO?H~TAIB%iH6vijKnpl_vyzxVwJicHkCmj3^HQ~kH- zeg6-h+obs>qr*2L_woOLZ~C3vM6Q{hP%l{JXxI6e_mief#svSz5zRG>eP$=bA9*y_ z2=bYqn0{;_+fSn#_a+=x+qhQo>Pe9_(-Z0jn;q>sC3!z-`s7dWPmXG?QQMm{LI21L zwx3Eqc@y+|J$XN+8f;W-_f5=pUKOnAlQF?R!PU8J^~R-&+Zbj`Cvk6Fskn_pS~|%) zIb<>04Wo$rH_qzBMu(nWb?cLsj=x#x;@jzSR=Qrkw)LBw-{bo5Z@u?J?w(o0^jxKi zWtvKrirD6PVY#zHrz8u$UYYr=*w<3b?BDZE>-Wf2TfY8v^MBB{_j~#lwccntR<DsA zlCx#|yr3IbTP85?`P(zWdCTHEg13T;q+~TLoBMqC>HZF$cxTNbt=Udna^qq@CZ`(n zbS;&v%)9^G=JjTYoSR#6SHGHd>&?=#Tw(s4u&Y9^XYC08&a~z3<~#1$TC>d#|5D2< zn!J3cN~*K)_kggv`^!pG%cf5Gd-~7BL$6%=53EvqSLss`G-FbZQTE@I$wzLaOg^+D zW%98ZDZve#CzlBbPxci&rat@9jF~y762cw@PK=ltF>$BXc|SAGGoEIiXMD{x&v=_< zp7A$hjhK+bwb9_FNMhh7&EBIYm+?$fkrQnd?G&Ba{q9oA<O7?I?9E)``GsZ1loy<a z$`^$bs}`yEW_HfwS!Px!E_eUBNp9fHZJQP?%60X1P4)FH<$Y%IDe}z!;%PT~?{NF9 zTv{4>T7Fh|QdkaSghAc?D`)DBEp;|*m{=WHP{=hqLT|&wr$W*Z>o&}LD)l--E<yLS z^P0rUma97c$xNq>C61M@KcbgcY+TEmy7Pd*G;f}?orfHzW%DFdHvEhEEK*h!k<8xC zob$Y(BUh$x!_>o>IS&iiR!i-ajAPvXFZ%SSRkuWTsadf6QnOI`rD7pcrEXzTWm>dc z=J>@m%XYP%oL{Y0#ZqNb^gQLz<U>nRCLcJGGWp1dBNJ41saJ{YQmr!irCP=0<Nu{) z#<Ulm2BsG$ADiOh&o)_l=j%&B=i_Iat<P%vc+b1_<KLLr%Q~%vo??v~J;gc=J;hot zdW!YBdQM|do}{DbX7(#Na<Y<lsO#mhm2ZNQ%ifqJ$!5l;ij~c;jr<nLxyoyyF)xc% zt=rpU|K@zDOrFJI^I4#Cx!j@;N1RRMsy!Oq<%Aw>ZFiA)mFX|#Y@^bAu;jT%$r5uz z@wJX}N(L94RoE&zoa*@v58rZTD)~4;pw>pkDWBhS@$~kT3zOf;wDNsXQ~Ub%p(4}W zm#0i_T~=_gmTIZEJ%dH?-UWr;=_V&0uyU>nu3!=3xWj(c>38!5?k5|z?&sM1LQ!bC z&5<X1Pb%~3MXGLY_H9@#C-i7myUUJuikWvlOyD_Zu4L{0i1V&x+Lb$tw2c=(+t%)J z=c7RDZn;H;=bf2kzD^LRwpBS~$M2cEzdfa(;hjwD@sj5=0*fcCJJU1ka7n6EY=*!C zHD0GPOZ~rce9o9~tVSwjgZj6F!F*5h<jyIkF-+WBaaL6?PO1FkEO(yLME$tWnF<>k z?)2`h;QcPpC@807c*<GiobcfbLcJH~<udhroFI^Ft8&PK-!pkndy2utcQT!=U)0hh z{5}aZo|IEEJnAg6tg7Rf3BTdY740oE9x4j1yU2c!=kRK|Ne4wAJrVu($aM0(UdguU zFHfB@*y9quY~u_&7RG586pjQ*xkR`%n@qc`(9Ee~AijR{5gCOyM_=<8UW}M<NReOg z#$!dHejAsE3)?enK1^WvZmV)cl)rPs6q}@PkG9@-HoD<1=Ux2K%J==To>_ZKQq!)y z`6kdAE4OIFL1z=cYL5nEIiW{O+FdLjDrU-jnZT2FdG6wZu6HuVS;sC<of&A{x6bFW zN^KpRg=4e8@l1h-61<mg_%`$O9od&XiFs%D^_>+*bZ_0b-oYLrEuSUE!Zv4tL&kwZ zE*CbL?(_CyOK#8lSaQQzgfDRF&Gu)qOC1}OLt-U&PyZ{oXhZ+1Gj<a16?mC)lwWPq z=bm=sts>X%j#Hc7bKdiAW~g4VtL5(LGX6jdhtM?n#$A)y7|Q}mJ$Fx+mRnRXchwoY z8=u8^eX889E`1ZHSKV>QbCuEWw|BBlF6{7XW(Z#~H)HqjV!1^H(^j3ayZl*+*F^7v z!hy;x^~Sq@rT7CQd_&XZZ&%J@WAwYI(CdB0&uRDXP`O1LnpT~$+g!}e%apU!QA&H| zT$i%%uWeltWkYAyCOlV@V!7ebY+@E*ZFsrc;l7&5#23#M(`sKSN-@t8V7zfM%UE>g z+%>x{MK3X&am({q+n=s1pR&5@mx^5aHZG4XMQ6_a$rd>A=FIi>yq79`-yGR@HTc2C z_8BgxoPCsBS*F!qeQqqpQsLEXk{4_(XtrUuTvFHrxkVpZZuQQMm%nh}Nx6x~W4W!u znar;}W$L_Dswz61${!s_iQjayosF^TvO;g%6~8IGYr5M#O1=rS`d!I;lXAD0jgjx7 zLa+J!+VF4Bl>+`K+<v3271m_Rq0{vHp!b~x8?_EjJXresvC)3#Bt!YuLqhi!Y@A}w z5^+r0N#{AQU|0d$@7Se(_c%VVxgLCzvCQ<~!b0VP6Az}Y+x}Mg;rWk+vtC=|U$8hV z%@p&1S5fa%8^?5u=Gsrc`0goenEZO>n>B?N2Nerd4lXP(Ik>P;=V0PAHqJhtbp^+- z*ag>#-<RgvUD<q0?w&w`em=*>esdR#1CnnxzhVE(*0^+kdX<*-!*hNG9%sA?G|u=G zWSsFRV3{%R!HEYp<vwrUznS)Do~ee;GhV6g3g?E_J&H$|?g=E?rEkb*pK)56rL534 zl}A&@zknmhqhQ7n%Y%tRFId`--C}WXFy-vy(JOSnyH7Gv{eC=`bw%^BvU>?)kM}4Z z3A-nd$eq3+KSko8G|R67ThSYf@2MVC{O~CFp5jH#g?z1joP51}oP7*$g=HjTp7!mk zm3GbFw_l{{BU|shJ&F$e_XHMM=XYG_HNLSuVb7yknelBOCs`*gw0*UB$3C97f$}pS zOn5Q-L4d@(2Nxu!J>W1fIXLm4<v!~(QVs6|T08eRCNt%87|b(okvJ}`)bo@#vnS_} zl##?i$v3;b*$Q@VSa0z1{MXWy^RxY8_(X4hUY6YCyvVufuuhurqYD|+1Kb<7bC#wQ zOt#K{Qyu;5<KLwlCYon_d0?blUA)3NpW|Y$xyy@F(wANwk~We!H`n}Iud)7)v|Hlm zZJz(K$~`~(;hm4C?tPY;_F#g<tOo%SlO7mcE^j)#W~I=rNe3g}?b(=ryYkrg>4$>v zEx0((I_(?ZU5R@FNhbLn23_VeezuvX7)$|K+_bLf?3VT1yAFLVJvPsLyRZyXxsZ%d zxv&h^cOe<6@4_;Saw--^7Ki7Wf0Ol`{_|hZjw8}0dY{@Du2(pByxyaDi1*%vW4`w$ z95i^g=j1+)4~oIg-%1<$XYAY7Ceo*7!BVDfq0*;rA>yZEVdAG|!8FIKAf=$!GJn&0 zhnh3rrynxBw_sztIm?aH(oSz4^9p|Z+-Ab}p^b;HsO^n(MP>5=$#v^nZS#K_UT1%8 zU>VfpoaE5toS4w$oE*@ke4vBHoy~m5=VSL4+MNDA{gCCo1%>m>S#}(icKVXE&gjy; zg>TMEUwUy^+UUh;$v3v=rpHY9Cih(X-JXfx_DIfsARsaM!GwylYi_M^e(2le{5ZEs z*<p8!h0%@!bIrf$y~y6T-)GJq$Hm6=9!x#Y)(L*od*M(gF39$=&4lf98&6vSTebXF z?R<fVgI~99dVcl_OZ$N>EbT|;u(Tgq!qR?h4~u(4Dk$w1J@4IndDo=(`mLdR9FtA+ zISg8?^WV(9=3B!nX!g0yMD24M&$2)F{)$F#JgB#vZ*$BefzzUDO^>A}N5~~{omO6x zw!`<e|E8EEw$q}=HpL`soo4n)tL#wr`G1qAO!(jRP4)3dqC-rxv%6LKmm4h3_if%Q z#4Y_MG~#x``eVD;s+oQAH<%yU!B(x@lfS{dcPH<+G=udE<1#PpW~*l1W`0AvaMi)M z*2lcxvShM0m>)RER_&aVyTSa>Hn!@sht?jv$CGDzWp&VwdkO0gnC|eOs`FLM_RJG6 zo$d{3&pj2UKeRh{SgI&qf9sbs>F*B9>u%jYcm3_aZ#=$<+>>INW=lVqbmIoY^h0fI zJ4~(}{(DpV;iQNOIYBe#=UkdGIfu)@`ld)y*e1<GXHtTtE>H56^y&C}YI$h){;<&L z+jD0fx_Lu<>Fdq|zOzEM9a(!re9~(V)39T5@!|Y$xL0pH#qaAUTzxI!^{r*5TXUms zUcNVPX|nY0@Xbnh4t+A*c>mv%or&VMYc}mNT~={}e{FWb;p17+kNw^xx_o_e{M7zX zll3`K!F{_oUb|);WxDo<;Pu)K%RZ^E$$Z22qAG)LRjpH;sa!=_T)M%-yWhhvuGy8E zYWnWy>J4ncM_Z4*s|k&s`}XanpQn0$&-ImhHTA~Dg!PBMu~nOHGrb|5klnmjahu7F z>`l+usxRNTo{-O`F7?Xv=fRI`)vSG{H?#}49E@vK1_gQEhU-t*dB3$;Tu8`o`OEul z*~XOz@9}&yyORB>*_QVk*PFhz@7G-4`6R!`_TXtLuIYaQeeWEMFWI`{gzxrZ>7CXc zvHn3bj!UyVJ3eorp!t#wN(U7;7#&pHXnAnq2ZOR^gLfAyCOqIMP(LX6D6dKR&|VgI zsqMltk~Y1mRfg@JB|qL7Y(8vUr=I3lG4H{Iiir;bDrP>oP%-rZ#|Nu}f{%Qgln>oz zahG~4RHf7W?a$102F>da@x0~Z<ZJBv<7Z;^xs9Q_(z!!*kK&=AdlQZs-J5Xm(+%T{ zq_#VogRM+|)ka3Te*X0A?Coi{%hlfe-Lpfd;I5{3Oz|nM@VT3gi7uCUuql|Y@T8{u zjzEpsGxb_$`$ax@^jzcjighvB_fMAG7G-%SvhBydOmE{Kb2mx8YUO=X{y2EYdoCt^ zyZn%Eg%c+nnm9wLz+{<+Ot)s5tX#0kx1&=S)RVnBf(=y<y__-W*vuJ|4n}Sl6ylHV zo*8rQs7T{yL)S-6K7l(#gH5Xb9t>sr(xa(Zbz}<1-DEGRyGdSbvHu^KI6a)WyVy8l z_YUcq2X9&~^C;=k<dRM9a%-O<^q?>&Uh&p(8^K#gr<mM3w(Zn|-f9&Sza3(8551nD z^g(Nx$DSTdF8!pgW1nXTJ&5$-{AjYwrQ#8rM8baU8BflLD#?_zn(*y(Vr%DKeCE%3 z&0C^8+jI<$h^nydZaSn8e&NMyF2=T9P92H!&GmjSQJCRz;o{dnEq?1haJN}6oVIv& zvWB?CLoOlZSjWUE+8I4%tqjbuibo>UuM|mV@n8QeT(#?vzQpsEsK$GJ3!M`7XnXh+ zu(o!tTVQxnl*y;8RUkNKp-?dQ^9iD>qLOB<zM;DB@;<KH`^0@^PTu3KzP7~k=6l(d zx!yKekKVjjFDYmK!TNV@T}IpXb7E&%epyDFOqO`S#l;=#n7mb+CE_uc;x#MZthCuz z?tT5!!nf`N_ccqW*HUZ#-?59bP=AvDX?cO^?;{Uq=oBnHDW2Nvonl-$dDj8fAoJ^~ zLE#pjucWSf+8*!?;xFvJqI^f~tI4|wRcz%e^Cs-@xp~&~joeD^JL;vK>`U^l$zI75 z)eGEo%+-spVBRF>Im@1KEZ4Xhb4v4O%=y_hQQs2h<}ACs+?R{_z`148U2H9Leax*= zTYdc^lQ#$RZ8)mgek00cUh(G_#p(U_4>tz?W4&#s&$U6uziZ=6=k4O}5BMy!p0nwY z-|{sZPiwN@css@UjV7Ogb@jJG8T*Gzg%9dSgvunaR*Bv|d&csxruUhWQ(T+pY&w>+ zTqdC}n9uOKW_VKEMVkq8jh<UK%`_AjG1s(CEGrc$R$6fS`TVK1QEO(r{Nz^Xd^YFj zDb4>)FOTx(x1BrMICEvu#NcTWZ>ES&vq<e->SuYtXt_*MW#NUJo}YXR9n$)0-cG4M z*!4*24A0I@`|c=hfA->&Yhh%<mf&d;Pp62wS*7+G`dJ=`ST2(^C%DgGVZga{mdmVD zi&xbImOqKQvexq1uBHQX4vD4pPCQhxT*vUDrgl%kDXGbGiyAcjERTdNmr0CLWSCvI z)ZnrxOImGUdC034kzdC|iZAwP3dJUO9cq}d$k1RJi-bt96HlL}VB3)?CT+*2@U-ph zo$%s))R{l_Hp@7Cx-^AuCv_dVHe=C7)nzO<WP+V?x-<pNj!iK!J2Hjm+V0+f7tf;3 zNTtR42u4T;t6V!G(t6d<H96FW)4+OJON3;w(wYv<Or3sBNu6Tpg%|H`ond<B(hQ{y zD$8c9R9&W_)1@iJo$S@XYN&eT&kUi&dD00Da_a;ZE!TE=@sum`OIa(=ubRMe&Ah-8 zO~1rX@*FqkRMfBV)|Ihr)m)MnCJI^e-ZpX9v49|cgO)32BUHX}G<(?gHZGZWkYSbd znJaEL&w9M|f5lYm8M}yorS_M_W!Yf~Q`Q(ZPGas^o>Hv1;0Z^vre&|;lsN}q*k6gw z>&-FRy0!j<|J9v7XEnWLN=|XH&)IaWZn;dt{9wL~+y9BVY|A+p?NcgapSUdeA8U0N zv(E81NjXMa@A^e1O%FDkIV<?ujfYd1bIMOCZWCpnarTOsb>I9ACp7;z=^kYaOQ<t6 zn|Z5hxz5I`n%X&Kr=(`jDQf8TvpmARJf?H^*YdfWQYWvxTg)<f<z4-}AhY1;M;gyu z4s5Z%lA86k>*N(TtLk5z#dALCR|MSokTxT{$9GxT2~BUCl2cs$b2c4IUM};XGnnt= z7K;}-%eGx8{L{kf_fy<PTW_|{+e*a;V$WjcM<39Av$O8FruUwbQ(WukY&w>`T;@S< zFyF^(n&As$A6i{dDu3r+E@PiKE!gb!SN$#~z2m!*a?btbYu&S|)%Lr!RcfoBU*zJ= z!F&Y=SLz=TTprW9xK%EHqBM85%yIt+P@3NONN(MMo}`>(hYFYL6dux?{d8~eHH)WH znCFz8Qaoqz)N4-ZsZfh>39fB-gr3VD?CCvx)b~tZZ)jiA@nfeB-8i9{mh+|T+ZW+5 zi>L7g8+RzrN~|<AOMW~lc-oFPQ$*KUr1q}%vpitBT;|cP;B|+3AGxI*KV@|MqwqAV zr||`wcjO#9wf51c^HX>HZRbCI@8mjtwOi$$>EU9+S#Q`6o~_S`zW406{HFJ-*Jeh4 zOpe~X`&63doqvnxbCvv^{MW0mec6Tn!%TlIbqpI0b1_@4x!8J`k*(O`hyb6v#HoiS zZG{%i59>dDU+H3=mOS|~cxw>f>{RV`QMck7I$4%E*LKL5&E#uW?s;Ayb^hOp2RwNO z&sRE?FU#93vFqfO+%UK8Gj^F)8Mqre__wvaIC_C&ujDK@`L?tR-~GSMyjq#{Z}Q&U z1bN@u|K9vUJAN(wYZtG%>evSTx8`>rxuj^<yhvs0KQWgx`e{IwapLNlH>phiFSJ@$ z7IEyIxh2%@t5IWm$<#|BmNV}8+|-(1J@WwfF0a&8GA{Yca;NI=^?4u~yVNX{Z;3f~ z&eY|5d=l(qQxjInI4DOyW|SAQ@R;ZL?Zy{%^HXxOob6N!W~@0cH{;$Dx0{cPj<mD% zP5OFs@umJd8r1=PKPPy$o%r=e@uhd(6k8?r8jlAmk@IckYESe!Mc=oR7qalZCO+#| z)dXqRZTpPvR0^i8(Kq_~Rmt4z_OEk4Cmg8Uv~SDG_0~HBk6kN2*v_Ii>1(P_t?m9Z zdUIcQ%+!2){YKD^S-T%TI>mH---&x%9VazqR7yAdDV25}Ub3#B>56IJgsO(cOXMEi zny+blC^X3bG1toUf}iJI%spb3mt}97;2mPB_IHQJD<N@@*oPJ?v*)OPWq9skdn7Wb zzo6~PWeeX|l5-aAa!gde%Bxmr;Tf82)FvEaDz$q>vF?F9FTRcKla${qeq!=1xQOlc z{vUgIDsSFP-onqf<MZ*L&<A=8z3wQ7wEnKwxi>d-^ZEBR4kwxTH6tGhs%qQlO?|um z+Wde`2ZFuK()CoeZS<$U)t?<7u<3xe*R%@PlU(b)Q-8m{Zazil!?a1NxBhlc5WO}1 z)VItsS&hgA%BtQai&9$8E!|X`c1yuaEW-Pw&})sz#M+fP^UrK;oWyDtwCRYNSJ;gh zt=r4Za~GyGuAQQ@v3HW_w&|z7sjsxwh)hsd^<J|urS<O8O@DX3kn<9|;eAr*w?<^* z|M`y=U*((2+>%vf>NM5DWd&EecbWRz3VWxMYNr>abS6((vtf0}HplaeQyM*|=orqN zB)V+Msj@x(OH&#%r|1~Yog|tD;-6oV(ik~K$8h!}(Pv=3=1h|%vhTGuB9kmtwRN<o zzO9J&I?3d%5t(GDs;#31;(MHA;?{^vvQyPQ1CpQbeKKuN@Fq)k&B%utD|3$j7W6V( zE#zh9+&)Q^Z`!G{J@N}uHmfX7F}|l6nV5g_>dkrgmUDzA<efaRP5nEIQ|OHNqJNs! z_r6bYNxa|Ncz24<#_mbJW}#ZQKkjRu#JVkb)6DIfk%_6Er{7M93Ap5Dtt2kA>WGq4 zXhh7STMu`%1h7sMT6M(8DKsK((XE9$ngUqEgjOBVate)zU34qaVqyU6xv5`f%C;=$ z41MU+nbrQF(8)B?V4>F>m5|oWs;gw{o^ysiU|Q%EqY~2Ut-4CKYC31=1FnT$F{&Z0 z+2?Ez9!lSsd-|<`<%ib!TsBE7j>xuYmHfHn{#Kys>D_C6OTL}iCL}Ad>cUa)w>6uu z?~b>AFO$6Dh;*CQp07dH>uy&r-t_pl>gKhcZ8LLy{oX7syHlRJ!oj@F>&_Rq)aT9Z zaaqq>qj^MkoDJyQ%@exg`O>m`6$b(urFo`SoC#=EkIQ;Kbvch{#j$`+aURpx2lU_E z?s=W*yY#1e`Tsk5vxC2tS(W{tx%Eoe_CqJ_Z<@PR>nMevy=ebgF8Vy@Ho1vwpNBRb zzjk@z-RWnpZ8krc{Mz#HJMYxjJ7+)G`1MY*tyT1SJ~O$wYoE(R`%hT=ys+u`wa+bI zTW(hIZTQ%7v+CUDuP!&M=BZZX*!oBMTScEwG@DnlZ60@y?aUn8ej%G}^V;i^{C?ia z{L!%eM%23E>Pb5T3wyGsp6Ts+oMXGQAWyv_$JRX3KQBZk@$t#tuI?P$oq-qo)~cIp z?b<l+&I*eu4>o>{Ndigvfu$P1K5;vGkfnd^b0ca0ypTPfA8u5|ZJu{$#f|gFCQFNk z->52D{KysL$~o3gi<h7Gdlxm)x_Vt<b<obhjdQc7p6cyd4Kk=u`C#(vmuFh%SkH_v z;ypk2bh(B1yO4WF-)fYr&3}}2a_))z%I>vGZMQGJlewpV`;92SV(U|LkHqeXDtcZJ zer}R=>-P_0(WfKzrrqJJnZNx;RG#I1krgNZCEJU7Z(X~>=i#i$Yj<5)^Jf1Rd!OYQ zSNU~UXP^1DTS$h<djFRGO$%k#o?UgH|Lm>*ryuF3i7YtLy07;zQ}q6lUCSh{v+q|u zskGtdWqT#*e`;-9I$u~dHht88wBbNfe1Veu|4Ay{2ZG{T4jtRyvyka@E#s-2KiU>L z8!m~@Idgo!)=8xex5O82`M5rD#et~!1}*9TR(#w#A6S=v`k3#ri6iHW>x!H|bLaf# z&=Y;}qQ-D#$E%z_vKBele%F6tS^oLscJECbd%hlBpZh0YLhHg^@q`Jz^#<*%Z9kj1 zKYir)-NeE3@oPcC<Nc4i?Kgak|8_Cw$8-s;g1g50Vr=hwS=tZyo_{h!cGvbl0S~sR zZ@Y6~@8N*P-Sc*E9sU;A<h<as{L2TMn#;DRe|j_H?IX*$or<@oE@Zm<&*ONg#D?{@ z6UC2Z^ZP%!`S8|de%7kWq|?0D?(vAec-*<iAmle_7N@f5G~bnfbs7c-groCj@TIIc zpx__V>iFxx<>#%2R+oyMQrcfg*o#QKww!!8=gDIUErWZ);WPScoSK{y7OC&J;AVG{ zpH;0ose98z|HKssH2h-%?LK94@-@Dk_drSdCy%|zjF+9db9-yVoLSSVA9+1jo<I44 zz>L?Ndb!H;pSLr8w%wSzX`+AXiUS<}F*9qP92S;gdTo0!HRnn7-+%;v9ka;~>f`Ti z+qS86?cY6#-Z?E|!IKXj)7#8vYAMmqx~w1~$*f&8tuP{4t)2Ny!Y$Q}oeR07C0A{1 zT*!FM*yM;+n_I-`3nteLOq#F8W!c}Ic`l$a^WM5M`!*!=w4b#(me?sM6DDyou{ThL z&tO*O^dli{T0Utjj;XY<Nu0YNAS@x3XybfY;`jxgWB)GNNpE-9umAsGol)YX$@(4& z1^>Gr<!=>p04+{@(9`ZQ=bJ!lu-u};OU_Jh7Bo+@@MCV(Ho36Fr<q}ThQK2wUZt1? zj#AGvCmghV{&~@@S7EuEkFRb0YwODO{r{WgOyB>-)HEGm;Tg3*X~W41OPz}|4|C16 zSaWgWVMeP03zup>_l)BYP3{%lopexonZN2gBWZ8rFpHe9c$sMxM-yA8%Ur8C@sL5^ zLgtZWyRyyW0x5sXIR}N8`HQ|YkX|h!R~+#`FnIg1+%~oyM=uDNOG-UtbG}@0-~!LC z+R$?KKl-Lu?Qcsjvz+xc%p^B9@TyVk)cb0cEYIq*<zr4(1>KmEBXna%&ZHX?a)Ko0 z=UkGQlEY<SaZ@D8W0U5g4=KS?%9DI0dwguPgtCRSjf{`ZNSPezt~OicnCfg1HMQA3 zNt1H83@mSoBzbMpJah#*fOW>lMvE(2Slg)h?3$FxkuO!>`6h=iQa^Cc#lJ(gQ*QEY z^{PuZCcQYB@OgXbc3s<fuS9m$PfZYfG2dW&=xoi*f<^8L9*f+QG8VZfMl5nqu2`h* zaNoth!>)54$6jIE%r9PFQZ5+34Dk5kz3SK*XImj#CT1a9q02(HT*1P&Qo%yDX<S}k zT4qdn(P?0Kaq_Vi7k{?LllfW?-B^-!=83V=MP&oM%y6mXNjhn{vr{+?wN45prc6@p zZS<VR!&V#mee=mVOV56<U%4VD``>k&*6s1t6Ar9i|GLgDrs<64-`;-CJ>Nn#KVE7V zx%Xp&+wy!H4Tp4ju8T+8l}f%YG}-ktfbFjB3bocaJCzH!F9(}06Te<L^FUSnR3Y11 zkHpFRnQJ~QWYDhFIHD!rwc%F#rHIGQl574hdspWqCb@Q1>RQ`uU-dd);mhskb_Tix zRXmt;%hlt-!|;+?p<0{jpck(drT*HeIMnlVe!L>=*s@!0(lJ~9lMn1%&umg}b(d56 zFyob+cTfdrucz%-Pn(Ydn-6^v=uMXsda$#-rQ)HYU|f}l)Os70=IimI{hRMR`5_){ zCY<1(K21A~$#&nm-G=d}f->H>Gu_?0)oaUVqt?8*Wf!lWW-9r(Nnq|?9Vc~t@5PI! zr(QUC{7lM@%hzwGncew4b@}BtB^7u1S=Uu&PrdOtm23CjGiwy~=p3-r=PtZ`TItTG zO(uC&k!<E1VacbT$?Sa|quw?1K&k%Z9j{Z<_WYV**|dGH&x2{xJ@0%mYL&i!<!;id z^l#Z&X&q_#eaj2B{%cceWnEX3djI3I!<sVbI}aF6^Oi~7c}Q?twoF=OgR>5Q;e@Ax z-!|Ix8papjS#xiH+OF_NM&WxS51x6n%6#?on>y2H94>0zsdIhC`A-bo5pqe2r<HY* zDy6z3=N&9sS1qbncsDyt)qBUQvt22g;d_s{=DlIrap0lAb4!^-`}WHgCm-_oP0(GR z7d-o^rjBxS+<Dfpn%w)^Po8S>JmO_)u53OcaBqRZ6!Q$8$GnVfpW6hKE1X-H<2SaM zxP3BBG3a`m**P_bHH}Z=tTYST2e!_QdmIyG@-Il7muB*Lz^kbCsf}ZDg|pP;N@up< z8}q^<j)o;HYhJm>F+plKd+${p{tazU6|ZfwIb=0$xnc8DNuHkc{>Z#YuI|T`0rNO@ zy3{*Z)6@d(MQ%K77rOm7AaS;QR?gSM4AFlKj-=W5Y&gk(`NoTO$upn7%{$`szAddJ z|ATYm*E)@j=lDgh{aScT%ARM#Eq>=UpAK_q|260pt;^7ReD7Xx#DlvRdTylFWvsh* zFUmLRaVle4Rb)qGoLFMt^reQ^PaDnfjXR~Aoo%mk*Fo(=X+*+f^D8sfAJ=@7wDUmB zG;g1@orgrGW&5O7He~AX8_s<yn6|;Dcc#wy1hMOTg--80d-liNvqg=TI@4zyDQd0M zF;_P_?b~*)X!63%cT!&Hm`hrnHjX${y8cjFUa|3k>6>B_B*XU}6XRpQaq6MKZ3~%& z{Oy--oO{Sq_9HqhcmJ%iDSM_}FK$#$*)-|7=9_1{Owtw2N7C*s*wAU7k@JL?@!ID$ zf#^!-mfSrDW!Uc}^s(H&EYyCJ>x|5X$>tny9`OoAS2TmBVZc)-C#9Y4JmMA1``l*o z?n4{TyG^!h3p&;w@L~U6(R`q`j9-d9Qtko6Y3DcTl`XY8{>l2Mjc*9=-#K@$Z{~!( zvOf>-v&z-QeqXD@w5*_MA(QwYQE!`1&aKDmR(w3d&usJcu)z6028W96eIB>UrxhIL z&JMD8+0L|l&)@X?1@S2>4iwfO6<Yo`;9-w^R?Mfv4AcJ_91*qeDY(jidB?MM$(aAU z-gWQ$dZCUlrCz7-o3;?goP`a4AN|dgn(_EU=w0U%TnGAkHs2T6wDSM#UCWPJ6>+tD z#y${O=`EvD+RA(-@2ug{l-A%WYYHb#VxF_$iNJA9%R`1<e#!i*=><<_-}sr8`di*w zFfrYx@MKW+gv~!<{-m6L^hPA?s?g=f-7}d?O%v{2U&=Uh<M#O-mwR^Ay6nIGv8?wB z>$*w1j^qV}TX?+<OVU@>j#-$}TRcVPfsJbW4u_M1dO<}}(={xcPapZXdgK3-atr#7 zd|a(5vw!oEkE>70@0UFCarNSFKYaV|J7!&cHa+KRnm}JiJ=fH9CgJ^^zA~)SoZ{_8 zB;KtJO=_C1+4J3~w=hmDVa@bbiN~peZq<=ei}&a>Pqa&GJ8GaWEb;2KiM7M6-wPeA z^VbSF@9|08Fg=s!(<TPyy*fu2^t&(IKYi)N^Hj-_A8NmMy{t-;mtD5u&uyW=<j9yU z_61RaT3Kg)Z+kbhCGw)(<O^ZDdIL<i)koW8tesVR;#-#2wTMuy+Zxk?HXWNZ$u{b< zgVR-sfJL{S|L#=_=?zp}RjYPC$LZ>f;6=BdzgVjt(p##!s`lI60H>=GVT*1(zxq`@ zq?c25Rqe4mB~Djo1TMPuJVZ|+r1vOD)e|SDs}Wyv3nxwb`)U5!XJ=n5Zgkk6-@RWm zm_f7Tt3ackoYKXc&LUQo9d7RYoEHu{JKZVYXBnm-c&l=o=i{~Mvw7rdoXh%L;&&Ns z-dE=lo+fs!-^S(9#&!*xj{?2R<%Ay0Y;UP}rzjX#;UT4OtI`}^@?7J~LhIu?o$;5a z&fNMzdY4h}y)Qo{7l`vZeOcnzB4^^U*qYbq#j~1d`~R;Oe)_fk?5*f~<-)d1-{;IX zo-j{jp89N-JoVWsaVoP#;?!oF#Hr3^y5n!wS}{4N>%z<3F2PcBC;Lj4{M387!sCu7 z&&i(W>pH8Aop(oX@?2EC$#bFmCeOv`n@;xhrv!KOom|GTe)4b4UcQs2sTb@%uF$aY zxHEgE$1T-&XU}Nn3%f5ncG$(g!M$^yK)<l9;5?P8OBJ(ToP03z^X~;g{-s>YC+jdR z7y6b`FJEGCQMo|jqVh)ji;FktUtGM={GxDz|04Ax6J7jUj63I<oKveZvY1|?q2i|& zn*40x=T5ms?oPSR=uWxT*`0E|(w*}dt_#~Lu2Zd2it+ujq)_$Z<bzL_I4>+vS}A4x zGsf!+M~u&{smDI5csC?_P7`pStRq;a9(l=PPRhv#7q-h!-J7oa?Vac9U;A0zwq?b9 z>-@XhHTUQDO>2L0Ki*foBrR0e@Z0V;x3gX^JvB|@@vJ(psmUHbLQf^6CZ|}k9ajnM z3pDhco}A*tb;?jlt@Wvd+~h??JipiFdCr}jr4=21E$K`7&FNhKZ)^+g-hXPFZ*atG zE~e}l$E2;wGuYPu()+#ZuGK;*?fs|s74O)0XU(hiLfT#?y-&XyO08YB>(0B{>=>aN zLX*$%nP2~2IX9%&_x{zjysxLe@iP}%b*ybs!CSlMmZ^<1{UVb<W1EG`bHX0^Ssu9a zXj5ldUG4tcn_{=!+Wql%m(%I4o8i{F-O-!%IW$GjCRyyO{U&PO?H#iFLVVDoTkU83 zyTY^YXKdITV$%KP$G21WrbR?8y5;`Wzhm{SeH#*z_C)<QYy4PvQSXy@ZTR=yGTLJE z;_`Rr{4FmnpB*!$mN8nMe{-YXM+0f+c>c})|K%Sfe)E-LerfQ({@A@${*z>UKktn^ zGk0cfT6I}@TJ`yP@7Aq*^{B|RZLjVAmkZXMyc{SPI59FdIQ(bmq?vH=+2dK-JEh6L z=kEXie5%hR&N#`P7O}HGskF^3^ECNmuv=wT$%a@VSDBRQE}Xxgd-7bapZsTkd0^Ht znaqZz%<0?uY|i}Z-I4Iu`IA{#(c#Yd28QZt<r57acGpZzcrO0PNGkmHw(Q$?HU@G$ zY7XkJ%Ri`?HzT5E#*1mZ?Ki$xZ#=5|IHjRO>~5YoN8kUrH&=ZZHaJ^yIoemJiQIm} zbl7kAgT(2vA2#0BbFoMePm<Zf*|Ys>z;1!06Yr1OO87qD+*OzLSaDZPR@#(5-Z!OB z3r_m4Dg9&R%{C{EgqS`jgA;WkU7Tf&iHl-C7@XAmF(XG@$!8<C;j!B+{pMl%y9E|4 z_x(`Wl~<<dqCQ)}?OVof2ZK}Bx*9X?^G~~{EwV3u%Uqq0>Z;0b-4i7e#cg=DbKAAu zWW6W;mg#+Wnc|^@-2%xyz5?|#uH4oW__A?pm`c>^t!uX`U5uLhc4pw0t=v3+-!!%V zD?50cVYk4-_SlY#ca;n-ZfA?~(-W@E?(-F>&0ZI)Fe5!vSW)DHy8FZA>+>X!*6cp8 z;ecL6M22{c&t`7MwwtX2hu=E22$m_j@y}NHbuD4HgTaAoYM%G2<}4Pl*_iHj@xGqH zo9*0k-)^wVTfcE?X)jYe<~v)VsbhIr<Aa%N+cvKF{Zgj&L65C**YmQ*hpn+63J&W1 zut*eFnzM!5&@VE~Wn;^{t)B#*>qu5AvDoH|bEHK_xp1)doC)MucFTD2jtp@Ly_>B3 z)897rYnLe=2;D7^v@Ev6;IQ728F|7kC1ywU1bnt_^%R=xdnS-W?WXbK8L8qDZZ}!^ z7r$-lH!M>;5V2bzX-;g1!9BerGZKWI>Uw(16pyfZ>x(L$iqq!bc=gaxxyKu(3d?Mi zxgoBe+#0J8k$JZB(Tb_YGMkI|v)9}?o}|sc;m9dxovoiZPOq^!R>Upd{Y*<+Jt8-= zBjx0tWO0snQN@cQQl9c;uf22FO8fi9d#9LlHhmJ9z1HTCn09~R?L$XdTdTwT3hrML zSeUW!URmRU^``1w>|y6VTsmdDXH${<^))sJO11ef-aV!KWy>dty>;{7wFR$>J8m)Y z?GjzBgZJ)txbH8z!o;^DrRi_q9?d?>khaBqR}Txezk0mExwqiN!cVa|c~d(gdcW;_ zB5?e3>PG+C7n3;U{<zt2&QljJxVuu==f_&*>aO4G78~CwJ}LKZSBiXjOi{yaJ<B7y z(`6nudG{46$NQ~IdurYt@%Z>(v+bdir`GiatIv3SYF^SB?=*?WlPXyrOsefEI?3p^ zD@D$6=M#?2F-1K$e{R}w%IdtT@R{@Zq0@9;`psScX5AZ2u^lOGl6p4}D@{KWUOWAa zc>VM<$qduaI5SQ^quf*z`DUWuGk(^M!B$i4n^k@<efM+iyf?F|cJ%ob-YP9=GuFF# zICA=%$8)^-3ht@4?|3;$xui5y$8G!lXKN*sqTas@;H-L@t~;w{fw!8(lM}NSZFf$6 z@i@S?q;Q4ZFUu<&e`T|J7<Yy)QhFlsW)f%HlW&fS;vbB*^K;LA5c+QBGNm^XudJ*N zXNPC;_s4GPSJ$&V5IkMx(M<2Y4|i0LS4`CJR{a*ZPe<&ex!%kNxpj-xyHoD}nLJHr z((cdEu{x99$GE3gyq{!SQ*hGGZr2lrxiPNX7jLS%?|5>`=Gf6Y3BfW|;qMHD-0#g? z-4&YF?##Q<HeA$PYP)4f)7qV;-;S(|dpzl<*p-RqN5$GtZ8){C>c*3R+MJRVg4Zms zNMsj>7Fs54c2>2Rdt2t@(#~MLnGcpI=XV+NO-<bE{B^^@g`a%W^Y)8O*I#FKg(F-h ztLOC2RX488JE1%E#x1$?p+~pAPv)DN;GgHL9)9rqu9T)$P-?LXX;bFAdN{HD)#C}y zyag8)wply~P<~Us!tmSERZ3lR-UV<jduo;{<jhxolI5{%Ww1}_3Z7t@RqZGFt{zuu zmr7jZ+-vw~`=h%nH{JTQ-t?_>l=Zf2*G>PizOP@eSF5^l;mT#(HZs1i%lG}W`G=J9 zy<5Wn@61w@i{6!b<JO1d<F{`0>8|C?weDhn#9o^n&XM*^Lu7N2NZZZ(X<x;?!dlz5 z+5h>HzsFwYpIW@C#iM|qH-4(Et=qjUNi|!lJ|pk`!iVkC`1UXO{r*U>ZrhD-%a6Im z{8(F|<bLTDH>=J6xs06iW=?ptl2dY?#Dwx2$JJDJWIJ_LtEE&VI7A(A78bf=u6XpC zKhKE|XBv9g_o+R(xQ<gZZuW$Sy^MRA?#-I;U@oWTz1b5UHZ%TZvYRzw!R7b79v5%6 z@WlGOSh$+Aw!}EGq4?QF=Q*Yc2d)bX3)vW*3q0_9!^@KeH(KQQW?nchE6lbd?~uTC z9tp96t1T?keLM<pw(x}WNPPU4=_J=PW5S~aoRV_V26_)VIXUOen((NNQ!=k^l3mc$ zgO5ZmzAb+wX~(HP**W~L$=?&|?Rsh|FLIo^{M1r@tnjz67qhW3U)cX$jpxI;7R7r~ z6Z|dmeLOzgY7trQbK=9L7P+_?7ml+Fv%Sc?GPmVLs)JqUS2IONdEuZhri#w?!c{Nw zo#dH)BoY>II`bGQ%$H!FJt3ik^XIesEj)*PUL@||to>q;*s$46d9%QF-V^G#)773Z zA88Ts_c_5nm($k9XkmZ58qbFVEsF1?C-^`3?sG!iML6h=q2l36;i?^}PV(ly5)axq zony=u<{wx;bHamFoSN%qOnA77(>BIvVSl&U6YgG4=QSo(9P<zK&z|sL2Io(wWT$=m z4HWN(&6x0B&F6%<pxTpacIHp6iTgP@&1O$XTF)u@ZN`PedBVSLq#k0h_LWF#XZ-23 z`ECo#ZJ!g(+QLRTh6~$;)t+!~=VZQPme>)!|FYQnNB15)Qor>`wTyMg+Vv$zx68k) zn{==7T*2>MvzE0ji@LL!Gl{?8zMg>125u4eH%x~=`d?bxsAJN-{@mR^b7K{EOk5lg zb3RaF-CsW&PCd;>)=Ks!*UhgyI->s4&S_edbk?iax3{i8+US2Ncj=mccW$k7c2=5y z>GqZ#`>oc_XV|#;&`keJvEj+u_h0@!vt4`ZBhGi*wl4bcc2>bt<Bz_Eq5mJY?~?EG zyYujd{E_`W&n-X1Y<R-|C{(`PU03b@!^>v-PnKrhU$}GW(uH!9|0PT_{VcTn*N))j z77sPDb}#$J+k4}&2K#r9(;p99RP(g2{1N%DvBg<eZs%r&iZ|z)t~~0r=HB<VINdSZ z@B#lh9SJw<C?AU}NmH!3`IYbT*}3hIW#Tz+z4mL3%@(nOBP)ev%3g`I&#^k}xcuG2 zhT!iT@2_OP@x8rdgS1sX<D0+ERTmEg{Cr_MOM9F4gOYt71!mtDyse0+yR`7r$#V-o zah_lJ$@BcesvWNaYGY2W7r6i7X*bXGPp<sppIzm}KOJRw{`siD^G`=P(mx%QSYC8A zZQth8;+(slZJS@QbJuKV)fevqG^;E^+UD_Hb=GfxwYa>lRpZx_Zl2oDuKa&Lxyt|j ze3W7C=c5A5pO11he>y7BTpYb{>pyXxlfJ)-ndP3JRp>oiTK|yy((Ut?8XT@i-|E(U zk{kDF+PAM~eR(COg>Rbnt){PX`gXQ0Tk@}mgx?Qn|Gx9*|2t9ti-ql;TwS}hmc8c8 z?0>ES%1XQa=3C2hSk=!9K5(V6e<qh)&4Z8+3togBY+hK+%Fl1BRq^9R<UwVJ^;~j_ zRpB2xE-Y_y@7edxZTXAm=bFSmsI9-hM2=;<Rz<*_bq@{}EN^OW-z{oWb7%Df!3TA$ z{Q~@26)qJK2bGU0e-B95@$y`g=!Ydu><5FtJKES3TmPtdSH|(-&|85=OK&S4(%$ae zbA4Tvn&FSRdtR7-RoL-2^4va^&l(THRK=TiPmR%d7h3c%ecL2%d;O^~KW46ca_GFT zs(4+Q*3J)~gHN{3U&!^=|Kl<zwHiLjS=&p`#BjU|Kh^puR#m+1`_velniWqTJx*4g z&M;T&s>YEsUV4Jt!izdLu06z&cq2+t*lupl$|p`6c243xy39+DM_PMl#f^ZIM~`3f z(qp_9TEw|=+a&K6)2T5@Yt~)(Cl?la;)r%as;YRC=+qdEY2ihQ5n(5f9)9PgXIB<l z#JOSfB<~K{sWD1x);|$S&T0Mj-Ef_gS{>tK?VSQXE1ozRZk)t@c#4;v+_JEukA`a} zc{jYA8sl_k#S@`KAJyp+o3*yoH{298;^dkUe6lqmPgT6Da%zmkvv7^v4pvbcC7m@7 z1d{{vB0eAX|9{MO!;USJwO-aRPq$qhbfEWqjMc%?<$i@{R~pA`eO=={p~i;A-0r;D zthRgWRx;~7S6zPe$@R~ZF01@py64H78GoYUil(XT{}8$DY)Hz*1FUn-i*uf<otE3+ zyRl(2-_!?NoR?ZW4v@^NofWOoHT$R1;l@9&Dt1hqf9d$w8p8uyYYdNEtuZ__wZ`yR z>h2qtF5KGIu=1zU!7VitldATeFnD}9C|xLC{P)VGs>K5L_br_9d98Q)jM=tq(|9gC zS{!34``T*D`oAk{8O80+hjX5bzWPhPJM+9{NK<l|sqMSMcCP{@X@yBzdEc*;<b<og zV@RzoTOD)%-Fl13lO1ynn;k7BMN%goJaGPU*i_peXO8t>)G4y~z4J#;+B&~~Ym;LR z96f*e=dE`teZ`vZEw&zg%yZ)Oq7~gbztX2$1Wk{BWwphuEa$&>@Z1WS4f{C5=kVEY zeeiPm%aa0<>f5h8VgI%6pWfTKvu4?BDORnXvRtLh{nxql?Jrck3Qjv|>P2WAR_6Zt z;gHkMyo*lEU$$QmVD{09yRk8#$x+P9@P?CQTf~a?g<`LgXSA|O<SkTmn=vI{{dc6s zK@IMu61fX`l69^ej^KX!acL`?ME*iWwHZ_L_p3!|98}?6IwNNx&s^OrhrKs{|9JPZ zHaG8wTTae<3|CgPTyo;P7pZZynmhGJ!a_N_s1^NwX?K6HJ#IZ}?jnA9di(cS-6;!Z zw+5AL4``DUd$n+R>#rB73mL1TGdk?lo<1yy=T?=-Tqv?ycS>S!YgI({Lc42`84X{> zq>`FF4>OrXX&hAJUV0;EAy2f<l=pLVuN*hy=G}1D>8IS~C4r3Ax>FLSw+6l07SLuZ z_9}6G>#rN>3mLyfXLS5jd&>PZg!`%C<kn9KC!H#%q%4$6i(1hiDR!#7P)sW6occ>~ z39l_XG~&+5@o9ZbvD}lw6ZKKxwJW#kj)a9G&vmCfoa5>0kiU>6U1!RpEv-g#j5Oop z{Gv1t+Ho(Pk-d<o*yq&r#+zbZ2B)1g)1ox&&#FDW{I!Jp>D}W_n#-az4vS3wlv&!+ z`YH9alcrjf#$gujuLk#=ei~&jWIPs?(P60e^zy3=?x%^3twB9o0@_ZBy-J+i`s+r< zLPoQQjE=7$X)A8ki0p+T**a4aw|f5kvi+13=d(zSqg>pn5qUv&zPg(OnwE-rZ9L*6 zDfZuQzF!x==()3UrIRb1g`Y`o_W!u?kmk+FwW{-M!*?85)p7egcd<y5t0mXbM>4I6 zC)HmX*LPjg$&1iECC7e6wW+mI=xCEoXJXwqw?I!J@kL$y5_)Il6hpRL5^g)aX*rYV z&OaRwixxL_pO;u?aL)bHgomfz1bWv>?|sa@+F?Vi_@=X;&2~F%xGHcdIcFm`%k0pF z`$AS#-j8B!`nhYu)U3wX2kGYoE+zYH<F1+Y$L#J-G1iY^QMI4X`>xb1d%a_3Zr<mZ ztispDACLa95icyuD%icqU-w<gb?H-fOBY{x=<sdVY}d8N|K(q@Tee#1wUtcC$*&em zPCb(H-Nc%&!zLtrHBIZZeMjD$9X==4eGrK~HQmyxEF<sw9X|g}r`@~eb@kha^39K{ z>fm1aM`QP{B`2Qlk(a9EwiT7nSoFiJ*f}`XH+lChreig8wS~_7iCS5zv~Bg@m0N4u zr?oBnRpxtNe8bn-TzV|G_j#(b-CVkL*-L#>)|~TKIm(`7?zv%IY%1pv-F0Qnw5oDd z@h01ww<k~Xe!2C`$!THQd#73&#xI%iVQZC|_w`MeCU1Sot-JA#w^`l7Z<8KfT^ghx z`Jr{*_FLZ9Br3i4rj&kr`EJsUg_b*orp9zde|4=YJhiOrbhP&8N$S^+hdog}zphXB zdRN?X)6+lluPze*8Y1(3?Jb?stj#@F+0p{_p4%Qf5uG*d;{9de0>OqeH~lC%{gmfw z!fA8Sxmg>3N^h08^yGN8JLBUm^A^5hN#5@#FSh-aY<AY{|F;4T{LtF-W6k?-t8z1P z{_Skg46P9Kxq5o-++CHdTPwS(wB&SFEMFIATiVW5b??<m>zpULb9X7Xn&@~P{WSGu z>Me%=Jum&gO1y<Bfi2RkIzN^6D(HH9Y8>L%e8jJF#j5Jn#S2p`b$%Vv2&vjyy)kmd zr`C5{(-vk;b`o4;%pJN~ENgG1#=}6pD{cB$UIwh$W-9yirvU%{kl#%&4_$Y*`4JTP zIC%Z8XY*%SnQSpLyWWxUbDydD$>-}$ds*+SKGgjnPc%-XeAS1f9kH#`+oMA(e(rdg z#V+{mQ`wG(r#yeCyxp*iOTQ^Jv?6fLnnT@*uA*^r)50G<O};t()eqgOk9>F6?Q^v0 zt^Vs>`eXU8{<pV3^}O16<5o}1GsEcO=zVwU?>D%{uj2N<xP0Ni>Oi)b&2kGw{>qe} zWC`DA(iRr^?C;)&)1o#UdbOE6ua7LQWtU5d*%G!v<Jy(~_4Zre2Xu4COD&%MdrR*1 zD--HgKH9UDwZ(b&GOIbiFPUv?Jr&+`ZCef3ah~6TQPOo!F7KH!>s(#t8N1S#Aytvl z-%ke>-9Iy@vgK6$HS;avvJVz*dnYbim+?c<_LF4xZ#B1H2EX!(f1S3uWaAg@zllSv zQjK*^(McBdwW=OZm+;S7@xZa*>aDtyV&9hRl;h|$_np5!C-=Chq0Oz27cc(Sj=tRe zcgKkx;p?>Y9KQTHbxpf_I`4(Y+P6IglM2o6+nQ(F=@p*YHRHpw2g!?en!fxtd#$XW z$4ljZvHLg86@I9&ETZJ+sVWY=>A${I>xSs<?l{Rg_id=pllPU&Up%?OX&0rw&1ZSV zlPi+<tV{Xd&)L<#-Ou)b^m4gJTZ8*QoLahF?86PKJma|!CI&CBcyUEi&Z3lGea^0a zem~m-?#tyCP2T)Z&?-8;v~csh{`slj4u19iRCcE5^#j4{yN^8GFUmJHVQFc^k}SsG zF-8aDru%F>u4??IY)VhkROi%)w*j^##VhRitgkS%%Vc#l^O+uEY?n%|&nq_RKD}Ic z&1yF-;hyr7B9CK?4o9qx`DJo-hW=U8#XF?@FK^qV>Z)^W@jlb=g(-rIX8c;avt?y< z$J#4%io8|5*6mH|s_OOGapn4|-5s&<l7Wk#DU}IY?>Abc^ls@prE3wzm&yfiul}HP zBdk^Y=ryf965B!^K20nZjk7b`c}wxGYfj12kXx=jW{XP?cPE61#)&Ae{*WXQ*gC!a zVQ9rqi8Q}scUJG|zLCHex-flr$HKG;u~U}D9uY8ZduDf2FKO>rhNZDbtfu>H_@<p) zF!iZQ)1APB%@3yST%sbE<a)?A+di;d(ELosq~9L9J6=D_nPJrtd&JcEk=NCrBc=y< zUbT2viWh#>PX4#je|_zj&1Usxg<rQm(|N1(E5h2NQoQi!t$m#n-ziniG^}|x@mb-` zs!86vJG}K~=6_kEI-y+9U8_Q1&h~Bbo4O@()^RvJ@-04Bb#H0xk;vDT=gS1si$XVc zTjai-`cm)F6iKJ$NrmEtM&{WYyCbslqFc4zEBQsNR$EdcUU;X<uf=&&w?*39%T9V< zU$#DTnzKt~cgNyyT4IY`?rDlyl{RVm%}v@AoIc~p6<eQ@S9WR^Ul|_Ht?DTBvpp0s z{am@=<+YE^E^{ukES1}4QQD^IclU70@^{ITg82>3uWa9u_RdJf_E6~ON$W3k@9r@C zb};mgYtJ^mc}Z`g4rsJ{UAS(g6STE~QE%pg{oc!7Jf9@#X65B(cxa&!Px%VDHp>us zXW6U<MLyFb3GGse6P$Yu4YpfOiak>IS<5w2&Eml%O}<?zZO&mkw{%<N#8qnDn{}|H zSUhmo%4W%DdW-BLTO`D0@9wB772kOFjw=U$rT9jV)Ap4W3o67nPB)u#D(Iu*zj?Q| zp4g<vb<cUXUTDZ<_UcWKO0Ta|P1Ad7t$KIMZ-Yb1wd@O4FD-Fh8L>-m+NG)S{4a7e zW7kIQ>c9C~V3zmJlDYc=m>nMmF28#s^4Hb$+`8A_1gkfwM)}O#b=CCxmTl*qjvc+l zwB|?0io%K8ECXe{y5GFkzp{I|s($5u^Q&<=wTHJakTP%jd38tNnbpU76Z1^-<er5* zewJKjn#Y(H`dBO}%`{Iit>&o}`^&iEUB>G#rG5S<9=b#D&gx^mkMd0Oc*<85elFN8 zWiG#cRUvo5W~uE0=Bo<3KX}bvZ@m4B;5yLY+}g6$s#8zzev)}BXo}^M^Ha`Va*B0T zn=x5=dF$-glQ`$yI6d#}`uCZINowiRZ+835eb6U%a&^_Fy{}f<o=Vvv(DUQgocPyM ztaBId-xj8PTc!WkEvs~`-A~G=sk;4`vi+p;{%!M4e99~PasAb1|CP?0IOcBDQC=B# z|3buP?)$IeHq7tNQQVcJpHTe!QeO44ZKmF~U11wL4sS_!3Yvat`p@)i^CL!cpW9uz zckE_ciN`*jvL(&?&BSkpJX8FtP<?sY_a)4qi=W#U{_3{h@^h|hUWU)P!fPiaCIojS zCEU07_f`5`_f1KJ`4rQyT`5g=-`f12GR56?4_fs(^2o~IJ;kqh-p}3D&hK~EJw5!Z z#=G0kH!M2y;ecv&#j8oRHpM3y<90p~I3HWoBCcn7?CqbjOfl)!+G!6qtr31x`bwmF zj@4m3@0jQsKECx^pI<u_WVo(&sohlVmq}KYD{V6)L#FO16W;35xpb$}=UX0UPi~Fd zz4yY??Ba!2Yg}?}Up4Z--hKL9P|oeMMxj$`j-=d=t+wzh)bRcOTxVnMqfoB>6){&2 z$K{y1X)g#i&0~?*4V?AR?c*{j^R|0eWv(1PomKSt;}WUu4E?JMxj(Lu+RnkRyD|5s zZf|b2<?G0<-03xut<sLyv+ii@3VnR+!d@wJe%n=rpD%8f+Rm_dbs_h~{ZiXGm~}rc zEbu;j+);1lg6=YAg{h}EzGXfAMoVVXchxsH(>K2TBV(z1$7Q<DhdV<4SEs+1&Aqx# zFs(@Jc3$x-mfN})!y@(X*{yowv>|x+{)xLhHon!X*4=!gY<roo?<DWZcO$)DXw;nA z_*U+Br_S+h)%#77Z~ywjbXwCpXLeR(PW6#Gy-i17tk~NSlv91Du4hrG&LgqkoZ3gX zo!9YRd7<*%@3*;gj&5WBlqz^z?n>SyPosV<{+qM6$=sGZcYf~wBi!CO+9|f%Y$pYG zCFN9``1>Z^PX4AO(ySo&WJgNVR&Zp`vnXv^?l<?*%;5BjcUNrp6uh#F+qKGl<2hC1 zHRUJe%yy*6XU7yZ`0806ahoplu-Uusqs9K%S*|(1FA2?_R@(I0Z|<XA!RZxQ(i4tq zK5bRqrt5X|#<!iS+jRFfH>6Lyu`RAQ*E}LA`r)Eu+g`7%(u~^^8>qgIr)BxAs~<uh z-b`3qwso)0$DGOh5w?Gi`OLZZJ}&8``QMsPoAWPE*H!iOi95ePba~pr?&Uig#CJ*^ zJRQ^fD0JPi4_4uyKd6O&{%97?U0@#0UFg31^~6k1`PgatLOSQgHK#r6=F$G_%D?)P ztNiNEM;Wv~9ToVUn>(RSAaDCOj_FHM=f3Lv_H1s2sL@{!LAllXfn|SIw!Ytc>)(Z_ z>lZezTiD>PH}k<lvpGA~>~junpMF2IV5R%?CaaWsq5Q0___dEzSBu_%x|&6Me{i!* z<k`3lQE?aB6SkgKuicrI=_=n8dVlJ&<?$6Bcdsnmx^rW)Z;@4$%lbRPb(_yF5?)jI zN~C(O)!}_b_FAubGavj~@TX~so>0u6Wg)vat=MZ-wSE!*!Mj~{BIR1Y4DRUdU#R}m zWF@OrK;3oy^PLY8Qq{Yhr_Pn&OP^m8Jol1$a=%4L)8e06_NK>Wv<`l(*}ASlm~U!A z^1i6}16J)`8}413y-B<=|7KP2H?<FEV*+cI7{#mXn5X?|RorQD&UMea_ACnj<l1!l zY34eeY1=Kn@7r1u{`T(^?`?W(_b#Z}zlh)UdDroz7hiHUT_)Dly;?9WBUm(!W%lY1 zi*5w9iXRS(z8U?hYhv)W%5^Uen_qbP)$h~K>+wf+?#S2fykKSG6@UKr&)m%HrPZSG zfA6QxcJJo%WV6n>>=>wDZ~wsYU*M6{De)T?{+YG;-3R0Mllfzf4le#<^iRibo%yY8 z*QS&-8T!plS`(Zu@%)M{PvI-OW7c08gy&Rsbo$vIQdusS{HeU}+0u$PS#ePZM8dyK zNmv!Ue8#gYlFO`1`EBR!>i>T36Z?+`SDb4~UI|)R_RBBcyt-0y-i}S_tR;o1=Q(!m z+VtJvit2BPXOozD%1<h~?RdhW99z^A_|)R!gw5_dch#mx_C-laA5G)GyMMEfU6PyU zv*RZ1a@)Q=<_@c!b#nKoy1;8k!~3dg(`Rn)y1vHcn(vFhb5oi8){B4LD7$@!vbWNm zeSUKn%zyhJb#H@Z9(&WyJoc{2JodJqdF=e$clhkYtg<~X-d}0VQ}9ZT&8k$sc}`Ws zK|k9gI?Lq}w*~hb8n18M)O*hK_N|Dr2N$D$CUsn0*w8I%Q?VxEVDqCAR{plhqBb^j zRy}z5xNY|)k?=cwJk#&;$?5Hst%)hHX0do*#!-0gt-!-Iw-t}gv}ymwYqR6=-XyoU z?V45scZ!}n6y6s5uX<m0vikAaOMAT+&!{@8w)fB1b%`e7DiT@3n|B8}e{ya57-neu ztfOXPg3ej9MAeOdSoGs2ukqAbwPVH4u9VY(Hy7@#Ir>HQ<TT~kF?+IpHKoMfd8W+w zX-<l;)1!~w|8(Ch*ZaF%Oy=x9?%;pP%!L=XemTy%d8N1djHg#L)2vF{B+K^3eml;Z zopGyUnchFEgsQktU;4SPJyTuo`N^{K<Yjxki0RY!{5a0Kdh@--ryf=Nu&y~J&KZ^# zds<0s!-a)HIt434KFewy-t)&PaLoyE$!X8K<)%IDwwv~>n{nEcZpCNMx&_6acN>b` zJo-pp+~>6T&u@zHOJ+>cH}YBbG9h>BvgS8W?>{PjezTSBrgQa%|ITG^w>?p2tNFIT z|Iw#^vrE>Q#ymOx|9-0YD-r#->rUOyzf?W7ZE{uoQ{S@c<rOo3ssHtt+%|=Ml56@6 zpZcrM-nZz5_;Jf}@A$LxT*a4<mA{TZNey#&-o&WM7R>x<!U3OmkCzn6bcNo3(WC$L z{>wARvkvPYkZN{~SZ#Rd_4SntjP1(y2XcG3FuG^6s_bca-OFQJbA`*Jg3(-}j?qj? z=g_kJOZ+ul(`v(dju*|3G&s1_|FU&Bm)?Tk>1KWx&YsfT6=mrxuKoSO%~Q1{n?xr+ zdc0ih%!T96-X^Z&{XHZ3Fk{+n1O5xS7KePK`wSl&zx3faGrMNjL5^j$YNg#u+6k*T zIhW0tkhFtSQf=mi!!E+VW+Y7MNZNBy;+W)_!x7CtC6YHVEanwUnsV@m+`R-tH;vYR z50}>qZ$6$<xk6m=;F9x~`vtrwBrut39+jwM(hTbAOk2nE_o-uai`=i77u@58+g^O` zEvry6dYijYu1YfGjMVjgldHqMxOXHTwh8-x?o9K-cGYx#W}Pd?Yq)t0&O7~-%3Wx< zPC9kL9-hs-@uyB3oOWW)+5SWzX||)}d+unRDG6JQo-W<e(CfC^@M6|%oyq_o(^JQe zWTk!ly5X2pt<Sb60tu5^kKIUk^5A2YkHM>lomDc1U~=n|gYBCOZTxvMxSu-Ta{9So z+XaUF{brdR$Hk-`ZwNG+KYzEZ*s0flcE(x8U-`KsFg^at&lXkwu68%gnz-j5e~BDi z*<L92DtS#Sn?&+L#dpztVhi`%eJzlBJR|U4!}cR_+)o83PRu{>dfVworwy)XL>_o; zcE+ieXX6EiW?hpba;GmUeKCF|_F)&VLB@&f!qY2UPh=M!IAQ)|t3}2_Iljmh{j;al zFyArAIC6V?{lO(H*Y{uB9imcoOQGBNsHyico^stQ&gRJnT=pD@)irSt=jOe5(<zz9 z#7pe+q*9}r<hV`!ZYPuCHt~x|E$COhFYtc<wJ;OGn|&9;JvVyvn(XS6n8n=tPT|@; z$6vR!o$dvut>dYEdSgFF?H)sJ(Fa>Oo%a|e_H<VHuI!MFdnN05f$5mI&WwaPs{CEz zRi}eCUh&`fqpBk9<JXT@oS1DkUJy91YjP~*aYoX@`t%=NX408Ig6;_&{(3U}0@J+L zlh+@(6K#JuL}%aj-p8F!zx1^l?YY`+CVKj%ZTI?qb}^|36I(xN-aHYmvt0P=M5m)n zmPef=-$fRE_;ACi)@S<@frPf!wi%fV4d+Sz>{?ndGthja$ybvify+7Nwjlyr8os+7 zOZq08ak43KLTi;o&cYhrjTac4bxn>KaQA*Zs4;U!K)dw5Bq!O#g^atTV<UX#+VV(s zYo5xO7h!T<d9gr}n{29#-<cJcb*>!NdMtBvPvx|zj0RURsidWLfq{1C-(5;~F|@k= z`g4wvMZ9#WKyvXUL$jlAtOMuQYOa6B_Bn#*{XXLb?LX53Yu^|oHsq@DY`ofHc<;s0 z^dzr)3;a(ueR}FXe-f*j#M1f2YRjI8Ruvl?s^(vjp4h2b2^uTSS;)98BBSH#w8gFx zYv;46sl9sWR(aHD*Rd7Fo377JxMCR@cRcFTf{5%XPC84Ib@s`%MXl&xDJGRLSM|QY zX<d_JD$48^7jKtZtG4Tl+v0Pc_O<Rl>0Q3Z9=iD7%~>e7En-FgTd`Byw~I+7EpI(0 zkrd*W<GfvRs<8IMs>MY<A?x}tsvilmIBqc~;>0(}#fKla-_Dcq)5*8~ax^-iEAQCD zBdfMwp2T`MX0brh)!DfV?ZhH78d}Aqk~X#;i%1Xg%i+E*sVbcPFmG|u$$#sOw<gF5 zOP7j8@11+><B@0C{S%87HdW-89GqbN-gJ)h!#hVU=0#ZLOC1-UJ+anJY~qPWYYX?x zuzqhoC;8!@Gmp+}IFgaN(J6Mr1%dRhKVwTCGyn2ZsQT#mxTYiWWnZ9qp;eW{TLrJ% z;qj#|4i#?kJVz8PQp64%P89xSk#vZ`-S<RxmYjx@{$>xuyw|0lj!b*Lu2pEx<>T8H zu+G_R5j^L*f1`TZQ`f4)RY_s<(iYkr*%Ba^6qV6&dD>#v9}CJQ{m)!Y@A=dfTz7Fr zOwqaZuWmCma_U8YTCgK!iqo72Gu=9mO3q7NXqOh9(O@Yi^=Q-648f|`cP|Pr|JfB7 zXIOpis{5=3tZPhP&56m5&Oh1ws4I}maOF8;FCDe3KgCX|hjKriysEYBMy_R4i~6xj zvEa91i}xh0x|_R@rT9xk<OL=<Dcy-@4($EPdQmw1!8eIi;qV8`q`nGV{*=W1b>k5y zW}U4U1gdr2yFVPu;4EZ)*BF>yxHfnG#mCHn&*xRWQ8jSXUwrP-sXKLxMIK4rVdVb0 z@w5|j$<`;*j}{y?cQZd6p2Iv{a<9crHa_E5f?ZWT+nzWUp0JpAL)Ompmak5szs{B8 ziQasSeGwTQi^ZfKPu*wJxgmY0-QMoP>N6htT7M2{OkeT7j(h2gb?aG^G~};`^BPWK ze?5K45eK;hCwcWVPZ<4X8643RKK5eyb4|C`TzlWEFFfbIXlK`qw59K6wV2p`?ds}C zoBD1Ri%D#0xZuv1EB;+Ox_0^R|ERid+B;Ll!%o6g7P-$}CQp1RnXr-5S;sK3C%9_f zBd!CUfA6S^Gn|{fPQ?4Gk88o!Gc9t{W?wjNCCnC)=(O*vd14Ru&CO?+%*^WVuk*8+ zd2wHI^6{v`@0*XOzx*s_Hgo>Yqc`_A-YjXWyLtPs*-hv8=`s&|zD4!<KC8W{>i%M8 ze2LPkYo}KV*Zi=leRpo1_=Vrb%bAZZdNb2}HM8scH>Me@&wWt*&F{JT-0bs_%<_Nd zR5kqbvvsLY|EaX+*PW%uB_5oJ&tYJ*k}}|YDsCYa;B!%5mQ`T$SMKAQK5Fki-+b&A z#@_Y(9J7H<@hiD`R;BX(bE+EJ{cMjYxN~=Z$k`CwUwA99ej^7@;S%wKw_Ec+Nn3C} zZnN?$+_d0K`J5!dTed}88aO_+S$e-n+!XwM<Jpz$H{M-wepB#Du*~YK#BP~g5z*z= zrA@YdY<^9rHunWjX_;=!?Z@6F*%z#$baC%FB_o@%IZlF`_m#i0yJz{8A%AXFNA0;! zt~cIaNuE>8BP4h^r*w&U;3w(hI#Z6EW|w_#&^d=a-T&xqS&e0ueiJ!1#aqwvXt~`! zC-g(Xjg`MG9$jIUDSf5bXZe-m_}r=<VZPWSlho&09n?H7>5+GNeUWv5Px%~1!Nupw zr!^!t27ljhVdZCqYb!rjTwD41!nKv|5wET!>lD9ubm>u%JF}X&<nw3llOBD#dgM<) zV9fDXk0&wnoVd4T>q*71nr9P~*PK}|EjsbZo<F-fXa5i>{$$NAD*iXjns36L)rB$& zI|X)Jd+T6eT|Y^jPh|5P*Ci73<++0j>#kX@evr5~`1{6#E7@<nxZ<2s^h%H|ZT;e? zuM)FmUmoRMs@o^#m#3s#VzPjv?EN$L&nKIC9&cLjc>61pS<S1G1vg&lFdmIKt|=1k zZEofLB5`u?_l-AKvfp@g#W|<+mEbnZuM)TI&&nQs#PhD;B+F;nwaT;Vmp^{7=&Skj z^YM@J9_{(V>2)V8=DpUO_PcsFkIPM$Nm%dAXLvny3y1RO=YECVMe%jd7TrF7@_fvf zUpIfQomcWp@h7`@Y}F*~@;1SBSLE7ur^s)PnaMum<s|1b1t$gDcJ|38XYAAYHuv&p zWAWSPGWBM@{~bHC{l(Ksl{@CtA38eiqr*Q7PqUinPsclNtoJ>uzw1Wc*E9OFZ{*5? zNFU#m=VRV1ReN53EJgdO>i-8x@+QZoS|2W${w8sT_cy~Us_YVvCOOL#ZS`(R)w6W# z|5Mfd_x!{^SCW1kTkH>=eE0miKYWwl<nlc!m)N*fazcFZ#?3RIYRzfCsdw|Z%ygNA zb>4j!?q1C^eD-v?SoO4@Ki^JzWAW|z_U;`KHX8e5kBC0X`<W_yy-WPbblqpB(LXYO z6?VFay|3H4_Ni6w^_E(%jhD>7Sc$~$uraF6y)xnGboS$xAzgttqc^qPx^yGrz`Z-l z#WNH7y_em1J4v!^X9~Y{?52KMJ<9`S(`Axo3*DId<kIfTv*%bH^jhw>@z6?R9sMQx z`Kzq-qnSlp-`v<{R_R+A`S7#Z{2hNbZC^P(tW0O0`Q9ZT`g7~1T26}#f4;Z+fq0mr z%k})VPA#sVtZQCIzpa^~yDhOv^;37JYRrKkZS@(MPeo3zos-x)wK^j6sa@F3F3*j( z+9a>t*wDV!>`n4qUiON#!;0^26to;RlX-k^`K9bKrq62o^9`DA&-ePitZPlfb2XKU zM5nIlYAF?|PW<bAUp(#&RO;H!mRyl;!2h22+2f{zOftq19go?%3l1e1`klI*yV~gP zyD86IKKh=zbY-H?_Tx`ELnj_BsXnwYXwI3lRx|U;cce7E)ti|#-8;?V*(6(;(vx<5 zJDxDK#~SfZ+f;rgbf?K(xsq2b>~pN*cg(dqY&UCP!1`B9ZyH)|-0t}+uWp_2dxag* z9`-B~9;;d%T>Iv?!cIGpa4ng&vBAIF+;r65HJNwwGvCz?DS4E->Wz!x_D>Igh;7(3 zxqaf)xivjXm$WhpPlX-48xnOVr|zcKj_sS03q|it{yVYj?8be|_U+r+kh*U3j2{9z z>t7sBZtSw-(boP|5fOUv`0-a=c8u3j;+Jjwb*0mPeaijg8>>uA66aoC7|ZzjE+32Z zoYsuv(^vJ1+Hgz@`>^0f%)#bFZ&rT(Xzhw0H=eE2Ia;=z`7qyhX8yLje0F6!WtrZT zS-0GHT-LGS`dfkI$(I+-Y}fpxT70?jf#boe*Us(<w^{SR@xzKH_M?xv<aqqGDk>_X z4jw*kT%M{qZ?~*O+zwfrJw?_(D&CfHd^q-2VA1y9bKG7$FYCB?-?A?1b^SqubTNDL zgvRVJZ~JHVcb-nJyIQ~SwZq!CKcAi0n$?-Vtn>XJ`SovH3NCKlv)qq0X4RqY2SuWB zBH^n)B<%=mo!<T$G@%#R8s0EFwBn;}fM}fHyYPoc%RQ$03mm<BD)J(eUdTh&4g0zD zyO={O3}x0FI(>Md)}9)fb%(SMOw`)rB(wIA_Mwehdy?L)u4$6+3pv*%TCj;rzbSYs z|BJ-HRCW2~+SaTV!8bLJ+&twccrLW8t8ibCw94+5?X9QcSl)BJjGwu44_8Heuk^w8 ztUDTZVULep=nQ%I?4g(G&Yv%WeDfIN!X`&|bYI=!x+9=h+J1599PO^+(3E4(H*CJT zLvYT@W4(_`O!Ih_gT~A^Ntw&5uPWp&SR=JvVEU@U?t-0C+a->#wg~45Uwxv-KmGcu zLS>04*ZZj=&Z`QOC1QGKw>w_l@lztAH@acn)g7%bqI;uT?5^%O`XcnG&&!4BqBAR5 zzpOaco8VxYCvq30CAN2V`_HR8e$EK*jc%~Kx}$YQY;SZ+<kcNVXG9*|`N?o`*O@;| z%fcVK8LX8u?^=0vhoR5PV`mRP$+}bHv+9`cfg@RWoP1Us(>?Sf>rPV7>PIoG649<{ zj*Q8x3YA~P^h&#a&$=V=F2u0AeMf9-c+2e2ilaLo?oycjSN!Hzr`cxz<7$5FY&g00 zrGJy>+WO1S?A7KsKdUM6KB+L{!l&)I|AK3OPwQ*#Gi5!)e_HI|!WZvv{ds;y>%o?s zPfz2`G|!z<yFc~Bvi8rLUOsNhVcVfGyJqK9-+gONrS)hB?(7k1SDo0d7oIx#!K|F1 znCZT4(wkmBT$b}|hw5y`Z70$?o^Fy%Y|S|qp*eNp!;X|n3#~}IKF_d*$CE@KwWPRO zXhs_Pxn_Kh4_fUPlM=C%sp;y)``dp?bBb*6QD%uYb=v5w%yZlHQDVDW&6_J84Y5+3 z8>5v4zh$T#i`yzBk{u#m*Y-WLC4ZUeA@g%mi5ANR`R^u8{qbVmL<U<Ep+(Exl)hZ@ z=()Esh_h{L)>@l2!HxZ2wKz67vMQRbQaGe0dgO*q_{PYb2W;$GiV`A!wwu*!PiDIJ zLrUqz!s?Hnf$ltaOP(xT-S=aer#qw7YYi85S=S#crfVN#k6+7w)InGFz5M&FC3~9p zToybUEo=DY%I8l8?(TMJuQd+n$hOW{xtPPaL?n4vS)Bx1#u4ZG-XAZPPh_Yy6<XBq zru5|+2Y+sJ{MMhlr<nXx`S*55gWbk+9KWP`7R^5>@$2}~pDVXDFivtcFwpbu-@4~~ z?<C)*i<>+R-OMD9om$pjxas9%*UCq0pVq$a%W2yYJ<m8>bC*}@quCNCKN+}es^OWs zhT*V^_K|{<T?S5@l6rLb|K74P)V{CXAH>M<Zn}FW6W5Nlf=$z<7FH}3Y`ZS?@q?SP z-MuW81J=DQ73;+&JZj{UkXv=&u(IfniZx9P{viU7R&puX1UvRTFFHBv=o{0ucanvj z47S>|bhWhCSv}h8=`OOk<cL9#yPVsL6UPf=Kb~8#Sb+c3=b$EyWf`gIGu8<5Pc}JJ zJ=cxN=YmJaN2yK&KV`#XY)kDT!w<B7l31bqBSKE0bkE;+ZIe6;{LM7qomM-{eDlkP z0JB<~NoN`QQ;m-Z-|Q)HHcPhAK0dcpWbP^FJ$>h2oL7yvo4tR6Z`brqCqH<Z+3uO< zE1#cYd?5NJ&qqHq<vr7V_x<unO?ja+b)x8pz)z_x%Tp&O&CfA<Gu@Y;J>~Lo$D2F_ z9%jxm)6R0Zrx+g#T-hVH%Omv*mxbETDwgA^lOMI@7|ogD%fCG3^6|KvJOyrM&U2=E zJxpG9<v-J%DO&`#t7sp}J=s;L{zpFdpWW81sOb8Yt2581bIsxYpFaElvc!9@3wQ2W zq&{c&yz50C^CoCMXyO%)*^=1h{m_2P&-FriV#SwT?>DfB`*;-IYq62~`agTNnP$!d z>9wa9<^;zCzNw0tdg{Uiy&XH$tUK*<Lvy;8U-HacarlPEDdp^ig-;`=JMU9HQjuAg zvEq2kiY*ten{LVW;<E`X`^h*tWi#t!)y*IEX9U^({>thzRY$IO+VAB*^w0i$I_1%N zWAPJeN1bk_M5xS`6Fa@EUv-mY!pfYs7y7dWtxl))=mkk?F7sBJ-@WUUnxpy6CxM%C zjJ{0p<+okhBbnwgt=(|a)8uI>tTS{Y6`y&8wd|ZEnj9A*#eB3l)IncX@XIwpS9w{( zFIOkF*Ilg7Z&v#CaQQL5x3_EWANd`ye1%D#k=C)tH$PS6<Y`Vzb+A0G^r&%Rp9b5@ zj`l38M;~YP{rI#}d0)iz-T9y6rK4_}nf>oEu3LFBipxSbl4bdyOOn|SZ9gr@5t5nU z+x2(T$qoKywr{5T%2%ftAK<*n^D)XydC#P?68cMxg62$dxu+a|LhWGj%_S9Tvw8ee zFCUKdH>$On7`m_NbXte|Cdr2jbB^s$nmRFYyUM4lANS>a(r`A@e0NIiu<Xq*1<_`; zcP9Dnn{y(qLwb|s!(BPYcBoCgc(~B>$?C_UH=nF_RG29uo-+C2o}4NR_1SjkPNX$# z-XxjiZE2PLvCu~=xMA@m(MKIAt`<6xhI~K&UwI}Uw0fCyd)u{Tw|=$p)$kpE_CWsj zAFsfmhY0~)JELD6d6rUP$syj5;8JPn;lOzN{|ccdS*e9LRtmPoN`2fAtz6@fp>iOu zx8=t2i5#;{gdQJ|pXwFR6QX^v{e+{bpuB3kiBn;OGLO5-qlYWpe%!q5(ePM`v(QOd z&@W5nnC4a?p2b(ZJ{Xon`5tM%7}OhZ<_gDQo!*KWYX#+tO@tEGxjCJ=?9uX5s&k{m z+Lrc<S*kx2qF1OrdYm)SO+zfZC9%`Z=FEkT{!}T>4Kd1!VJld_Y+Sr2R$Spg+SO+M zFj1EqtC}QkKRK*&@L}VpHXTKl->0jODQPCm?&DB<)xq90uTA3IixY=4Wq(>MUCf|f zdSv&qJ|&s!fj!CdqU86@zvy-9_>DkaS@{(Ak|XXj`y{r#I&s)h_NT>y#SFhok38p= zb-l5Au|)NjUj}ckzB=4~J5N_uK7V(~k>z%>hHoxRY=3L@X``=u&En;Y1<sd<JY3nA zWOMP=<HY_jdAm<?*)M-ws9vJn)o%4@cAaeHj`hm&-%CUutm|{SbJ6Qn@^-T@rZ<;7 zI_64sZg5sM+{V82tbg`zKGTSw$1f>qyg7C(=lJGV{Yxdx1iPwNUag-p`Fc`s()Gl* z^4_I_+iqyA4UT!W@n8SazoDxuPHCMiZ+`TJ*-Wd9``k3)zelFOOlqjQ=q+BQly`FO z|KyvG7G9RW9N`qf8Z*gvU;h+eyXq5aheL0EDeyI`yr49jaoy>(j@_FiAMVRJc0+&a z#7BK9pJqM`*mhc2?V#h$B^C;^d48u}K5TdM%f@gs<~I}13d~M1KBRZEXQT1%0PiEZ zJ8uVd+TNL@BX6#%eSr5QSE1u3r8$$=NQiG<+8AA`v~Sh|rlz+y_bw6<DR5L~d2Z@d z=%>t+Zu;oq2Dh3y*E|}6r8o<Nlm-1VRE~Yj>D3YH%3Z~6fB3kGQ$dKbh`Y(54PMG} zVi`w{*YvW?SUypp*i<O7&n@XpnD)VNS<#9ci<snRhYBQY=W>b(b8PX}dSL6M=drni zS1jE)!K;E{itl8}hkiG&SvueGb{F9;J+i^UUGCZI6US|2KQ{QgE2_QHIFuuMbjH-! zUw(r6)57HM*{5Z_tYDwHP`T@+Rnm-Q%KX8lPm;U(n0&4Uc6eGn`s?CuSeE(qVeYEA zhWD08J=9$_k)hZ`C~5Ju;+CaSog0t%>xWPHq`Ncn=GtvS$}=(zx<0Zc&xo7%Ig5LS zS|m&G>C20EsBVul<GglK?P%1^lnCY7a$%>J^|x-4Ojw%pNg+my^{B|nq!~Jqa%!H_ z`a36yCN!nA&QOdLH1i7U;eG0;`OZXiLkug+=~IhM7+HDFCH<Nrz;lV?s6(#-&xMZm zJgJWt{goMiWvRH#|FlVw<7m*<BQ?%TnE1OxA0$uYVww}^*pc`uP>Cnhu}2YHy<S~; zC%OCkK7)leEnQ0*?5va|mMM2Fv`Ug#q|D!3`XqTm9}~}&zz)VJ&!0aoa2&Sit&mtP zD4%R1l(5CksppbM%S5S8L#5pn&PQ~2-g~-ZVc&z$vRaP_Rv*oF|3}mAq}*IGLuEFP zaO&m51vkGK#+fnqOg<}+oN9bX;%1Lwl$NrqzULF;Um7=6Bs6D>D5p$*7+c9Cu>Hxr z6U+LKZ<2g4F{iCUW455&iL{>IPbbD5zYuJtbN}Go$u&RUz3s~Rq!4OWX`?aQMq!Gt zoZu-ne$G_mBc(Tc3Vh9yW2T;Zv9LYFZJ+jT?Vq1yBf}$?zEGOYGdK0Jv--_19|O;6 z9bmu7^D$1Vwg26voxB&~H#zxCU&C=(Mf=zl`(@LAbryNQ%bas>(qx18Un8RVSU0`B zemml<;$GG7$J#jKZW|n3ZE@Fh>5j-VzOR{>h1QrW9%U0Y$~j{;VM&GeyHuUqBKjh` z<<2iPnv!?YcJkaGQr5bYa=Tt%^2lFtSbC+x(&(c6&3kj1j{1F`J7<-g{O0FnEi>O4 zTc>{i#qyhRZt7;%_e*)C{x|X8WX({@J6U=%Z<kP^_quG$r8`uw`<rq8I<0n;;bw}2 z#_XDplYQmOPqzwefAa1`TF328k`Jfl9NVEXb;hHmDxY?~Xv-0bne5xOdeg}QS2Np~ zX+~);^h{ahS511lczFuz3)M))D(|osyGf#p^CP5~Z|d%>zIk;fkKmo_f=8KU4ewl; z*zWFFW!ZdU`x80#rG?7(Cq)O#_21Opss2=Fb99k^smn(ncb@a5Pad}R)$F+z*wFs; zUGy&1?YnA@E?(LYI?2_*e7C#m8m73DYV#FSCO_)UG1@cLm;Zgr<>S>ipBOL8Y5Sou zTX5d#w4QTMzwT*GYE}6pcT;uuR23h)Whs*v&CdDcr#^en^^<Dv%Ql_-JvZl5pZaXU zw$o`nPlF^Sr+KG7S^b#n<n;A@+NqP1rsf!}ndZx%opSkj)J>iZerC>Vrk~}IPBA`a zw6aHTnkPuH8c6Z%)X7QRIiF<r=2YEKn{Bu4bXtS#Cds7bAzbpcs@e|rC%G=hZ&KPb zp(?9qdR&!v-;3=}rd7PWdScQfF7LfTXLR4GeaMYi!O+y2m}%+3ATKAS7_nBc%Ty{c zVznUuYm-OGjc!ai7dY-8%ThU{)_df}ny{v%iLVZ|pAhR6$hpdK)U4Ma=XyuGtklPi z!ODzfnJP!}dR=ZTo+u%F)yqI9EcS<l)EdDiMX86sWqJ*GE_3wXGJTZX;>Of-h2wr% zhRUIg-Xju=!wwx5y4uRWX`_^)#B#xX<(D`Pv-DO-ED@BSY$BAf$<4_pko!gQN-idy zV8@Q9S{)mlSPjEYwQI7qKRs>8`EX)lrLN8-CcT#(4>t8_guUu`xT?=4=JLe;*H(|D zIb~aStW=icd8u*Ca;=@simP*eNZgK!tLg4|FriO_?{&w+jy@Zis}uW^t+)ze+!g&^ zXdLpCJ!%okS0#Mpap79KM|?Y``RPPkEt;`hx$URbrwtD7c4DtI4k*dCMyy%P;awt< zyfRFlfA*GNA0}@1@jl*uuSoXe4JUV=;?gIHQ~PSxTnlV4wc^?sroFQNY}9?O4X(;I zG1om>K1+2L9@NgQn-pCXeE5>mihGl0i%m<4cscFPmp6sSTCOFvC0$QUv)phl@8sE_ zm{*3@)j38RFR#5MbMz(qnb0?4XSCI|?oYZs<t^W2?yanEQa1T+n7%YP;G$lF$F>dP zj0Xcm19-w24|9lCyjYd?YVm9?rY`}G9rLt0E_kyVR%xp)zp>onL*(LqE{<QT8Wzpx zlK8dyz+nc_9}+8?7@9)_k~VND@dP^d%ns4BJY2U_O>UWnS$v&{^#N|x+78Fa|2}Ux zm_@DK)}Qgyd-(CCx_A7ZV;!=QjNN67n?oE7f>}k3Lk<|kvdS%6dEj`6D2v3ZCV|PD ztJE6Uqr1*-2-x`Z%JLg_&$P=LD!XKp)+M>mSbW1UZTg$|C@xuUi;wCz)hyQC5IHU~ z`{9bDY73>CkNPe7w9VoVi_CtsAjw!JAcsF%HTn<xLA#^RlEXJ@e$y~JoOkrw2JcNr zuYWDqGJ7xH<-0L<qvSTtZS8+2>HZ6NyDdrhPEbzQ?5?u~&KqrGrssS#{E^+|TM)WY zbDf6SVb7!A3OqL*Wxu1ZWp<GD=rW7-H+Y_l+&&z6Qf$7S{o)%e%SC5Dnv!I^CNPJe z`~MU@`BPhuUyNM8Ci_qKi&pMUA)RNFo9ve!)~F1hC7wKwi{sg<hNM0&iL})R4(mvE z?6VGLwb>TNc(g>+z$dt|eP!gy+t*AwoAQEkntHp=Dmxxci&%f7=DzyPMLpS~vlAvJ z1+NLqX$zgCd+f5;(Pxa^Nya+iIr~md&oQi<re$_e=;*SDH8*%_|3z-AT<pC~!roYP zc0yayXR+{{dk?zK-j3dM^vBFaH&`Z%&Q6+?^!e}Hr0N+8H<SMKgy-B-6`7s1Cds%b zFo%D!YIM*1z%E~dppBYt8fJ$Jj(#)vJ^ymH;(hb0<vB-|zPZ$45WVr${LAk&{;dfq z**{fFAz~p@*Hf*8h-FOt-v2Fm#aKQ5ag=nrafoHN=;ug1^q%eZ<IysKi+i7Ew<OGS z(|DHElGx{F;}g>H;)nddB|=T#r508!7i`-O>N_hYdF;8yaZtNAV9ynf!`!_UI~EHV zTFlFAd9cz=V_rtf!;Nk>HbET{YW${79~_iLzMCE@@K=_5r{%&k<GIwsgIkL9jx3N| zB-nOP>Z5^&V$utqD;x(IdIP>(=Ww>~t$49o;Nx*Y`Kd<T`?tSd`!nFnB@So*-ij9s z1wN|h-8FT(;HxYWYkKH{tFoNc>E<AwDSlcCJLar)adp`E=D$`$zDwwX#C!jz@=gAu zf4ipl!_)|65pL5%8$6Wd#I#+ely|yuOv`HVca=(vSSZL}ETY8rjji{CX_&IeY?DJ9 zB9-N~X}IvbSu3R|v0kvtQR-oAq_SKaNNAyyqQo-6eNV4&+%L{La#*9aqtP}>nI+le z&~=?&1D-1!^BqK$*uL@f3Y@vbaWtpbV9k||_OD!m%++(<IId;195<DED4g-_fVY>; zp5P9N8~LVAAKa8{vaWF)cJ8gHSSMh(VSZ+d|5>Sr!JFNFTv;Q?&%fz;h0r16x+P3a z^FD15om<(!^`kG2Rqofx-lInjyjv~UWGA)o#ah9(IH`{x9M>@jGw*JHq|EkB`)vE8 zt!@<&tCoJ+5T>|DH7%<pVV9f6vdosmRc<yufgKVzCYw4Lcq)qsn;bImQ<ihna^ZRN zPU_+3y>35h)(hI*&u%&YolB5;_hdH?JFT@^Y~K<pWs{Q<%CC2{=YhmmyK(HwXj!z` zO~NYk$YJ-TElCOW7dZNNnjA{j>ou^s#L>SK6tLO7AL5n^+HEjBw4A5+L*v>BDGAvv z{5c>dNc7RewKf{A%cZsTFDyFW8_0MxL)4%ri1B?v(c<c)g#HU02Mc-wcrJ4s4(R=0 z>ZN${z%`D83cUe5S2zwc^j5rBFJQRgcV^3i^==x!GFldHceD8t)RFOco|NJaz4;RU zTRvs>8qB%W(LR|=kok9u8%JMu%cB`?5`9@m4hyz+G}cBav$UHyfd<{!O&%rgcRF(5 z-g3dFy;2KrEEa6LEA?@Mw_;Ml`zss=`FaC#u5%oA?5(KK;1{}hhCjriAf8p^d&q%; zaMl`mRpyI_wud?tc(aO_haM<!W|cd4!olR7si;6qDC1F4QG=MU#&&NbfwxtGtSsUo z4ux^7JkLWOJY2(bB<5#apT?1possjxj`-|!W#usrd62k==ZJ}=BP)w=sDq&=E6?Q6 z1LDu@mwunIWdG^aqLXjAq=|B<-C*tdSk|b2tL)(6h-r5J6}eAd?KQOII=DinH7TX< zQ1dsLqlXJtD=oX1XvuYOo=j^}dEcQ8+U_4W++Ee7Sry&qbiva7<Asx}Ix>GMZ`Edz zFB0kcZpp>}d2QDW+k4M6MDmM7+OAu6wNDRo_0gEU#?*IP*v(+qS%(Vj)qC5k!(7i8 z@)wCTwOeu>T`bdjT*I=fT|Laz$HTu^q$%B!>*!~h*5mP(T>06nmD1LSTXG$IEz|la zukX+YGxvvkPp#@$`L4F_P<4Kv(?>)1hlQKBwyRvP*!29INUQjQ?pTEvS>hVIZnEx^ z-@q+bb)#!)jPR?Oiv{Lv4>j89R$}0uXfb7Rg+)%N%isPd8Z7R`B68hNHF%B}i}0(j z>6*dk|4f5rd69@*`ZEol^dgacWznLBt@BO?a_~LT5D_mDY3sJ+;`hvXy)>igbXntr zuGj@Tvcx6U-DKszp4+tIFYj)Lg3EdVcQ$eJY=6tdFP+;I5qj?iYtzJS2UWsm2bMJ^ zZi)S1a7L|TX<9?9!i#)yjbAre+xC?mbe5m3aBcp*vc`lBu?uG8ic6&3WaT%`ZHlN$ zyTRJ@vaB&_R&2tIEODEjjhsnWXC{ejsNH65^DH}f*k$&FmJ4N#?3>FD9=6c0z2#Zw z{~+|+67Nqc;f&Fnt6z5dZcwdeS(+ifA&Qk{b%?{pAXXmjkOzr7xoUKR9UGQvacp#9 z72LI2;aKrfHIdn(>`@1dS1~j#*IH1qh)M3t3dqRjiY5mB5P?VgxRmY$JNE4MIw>ip zt(wkyCossM>9*Db;b~kFek%_g<`w<1V_g%&^$>watGJY60v&r!d!4k+3GsR-THxua zxNqxHrhR8tG(6nHWiuzZv467`M}Zrw;x3K7H;%I%<=YUq(Roeq8;)#I>tj;W?rHqb zj*UI;#&hzXj!>F**#FP>xBTqq<=wLFVYS_T^XWWtDbskn!c&_cCTE$LToo?}ty#K1 zLm)<5TIBj^w!=#oJybm|8DjRMC(2BtZ5nS|;>w9K>1X>@n-g+xE{KRQlMqvNQ}*n; zY<g0`kYm?$-Yz-aJ)&K1$JN^At(+#488pkaXvxCV=A?+52@?O5?F(HOCs%I_iTAv6 z?a@UmbxSoi*Xf%MUeL8HNh-;ScUT|d&1b7{R>|_qflZEvJ8}$seE8-{$WBi8UHA9L z{M&zaZ!`5})ydWUrxY>m-=c_l{~m3Q{B`>9sV~#}Ltn0E@V*qUcx}tS+}Zz+)R(Tw zeDe9#>t&l<Ke%nuxaTEy*!twB4{@7nY9_2<kXO|{a#}4;G4ZO||0^Na0}k|wu?oBi zVLYlQYVankvE5ed!^Ut{#&0VXjx>txJ2S=c<DPZDFE)rA_kEE#os)UauaEn;{N!gc z6EoPa`rCKIf-kenA70A8*X+IDR!8sew~b4_%+@ztdS7wc)<5sf{(t`c=y9^_>)Dh3 zKYVfH*1DI!``*qn_<jAq|LpoLT{U?Q@8kc^H~X&=QrLUkXV1^;|MO@6pQ_ybq}Kc7 z&%PxWJ}wtC{kbiuC|K;|@9C31C(M#`zaQt7cS`B!fuJHezTn3%c6*)ljZ~Q*;B}5U z>b}LVb6~aUQzw0%aK*T9-{L8Ernr8Zx%7$63ZCA@`MRp+sS|6eG%fkLnIFH1hG-4* zk}G{8UGVqCYzRNfORoHh-mi=1(<aT{<YD*pdS<)oqvD{bN4$~krY;jpipo+pUN^IT zbLY(ou}GEqAztUqPd(fCd@5M=#wm01Kb_&*y#{RY!KF`Xm<+Ao`~kb_`1DDid#Bw@ z6rTcCx^Bvx{HbU7c5eVH-MI8g&F18?8?H;AEYq3Puc!L_w&>==dU{|NhiF>f@0=l9 z=Bc?;v-^p%_sO4Xhu>`Q_6B)feqw3FzE<--TlLo)+B7Edo$u3`r)6OM<`dX?@?l<n zRz{l-uhRe<<PlbIZ*k8N?afWni#)7MykC`?{JynHXQg7-lH^TGYT9#UN=v6*5pcaE z8F^{J;+w~>rFilNs=S@7%4<FAZ+0$N$}#fNip4j#UrPl`O;_!;p7l4Y7A(cM|C!+2 zfTQO9>$d-^oxR_)w~yEKt#!g=pSow78~y%RhD{W>BOn-+^<iySir^ewb=9M1o}ExR znUiN}+?Aa=Q%v~GWY0+niI$9qpKdzS#VK}D#dE`gIR_3;-SkFO_{;>)NeO9|js2@r zZ|bYf(4DMu@J~?_gZOE;j^b41Lq9hOK6cXX-zU7-F!Q^->f{Hn`fJ{Y7;*2=WZJbU zR_8>Kpo*7)=IJgmOVxw2s;N~{&$Kiz8*lV_!*1kJ=&2`o*!AX@0!OpjIn&QFY_Izh zI(xtN%$F<k10#w~=zdakzB6HR>(P|iPg4>NZ>m{Fwp15wS}`N1d5(^jTC~1;cVW1n znE2$iQzsuinvxxLYs=5?_qU7Ao>h3$zv<(n`Ugjp@7r(B&ONYjTKx8(^7qR#G8f3c z{<n46%9RT@Cdb}>A1!^${DZ;bV^^g9U%K^g{iEOic1j)GGVA`9pIcX5{eR)km2I)N zpCui;oPA_Tj>VSO7w()gKG-8=s2Tk<t#E4A&H1rb2S3?I_s@UH>Qi7X&;wdWQ)X?@ zQ(|2)<M|qvqe9EiZMd<rddB-JwK@f_7?)Xo6$qYN)uQQVd+dn4wCsv~TbF|8+-Ige z_cCpY+$nYRO-yg1-@0dsdF!4f$E_1fC|W0$<hV{OG4ZVZ)}QRo!m;%eLs#a9A9y6w zn&5f%f7a*wxn7L5pH-UbDurDC+jJ&6%zKiUFz-onfZt8cY0orxP8W&rpDq@W*DjjE zpj|vgV0F<Hj>E-MBx>Jy6*K1lJGxF_&p+|(&nBNYm+1Q|z4-lHrt?u=oK~B4<)rrL z%1QmpEmN0QOkHfS=S-l@o)dvJHs=GGY)%I{*_;VfvN;i$bm#x{`kc?&&Y2m95?;T| zUi+W*@c$g|PsPk_S86Uj`@h2Jus-kG*IBb(Wm{b_*|N=Sd(w^hy`j2aJ>%Tvv({y; zjENCxzxrp}+5g*K$wu9-{a@>>U3I-9BjV$aea@41)H*A>&3N3u`ec%=k^dv@xt6|5 zgBU*-n>H!$lsbAbruSjQx@Qk7);)Xd5+|zR_S};v`I8EN@@EzK$DfukJpQ~yK={)V z4&l#BBqpDUJE;1ReZSH)?FI99_IOzxJhn}5Zqn-D^cy$Ba`yA=y`k!Vi|hKrm>vE9 zA6?=!yTKQCNfWfqPj=g(QV;I(RmTLRXU~q#%6|1P=)lXGi4T1Cc@$ogNzpBRd-SAG zeD1C{0i5e*7Uo9kCd{>ToRZo!U2mrUA-$Oow|am3a7^`g#qZ~TsseM0uFOi@?EH1Z z(S^Tmyb55>DPEyiW^si>UB<L)qwRLfSx5O6@Ap=dNZ|AG)LYOVtp4KN70q3irETl{ z?mDY4f46w^>M9ZDSfj(g>kCiF+3iS?e;-rSaBy96yTp@8%3q338dm+gDe*)1|1a;~ ze5SH>S^auxE3RI;5g`!x^NiJI{lb-77p@IRzj{+hNb&48HI>Xmme*QhG#}(R_qi18 zIXErd@H7*jbx0Gt*w=Qci*`vx(J7j_%Y?qHIvcL}%Oa$0AKz8y{ZXISh+a)G__l4% zl}SDqj%#)A(9E2`c<oH^%!CEr%Osvm`suLcn)eA_->njpR(D;Kdl<lZ%sQk?eA-I= zV9>#UR(_M7Z211?h1`ui?{DWP>7LwnW18;%&%0N9oZG$mN!zP=m&(e&hJ~I#_amY2 z+lhV8mwz?nGd4DU%y*g3*!c4qKI6}4x=$=Rv*3(<+49HV|GK6Gu%)D>&f2kdq1d~O z6}{|IuO2Mip`a1C?a120!Y5fGo;Z5*g`0(5n50~C<3R4o6M+ZS<X&!QJ#LlrxRcL% zhvvExFN^8=7j%#AvPw+h4AZ>Xx05Yr@&Ug%S<kB%XWV{W7xvj%?wZ+^Z5v%}ci)?P zsLz7?;5n<r`a_XHGbCRt&JcZVXkdLUIms_(8t<Fl%`<Mur*AA!IhXV}W6sfo8!fuK zTMNbbFF)3?OY2x(x-K}jI=Rr(db{6?R7Tx%0h&F+&%X+&ORRs&ruJv8N^|L4?w3CM zwpm`jGAr+Z%g0@*7bkhMi~0PDPMkgKb;$h*i(P+giRh}_^v+LKcGFqj8S5L)-u&fn z5GupKbmE*+)he9{p>ju$9`;)|`N<ximpP5DLCk+w>)pOJ!N0yMa?`8C`q0(s+tyUw zh=2NOruN!-d|P+=?6bRlDQNbgJre8(n<UyBe;V>tF?F53zp2@;Yq9B+gsp8-5la`U zO*^f*I_XwZ_flPn3qD-lCQ}}G^O|l5arV_&J^7mW;<GRN-t7HyI$4HAJ4t3s+7&*l z@C(5ltFP`nbLs2!UGFxrc$-`~a65kG%Fc!RCzah^@Ywu%nNM<W&Xa}SbAIKl+c(wE zQTfPE{Ue-iUX|NaFVE66xiGnSib?gF)kaoPg4PSR++HIRp2&Fq`m@L{hFve_U#**z zR<ow!tnu~E*zJpzS8-iD_$B<%)fGZsiEWbv&x9B;Z=Q1INRH}e1NW0TXF`qGKTkPx zv_|#w28WY1Iw7HwbK^rU_K1jSN*+v7H8u!6>9gnW(yquC>w4V3@ArDPVD+S(KUUbx zYv=cR_V};9XlPo}qE`iJT>7D&vsl)Lct*`wlBFO$IrPLq5z!(;pH>^6U`6@Msp+cD zvM+sZPB`>B{*}c+=}b;(qY|4LYSL?8{9C+t<=VZL^N)qxG`M|X#=9#seVu}rm`u+1 zeqN-x=l9ZCLSLrzCaY#GnBF$)g>IgqM((?q>pRz)%|HIoDzb96^<^3PNxk(VQ?I$Q zi(16TNXSmm_14~@czc$cd}g$1d0Kbaw#j$ZS8pmQ-eu?f-0sWYsZSVEqyGFlA{Qxl zNUZ7Zrl*m?yUfC;znzkQZcF0YoR>EgeV=pO%K2Njw1wsG)e8q0Zr+J#ar8gp5V_lQ z`__^Sp0F*^sXzG&R#t91F{@o_R`*A9?MLR*ALmbcyM2Y??DJd1qPTaay}GH9t?)|o zTLbT=Tlt)7Hy$0@CK`XWcKb8_3UBRer;V=9(!HR3{hI0JHd&R`dsj6kY`B+@&~Yze zQF~5L=G#kQw;PN%3G2;Xt1VVNBWK2mOTN{`6U*06?pc4c=7QGUlwPqb6}8F1T(PAy z9xSeqUDCx{b0Jaq{ZtE!$nU4CiW@Sm_XQv0?fM$l`EtUXL(;Np6>AT(#l76nIsH+x zqiW2nBipC6U7GnJW=~<T(H7GOGb{dYVR`w@Jbc0I?aZ6zg%v&7RGMkC_V4Fe^4UC& zU*-Q?jlcHCCs|AW?S=Irof`wUhAcY%=&4zn)<ySAO04C_rLtca&8(7{*6Zu)9$hQv zp7OX#@cGSk&-Yc>>L1~Jm$|cX_oX+}^ZEDvlr~lUu`1A)H|JV_@NH?;#i7z_r;hF1 zcEZBk(JWw7HOs*cgMEHG{`+gjuIZV~+_Z6%=gPItACnG9+<Xz)<QQ+ImA!9LV_Ml1 zn?ftY%m3_x%yzMEh?pi=6j#Nj-I}~<U*JQQ<6G|>;M6rQ3_7hlXHxIoTv@N!`UyFg zvR@w%W11>7=U?cY_JsH~#}C|$=<h7vD98PLscP0r-EHpnH?KAt@m3vX&HR&7oU0f7 zqQ~yikDa@0?ly?`Ux>aHyP{do-Y{mCMe9L_3*J>xBAT&ZvsN_PJ<8SbYX13iQO>J# zSH)f&O6=4Tb1t!7;lw7^xqU^K#)<>pn~aR4Y#KIih%4F@n*D#1&iXC2nP*tPPWo&i z@qBad&o9e0V<w)}31vPQdUH<fzBSdpyqx>OoSCnuK6c+%tR4HvBt6P7IxL!popqn$ z?J6U$<a0qsd9sRp_Uiagxx^?Xo$|bPU-3Sh=Vw-2FKl|b>B#L!`MeiBnO`T_Y=7Q- zylwd@Wuvr&t~LIm*T2Vi@t)6nvq43@d!s~B^dirqBk$h$hA1|1YNaIX;hHJ2+)3=% zstLVYlWGk@_5^SDTXW*l*>xh*x?~q0;h3g5v+=1{T2kwz$cU9GW?`LsJLhfKVm#&D zt@T3wuWFWQW<*?T&_4QV;pr_^Wyuv=xdhj&5SJ6s*>L{Uk_|qN9MilfbI<#|Q~BMS z?v4lRxFmNhcT#(|dP3`Kt(3<LxhyM|IO)l)p3ttY_2hBp+&%Mn)`VYK&}qt*l(@3# z#*CE;e0ds04<0RRs@Snq!7k66Gg{IvSfJ@SSJH!~rWqCs6~z3yiVGZWRITJ&e&nd* z!iw&l6{Vee(~@LZ(vxIL7K%Jxa9X91GpOi->D|i6FT1`SYx7A?{*cHk(_bhM(^Dw1 zLuFkxvwP*XSlvDiMZXPhduD}lyvyInU3qkM<n*JpZdaTNRL><n$eMHXh-qZzX)&u4 zOFxwMG(T|cIeg$n)!xHLGzu*PN?6K;-Lo_fa`2iO#5nu%2)lo0+P(SmjLfr7tP|Gm zFyk`V{kmo0BOdVsHMw%mM^+jpyy+8U^LG36;7|!yb4W+Rf;R<>i=-1jIt6zM?g=$w zem~{Rk!sb=9~@8S><KVpkDZ!YaMAaqO-Z<sylqHmT0(=?tcgzks>&~xrm$6M&+Lp{ zwMbg*T8F*6mZ7x!lrsnYRErCJPTI(X8_7>UqAu8d#-%>=qmPx=%1I_K3zm3Ya<UI) zzWHLc6Q7;evwsXbD^@zm#jTvsfBn)i&*jm#tR7^4yk?&K=J2kaemnH+Or0`Q{dO)r z%<{eD#sNK9vyUNB&qcPIKIk;bdRlirIdd}0_iDM$^DEgjcC`Esn3(hO&`D-iz1WAl z^z9xC9XhzLU~Wzm&t0Bft1X<YV|!|@by;sZw(5@dE)Bb#K^pg;o?M#!QY?ROPJsUX zXFD}l&-|1-PddvZJ^JIV!iB-hwmL8CJ12Vm$nh$!xlvihYrnqTX|wxENoc;p3+=ew ze9}B$E=v1+xhAdi<&t#Hmn+gTUnX32^v+$^nVY+7wW6cBsmX<{VLPOvUtH;0f26i_ zVafexGuMc_=;i1OuFshw`{vL$w@7*3*c<;8>^Q|AvAo|p=iHu6ela^Y{EgbPLH5_x z(`+9vmA)|7k2bk-gzw{_vW3CYW}V{OxUR0grhg=Ut!UHgYv-RfJa~McE8JL;<Nk!B zYBz6&?Ax?Atjw-ueNO(gt?^$^eRHdPbX5CxbV{%Au1$MG>k7<VSfwj+CikAom2FCW zSXTMyV6&Ib<LD)!vHNuPM$UTg6CKUnD!t@q(gu<0y+&rbU#%jib1S@^XrYz6u|e!o z?$0y#FXo<Xjo?4{Gort-c%z(fda|w9Igi<jlh0qeG;i9pZ8dBEOv$O~h|#{UmAmZI z)=$Ehrk%KSFHq_{|7%{B_AhMA>|fc0*}t%H|4t1qygDKCZAa~;suNeAN^joz%VhVO z{ozslPf!1<Hkp#Jy-jL|!oA<gCb27>beEg1c)EpY>zr%zr(J#}oZr`05m5c;ydL*b zY2oVB6&1-z{ahEhf)#i9iM($S3%n4${bW`4(_=@XKJKf0>E7q~<n5&sZ`bCO&pX7& zt9oPQLX*o<SxMV-IL<G(&-G{5m$;*Hx>Qm)=Sm{8bjgh);Z`|`d--lhEI-UwcH)-S zj_AYlnD%RCKlzhB^P1Y73Cp5)-YtrqmG`skwA@Fn@`;ybTn{m9{#O`nmOCYX`<tn2 zTb#B>>z(#neBtdh?@d!<f0mtg{V0Buhi!Xw-w9>0{Oxnrr>>XMI`k;L@_N~iva{O{ zamhMf3KZ@=rdcxYp@`M$g09}na~?eqS-!*Kq^8|BH<52{KT0R+Yzo&)3P`?^^1w{| z>=7=%jRxJpIcFYUDm0iL9OLs$gkOJ0NzIq6HD(^$ma5*qwRGLe9rM>5zV3VVUS!(R z>dQH|zb$xKZF>J%LA0~1oLT7axXAMt<<4Hy(X_b~&~#iX>p^GRrL~*eqAIS1yxnG# zy0lVH#Ozbo0zoSugP3++p38~C>!nR^914idk#;V*aWFx)%y7j@E32smKi67559xTY zf=kk3nUh-I>ItpFS}Bj0a9z9{)EYA<Z&hBXR!3RYoQaIzvL|jXawu4`vWj1oCuqld z1(v_oCpsG$xss9=G(|`(Q7~gGpO7}IujbRG89Nl%#q>@!_IejRc(6%k#||ZSxpk)+ z`?G^4_$GGA2=q+4W?TLD>|!^|g@?PFBq|mtsL5${w90kK+<2jXeoN!;-k^j>Q)MD5 zG}+DKPBb<@FM9B3rc6bJ7Q5X%lTXey&L0lVR@@YK{Mq+?hvr-m*z+zegT3xcuGWU@ zXQtMt)@^qFdee>b{UrS@*Ar)$2{uLFa`7;nSSPz`b<d`iE9dkIW_fH_+Bsiqw$@Mi zn~p~_+^43@-s`ts=6j3EZN<1V-!gKQSk$+6PB~?_jM+YBn~7-CQ|>-fErElDqDBQy ztv+)?6uFm&oH*tvT2v6#8WR(&$e$j1;&|_c(@9+&dz%+->^qdUEAtq;qSoVs@4fDu zhi!ipcx3{go<y&xRnSd`^YaRWBKD-TZ$Gs2L_#$4F0bUN_x^nFo3&7hhwF1-GRL&9 z`m?vNHHB9-H(o#VGtgwiYyJF|tKz9kqOKRWcFy^@U>*CZKIsKPvHNo;h4>^aDE;fl z<y~s)FR@H=N$KA>snsFZCoh%cy82b7cVUHLWWK_W73LBZimS7O*1XP>{ZU#F{b5;u zO?0ka@4HQTA#3_Y`daSwA939EZ1TZR3T58BoO)cddDuQ5v_4qHp`1Qb@XM5^TTcW< zC}uBKQi)jC#x`x$!cNt!jECN)TQ+)MQ)4u_;2r5Dym#suCwbM)7sF4=@Pr$Q$7_Cm znYee-&xqwoZd}<@dlGslNk*(qQ47<Y**f)6MPN;{H&=UT$Abx6k`^nR)c96RX#J~| zl02Ww^2TZ>y>A@VH-xy%7RH)RSulIUMed6t%U&8BERi)caBlZKb0v{`bIFZkIleo2 zO0EY8=R0lRUy-$Nx!StkIH^|)wzutiv3Q}}ugn$wD~+P6Tr67eN_lN?lxgak5_{yv z%BDAUZ}?1AZmer#+qQCH=i01{#F?vf9P8(;U&z93a^-*%ujxh)XI&k!W!L?sz4rVt z_?)(N;g70$zK5>$$9gCEZU|Ias`+WchKV}o``e{gf8TegC2iZ%Kewk$^evQYVsr{_ zsk7M{G{eVv|J<mBZEVw4FYH_@`(xFr1Jl0wecAE*3tQ9Qs^&)Ks^-pSCpPEr*Y<}v zH>qZ2By5zL6`_{0imCgl-4gQ)Vb`U3WG+hk$h<vp?_!+E2KUJ~Sf82pUd=w&n3h!f z!l*>yX2q0eD(vqoPabuT`?R6M|K9OS$2|0!PT$$Q*sLtH+EzbO;<UG!+J{NantPrk zY3WzaIOlDb7N+`bYJS<}1mCU4yoBB^xEds8%6z<{^O~uCq{R_$v#`+ByEJxMoY-w6 z^FUSp_vJU%ETWyY_d4}fU7mP{P5!%`UWS?+*R1B>P0t?9TTr<}JHzf>!A#CsU$oLw za;qFK=-d%7k-pA)_Tcp<H}g+(#A;rLUf|H<p4I8yl=gVh0?Qjp8G2!bQooPg@LDJ2 z6UJ%Gy14ae)3bz`3wB0mWytLlF_HJS=&k)$I&p!Z&J&}IjXZi{J#1nevs!;Pr6tc> zU^zoOL+%;Jtp1xZX*tto=FXW|D_GXQVCD_oj1qIz48Ah1S>3Wt&yuZ|Z#;iIPt>I8 zYRod(X)k%3E*?m8+`Pf_f=td6lW(mz*6(QE@kZhDs^m0JlMQhlIf-)@SVm}P$X(-@ z)jxaAF*_dXcI$QS*;UgP?3|&PVRx)R>UYBr701nnffr(W#7*QMFFI`ed&jq$bH`R? zvF00Z?3=E$=BcXq>dKRcwc<W)G^#rBAo|g1X=UGITSUY-+?Y?ZoIUd8g@|yC-yiRT z>B(&miZ{4?sEp9buoEki+I`a|;sVbX0Tb@KtY;m~9Y0@~dill<>&d5$e{cF`;%NL) z@z&R-^PT6#YRv9&+Og-eo;{lF`1ym^g_=E2Oui|eQ*TOp+`C|>#L=nKdCx1eo;}Xt zm+bs;4&OySVV<LVSr<1dHl;0Ez93RUBg2f1V^;IOre}-hFQ|N>oniN@V6TnDruA3c zdvi{_yL}*A&5zTLJDv6Hu}a6{0>=w6F(M}X(^<|QzxXR-S+iobae9jLH&aLBLgx!Q zcSKC2x3io*XzN&97=OVgM$AM$+M>5Mux9dtiz}P7V+=xNgnHQ03ZD!8UiX8=akGKv zg`79Smx>D=F4)LCF?zi5jLoy296!l3rTpLKe!SR}mb_+xrG$QloSL}{|C`Os<<EQG zTz+zX$C(Z5C+!z8*|k~FL|mSwx37YC;>|`~yXsFu2c`AYPTw`SmzI!JqJF^b==QI3 zQWCl3C;H9b^CUq=f9DJNwfvKPqaQC`EO0rfLg4JWlgrlHIi(0Evz$HjB;&i`%`I1> zKUls{&CsjjnAP6z6j!t7vB@@`3f&AAf0nZclpPl@XDp8~d)g~xVl|6vR`<lFXAcd^ zd9JZ8EBJcoR`u)$#)hFEB5x@C$ay1R!d}jD_Gqr-=YrS^H8rxfrDqdfUoy3NKJ(4w zlj%E7<mA~XX2|X0F03tiY+|-|?{lpQzWMK2&K`<(EdJnj;l-ZwnPzWg&a?JDh%7mg z5XH5fb#deFrnE=17DWDty6{3R?1Ic40Tcf1JI=5KUkN^UV%du7rnJY63wBnhWXQ>J z&+1pd)7bnnS?Rh@&Z-%D8Dh&gXZ5aZdX}_lLFJ4inI)?Z)Z`g(E$g4xbn!riqp_ji z1)UyI6X|4@vj;OAKO1;msNs2HGXLA!xF)v?(HCAYXl1DV;+oZ3*Oaz+{{l-1fxQYx z^z3<_sWvIb_=GK%UL4G9y|Vq2p)b#4Rqn%;Cy#N&6%|bJzjrt-?$buiTas%<&i-d} zT>N@=27780*J)PeyIkB-cfW8--TlfrtJk#YS;E!@J0nyx<ifaS^^49qrnVxr$<3f& zJ7z=WTxAJfosM%{Vzal3am{LVZE`d3b*kb^<C@hS+4L;gZ288oXJZ_dXPgpMiFg<z z*u(aWdsZiFQ(EG}1vev9GWf)}XLYMKJ$vZw_<7@$(<KE(bDs6Qd9y<$LrsrsRx5Xt zo4Ik*vj=k){Iocn`G)JBrlYdO$yBQwW_jX0Y<?WGIv+QsCF)&Iy|L}%j0eRVLod|i zJT@`A{!YN8X>L<m!gO#+BleABR<CZ;vxNBzcHYp>kmD<m+I^us;sQrsfvBv{$?2?% z8<Q^{?LS)9+s-n-wYlk8!ukb2Ely|Vd^U@{@Zybj1|OSidv$m0<=29;iz1KDI&d@M z;ySnE8P{T$eK6EL^FURc|7VBXJ9pJ<y6-*+$^Knrs~^!I+yAaS!hPq-<0c=QroT|@ zo1U}hk?OA2$Ev#yK2VicUfh~u`y|CAeogb7zHQYP?0>lmC!ekB>(5NK*0;QI%3IIu z)1>yfd!8hhha^qsWOFk<xK60&fhzOi%9BSF;x-jb_rG_nIIieIZ~u!&qVGR_XqsMQ z)9WR7_OElC(hQNeFAr||pp|cIpfZbln}*COZ?V1)g0gR(tBO}wo;)lU_i3Z{E!L*h zeCHR>ZP)$W=BBT>IrN@E+$O_0(_ieGGCjuT+2rpZrcAG~SzVW`eK{)O|I*^#tzTd2 zmCn5_Q}f5g_od~cMPJ`^wtScJ`tWY@DQ9b$RP(xzVeOpvR$St<lm8dxtZNgfdUlmc ztFt7pn#IJGHrZMSHRoI`vJ%VK*%%y^_h`wq=o{;wn(<}rY-Wz~+r4{Q^^N6E?Y_NO zb^U;7Y)SLE{ZW3;lXT4sLQeb2TrT21zV*&AQ{D0pTc_>bvF@o{UdGP;*}Gnu7DkHS z^?5jLn(&=#Ma<<}?i`8L-Cp2-I`_`?BKGjDcaAdae*X|~y4L1W==xUgT`$78`nS$` z&^b-IV%1Z%d6_#~pGW0AZk=XbvGQq&*7eZ--fpWm51ROPTdY4UckZP@taiGVeWGCD z^tO`X+~*?Gj?L!ScjnKYXX=J(yUhI`D9xC9AT=S4X?mw5XN=e~^@5u-rX6^iki|5; zTaz<JPEEbw=M0hi4s6qosJo_5>g0@J5f<9P)8qfZ$k4j+^r0OrdeWzbcgU>qcu=&_ zsPXjSRV;e)s~=0BIP&Pnk{2;s)Ec`Ja+#(#mU70ZT~jZJ{Gru&`dAx_9{Xvb9WVar zHJ(1aj73j=_G9T2hZg-<@*-!OYGZdoHq-RRT+SG^ZR!P)HzpoPO-^T;-W<yrqgQr3 zd{uAjM>TftN4|Q`j?cC^({289#_W}QuDB<iVzcM&+$>P@CZMt^Ceibu>zeilm1~Y4 zxEs;mnK#p-zxDUVmp68Zzb-6LzxMc1*_!rsHp#XnUvDZd)8wh?@NPR-)1PcxqAK-z z;p&SK{hjO^<+z(4&FVf^o}6sUckD=2Oi$m}3JKBI9}SJ(tugp$x9&wrpR{1PhSg!- z{;v`eVy_JiO|B&;daOBq>_J3-bNa^Aazg_%S$^guTf1M!O<P;b3vVvm9Jzmo^TnNO z^4A=9m><#Kd2gc}_ueF1v#MiNGG98r?sy>=-fDL5Sg$SLy(5t^d-^t4REVa3{J80t zRnv;4mba&@OrKZ7<!s++D#-KG#c*%CkLDM@2a7g8SpPVdtxDD7w99!GJ#Jf}9XTcb z4~j16Hl9A(&XW4&gI(k4<GbUJn@wmu`0?f<<6F0XFkiBK>&rB~@j7RW+OA{Y^@N)r z`HHa}o1MecVQ%?CKELRq{=Fv)^Y@%|pYQ)~(X-`M!P9q%#Hno*-1B3}r@2<Wx~+|8 zJ!@E)g?{pU@qc1`QGeq3LyujaZn$V3SjAVR{%PlnsVAN<OjnxUFL#!6*4YD}RgNxA z&-kv=zVvy<b{9LV(`uh)R!m*;eP+e9BWVvag=Y7z^L%yg-~|;kapy@lY<T>VJ{xK@ zuATYrSKZtLZnIxs|9JL-&-q*bI%~eH@qJ?a!Eo}C^rVDEUtWl*OzdA*EBsT2$MebJ z&zXygE=ZbA>_4}1Cd=8h1=W+@Y^*drnRxTetP}3fuesRm3iZ77+vkf%(&h`sooC(F ztC(3SsBhf)V)7BU^jj)s@_*}MBSp-YOEbrxkiN63UNF7zV^B6{-mU#zn+0tCm!>)^ zZf4p3e*V!aj(J)9qIXWz%cO7o;8Lx!E#2c`&6@THF{P%fJ}oIQx#v=#axdk9%bt@* z9#%|lb^fR(&HmVzkMGFrm^c0Al{>`pKNVVU3+0*9>)X*6RQ|}9rTnok+q<K)eeU#| zM^*^rZ~AC(@5zI}JtvQ@t(e?C`=gq?c~bPzBQtNboDo@fd^X3vK6A+(68T1jruR}F zdhI!R>}kd1X77(`;^L2d<+dH2U6a$FE6Tk*S$I>>4XJ#k8$$U;8;tLzB!%xedFWlm z<X+p4YV6gIef7$YTwB^3yitx_J=s?8+tDf;nf|XoEW%<pXxikgoN#E8i(S*v{r_Cg z&OiD)XvWkt9tOrUFCOSgaTC5gSyI=>J8g@h>CB4<r=+-v7f+J3&GAe7vQaaTTPk9T zhNG2ro==*}jR|Km9;{Ar6Mj8eQg@Aa+Ln!GGcO*TezHgJnu>8%#N0D4l0z4XnQSx& zY${QUoq5J%gVM~42R@~^37?)MsjK6gw#86w=EZ|2QryHBPnNXp@k;w*s1wK?6){1> z(du=dN1BSnyfYaIIYx^+3s3fl9aA^HHDkh=7YRv5i@QBLU)?_T$Hk8Qv(V3&Grmtg z8(2;}fBa2+_&rUjTl=oF+Pl<zGM{?A$ZcjPzgEh_wOlt}eDh{4DsUG)eY9Bg(+8i{ z8k@h;nFl$3Yd%v}Rjyc(!j=~vfBUqdu>O>fIx#`VHXFX0uH|-ISoO1^%fTD#4S#D% zI=+t&yZ7+8vDHT{u7h>8m2rU_-?YyZa~-P-tc;te_B-|I$^8@4)qb=-EpgSnp|5*h zTk`HJP070j8Z&#Fy`Ck^pR}`LQHtC=t(pC<T*vC(?1?_fu}v$=;799ozEl@x@2NfO zs;8Ve<fgiLqvuJPoG>Ht=qWvU`cr$}X^Hmy{g6Lv(#5U&7F}wJXP+13W?~S3@<oxy zNu8KrBkAi?&m8nsE&dpB(#9shNWNX9=Wof!HIoGA1RF7nPdRhMRdsRl!bvwH)}-+D zX|@S|JAC}H*R#Y8M!xduA|G$$EBR|m9?U$tA@q;vTBAjc#$IWU)=Y}DSe|0`P3u_P zH|^8hrz+(7v}X2ua~-S8t#l3i@!+Y{_9=qAF`-7n*QcC0bluDCyozdZf%8e5J0S+& zq|e^=a@!Dml4ni0k#M}`%vN5nwB-4V3U98rFxPy1aqsR)KW{8bcvCAMJ+&vH{%bdz zjdx<d(W1s&ue7AylOk^{Oflor&}#d;p=7SnyzW@9XNlXJHhz+wxZdREYOQapCbZUS zr6lj?vb0#>q{p{vLc6oplgAtOB(Ozu$A=g-$$i>rTyZ(sU)8uU^q$4R+49wzk8kc+ z)AM|Bzmi<^<!`}8+<&K@ImVz`Y!GlVh9}5~|E_3{Zfm=i<Uxa<OsyM5-f2s9>bACP z3!54`oz&?GGm=i8a^_%!>gR&+nf~(MMS670<uxP^CjYEC9KrP-6b#{C)VAH(yEsKG zPV4fPk6tHbN<u?;qA%5j9Q*t+?qI}EzSHGr*8KHbHKEs5>&b&1Tstk6IhCwk;AGc# z!drIBIps=|YZmMwCysE6F3Oe_Eh>m^jky!9D9`_NCx031_9qu_9Qr?xE3#splUdw~ z3C-zRPadt~s@$>I$xiRIVD#1d6Q)~MIG<z-H4%<qJ)w8C){_TaTsv>9caqx|qA2hE zWGDZ$d&W;L-Z)j=$Q3zbxs%zmRTG*mwVotx<EoTUch9M`32pW92~^~s9D3rIifEBR zU~7y|fFl3n&=bdXER#;ZjMZ`pzpUj_?sYZ)tgGPL4y}}jO<Xs3Xu9WI{5{o1I_GS4 zxSc<X_r26r8En^9PUzgKm6F)Ybu(hE6JOZM3Efk*o+P$%{fzi``c$}C(WKYMH0S(t z$yTuV?D_QY#~^2}@MIa5>q#<f-;P>j_;(gY?2voBp-}nQ!v|?ShmWq6Xm7u5$R}U^ zWoq7oHFliJt-B5RnyL-?n7=2>sL36%(6Q+$yip<YxS&Am*uy0Ep2LUlNwoLd{_-*} z^qb!@^Uym9_Jivr+8gH@@(JHgk`dc>%pxbJztG}_(BlQgRXv9fH%hekH~;e5UJy6G zW$K}Y66^;bO0+jB8}bReC(DTS9ks}j=`Xaf5PV!v7!;pqruTxEPwy44p59AdIlb4s zcI!gw=PMg;_^IBy@uOOk;nn-$n!cJoeh-vpOgi8?J&iL)%}uQ!a>l#^smX3k)0<~< z#^|M~7F5odd*ErZUk$UQgzS8gxxLTS3Ixx1KQJ<|Z#;dZiA9f_TX;u~j@N@C1D(dx zM?bLW@hc1Mc=1hRU!zY?mw(r}n0U1UK^@-*MjLb*PapZjqQ|W*v?FJY&x1wX&sp@u zR}1g3(eZomX`|Y{MjfAS|E_aI*}^+GuBjGCM$A8u`e-$a9=Ej6j+`8y2Spn+8&4m7 z_QPwdq29eG2{n5<-%Wh%E2nmBc8$-kC%;YazdT@<5HWpq?#oNpE*btX?-o33zvAaS z7Lyb!DT#G$Vr{1XS3K)?o#Ai3{PoQ@D-V~vxt3^E^kPHn#IyAw%?#Ir-K&*<8@KkZ zUF5Z4;n&@!^#@jK_Dnp<vRE}!;)mCwSrPhyx@#t{FuUdz!oFH{Rkx|o)x#E@uab3^ zKYJDF+cRYa%VL#PjUR=s9_iRHD=|Jl)xK6nE0D9zGvrpK%BoI9p{s`yI<t}|xRe?M zEZQZZuxh7Bm`6y{RH3T}v^ujMd3R<d?sVC@(PxoWgi>Iv4)5xa(_1$EnyVMcxy&<! zSy*LN=SrcgheSHFk~g`O8h9?+HA7?7mO69qkS1NBs|Uh5z09WwT|K1M>1Ez0boC%# z=c}aj?8+Cb@-;W)-JP_UIjPp_@~vry)}7j+=Fl|v?9+utJexIhCNnp!J$rxk&oYq< zM}l75SGvr}wuOVUl=IV8XB#GFmfN%IBR<#iZ7E%Gc6ss3V<Ki58o9mC-e36>*Lv-G zdPblhm()Q~N8^IX3qEtQ{ym;$zb0$p$MWq>0&g<^O`c^xNpM$dm||0N*B72Qp#s9c zMUEV*W8GNj;3y*#EFkVKdgQPm>&L<fr>@6evso1@7Avsb)9UC9KU*L1`FG+WJ14sh zt^%BFTSLyx?V54u<EuAOft-3CA<W{ct2(`t_;`54iXEOa2W}~d>dAZDqhV#C-KBS~ zb5XnSq8E>AmV7pSc4_{m{_66~vzHh8wsM%QoY2^-<#K(C;E}MjFZtWzycfE6GYOW2 zD>DBLIpK2u#ED?1FAkfPltUxcXGls`XjO3uKWRwVBO@W9&aQUMt3NlPEyUa~cGYFu z?vU+<p{uNCC|!;9nf6Lf&9hWqSlj#3hI@0?<-WP(aDKh2mCuHV-rFxv?DuHr6f^su zdA6MO&ZVIHlMZpKD*v!Dy676gb^Ypu2W?VPJC?Sot<(IR{9xy#nH9@Y#O7(u>{a)A z_F&_rofS(`<l?ku_OIUbd53qjaqPakA&O16wNf7Ran0PZ+DYu)$_c%{wVpg^<l0%G zQQ{aDcTD=V(b@=s$dnet*&ffbS2R`@dHwe=Uvgo$t1suTj1`S>Qdx`APxoj4Q*nCv zYlD&2@(sHpk8_4)?rao|%1c^$;I`G1m$!QI&cD97<^R%Y!a7%rm``uHbEIgZx%u*5 zui58BXYDx@x%k}0MCQ%PiyaCNo)o+9c=6t9#e?joCLaQMeM@@JROaU7tv$VM`=+bk zW_euaQ*7F*m69-*>*CwmltxhnsRg<{<w;AJ*2=Z*3cY6R+q&)3t!(q(D)AvIH?A(+ zQ?#l}GiTBY7HQR0jl4or*BKvamb{_arB>FlsP*lljO4u<RyWkT^tN>^YS&%#BH4KU z?`aR_?RQbNxV*4tquP>X1+HqsG1E@6>77gw7FTsQDF|CM%R)U+ch2+`W_lhW?8{YF zb+1=l)t)Uh^_;!zspss$nfDhf#Lm{gviWjezm(9MsRzzqS~}zU)d}m^v_hw!OuONx zJUP|+(OF%gnF;%S%r^L|&AxH^^iGkxs*h7rIi)AfF1Ap=!MS<9=o^&{^9A3qZJsao zCMV+b!zl^oGym>MFlYYVI_;Cd!7slq#Qm7nbip(-mE$potVw7G-^{KrJa+;Fgv~{d z9MWaoSQzUlV-qMKzFqXl;b_*6AN`!VjE}RiE?m5zN#e#51+_HysJ=-)!39%XgXSi< zJ-y}Q;-9!rMnGr6vXq2rA?Aj`tD<LUUCs5G{)%szXQ_Dcl3ndPgYF*R<FWj-#7v#v zyY-?y9&Y6l+%svB)3kS~ajOIlc2Bck{WDOMH9smnf6t=mCELC|tC@9nL3H$~)qLli z73Z3554~0*^ybEmdqHx?E#_sv=#gD@B4<zbKNj{W6F;-Ygrx4<yLQq~-Kj6_KWvz^ zd_#Z;=ROfvW^b2^M;>{c*S?mv;ckT<J4^nP0|$aF7tCINg42aPZPli$eq8K}&jzZ- zO1&s)IoZ|0(k>$CY4}LR)rGlTRIoScNM~1vTAzl}tfL*lO1;u=*4~s1c=Jm5%7lbH zQc@Dj+tk`tEo|MGm66=T#J2oKhN+6g(l$1?RSP=>voaEQNZpdS7PK$mOhTc;f3FK1 z*SMu(o7|t>aY(+t;`*tSCR3xE2eM}7B!w<H%wA+zto^hhp;bmALXTZ-8fU7}Mw3gT zGp{YxyF26T(%mL0R?7-P+By4PZfJaLmGh`&!o>$}1zh1z8y<AYNbJyISJQJ<o6L}M zvpLjysny*#9;M8?m+tDcojTd(MaG-{U5`4gmWTRrJk#oEtmH~cYHW&_u~xzC+4gDA zw`(M>Zwpmy%F{|&u$yb<i-k^Nc45mR`EI_@JY8*2a3)BgX(m@vLR-^}h!qNAVwxSj zM>h!tI%Th)&L#LIOp&=Z<b+GS=%x$)tvO%T+eiw0aj)`YE&u#3#BIg|pMr2T=^g5m zeebOQ@_p5vIo=N&L)0rYuJYPUdZi|3Z0j7ppSN;bzqfSkjkHyK-)Fs;aPaln`64ga z^yg<Dy1Q)t)jt89yPsV4-EqmoeDNIB=8L{tX6%V-H}u_7#%k&D+)wzeqz%`Jx69<O z)y!Ac_03^E6&6-4RUh@4Sv1u;Stj{*>bZ$WBTjzo^55Q*{pfYqTb0)Z-Yc}XO<i;4 zs_(*ehb%Ns+Adtjv3B)Gr&z(TaN(9+NktRR@hZMw5)yvBb(hk@UAJ_vgm$o%md32m zc6yz)=t;%VU9+x)1b^1=+U)T^=B0lV<IekQe@;I*U$bY$A76t_pSCv!PTlX@v+hrB zqR-QO>16GCYl-lmw~zifbze>`<li^LjdNI;nNM$;cxcI~`z1Tp{>goCaQV~yzI#^u z$$eP)G++9>R=ssa)X&>TC!e}6Cl~(j+s7A|gT#DzwB%3uJWRZqB(!JEpWFrcN0S%% zKh5Xft6hKlMd;7lj^|I^*Q*No_wB;oPuu&$pXx+N#7xt*Vh{bN_9Nn4Q|bffr}@I~ zwd<oR!hhaA_WIO)zI!46%06zZ(U5jNRr-EG{7-*z=hO975@G)?AOCR2JiD&@@5y<& zGpDU(;aHZwKlJnMr4C%$PKr%W7fxtQT__M6-s&W_kEb<9hqF~ihp#n8hpW{_ho`kh zXGL0%Us<S2^_4#X9SLz7DG3o8F4CqVi_S`MU2NlR&A8m=w58Bl@z$P&6Z-S6_RO3S z>AGe9-mJB49J|_PG;Ultq0?~Tgw~4-C-ep`oX|XR;e>9%g%jEzE}YQ6?`luvj8NAt z-+6gkIoevB*x1^gzHH%a)#2f3&Eer_&9LWgjrp=(?#{6tSqBcz$vSZKPS%0LtFjIp zKlR2x>z~Q51u3z`+w3ALpWRHi46Ap}UQo@l_uv7qy^Zg@_k9eu>RiEdR!97&1iNE& z&*1~h4f%w3&cFJnqj&?m?rrY3JFi{Zwoo8lG2{Q{S@N%SHUEfjlxzC0crE!seb3h! zKjtUdiv6>@mi$;g$(HZm?}+~H|9aORKl~ig-~ZoiohDC7k9Qki_J7|s?GN_%eU<pJ zKFL<?-|2|{R=tgK(l;aenT@YKKGgBGLSdtv{K_563_eE27?mje=TEj}`R};q_<{X> zUuXPy9ns%fZ+|WMas1ILnLnXxjvv)evX%R1bnWqD_d?&qg~2gKC9i%tu4#YZpKQza zPvu(jqyA)Du{xR88$V8t=x_cN(ceA)Sm?subA@8;_2TJ;ALk#7wE2@>C?@~^=lSfq zMO&UQ1#@NZ6MU}}@w<2bil3Qtv|HDD=CJ&pe1k{EBgweXp!4jZg(_y!?UQfFyzx#d z-e}%=_V7FvGx^=ZTc$RaatbZJe0!aWS<_t49JVr*jWch|JCc?dEi}9Lsb>z~G4+i* zC8i&FmY5?nyWf!W)~O@ESUNBM%r6m=KDa`~j9Gc|4WAy5q|F94ooA12P%&e7o_r&Q z$1~}(fko$8_x&unsSj7PbYA4#lrJRhIA6t#dGF*KK3}|&Heays?9J1ed_(4pcT%yT zf#cg-Hzpi-nvl#iz1x>FM$SyV;OC7`z3;Ep%r4%zKJ~AU+U_65j_cCRFa3*A6aMq3 z+VuXzCl6kS+fRALQtD)MFnnE9>L%82J(VG8u3ischiNM8IQP!o!-1<`wB^CFCW(p_ z3Tkp19j(8)k{-`%ve>a&LGPVLNBeEAM~|oNDdLHl>b}tUr75f8jkOAF-?Tb9Yq^pV z_cz_JSfIeir`6Hz%=PHuhNd4DO6z5W&7TCmxKbM8$kC_S(P+z+^r)pN!eXU@nV)7y z^JT6_k9wLaELJPnoja+0{E%{`h*kKUaDgUnuA~Qxnr7@+q9E3%-O(G%_2|K-rX4$! z^jGwX7d?2eQ)Wkn8oS)QQ;q%VYv1e(W(kR(Io0WWeujY~f7gGP&fp*RB{Lq>Ur%KI zzvQsmzi`=YANIf4(EI=Yaj7>8R&Ptu`<E{J?L&UajpO!NSyvs(?{0j_@H4-3#shP! zoCn;#2VYj)d@F4q^8D<P|H~GN{j=xY`tg6(ist|B&ar>)OTBvd|5AYb|K$a-d%SMC zzL$K|`gucR!Y}h1YkjvWw%#=4YqB)tV=hjXQByl&p~KTvcw>hA<AMze#~vmn_8dOE zNTR*}=r1pG1K;^9w*1qMSa9s?DU^tidTg-KXMUh?@K-kO;4f^_lfSaDPyWItF8q~^ z-}oz={N{ZhlY1NW7VTgEl;!^K&SgqBd9UZc;$_*t+Fas??%sn(-oM}#tBcOx@KJW} z!GrRzc=h%zG_Uya{R^A?f1l{fZ2MOGHq4XwACs@}L%gcFv3{lbj33Ima=uqGcDCkc z@9ed|P{e+rNPPeLr*d`vr)z7xcXUcV%enT$KS_q=|HcB}nZ-*!thV4jc>h==&y{15 zJ`sgt-1UO#8!rl^7f$Hgyd$G$b45ni<{vKvg8#GqTRg+%#mq^an+5(@olAN!*`m91 z+KfB1J|xSC?U88bH9z+7Kxg5O4aqWc|J05>d_4XBx}RZc(btzMUcNEo<)OOXA4bPL zS^N(NnHAVRR&5I33F-lbp4!A-C(kW)aI(zp_^Dg;Op<kV>SHgheK9S4buQ;i&>EbD z)&IU{)wL&n<B8(xFIuQ@@UTpE-8zvanoqJ{&$<~M_DN{wg7|s4n^*ktw7NU(+|>Tb zPVtrsFD9q++XPPVU0D7!zbWo%jHvdqbPJE~u|MyxU#ZE~%vCvoyPY%ddBEr0I(Ncu z3rM_kXKkw9X}{*@Ux$np&o*Vp32@ql&3PO8oIOybLf(eO&9&-4`PBWK_ol6$QaV%Q zUQ08}`qP#ABBzVYh17Ng=QR4f&=mTX*<W~6tm71my6eo^Igh6Js9D6WInJ~D#tFNt z2J38P)FkA&Huo3!cC>J+wH<o1+bdf+vFYi;4c<RDdCW-2v^=veE6C1LNNt7)*XI2D zwUV5|I(huFOoR8F^W^Pb#B^}dh69r#Bt<!{O}(6Q-EQT>WQ{XQUtUP?34h^hjlBA; zyM^;t`=K>{_AQr^OK<5OiJZHSzc6fht<#*^!>jXEeEh$BOXxW<rH*IntDt_{)&v_4 z&&(W6mdB1Br?-@)q#oOMh(BwexZ>K@zO859W?r-WzFP4hqp3+j0I#o1kTZ8X_nVzj zoI3M%Rhp_+ELg}Um$jnPT`KEg;XK=wGK+Y(w%+p5?h|PW-oGk6>UH6i{KjW&Hjkng z>|CgOdD=4NPq&$-m+0L+QupTDI)Th6r`g|XO&&f--!8w1b8^<@x}GrOPLtg0WvWZ| z2J!NiggXn{Ub*0O{+owrc;baE=NIt`EM(bka^*lIuW4bNvu;d}nXaaJ?)z^ZmnSXA ztlnCz>oEHp?|r7J%k~Q9Mg`^Fn%$Zpr1$#Idwu@x?m|3&zkCX?t`3S->FfRcc4Bg9 zT|s5QvdcC;x_T^1RWH(~{J!06IqOk^<>XgE(>0&WHqPA<|MH{ifx4!T4k9mqs&$;5 z|DdYT_M4pO>km7E0z<bdUKaG{iqY$;lAafqwSh&-YWuVZySqK<Qyp85_t@!A$u@M7 ztO~Sz>7~(KT&Z{bjrr_~NR6`#6SwlM*GkXu{5RzsV^i9K`3q*gP|Xml;+WOTUl~{Y z!S6!M9#Ip1{jTm=tEPFEAIbiw@KffTd-lALTlFbhLT^gA7=(%N_6WK}<u6|RR=%TD zLNxckL+V@mhV!mF{~mX}J-^_sz0$3Jif3MY$oM^7)A{hCx99676<Xf<x8%k@=f!X1 zLtY!GL``kk`u}W(wZOH1=`3fD$U1H=2)~eXN7#hjpXKb)>rKxddTsf8v*U^&^G~14 z-{eCy*7n?(E4WSQR(PD2C~MQ+xAg&^&u$W^$*JUDF7T#LBd2job1=s?cad!#w~lGD zL@RSeYveu@X*w&|syofBkn7;F0>v9udCnmUKeS}jf8^YY@l%{39vER{ZQ1(3%_UKF z{y|L^V`VPwt6w_~o%&$9Tp*@fBWGdl)L@QvE+XHa&OSZIsX%khjDze<maPw*ToP61 zp3-CqR^rlrDd?wYAs!eZJ^!glld)jy)nl1{3#Dgu9D4PfWx0S%zs8!nzCx~p7dsA_ zDZjRCO$c{Myl-xGK$B&;64&bI>tq*mIey=ew6W^lNzIaG>A(nE{wE?$jDoFKKd=38 zQ8(=Tc4e;B&#mV;6__vDP_^&4Cd+q_0Q(%L4+e`iRMj2PWa$S9%%0=)!K8+3(;J&^ zE|&9$nNFYSUg7Y;NN2;EJzZQS$+BV*ZueYRcNy|?ui1Irh1KeGE9<oz?-wy0TvhOL z*OOPlGp6-xv0S!1dVt5z&~Wn}QT@I9lTs?9BdSV|c6i)4@WJE8kqw`WOn+qRR(m>d zIkWaAbS#j3p`4+{#yzXmu_<kFesyLTkJ_YDPdg)@a`Pq5Oem{-8auVQGNms>eTMeM zn>&qMR|%h){^HG?2!*ShVqPWJ6vaJDn~n<2Ej_OqH1~mb=Q*p_K`Z?>_$=DoXBo2U z^0g^1*39Oc{EFr9(X_;?mQHHIJtD!J&yEKM%}v<ilAe4;qVrr->Y9+{k2lPaHT%&! z{UnR9%S=_fKs8|>q10;)lT(cjItHdGpY)sQ6VAElj8y)1AGI6Gr`Ejub}FT*_UEMo zhyA{by^<CY<zSocKH&kcu_jMP3n$w&@ur&!Pai$YDXuJ(6MDU2<sJ+El{tm`Htw<L ze^q)T;GTfHW3uc%t@S3Smoz5qT_72uo}qS)YgX&grnKa(3oIj4GxWl^X0?kx^;S#O zmGGZE%eeIy-;N{Uk=yKgpFZ{Vi%j8um0EMD#BuMG>s%!c0w&DfEN72MIc_$XvHq`9 z>~klp%U#tqZ`m8Z$=rFy9k76PhqSIk;q)@~TY_G@x7@zi7jSdw-LAByYpy4jn`oyx zSRY!K(aG_e!<zN%0X|3Ljj<PWa)eE!qgl@$jB{N4TgCBnf$l9qk0r`69oLj^|6W=+ zb-nvF!F3-zFZUJ9yq@8{LnVVvk84(Ea#Pwvo%r8R`wE)Y|MhaOI49mv+Vc2mY~jb& z`LSG)Q7`l)ugN}}qJM|8!}Nbw**AfyO_vs?C;ptZ;DF|wuzia}8xM+AZ!x)>z<6b4 z_xwYD%D!#snsoVyxX0(SGCKD!Pkkx=+17j3`%4v8tM-<(x!eobw7+Jp!l$N5%ui?P z{;3nPVtYT~MNXJi$R2yKYqN`Uyli!tbMowh=BX`uuVJY*Ia6QDyk5aPt@ur<KlAD7 z)~l877I!Ra{I@7$QGDc?^r+dVPG2jOWu18L|Due9H5#)d?r#ja<G`bv%6^h@?$;*= z4hUEp7>4la@JwcwK5RYBZ#6^9>VlT03tJ~QNk}YJP;)ES`MR`WivKH4S#ROm$QiNk zI#d;REbs1=t>67@zG?Y_-(R=gU-|R-S=o+8&D_Q(3HO(SS{KH<S4I?F<`vz2Rc6|Y zW!-nf_?Aq|$zC?$Y-#3EUB~RhzGbUtW=U=?QJv{GtC#oe+?OlQ9+T%>7_Go+Xwa>` zWX`P|R>c{M71*9_%Iuk_$NP0llUJ+lIlmx3Rf~zsnF9l#zMok?^?%ygd{&Lghq|&F z5>i!H_5{|6Msuyc5w&^+TlvN78}3G``fQjLJ86B(>hBlBH-B`vz<KS7H|v@!V!K@r zvVB_jbW!;0jV0fc<lMWqn?}s}9+S01DBW&$Y?sOm@fU>~8e*UKWNpdXxFTqCs-<`O z*Vd+}1+OafB4>%7c`!-!Xv*>;&It-PHJeUNntNtlfY{ZV509RC1~w)nxfle^5D?`^ z6MJ!Px5|ZG3p-;{t3OU~D$reZA!S`L*TG(|xu4EktqB!qDxKQr(%$#=D#O7~UUMG( ziHUT0?6g$vRLfQW_-$W0R?T13pO8BB+U^g_P47Pq-L-SY`rKn|X1Xpnm%oU7>Hc)9 zlcoOi9n2!PS6NRNKlJI6?}kl#Y|grW7Fw}%PtKE1P7!f-n|%EOb+-MO=~d0fbns<| z!|YcfAqp0enICgj9=#tDwRwfWo<1#;nc;JsK5Qy_);?eIrb-~ES@_ip!OGDpS_iLr zxoa%huvSXphTN2Sp>7*^eG;{+dzNYm<ec6Z6UMqr?xDyov4<ke?3PE5DEe(Im=T;K z^H7A{-SX&BL%)v&bAoH;bch`~@NGqF*gsD{MT?`FY<|UEoyHeieBX3%iIusrO7C9O z)O<Ja;L&+29wg?6eAuWz!&957I_yWKw7Q^8cCvF)gxAA$3k2^7nlNu?J$ppgar1?@ z!Eu`}#$J$_Blc+54}}c5d)%}7%WE$3*gVbM!g`-e>Y%iv@rS4jK6@VVCqClTkE}T3 zZI<_GQgi#BCyyBQD|ejtwtL5#y}Yl)e?s!<m3>>R(w;G$JbrUg$n--Z-|p%D63<<7 z@=d4yFZJyI8*|_2q}2x-EYtnKGJjS!w~gadKkd_Yd^KL;N^hj4zC6g;pj&r#(Yii9 z$>sIy99YsrP8^67H7b1fyo6iTd8bKi<A&!hY5%s~b2QLC<~TjkkWYB?|6l2*_90fA z4hGlm@7O4Cr+>4=4)Juu!tdhttA0LyAgz`vck=j-{g)D&Hd^`2j&FZC>;L)}InoDp z^4}y!Jg?VNXAu`Ua=?()u<+&clGoZ36y!Ct4%~{@uuQC(J4?D}eR%QYk1zKxI9yuE zA9&kG{3*L#w-$@L<<SF)ehZC7mq%Ef&@5T@NW^MQF_-N1!(Oq~`;5zK+|r+K3c9~= z+g4Lw|C@7#rY5X+St>l!#dM?pqNp4HC1rnTWqtg3?C9Le-h_z@BqKC3)Wo1`cf(vx zZ!0?^Ua&zpc9#3rs&7f({7PKICN>{e<?Z1=p1&eD<HS;f{le=7bp%Xi<vSX0^thm- zBL-p?e@I;(AZ@lmNB^yh`tvR)^P<yAOn1-d_H(glD|M#4*kaQb{6h1bCfl*XuFj8h zk`kGg-;j{A-O)HT@ZgbED-se*LpB&1YFS7KipKQxaLKv3vdS-3X1d$M^Fv2TfQM_o zT!=@a$#Q|7ha$|$mPe0R_-!<p7o6kMA$RA%gB1x$9w8eHOtfxDh>6PZ^mFOCxv=sp zFK+6Wn>c5q`b#x8o%u^Qn#UBr%&|3VmwlNdH`^{*xxn+qgtMvt)_EVl_06v&uJ(GQ z--}u6jc2b@RcU>z<#PR>R?36qbTf(50n?wABr}GG1p3;9C~`-Ko;W7vdh^AtP)DCP zAp+d*tv721J9X{czpf+W@ie7Hu{{;ef)@|^vKDT1cC@Jp5Rfl_x<t2iUA?+GOaGIp zx7~gpa1&L!vA~IK*Z;X&__s{`eIPV6M7Tfn#G!Dll;pjOuCU2zbaX!DN_x0<kLGQ! z+6S|g7WMw_>R4*`VXM-j=4!4-Nt2r@UM$P-m-n}7PpkblrTyWBo1bIV15H211;oDE ztFh!k(0kU-tjBv6Y?3~&uCl7}vC!2cjGbAD+g!F9x&_?qef>}9s)K!J)}r+;TQ9gT zy7l7N>VuQBja61Pz7)E8#H2GTah1zfL)U=j_+Agld|s!})k7+v`Md?2*k#kaLUuiw zutM#bM+kSZ>Z;z#rc-iLB9sDoYcvCWb*8Qmo8}oJ&CEL0Hu7@lBB7G$E7+<$LWFHq zSGC3oU3JTMOy#fUnXrOI{^#ZitJv5)_o%UXhj2Tqtm<9ZbZQ=hlv*HXn|BDavdXH? zi9%NoX>?{KZ&|SEcm$tUNRzYB)OSZzR&^>1T|E@one}+af=!06mbj=|s0Q-RnYKdh zoJR<Ey6URl;-*t>>%4`gKG@`9x*>kitQ$Ikx@#t^Fw6V!SEs_MMD#uHJfT?KK;AVI zSEya{3gLdOvZ^<>>6F{fyFybF`dv&ngfF_Zcb&^tgRn)Hwh6py?5(}7s&(+X(A5K` zomr1oxoj<PU36=Q&WaqDJt~2mdR`&S;wq~;KMP$w6x^Bh*g4{F>B4QAJES(g-TeM) zjrE#M@_EaoH<<3(pHSt!P4V)Kg(;`g?brOQo7tk5c8%3PV%_@{%fzl_t>`WFlClX{ zzmP@R^vVG>-mM#4opW<SgRb?LWojJE<2Bvj?yURfa)9*jsZ+kLIV|O65bw<Eb1h)k ztcwBCm#0iQdvdesl!U%EsTr#msy)lph!xIU(aY*}>e+{*QeGQeoL}B@bABo1?));! z-8ok$Jjm>M{FQ)R%vS=K^-ZoE5$4_cA;3A;CLm~=Tg94%EdHif4jA*A7KS_P+FTEi z)}K0Mt>(Q<jf2{}rUfC+zA~2sxR0A&ImW4)nmu8&lvjbDGw+=10lSi~1aP~XTsfvH z$~%wQe(^$<<)&8-X!4pC2082Qxf&pyKV{0<u<xc*9?XMmwhzl%(fU>@E4g*jrky#{ z+Jw$r31BuhxpJh0cdLP`bFNHKklE$8R|1-3rLq#Xw#~Y+Y@wK0_KM#1R{s_}t2R2- z)@z!Tk+@#!mc@dmH)rftw26tWTG;FQrt*N%lG8^Y-JNFl@VBSx)CK!pOg}hIYU=zh zbk*tm`MUkfmaR$SzVY?u_UwJ3e9tfaEMe?FY`*r>%Vm19(Hr9~+}iMF^ZV;H%hzn| z-*VOL%Jt31Eq<3zVrn{Al#tLNGowNzPGLI3?%KbBtAuMNJkhLJvSRfUj)RL`)1Mf= zunXbynKOx*Tm9*QW1*G>1@U|_ITO>bAC|NHaB=bEHEuk6rpp~#@Y^BNi|yb#zlCSr zIhJg2apYL0)zPTQm6Wup>4NIwrW-TXD)2qi>gcZIdh~Ec(+>;1^)k%2pB^|OWw~L4 zCtr@tRAzSfCkKuuT7D?-;H!Bv{Tr9?`z6o$=awwBnwz(DSM&9tyGNZ@zI(Vd<h!9m zP=ijjPV%HBzte6qOD;YX{Y1cH;p`>>op1qWZIKqgA6!X^Q=4u?tX1H<rqR*8mFrRB z+@>E9TI*$)nV%kT3AfzvVWO>=K9ls{Cx$X#rZS7~eR{yz-}1x7ZuYNRb6dZ~Nc}y; zuWoQ5VEUo(`J74z+diC$5PCVwYmv~IX)D;Cd4yPbc!qF)R$0~iGwB#lj)<#pvFk*= zBQ6(@g#?xu_=?2(h`aI|yIef(qxgO2FMop$d96!p-<%Sz`j~s*p3&vW@|K^!ZhaFg ztr_#UI=fS#B>aeBfumr1-cgYwhjyNK`mS+$iR$eM%XaICD(zU~#HP1$Lg!<xl!q-m zqSpiW{_Hw^dCylt*QR}oJkBQuniNjVKW}r^+5F<op78mta^E?R@Xb8NB*(#-5>%ns z#d6+tV%|5`i${V3w|sCB*sQ4|;M&x>DC2>5pvguT5nY{UN1dG6ihpQnnxt&(m|t`2 zwp12(rVqD$>5+GDF0no<aa5im=(lCX(hXrEoYy)RHGW-`@kl#x%LYf0+#Hdjl!Rpq zX5LWG*fO1KR`2AdXAh<<*lD4gA$N{rR{!Qb*QOmxb5y=@O1#hZZ-Mch4%dkf+BBqg z=yj>>6LaN$?t1aq)DLcI0=zlGMVU$M3nFi5W|+O>d}+BuJ45ds$E^0-P0t=*OJB$? zZMCepRqrQX<gfa+%<VO@b<f(5Zz+pwo8SFlXREl?n};jeE?=uzY-y1_D}2`rv3V~y zv_GHg+}*%1{Zx(i9<wz}O}8I%s<LE=e0y!pskmdM0-Ky>knzU|N1ZzXOVS=!RoI5A zvb{Uuo&2cJrmc1NCy6cZPcq&OJJr~I`%`j7g+l+s#|uR+ocrLym%;0u-97h7_|mOM z&wbJ6N_x<%WGb95dgM^5YnEA@_i6w3hV)ODE=+WlJAA0Pl1Ezq$$^93mIZ~rbv*p* zpBUEcc_*@~>+(iXXXS@xJg>i;opnFUSGx42+OD{?`5Ag_FRXS-Ey%u{b>PUdAcecP zCOGx`Ycn==ziH<?+R!-pYD1@R?uwsxlNNY?u<O%4TH#mlK=!CeWJ5x_X+lD{X+l!D zrhrUEwPxdk1J<h;nK!Ry6yDtAV9eQ9tl*)*At1AcjYsCxzqqsSMVMn>XqN3b(xm)W zM^#WJ)5kO+;mh(hA&v_oR!wHi)eU=oQemQkL%}L3gMxKZ3qpgrSdPC6^-{37wsZko zGehjJFlM!LY*!^&cCqL?TZZ?9uQ|tkRHXZA<zguVgB>$GHd_6j&UCObe5w!!-=0lU z3%uL24jkFD;E3Y_?+@!{{AN5W`nud>LXv=jL>%vh%W5ZF1r}7F7UJZ)vw4a`!GpT1 z4ULRf8#?VAA8bxK8^;^O&BStj6(e(eIJ4Tj=}ZR;pG_0u=qpw};V58Gutv(DP-VqU zg$t?`r5EQV?MXT-r@t&>&CTSi4ULPhGWaeL;o#e|A7pIq)rLmVs|}q`9nZ2gK59H) z^J}^3{oK{(m+Zb`ZgzE9@ylN^wYBXff7M@x)%=*l7bBDHwLR$5zt8ip{K@QK$yYtU zb-QTPC*QSibv1eZu&-@Qm~hX*dg8r=M@R2x^NPv+`5S-bk4egj)XirC=bwH0!hVa% zOP}K<%=c>lg`3u|+qm_jtK+h*oqL_Wf8M|5=gb#5Yjm%ewFlRH{<(kE&z<MOeLroz zb8A+vXi)9fpZnMSbnV$X>%-}S+gG0&@%oksd&sV>`1o`F)junIUTxOZ-MW^y^zF}S zQM-;VoAXyp=Dhv?&$Hx<=Xw2|R%g(+-u}Pi+3yv>+ol}4d>*`vXxox#uP-N`m&`tO zG3c9IJOAAY?;_^s>OR?Y)-6}>@{F^!VY8F>Z>SK-+q_}rgxr%?md}3CXD+{|REB@+ zxtL#<zm;sfQ}F>bYo=6qZM&(sk?xyTwJi^J{I6UQ>=`SYJv(^E^doKs=AFHImnPlt zxx+Vqs$%pqMen&=I<{Y7ixR$4V0<S_(Yd(s>IGg`Gp@6_xjRdemj!P;wZ8t}<yrC_ zX4^te)QN?BEvj7X;kWbU{L7~MUg(r9juE>ovL|?9!0AW+yz}Ej^{kVZ)%@E$OTO#c zv|E0;Tj$Q+ytQP)T$yym86xS127#F;mu5?6I4?0!Jm+L!cP=Sm&YllX1(jodZ2UCu z;;ggV(>CW`F>uSvcpY%t>%2;qgshy*>bA0duI{yd<ueuI+pfKyQUAcSN%6nK_S1p7 zt-|{SU798@%6KqEW0r+(m)N<EMZKH%KP+}x9eiSG=f|eB#HIx|XJ};bsd3KgKH2mv z@zwr^rt*9LX<9`*<=)!*t>z_5mWTMIudG(7Jx}~@ELwf%r}j3+J1--)w5m<(+RT2P zyX)MyRSVNAZe^ahT-oY(wDe^R*YrANb(ZO(M-GUx8Wx5+>cj*KNXKh;H2>v#l=M5_ zsW`@Y-qpI=T`@~!vpmctvOLUXvOLTcvN96S=huaNJ|24G#=WTc6DiTBBX6xf%<{YB z#({13|2UpCU%g}MyHtzTyo|cfZ)fGVite)h-87kF*XK!%d3#)@zu1%VP<j5IUj98# z9@y&dtT^s1ckk1re)E{h7xQ|IB{lavQ*8?0lkz}Se`du2Z?U`&lX_?GdGbI^f9H;~ z-g56gOzPhqQ~6@qB;%PShKIaazE_?+P#S0Sal&*Rn@6hB{go#V3da?FoHE_U=CP{$ z`JJC4EDp_FBXaGt&_RPZBZE29eR>|Kaw}J!Jf;y>WH4)bOwU7A{^ZJ&#{<^cnDrH@ zS+{=N<6_V_{l%un={`P>RJkWto;)TJS7b1Cdd!!ns{FB)C*950+3@uhs;RfW+v9Sf zc{<ORC#u4GcU*~$c_6~ScVCB=T~(dntOGA|LZj#ByS~5Q61K_5=egB|(m<>0bCz1a zv6J%p@bP||o9Db`8~&ItG+o{hTybjOw^dI{F0OydHZR-q?vJdUt<$6O9#5TSU9tA5 z-n|S<*|M#7j$hL^x$3>aDSYpiU&mifTK@5P=FY~CQF%#C)1qgrcxtAWxwH9X)Vrjv zY1I;opV}>Zx$61>uh_4bPUi2@tF#h6ccF;cc<Y@bI=b5pqEF|Zxmd*RyycFoeC*d5 z5u$O5A8#DWlHIn^tzCA_)kN{vB{vQm$$tAdHO<HPg1_+0ZNJ!L)o!df%x3m-L+4qm zoWyRv+c#Dn=6m*fL-$LoH;HY0zh|sm87%zQbmGfR+0*!h&s<AnE-txoBt~|dfq#3h z&*emR?vfivon*gl@My2qxprk`E90yaB`>$Tv~%velE~~`I>T>`RnEh{v?mKrAG>Yk zGyR9vn@7v|s&_0rY`3m{;ksaHX49vOPM=?Int6HY3sYIMg3xx~n2U+r(@Sq0Q<W_% z2yB1(D6rjj&h<q3<0hrA5+*G3dnr^gUoCf+%)Rx8+4x>;=xnyic{qXZw#Ayme0?uA zbT77glenJmx5c`Z!Q#!PrLUG1I8EN>T@cjHJLgiO@bc0dha_dU6^6CT&bgc@{=W3a zVRqSX9|MDz%e7^^y5ha?wSA_6qq(fvh1hoAFBcNIZA)()v-aI+%f7dC<4Ys|cH1vk z6XpN@^Nd|?cP#VO75|0%)pF-2H1J7REYsYU|7adx^p5q1&E#HeXl}Q9^JpSpb;X*) zcJpckca@!aShMRwSKLGE`ZEXapYb-|vPgdW&GqM>MP2;gec@}g+BVbc*HVj3&N9Z= ztbcYpa8mY*J6-qYx93%hf4ww!OBl~DrINo}Puz;WJonb6uOfW^9`$DlG`*Quq1{## z*i^lA?bbJaVcKnlUxV7-u4R-vm%1_Ll3;wxyNN-2mu}l>I6FHrL#6+f_E+Oq-5Cd? zHk)+c?0YGr`z2%DtXrFA)@+-4bk?b-3w3Af8`R30ZxfkyJ0n+Qwr=ZJDX$Nr;cqy6 z6WjTO-&{##-d%FzNS^Gr4esr^I#(0fKbPD%S|j^ygF}0*&Xp@G8+m7)C=uTdS~>Z8 zL!+uy&LeNxZ3f}(xjL5<*-w|=ILamaZ9`Cd?V3whR(AG+)kd{*UVE{jvHi}{BOGQM zU(1``IFu*5ZKHd;?3=5J;=fC79Ili7R`@{vYH3#D<Yi$m^xiE{%iYy|d*xv^zSkQ% zm926fuHd_EvFtEk-|G$C!n2|_Zj8LlFPn2QKs?*@%3(9!uN(h%U*Jvgy8UuZ@h5rb z&4zQsUtNA<I439DcDBc+-rgG;5|`$3y}Z^|;=Ipz?vAL9ujKbfe||4fec3>JRjK1= z`>X!fql-(=7KfLXe&3wj_hV+-GOyVw&(8XMiI`k!`#kFH#m5_Wt;)Mw-N*cSfr4=p z&!RKN8pcN5JW9q!$}2i}POa%^*qikJOp5!O_uX^t&rN(@yT2pib+>5tsT<Y*xB58D zzgG5nkH-xgb)mAz=(!u-nfJW9bzA!K#p=87SF^2I^}YYhg~bxaZ#0gT%<K9Xu~Opl z1kvQYWl3jxLwt^e-P|%oBI<AXXRXHuK8AXSMIV1`OR8bhP)le@IujIbn07Gi?>FD= zFE9U`7Ty`ydM~kLVY#I8?{D|!uAkVuwoZ28t^ccx6Jow<XU%MI|GnYs`BiGRcQ?&^ zUl(t>`_;3jnUANv%1qwwb-yX&*{Aobm#z2RJA3|%s`o4RZuNg|@13)5-s4k_e6v^G zzrB+0)$NO)JYtIv2k(`(lJj4G@654R<~FOGiW8+*#h+ZorEULq?aSBJ@}c`hBV<2r z2v67>^y5`uW2slCT)mcV-A<pE)g{?eUoZXtrs`mUZ|SzxNw;3fCvGjOiB5Kpj(z`Z z$_B0Z$4|Z1nrRXyp#6F2x(_usx@y?0?(cp%d*7}b7w_G=aU&op?X5yP|Ek&V4lih1 zwrtn0zI*;=n{46_dO7@BU&LE&ZhvgktMYYn$4t!au3fsg``noar&IMeZgDS6Yr3)6 zV){|ruFnx6cZ<JNuJQl5eX-Q++1@;>-f^z(Ih=5G2Tx$n@eB9Eb-98k^9df#d13J9 zd+6!yei2D)9Aw|^O9`GX&GdDLX2AStCf*&o7beKFNPS%Fko=Cr<l*w^Yq)mrNen-$ zBeL$cy20z_`K+&s{1?c5XMOeAVFBZQ4wEJG12%R1W9qF8UckBet@Rtj1AL~Ne^@e= z&d8pBAiaf`+3l9W6J2Syo=u5oGNjddHYWU<c*TD6g2PSrr>EQfE&8&4{^pg3!<kBd z1}%_#&hn}_Yyo3Dhsl#32W3BQlV?*Lg#GN&LvN(+i%q<o<$punVC}aZ4zYclS01l$ zsQt!e@<6z;?TtXj5x>S`Z-g=q<?TKs_xTOi?6BV}Ynd)*eZQgpVP^LB*$tLvJTr3- zcSM@?>`Xn}^7;JVyK(i(%=1^(xL(*d-Sy#ycriWpl!t`@Vs`B*f&~#`itZ_bA7|<$ z^_^0Bc;j@a_l%Gw@~#=rew+@ye3Ywh)!K!se`lOYKmW9^VwJA#qbH|BH(%oNyMHq; zGtVgaOmI`psg>)q^Q%|QysRH?-tq1DrT8n_8*MN9XkAH+fA(nZm7YEST@UavtG+RE zI3)L1_047fL(Be)pGu$hk;!y*dE+eC-417?Vi%-!>IG~z63;mEoXJ<>PyDKAhu_I} z<quYVh`DP0J#^8gIMd*_R`0HJRkl=bb$a!4XP5_b`TW(hQo~wJOv0u<TYk&2zhHS; zfUW&CzN`ncpRX!&U)3aa`uCSRb?dUu&A$57{Or!JF<0l9OJDeEW@SEqb(C<}(JkLr zX6LV~ZjwG9`c@;QCfS|)`c$PUxpN~Q#{~16E5_8Wd*%C6>-N1H7w=uU6!@>kJNUib zUh5xmp04+weF}QD`&G%Z|7+K0uZ@}?X6N$#=xNhtnPu1bie`pcpGdBHt1!PjeqGe$ zrT^c~`ZxROzp2kno)<)x>P?@wI;vxKX+ibulUrZf>8JI*d@@&iWB%&*!AF;#e6Mq| zmFe|{Pdiu1?cVBNX};?7ft^?9R&Fht5q{S&eDxOZ`SU%!=O2GP%j%M9ZScyyGg)sI zmsu~LSss68#kODSzV`QjsIQzgRd-2X@5{X&SI^|c?V9@9^z*;s(C#IhjGr6x?w<L5 z)3UodH&3tKH<MF8&Bs0d^A_7*8Qqr8-f@Q-H(ayxzq(YO+xB}>==T|Q8<xL5%)UG& zaaqPDgUu$9GdgGK)YwgTJs=o4<D-L^VEZcj?90>Y)-5~Y*ljsy!;I76VxNE9O0s*d zD^_qZNpZT4SmDlJ!W&*Lx7}@5e0BN8O{<L`?_8By5$f>!^2>BhYkQt4b(`MqtiStu z{_4V5`A>$8m*@Z9zW3i$sfe$Q3c}WJcdqJRylig#^|XNC<h?)h=O6oZyxRO~xyjaV zxmo!sSzl9@?0v8NtS57q`96(=_EP<@QjWVjj;Je@-%u=?ep%n+PV#h#_nV`iFwXZn zS`w+5*e@#d?PtIt#?z`Yo5BtWMytwf_C3TYA6~96nzO5`&E#{n$<q%vyF>4KyG@Pw z)bvb7Z1uU+iLY$LR-H?4e8u!^|ALT%sq4e6`)g-?y19O3gTAS#QLMJx5fk5|K378y zO%Y#ZwWe>|u4ms$yf1vY9K6bXt5#rS{oddNSvB#9>7K0D9=~OJZM^XSTaV5L#pd~| zrGi)I9KXD_muF>MX4w|g?aO{<)~%Uoy?<?$&-^v-SJZFX=O3Hhx$x@ej=XmUK2P)x zyT1F_cB1y4NaX>JiS0bA;#QQ~Rvcd4er26|-VN^1*Aw2g=N5h47x_K;Tc1PhGL9?B zs~l>VahfDlef^erz1if7-rD1N(!Xl54ma4E@%%i~CRm*-@p)<Nl9odK()zp=FFP+8 z=boRk<InTEi_=+WKDoPX#$kT3;-4SSul9RB#sB-)|7*CsCt8+#kAF5Z^58$!z5l#g zzZw>MZ{ARUgZF#p`xmkQk6cczdGPSTy^GgEG(SDBpP0@ktdo}ZPR#hN@nNmhe`k{T zs&$_gJ<{K`>h=eZU&eB2=YGHAwtQl+U25xf^Q~76fBuxIYVoWLIe)wI%7G6ySt(9( zwx@pBRP_{Aez8dYyuv*B(~JL!C33b0S3KVx71Z_1Zc^Cf+56XaRbSF6_u3O$o6#lX zW_N$;QN56)tw&|TBF~%=&`DdyE@oWJ+|62(uyMjuvF;g}8)qyP^NyIbe%9%ZnuuR~ z6OYP>MV?7rc+^HL;!OI!qfE~tbyC(HReBboleX=sP}<Yg&pxKvozea{^{C9X|7Pc7 zu0@_nUVF6WT7*u*)}u~gkvd6Bk0ymh=sY}JBmK2fIi7d_5%!<Xwe3&EiX+nu`@icx z+k7O6v0GPc(}^TOZe6j>2a-5He-7oIF=KsHKvLYl3kSaVixtmIHtfGC_IYON#)g?< z+>u!uI}VF=Tc&JmY5uvYb<O#3U7bRO`ivJF?kDN})_JzkyuP!e^yhJfv|KsWH76Ik zpLsCR`nob-b<uR6{rf6rAC=h_btbj@sEt|lne@p=narYeQkst{sYU6e%{VI5_H6aD zPnmXSzEnBuJTthFq}QzT%<w{z9kY(uh5Jd0e|5w@daqk*lT@{rUCevO{QVQt(x%zZ zeff3WGk(*(_s)wI@60yrpRe<*_;?bdeU#1<_HNfZ+ak{BcB|ew6Mpvj;+m^I>&unv zSG@eXF8a*VSx0T&MV@(X`&x?8SV!#Bx}!?VqIJ?{9Ti&kOgiPjxtbX-zb=b7bLNlk zGozbHa+7tQecpDIsV!P3rRk{Bv1pyNIY)(#JzFidNm)LvYOkSKu|%%n^gyxC725Tk z9sZv*(yHZDYvwqARdstd@o>i-)z`l3%b(n|DgN>2=ADIl`OnU$J$qUC<n!hKvz^n< zoSv`!#6iwg=8UrOhbMuD9;WXOQ{nZUy7c(_UCFniw12bw{(K@aUT)``v&#K*E1zsu z?q{+)xp+eVXN{z2xuZ27cE8)Sed{b&vFKHfzueA0(<!*|W%s)GO)DCNRu>&fOzqpT z=7eBBfANv@>^_#5^Ko}llf^1>PB2|gO?5o*ONS$JI;&vzW`#6gF_ksv8m0-k%isGf zVj)w#ZP%NZvz49~9eH?KrtqhhyUo6Hg7ZIH3VrbX_DJve<^zvi#D2^<)6@_gDX>YO zTj^Yi;~DYD2?gJ7?!UD<Ts$M^nPcg+TT=6$Ih7s=?!EIr_ImK$8y7yFxV*OX?b|!Q zW3RVOPW;+`-PGLNZ0mCS$DcRroSC7?ZCr5bP|v(g2F@#Vobpa7B^~fy)DihF==%NQ zRW84TWov$~$%)a^I`(){Qq49EwS?9rr!XzGq^U_sVdeMVUYRj3@uYX`?B$vnnRQ#f zC)eJ7b*g$vcl@hgyH^LQN%xzYhP_*7nfyLYth9dmtc!E+Z{NS6+FIwY-I`_U+P2Rp zyqo^)vb?XZ?d2~%otbx~ony}={`&vsR^*E`)n~UZByQr3eRli8n#H*<HeYCC?9P$c zbfZnsJ4a&kl{U`PYod1T$u{hl6f-u~=w{uMzj4A$vF;hEhMR9~k2o|(#(VqzY0+mM zHpe_Yb-QW*pPj6-ziv*{`<wg1@Iad#bFPHJi8jUNTnWP?ZHCUdGYrnGT6(%&>~ln- z;ryv$+!3i8Cz$Jq6|S$ozoq-=o$V2anth7qekq$OF>j@(<Se<b=XcNB*}mb(j{lj# z?H`#+XDS5rcQTnyj&Ef15z07W)96;CcVWh2CSQxR@Ebn-xi1V4wb?1>N_?2c>+E*Z zAZZJ4^06Bie6D{ssGZPv`@%z)ee<5WztnEDk`Y{Sy0WpVMmb<UHxuuVumy_ZtWt%Z z3k;{T&MG*%Zo_F$>8d%K7M{<PW}CAy@r1Zpk45(38O3HkKa9BNre8{bZ7pq8Gk<Nm z<g_0i3;3j2vX*UQ`g%hpVE$Pq-Wy66Cah&L&1P&oR-<#F<!xDO?7CYQ9?#;fU3dG! znur{UO~=~O%JL4+C^hqmnBV?#^VVB!eAb`7>exsBW_?xQut1NU^;MzA0=srrsRGs8 z($h0f{ATK%p?P6OB$Mxqxw8CP^^LbO(+?(3=dCTfZSWviy6w%z#3SiuJvXxtx70?y zu$ycbUA(E)f8pUfIku-a9I0k1jnE62&d-t+wt(qtq~e7Mp-jCIx&fPOwrD>zI^QPu zIrqiqo3F)qA~M|DbT%a(Ns?C6IeYla#fWt0_OCy+rposwGL=f`1xz<*dG&FbL#-Q! zNx~8b=X*jKM>HCb^@wF0lGuGnPyXhG<YwO5Z_o05er5D*Rd!~(X^^ymH@WSGL1Knj z)%hj;#~h@sdQM;4%;W7Ny5cZT<1QWH6~`qTf7K{nm{82r`y*(9Vf@Xh1(NyB{hVfB zZcg0GtjSYxyN_pP%HfWaW<E0}wZB|kc(0A`{*%=qbtdaLuRPr45Szzw<?%9y+B{B^ zC+dxEcSKjbEPLy<BWA<G<J--?+??rOcI%G*&54T3b0t2`<rQ9+w(!5ttxel+Tu98` z=9L<eG}%G+8|Rg$6C7;$xUW3#a8T~!G<mioHfDOR*_R(T+U$03%JfY<k!Nl6=(3GZ z^fOOst2w8{x7;x*|F_gvwv6-2(+&sUO)Ob#8jWpx)Gu_TGWE{<IXz4@hV9mc<O#fI zWmM-M+LFq*QQ5iemO;`CUg3Ku4lTH2lz#g{q954)UI*E0Tvt-(I@n(0zVckT(XHn% z_v~XmzqwcM(CdC{RiU$S;rW?4QNa?Q7RpL)G~&NJ%~G@e>z34gll3!prhXG&aro>T zo1H$fd7M`sr#Jq(Bb;%BxA7RyhVIu!N899rb6*sj&0n)+=h>~w%Fj|0Bl04db6*%} z%${bXv(bA2qdTiqVblW7^f%o<c{VPrjLVVuoF=!d{I|BW)tb}s`)amd=eS}c#Z(%p z5%4*K<4St71G5>INz!D8<T4JEhr+wF^ty9iY`oHDcREL6)1@}S)j1NIt+*E#72iyA zHg<WISQWo-%fiDqb8Jsv=;3E7wa^Hd&dl`nhJL{OTBhC`$`@w%zkRf;CegXS`6jzw zt6h7JMA0cbn@1^P$DVF^ckZxBvwfQQGR=}7inrUREIj-sXYQ#hPi-5k&TLr!wCMJ} zX~!q#EDd_`xl&B+=_mg)^Ncm8*E?=2_FH&(&l`iFwzNko0n`0iUlks(TYEZ2de%Rq z_$yofT#j3RZ<n8Wj@O$Pb^Et>Th>gnO<u~qXY$%~uCuxu7kaMXwavSE;d!<+Tg>*v z6QR<xUasX$*1K)+FzCDV!PpC_yF-inb;Um4%-PsrD8^lx5Uc!X-_fLZkvb3a`j+Ot zSaeio-`UW0ciMeU++A0+St{RodZF1DiEQWjjAlF%xrZkNn)OtiZZlNQonfFf{p1$c z`sAy3bS~&TGrntOmN4t6)3PX?q^_e$YSB6m-})@P`$eBa_1>AoU+QGftl>I!;h{rX zXh0*MHOs3{oes9!ZZ9vrneW_hZhXE*@>frVZSkd|YqIyw#;x*+UB-PSIqF-~)Myi< z>b0kAt4_DsE#9<Y({|%qZFb3<Hf++Fm*YIYeA9+aJ~tDb=l4cN%rvi?^pgGZ-JL>z zjoz-_(|tmJ=EQ|(ymHPgQ*PxG&VBKzkJt9xtqWh8&3JZX9G>t#SEBHEo8j!-85@j0 zA3rU!OJ_sYyN`a)`WI?`o|&|<VWk*%WJ0WRQs>d6uqd6x+`gsfuj+MMzBw7XPIs@d z_h$dprAyCmSa@1Xx@yg~g_-tdCVT6biR&Gnusv78@Kl>&an1|_`*QJ1?Qe`%Ka-mu zedhU!qfCAgIw=Lq>b)b66m%bbvoYe(8Xu)SMb(eqtiCGi#yYJ+-MD<^g@$rgsX{wr z|J4^-xM%WAU3s2My3J=xx2Ulu|7Eq$uKU(JH7i!G|NDveU*G~kdrp&w&ucbbvMxH> z_Uz`?#4}rUe7-w-&#AoH#J7C2sK#L-`Si<C%NW~Pq>2I-2v)Ps+Mqf6@Tq(G_R?%$ zwkMwWZPs%$>u^hM<qW&e%Rg~?ynk@AhUrv!f$P2>Ppx-2E>PT`@;>4DxwjdOYCY%g z&-k+M+;(p14Hw!JyK^Kq-)ZBNPf1L*|28`()_S|g`;;&TQK=7;9h~2BnLOL)AS{={ zw#&#=Ip2(N>fFmO%}n~Y@hacjo_NMbde%Bs{>x$?Jna~BGnx2O^FwE{$%ti~5Ntdq zBb;%la5slsbM6b{Gi{8Ab0rK9wWaap9B$dZX~U-XJ8fq-tXq2`=i_OUgv}1l+c->~ zB{!<&Z0~+hc&^Q^J6B@Ekv758xe}ind4=uH9NxmpQ==Pxp@>`J-~C*v4{Z+4_e3(z za5Sp*{COWHXv6o<ockBgCS_)}+XhJ;yvc313=$*6!oI1$Pq#jJ>fe*SIh$YHnJ+Va zZSeDdPbwPQd;~I%xHQhX+8?X8*=$SV0S)Q4o^6R|B&5}P&K};v`e%;6gB91Ev+4_M zxN832&ygw!U7&cKMXE4%0jK#U-36O=Tb$I(Ily0*aw1jwSj@)6L*<bXH;evg&Tcq0 z?eW|krc)b^%+2|D`U(#(lc{sFgL0i%#v$9?F?Qy;5(TH*6u0L}6rXJ4w11XZDQ_^p zVGHY@3H}Z{S@%rMJ&-E(q0zzFP9)<9SEJgRGxy${n)keR_XbA(oQ!2MW<4*{=Nm7q z7Yi$M&rg3n>rjcviD_GWn5S`@q%3wwHsdr&+#Ji&A8Pg`GWT$Usaa1%HmrKj<lZsW z-ywQdgY3^o)APUOG`8(gzA!_R$#+JU_`&3E-r8-q3=(GZD%)*KJX0pERx_z}>ffic zZ?Y`8ppuZb?MJGVf%gK%$*fsn3z>XpWQ!k6p2d6C=R#YWTE^iHNwc2H^KG2(pC{g8 z+IL!g!5yY)PrBpt7`<7gHu)?tlxCS_VElVeSlQ+0H=i11GfK1RY)CxfBz;V0Q{tgV z|Ks+4TBpPI{Lglq;u*7bQ%`xF*|hL*k@T*b1m}MK+zhe1W;_v@hbM%Z^+e<zo?&X{ z6On!OQtG^;HqWBZq&FTtv*=7xns3_1j-z7Tkx3g{mRe5RcBWLUIAYrBn93ZfROj}K zW+j!U+vFzayfD7m#`yk*K}r{|^0He7X|s5Rmql+akxVx1?-ctyGr`bUduzlAi*DDR ztutosjy`kdj`5mJHFejM_>7IFYHt=gp7WyMPMe;3&WplJZFb9ZBnob|DW>O06rN}^ zOwXNBaBkJo)74_1EAA)R<wxs0;O=gf*%om`u={9D-o}>2mSO*H?>H*6?^NizKWB0_ zEIjNcy(?$a!sBVuzj8Jv9te|e%h|k8@?>s?TaomviFZ~lJ$+s5vqiq){Cpj;f`dtl z_oH+kEjpT17NPTSG0*B8i#6M496sms^wgRojM7$Twk<q8_kW^ezpvSsn>mLYbj^5f zW*zRxHS76#qmA?SfA@XU^mebARsCk$jPuLIxNjtHoN!)8Y~%GL!{0j7HrO9ORh_ug zX!-dweKAi@oj+42Z6&i|;b}+dDw$0S&nHUHN}bB<{Op!N(mdYeXSWR!8$-RP&lUT8 zBh_$zuNe1_D@lsgI$|GZ9!>fdrIQ%n7P>7%Eb2^R;Ig8*(k9xuFE*TN(_5YUV&kzk zyX;(v4d>bvU*}4EoXC52$&EJ7-D{(E>1>;ET&Vk}&en(nM%}GC+ar$1bRVtB*w`X! z85U<6apvJ~u(SHjN_HeUPoJOjqVRf~o&9Zt2lIHH-`zHN)X1A$w=vOa|C#uoK`)A~ zuG)FrwEJhw_J{+#-K}@FL>$rWK6+<c#36pku$xz2&DShW_0M*A_I~Hw=&0q!rh3lp z#%3iy54Fjq=e{UD(#9Bn%ixK&v|CN?;TfOJe105Twez%PcV$hU;rzv7+!kpYCp;GG zwn*GKW3rgH#nj}N#>EGd`0hW`3a?8$mws(s>WdG(ys>&WE<BEw{uQ$&@qnsyTg<k^ zBaza_YSIt4yf@B%BeE-IOV+!>lSy{db;S&hCMiB&lkHNIxpBsNooOFR+d{W7u8%tN zFlt#*YFN^CURk@X3s1{QSJk9B&);jtb0humguiAz772%EG@JQYq+Gr9bk|XvyofW; zmmOuwi`03t?Wj`ShKMtX-KuX+ZTvFxW~O0#<IYo4=g+)sX0mrCuWx>ubHBFP7s<Jm z7N-podU&0W-7-j;!<&5Uwn3uPvfkwvo_2&5U!EO#=1ZEG@!pw7ee%-`;oQi!(tR^# zYZh15Pu^|}$`i&pFFwrUjlH*h;c*}7UuQNY9>|ey<JppUWbT=}dWUDs&zVthebvs> zDyyH#P1b$(x$h{GTcl3Ps-sHFB6ZSs9TmEFcH^h}UyD-x=FhAKyYk@9J5%P*R5UA* z%yRBeH2Wf%ceuekN8-~mUS+o%25C!ph3{?3dRKIR)z0J0-9P^%ZEUE!_S5NCv`$jP z(WJU9=cXIHs4uB?u6+Nj?@N7eZvVBb;Yw$e{`c=HwfK8{UB0wXPUm6QTMq*!nq^;B zdb@G6$L}|Kml$_<m7M5PlKtp8vF~Q^5@9>-6Dv=zY-+wMRNcMh@IIxtoA!HD*F-I; zJty+A;kK*Qldy?>J0h1P=ZU;*dChA1)grQBL-?bpiDf&Ymc;JUza)2GG;_YTtJQ;| ziF`XlmMH6qy_}KXU2>?kY0j@X8WuP7Kl)BAvxr|3yHD?uT)b%JeEsf{13Q&ui?(^V z*91<O+VGgw>`6ppuSHZsvYq}0PWOK|zFtn;R=aHB#+C103SG`RX5sTc`FelCN<-CW z*Z7KNgj=6Tt$VA`&$_j_M(i3NS44=lMoQt^1s$qeo0Fbh;q$6k{w%hza{IH+Gbapo zmQ6Uk<d&me{&l`jGlHy7q-VZe(C~I^vy<IAS&@xv%L2|^yXD9kopn#NU((b+<=C{d zTbpIfuJRS#jIchD?EF??et#A_>zehlB1Rj_0*>su<!G3l&91s;Wo3BHz15XU##U?B z$v)Yzs%*mPQ@0%Dw6oZM)<jrqJZQe<sCYV?-BoAx%+n3uvyZi$StBWIxH`?}%$r$; zoWcKZe0@CK$tSo|w_f8D-~7J|U#mZLiGTF?5kq_KQAWM!Zf2WnBJ4lbX!CJIM9T<l z+GU}T6k6zT#{DQGr!<%G+fB<qu%vCd6(N)G>&PR9>1U5J%DwJpuK6a$&N4@zkK^Yq z3x!9kA2rO-?`9S{Cz^I^%}p-<1h)m7k23Pbi?HvQqsjNdB39->@hS_22PuUPCpsTB zbUZ%FXn4GfS*TAe?N)mEL(VfRK2;Vr9G>`yVfx{tjB@Q=%r$&s>?|@Gd>l99Wdt^^ zH}GfN%~gBioRj><#TSm(1icX6_Wxo0w_ob3*7vBYx_whP^>D5IpVe{yw}gdsZm$+f zOS^B&Sv>R3WP|?lDxqh_OVtw@gR6zajMl0r3SO=j5;NYYp2(U1<w4xeo>vD?o;hK> zM?I16aFx(Aqb=%*a{1p>&OE<6uZ4BZ8_$RnUGrL8Yi>;5FoVBJXxfH7?B7o%m+<?g z9b1=b%UK+8YqG&~Ra?%_5qBmV%y+fr<c_#8dBX%nTh8u?3zIj@_-V`OJ>z<F^;6?z z?CQpg@86l%VtMAJ=Zw>D=CxFwdF46d{F!+zGr#)zA9A|)+B4!vk6em*LJt2Tr(<6g zw32H07bU5EQP4^}BF}X#+4uaGFK;yp-|1%`wV3nwbkorc)n!LIZ#nWE&tl*C<-hY; zg?{U;=bwBIe!HMy_ts{ox^=Q5h3m@#&iuXQ$jP5|PjtF|*1QuvQ}$osD|!)b{4j0G zpN-#U+OS=*n|%JjEl0-YEcRBOb+RHKW8XF%uL-r*c=&o&`O(KdXN6}Peyn+`(4L>g zzB6Wp?30hVZx!ZuZ*6AMyUNG4Gsaruk=I*=&70QAPWe!KcK4&=UC%ntyeQvVHsSEr zTaJ3yv)L=}tdM<Dytypkz}j1mircf<UH=3aPiI`7b*!Z(&RFeH#@QJ&9-Af$`Tcup z|Mn~YmKoDZdUpS7f5cH7{{O@Is=tR9bqGz*YPG0|);^MYMn1cxf@ihpBjY_`9tWhi zIyv22BPwLLD9q!`i6}+R{J$G5{_BNjr=06aS)3JfyeD~acI%9-acc$oH*R&RId+wc zQzBklCB<p&gpS`^KM56Y4)Zv)R_g8j$G@f24U@0EjZ);Zzrtm>xg$z3EiGL8$eGt! zturEH*9sUfUoD!HR<hM8DGwySQ|jmUpX-i!o_X=bd#!+R|LThi&YZZiKdfWs;j3JR z#yg@E)Bc5NA31X`tJQ^P<;7x_n3WT6Psq=5afw+WC|JDF&E?Qj`#*Ez>i5cAyz;~@ z==y~VSFYT;aqSrY{(rak{)-ZQzv98|{qKG~b#9(rWp01*Uf}hM+xH&PjkLHkrS&v# zWJS#M*7IAlF5bF!>0;3ROH-!3%G#Qdy(a(7-<0HNt$pXa!Zz>8$@V;2zU_rZxAxUV zdpGV}xNh&-kN%hJAC&%=NLilYvpMs~y!)kptCHN7yx;P7omTtG%(ube|5<j|hfn?V zZQt9q$4s`{99`#A(YoE{;L}$^r8ZIbcke83zkKh9dW=xZ?5@fC-&I{V67^l1`_+Hb z-rwQo!QWQj<Mr*W`Tcs`{HENjx<&h5b{<R9`}6Dl_g}X~uY8Jl|7%O{7W>a0Pp#eA zZ5La%A3Xo3Ij-KlO!eNSrH3_QclBIbdR!v*SI^bd0~WDuJ=ar@u*4qoxsrP5cGkC; zwiV}+SG=leTd9|@<CW9BfY>9mS9MD+v@(wPqkePg`e^^4KJWP5^VX=W{qyUq`G3!; zeBvc9KdsfX)q8d6`6NANz1K!hy7bN##Z5Q7|Jvx`npu(4%decN@(G-KKJ@Dx#^)j8 zpO(H-+7}di=K3mc3;nQrp0h(cvv-y3_&0x7oX?ij&fTon9zJJ!U9|o{)gAo}cFOZF z<{tRPB>gF{vG+zmLb93IjTYZW#bMjhbgpfFQy4tmZhMJjLG*M*`xi!!++&a3xtMy$ zf0mE+qO(y#m*!=3PupJ<zGe3TtD2~U*l!{c{pY#XoWI8;y<z=<wm1441lhTA&KzW# zUEtxr<aAhURnFz5=a28=xv}o_1pgArjn2~ztxIQa@SFCs{MmGiHQw#YMgLB1I&y~T zwZZBGyUyrskSpd|bN&vKw88oVZD;g12y%1foVmg@djrV0=exc{tni+nxr=AUy3-TN zOC=4RryIV1Y4otxcUkS_q*yDRi=V>Z%?=ZfXg6iOmYUo6)k34cBsKfleAa7c9Jtra zY!-_!=GNViCKr_;>~>1o;b}Vav{#YeB9?j2f4Yn3hr@J5`Bz3st$N8}uZ$9>>RirO zFwfSr<eZxCE3f)|{pZ`4)kPzW<MlVB*+nIs?b&kRmyhlS#>-qeC%!OAf6Qy_of()Q zeDAVw=C$R!OkM}a&icAi@9eLT>1nmAyf@ojO+6C;5>mUo?`3VQ)tM_Gx32r^es`8+ zO{3}MOHA3%ma<;+@!?)GbEa5?v8nEcw7jT<WIeG6W9z^DSxT{KFC)M0SS+7;ZOtx| z?6BBbrmOYN&I+BLc6Qb2merel_Mg0<bEYKoS}Hh16?U$jy7uq`rq@PG4pjN*Y)DIr zN;rFF%Yj)dGaF5dFEeGUEoU`LoPH-ED0|w5s%dAr!lv8tmq>o-)^q;#lC|r_`qMKQ zclk)HIJqVN)>`k)dY3`2<r9xEZr0zB78R9X+b0$=Kbh6+K}934WnhA`pV*BV!K`Kv zx6Jd{+;Vkk<iS^$bP`H4udUx@aymSA*45Q|$=hCDS`(W(vo1NM(|A|O52thSk+V2! z8cmIVFl8@W%6jdLH}{&EGsGf{jdeGqWkn?@r-?@xTk38|D_f<0DYaD(<i<3{)!v)y zt}cz-_v+G`xKhc@0n^jot~$9TKML;1Z6XovYgw<QPT#XkJG%e<zs-5I`}8+3hI8kf z*vcebxc9)ZI~p4}&Hrzn1#;=;Hyiz?+r2K8{4i0^+3bZ;(qg@2vzJDRn{_VdKhr7n z_H+7K?YdL<`Fb618;uQo`$ZzA*VV6z?*GSnE#0A!S0W<8nN9RY$3a%JG>=AKiC@dJ zlw#{HY<}}`v0m-F7e)`%W83arO+6wSd+g5T)I;EeYM{%RdA}qoTkz?=ml=(v7GVjo zec}=Q!mQVxr!?|fgeEwD6T8u2%xd<mn`w4I*!fMT?P9CmTwi*gF_ul{O6m#4ST~t# zsb>UZ)oS!ke~}LGo^yHW;pyO5f6A17t(o;&>byU5rmsEzhw1g^1qax4bT%k<bLAX) z#3a3W$pJN;sq&|<?5bIse)Dm5?5{c3QxBN$;@P?2bVq)vWO3kh&gmvIzxkftqOzp+ za*=NR(|wt_jinLc39;A2Bl`Qf)|_u+lHRcKKwFO12Ep0fIcKIZ&E62|zvMLgt}j0V zrrT+kNNxz4u2@_uxzTI7;p)<v8{DS7G(S6S#uD##^Cy3%rgj#xUQ4WLES(vUV5=q; zF@HOE&Vdh1(ndQExa|o}NM0s#qh)24QtZ7eOOJEJ{_44sdLViikL1$R9T#`?NG?9z zvT>Hr?`4_0N@lEky8e!N?zH2ZHkJNxjN3YO?dg8jYmfaJzy4f#fbEX%21R@E8y(fG zX3y#xeJd3H|JB;6_x@Gnw;2n)=YKDe{IE~YIqj8E(lou~wAV(7eL9cRFYS9NZppc_ z<c0e7>6>&6_Z*m2xAwrS_N@nIE#G=z*72<eW<B3}U>5tf1GCh(9hl|5?ZB+`uzCJV zt}WfKXUq2DQu+ct=DgSD`Y9XgQjQ439^<*1ddL7&Fqua+UfTC^#vhYuOJ^QH<e;;A zwj7w%nc2u|5tVTE%9aDOc4ju3PQJ{PowmG6>8w!L^fcDh-kTe)E{)v(%IL`!y|b@E zr>A{f<-NIVYU;1EC({y_dADnWV_o5Aq;7OOKi8Vm?X1_5T^qkfMkX+aiQbrSmDMcC zx3M=eIzjl_$@4i`vHLD>e)Dm!UhTS9Mh|A`Ij?(d^r%fQIq#Lx!)a=l_hs&#*0Lr4 zm&fV&o%y%o5@hW(H|SY&tvP;<>GkH-2iV@|ZBU#qcB8|V)$G}3rr96%&GVSfyX(u1 z72flGckx85J3T>nSI>=Ar)T8u^7-+@-ur#e^88CvCDvQDbAIv+4o}*pCwuSe($hS# zReP3u&oA7?Gh^lH36Z;cW~@Cu!*Z9;jMZu9Qy0CmxfeF~yvkKppRm{y)~mW_EZQ|= z<tpzP%XVy$p0(Vn{ioNZQ*$qDsbBB4`Q7EEk*8l=T9aCmdCh2-Nw#F{tgStIXQSMv zr|B;C-aPH+_o#0%Vk>5TjaXqd-*pvt#F||bR9AIJtlBjrb(Ob;;=a3&mdWdC7>1wm ziL^TN`+Ly**omss#3PL5wKk;Lg(RG<S#e<2&wxhL&kLEdpE<K$JJZg!W+uPrjh2a3 zO0mygUrKJ&t6lcWC}E<Wv)F5+q)xr$X|IeDXR2N9dvS1o@Y2Kj|NTzJ@6?uBmb(3p zIIsQ&y?l{~esk_M=R26B3-&KKqnIx$BW<|qz_A{^4V=kebasEaywtru_7~5E)B_H& zZ9JD!k0it%<GGl6C?Il~b6n@fT_qCgppr;OX9M4H?lq@7nO+xeI`C_b)&|Dq+&L#^ zGD&}QZS1v-P7pr#va>{Ty>~z7t}ioJoNm}&Drw|7U2t-#<mWy;;bj>{Z)fkjvh;9x z)W1`;m!~ql{t(+(`g7lbsu<l3cGtOb4t!;jE?RuR?T*d{!|hx-hjMKv>czjl^mvb6 z?Y@ht2MlA|YF3}_=-$<{bIIwJ)0=$kqXLqq>&ad_{Zsq2ki2+A`&-s)PbV|I-njU{ zuQxgy7+-VcoOs71{V}t#_hxW{u-#?hm!Br;*_yq$l)hMx+3clJ%4R*~Z7+<{R_h6G z%QSjB``fEaiSwuJFS`F_uZ^>2bo)%!YpG$4UuVQ7)IJlr(Xf-%EG4e7cSd|dvY5z? zmex-!CR_D>f7Pj$xv=!SV=SA@rPLFNv2HRKQ_no#<zu0B`paTgRk4$v8ubU7j)Jqy zDyG*Pb{*KYMstH)HuswIyO^Xm>^sm_6Oy28CURp&FRNMN<ar*`Rd;=fSmixGbr(-X z{B%Y67e<eqV~?%5kb1}ql&>vft#~ehszo=ki1zJVYfi6VdTqGtz^@+74UEa$IVTP< zNgM7vaLh+z1E=s;mt8#9mL3;~{l#-N^?*Ta8_)IBBhtHiDubtUD*v0iMSN;slj^;& zxrbGLE?KGlah{&+wAYuOuF<nS_wv$n?^w2)rKcyXFO@6=H3DB4J#3m~JN@LU&lT>a zcEKUy2BD>jmqWx2V@nO+uhL6wdvq!9Mf6q6n2<?f?^^O+Tza@nFE;PxrN`U!YU?hf z9_Zi2vvcw3j`<~$#o^OA?N{F{-nqo8|MaTQI~VP0cpoDEsr8l8x>b75X1x+x*R3ae z*XvI3+{1N-nW^d5Dt49JSnECAzx3rs_vv=?Um7K>*K_{%(kN-OUNYYcqlXJ-*-qD8 z_4&pstNFRBxPL^HD&7ti|JeB|sceN_;_^qA?4D0}CBvufsr}t@dFjgnx9NJ~M>=aG zXGQk^m_BEd$z-|MS(g2J&c|L}I#W@Ssb;gw<n!GBD^kxt?U)tWe?IhiaY!lS@euK% zm{LLY5b@%mQqJWr)9acpohd%DYr4JLDHV__pIPoIsfeGhXI%Qy(0jVw=2A%mcW{kl z=s(@?bLq?t4rk}he7@q`nc|T2XO^psKg(R5S=skW$!#T6sLT8JoYqyv5ld9o-g$oK zC;zIFAD+|o%1d8<+^kpo?xoR#eR|GvFN_|E$Ii-Z&=dZ(`eyOV<yQT#|2r1jnTLoM z1eYpq4-qenE;Y1Yq4&`J;?ns&I))Bw;(tC~$RiT%cXO)5Lhts8yGkm(r^{U~eYx3v zI^+D8Mk(v{l)t?+O53j|%(voZvE&k~{)4MNOD@{guy7T(<g#5I4_9?pI+t?hYw5i$ z=38~{;e<(Bisl!4P3K!)`m)Gvx?KE=OV8KnF`s*B^u#;Xt!C-z8S6`D7Q~&McRKNE zrA*-5^UqgtTddkOA$e7|#kyTH{6nV~1eLs0m-DV!Y}L-4bm`Q(BV4goYpyIktrc6f z=GxNpQn74nuBM)_igjCaJ@rgdtXfXM=I5W*zOu0kntNX8DyvRt><Oc*t~%kdXV_PH z{|G3|oWD7+lyCNwB~yRTnY*jx#!~O;cXxfUQ1{b5bt!$39`n6xsV7uo-F&X4p0VEL zGehYdbI{98k!RN&7rXj1Cp7ke+11vXHM=_AuIm2jQp#!VGQDon#v>sc-#zUwHuCj} zx;b^mV(<2yyGmv*_wN6>>r3Q<(+#b=cp{ga?l`)uCvws0mZe$WVwSBw_c-?I&ocqB z2dY<bSH_nL8i$G-JC<^877hQ$vG0}4G;sR+el&LGrjm*U-qYhtUS9Oyzs$crmW}5^ z>IsKfH=awWXA)x7{wVBIpX)W9|H_#vp1`^1`(IfyzgwaAME$DkozU1b=T~{}P~Y`M zxJ$LIt5l=jXL2Gq2qj{zdaf=#Z4q15bA9P~9gM<gRoeN~9j|Qe1<XA^dlk3D!d(+4 zuIjGvE;U>nGTk7mFmryfPbuH=sh}X#FMau8s$T5AYfFz;#{Q~Ve7b>q7thbI>4M^= zlEt3WIj3KJvvW?s+~b~Cf6fVtJ&<{|bxvUH5%E>smaBKQG%xbDuZ(!q^-5-+@Z_*E z)oZUVCC=50z4rRj8mp3*n;oY!N|#D*@|-R>yHs+s>vYcOt8aGJthef4z3TJLWxE<g zS8+$K+|?1fsylM+t`<{Jmf#P4{$V31&3&G!C;RQyrPTR)w%=Y~dfuSN%+@u3pYG`i zjJtY39f!JIK0jRl#Lf@dl@o6K`eWy-nz9vo3CmwOm95lE+WsoZE;RPgy#F7zR|S^U zR(;R>9U2|$>>S;hUzZ!){`s!`%y3ulIg>UVcIDjN^S8G)%h-!k{L2ro1$OaVCJ*K~ zIG^LXQgVT**HZaH%Vo=30oMb=AH6wkR%-FRXxpjOs7I4pWc9pnJnd?+-8Xr|`R_tz zvJ+aI?@cH;GFzxmQt@HSM5nv4`$U@V3gvs=NSx9V>*jqUxu>PJ&D$bjPK)y~Z;Pa+ zmSi<Ai-)-j-z}TVbug~eQ>bi)PU7@`Lf?M8{Lb`kr}o2!`KmHS{)Ys=tIB+KIJ8Xr z&)(Sb`RiMgeyjfSyz#KFAnSf=gUDOMfJ1i8Dl!HUhZLPvWDG+N86H-dV-U9EJ>&D% z2MdlUaa!w4+i+Tlvs!2BhVw?8>^jp6POuC0{RlW@$gMhOL(q!q6Xs3@5lK^9WW&5~ zr1rMhUh}$<KDUMWnzu#DvKHlK99ugrlrPN4XPs5>@#(sF(X|(jr5#IQ-@06D@r#Vc zS?k`L&nybf=u{Lc`(bF^6}I8D!ow?i`J7jhmw=bdJn(ItmFT=c@GxtZoI;}-&)JJ6 zlU3Qct|U6>38zLqS{|cz>c$rhp|YPohvcMHbHsFn_<ndCQhco{v(fL6VYX_{vNty? zT_tBbI;_|&=^D=c++gtwEzaF*rffLQ#reBN<6#58O3pkjPIsNj1!sad)pZ14y}RfS zQY#KttHilmXUc}-Oq{>ZOfNWK!`XgjYQYg1&f{mM6&%u8_|9%W$H6p_SiQt{VWBch zy~O@xp>LL24;#*_$`rXA5^PtMDfT$T$=<q)-A174tVWf?A-><LIn(9{eY>HZINw)@ z?}qZj3I3`%(_)1BZmK?Pnd@}d`5pJcG?BZCiS7O>IoB2neftq{$nLg^%!bfIiqR@E z8zWcv9<gma_DAPJ%kI1T&&DoH6OoOvl_@D%@5-8WZPC@auHvTIft=QJrffLP`S41b zu2z8Yaq$(A-CS4JfK@P=W(PLT+PcEwY?O)nWuI9y4|AK9O8jvGr_6i#tZzPSZi&tF zzVW!HByav-AwCO*hZCBG`Yco)&NwXOXQ6cE?wP)p1mmtcy~Os#LS>d}iT#g-zF8_h zY?v&>XQ}$IW4F_;vl_enyZnAQt=Ju@&jkwe$cHj%BDU&@n`cblP|2o}Gp#|$Y_&4y z?AGQMWj&7@J_l8Eo;`57TgwIt&%G*%?Wcvxb}A+IpB4HRsh4P6J*nVCyHH<*;=>tJ zh5RB^uh=fwFOcJQ@v2Z+gmU8atwP@-)D!1_6*AkL#@U`Tq2P!c=kc6L1&53lzEk`Q za%|qj4Tr-xcjrvrAnB_5W^?Qz#`zu=DeW!FWnMSVD71LF{rMC<`<RVD#-YFW!+6)p zK2?3S{N~2R#hq0?3zoT`;hP@oePypu*$<yXdetq#yKD3U`jwf!TIyVAaAq=H-07gq z$6=DT-$D4>(~Masg^shny72$}rck}hLghs>HIg^CP2X^uU+CKog~a*MLVP<kA5M@K z>f53Ba7MO}-wv%ScbCo&C^z0wr$6T~&$|1bH%c}MecPdvINw`{Z->Ui3Hhor8yycB zdaKOY5V2zSaeb!^k}=|*Hxd`N#EN;`NZ!{{`^?iKVO@*!GY^ZTZ7s=Zo)(GA0?OyJ zgB0GMzTvP1=k7BTHXPqA^lhfz!-h;DzL{DNJ2Zv*D%}rpPHx@Ben-6N>;o&$Lwxz( zH&Pe1*s6KlNZ-@Kd~b5Wi9AmCH<Jp^_;ISg5qKq6<j-N&{90Y8?1o<A^nTSh8+{Mi zMXSnea6P0rTUBPG=OIJsRxh_dIu~Z_X7c^<(tPGY)7YJQf6vCh{<?okVD|fK$9^qS zkN9=T{?*t0rIWYCPkkl7KKtF>xa(JbZ+v!)O>EDX{a=60w!C|7_uo#T&!UeF-Y;Fp zRXS^nh3Ye}8;R$<>z7}T-(JuuU$0%*F7NWL$oq-h=eP+w&ht#1-FDmd`pQRoo0ndy zJ~L^<`E%l|G9T87$bM=oIoV;ZDr4+)h?6<~#NGa5%Z~j54W;h+f9U?}uiIDozJBb# zz5Gh)m)!KUe+#ZnQ!5s?p7(6={Xci(>KES%>C-TL%^GNNW?Jj%IW>**P1de%%+^nf zo;NwSGCcl_nC{i7)4uObI-@Ypdv|bVWw^?lf;Zo9iUk~0y;mLih|k#NU6JDxx$7?P ziesNJn(z8A5OOqjTIqkyO<dJE6BgCxd0Qm(wK%7FTO>_uIUAtzu;qLFxx4-Erj>tw znsd5XZrh&dpUu0X@BNB7UA!ym9>0F~p)=8`4~x{}A9$Vq{Su^Rv+n!6br~zyZP_zl z^l^cHx$5>ypWb=jc<8u}HKd@k-{swhjVI0q1w4_9c73<m^$FwbrAf0)C$!jp^SJRm zn3Mg@w1N|QoU^AcYe_Eiuy{E6&)*k$m9vX<&)44gWcG~zRbJ)mBHi<Evnp>F^R5ZD zm@}dE^u3x!ZQb{`@25x4o1R-~Tt8noYL&L```V)xd#1mZ+v9b5wqjy?d2wwV>+~5K zMeUqQ<&yeE{VSEeM=E}5;9r`w%V+wA<0hQHdnOkg5aDd!qx`Vrq)^{Xm4_|cKYw4m z`)Tcm-#Mp0%Wd296zum~F{eN8in@2{e)ploPMw&vr`$1_mEA?U=g)83)4Frf(p{H6 ziD?{5tb6BGxAf`R$r}zIh~ZxGK*-(o-G|l_vFAGOJl=Am*00m%!IBW4=|>@%FkeNc zAnuT2ytl<8ZO-F0nh#rwfBwFB_mkg~sCoZ4Je55!f939{Yfqx)-M{+j+%u_&<pn!5 zxTkynWD1YEH#O!o_a@!<zhf>2#b#E9i#{&UEnm9e(x-LaHy*ly13g!%T;k(@&pW9z zPS~FN6W;k-My&F{%Kus-m!B>Rsp^jwGW%@9$^J*-;RJbAnT`I33~#H>`B3<;u4Mb3 z$^T7ne`;#i%}>hPBv-P1&()pN#7nmB`KaG@C~=NX%&})`KOC1n?LE2H;84zK>ABjV zkk6l#bS7i=?x2Xw%J<$k5}$R0)1O%9oy3hNVyAW7NnUxPwyn$NL0z6|*t4_~6TIFV zMjx^(R*^9XKcx6sMaD4xkRi9qoDBx`_Pe6*?Y(o$tmek2x^ruD55JqXUF-U%L+hq} z56<Jftts>F#G$9hYuvPT-|rURq^o~w+n(Ey2*}es_Au?8SK!j8cPDH({PoT|H`eJA zbFw8x-x+v3(PMUfXXx<6?ysxd1^x2m(|4ZE3aRQ}E%dE2*vj*Ov=E=9-ouVWp}tCm zSffObbx&RI>b{>IbGln@+a5`93OE&Wx_g)V?U?J=G|!lLh^5I*S?|8|>D+TMyBB92 z&O4f)^7zBU{9ShC7gM8tZ87`0E_<E%<(u#Bp7aaPEIY0GX2TovtK0W;PnY<*=7{V) zp`E8aCRX<d?97x@GWUHPxp0Qw_NAAq=ll(3{OvQrPskwdkm6$%8N;wchRG^(41V3b zv-eeAnf?FFcX3;{*<8JG?ZT&!;?BhLS?3Zn-u3WrzucyAEV1BSjbG?)i7DC@&%<sz za9Z=6xI2H{+HFsj%-?!E(erkFx6$Q^UAL>;1`n-e-u0Z-UnXxjufOxzrJYy5IUG{_ zt}0XLf5`CgQg5}I3H!tEzD-K}7Z<v<n{}0O_l`S~@_A)vgL@9&x%2bRsxm|M@DJyD zH*8mZW1wALzsu~<vW`0sWhd_T5!-oOW#aEMVwDHJ-MRbX4##ZGsrMe&a{k_<{;)w( zh_5pIkl<xi8Drl=oWY;Jui70u=iFEEywKeiORd@`*Uz$je0c2&;jTi9dDip8uj=(Z zHM+giw>0Ds-~9iX-~N^42G^<;wc9F{{|tE|_q+J}{_NRV+a=^Tcxx>?Ey!6dGi}3p zMNW2^DFr7OIo;n(FF0ezss85d{nhWvHtN=|Uv=x~@m0k?RLYOFOS@W&Jl<ejZnu9` zY|V7-ipuGWkHm6X|CzGeUNq*>tP`^9y6!x!o>*O@STtW-iGPQV=;fz<Ew*)2Hk{`d z;@hG7a6+_D-ww@(Go*$5cFY5X)uSMASaq)|{$W;rto^oyxX9xVzVB)rLw8%u*RH7K zUv%WUP}vWy@_MZuM;hY2?=0MZBKBA3oyXH->H{Cz@w?=S-P)PA>rCvvDPHdj{SMis ztH>BE{O$W)OUB6MkYKZ_jIqZdPUiZ#yTkd#Z|yX+Uy&tlzxv$6yu6gE9Vd$-4b!&H zwT_Rts#kX=bz3p>Gj>kvJLm4sU&lK`Tgm)y_lekh=eKsR<DK!{<(;wf6UO(?F5TP7 zSnRE}_qZ?T?>iF;4wQ1X$4o9b63ThJM*m^U`%j?A@%dl+4wM2iR~65gm7U4I-C>!= zu`~aQo_DXhb@WM0n)P;fHP0Il-`@HA@|Iks_Y*#4*LOxvPvr8u?mXw6$j&pp>!nfP zAvtH&H^y;?7$2+17=;}YOjeOGjylA-7;FR2>HDi!l@(fjudy%Tt1jl<uyEr>|JRRe zrd1pKzOvQ!+U+@6{U>DYM0cKkm;OI({r;$<w_jOF-JHsux4M4Q+JzgN=bkUy8+P@i z^ljd|A9Jo>FW6$XlXvfJsn^z0(z7n*&O9dcI6i3UVV2lg)oZ=`x0k*&ez|nr_dPv1 zT3b#3an85-ZC>?v{oNJipM`6qZ~n{q?iVyU``m>azfT_9c5IuOU0uZ4O&NxNGPl|K zbS>QfYTw4_&3m@`r=PVK*?IU`yZM%rx$ke^yLIEvg-<NA<?eMXYjc--efd(txsUg} z#Y!Zyoi{60zf5H1t=Y73-;rahyuxQ3ZhMm&l=f@sbKMsPe}DeDs3#wp{v{&caDJ&6 zcSQEa38rG*6~}kwoe}Atse148-RM)kvAPGo^qlQCHQQoh{^{N4*!_>b1S~h-w=Un^ z+t@q)$ML=Y<|SyWYZz|4V7=#SQ%za1xwN#z*MjZV$@5>`z3{Q3^P=mm#GaCOec!&> zo$d9XDiNI^drUN9`bXAl$xe-5B_k7<?@jx3HFJJWR>Gu^r&ngTFIw4oQvc89xc?ix zXXmZoyLj!!b^c3NXXn4Xopx+<`KooGIb!$x;yZ_@oLjN$cIE32agC<MGqrAqT+jK% z@OjVn>!zV{2UA(EJ$&(*U;fjVDgCBqyT6vMm8t$0|K->5&^=q%tvxI=`_;F7YuD=L zF8e1_|1Xg}<mKd7^0hj)zg)gXT5q0Grm61wuE6Vw-g4J>g>Fym;yY{}y!p&;edOBl z`FUR5x6bT*zT5oI>|0uQ(+@w^mCb!CTl3Rq??12US?@E_ejh&jbJ;4pPoJN&zWGop znwOtrbX}X@`}yimp$F%d|Cf%mh*_bP<Q^ScTs{Au>|EDB3s$+v@_T>Dy}ESwMo?cm zrt07PFTZ}z-I1TY^y;>4rgm9u?@t-*eRcHRyshUa^X{GhX0F-Ft7at;!MxJ5U(01? z<)q#0zFYa`bBgzUK0W(4D}USEoY&_lt9mV5KB|#V{^o_$!`-pHJ72fi-rBx%-^-Jy ze0N={oqhYYulIYM!^^~X|GGD2+1Y(F&+3=$HM{$-r26L#UHyNb<NmMkv6^*fSJ~u+ zr%r_3zjouo?#2H;9{Z)f<KLtGZ@&6>C7*HlarblV<b^pQRz3CiV{0Fu3HX~)yL#r@ zCl4OKe7@N1#)ZV>a#s06Le?y=HUuw7V-Q(!Jg@Orjn;(*TPEJ0KA<(xQk(r3aQ=SF zwL52%^Iai!mRAJ<3-sDqUKK_xuybdTD%kqFIgdZ{L}a6zj9|tY&qlR5Ki7sP_Ma^i zw7G15L;ZuyI_@h^XF1sJ6IgLRvyp9%P{s+)Mz=Y_8E3+oe1F_px8c0l?n8B*pTBX| zwCl6J`n1=<Hjm@V^WsJ}8PSXrhK+7A;u&YknS6I7g<B-7j(xb`Na}Bv#>1+OyJAFE z9N*6Lb*I9GhFB)vnV;wQDnH{gNt@>&{LDT*)FNee?86O5n0~V?JnYoCOGjwM@uc5L zg7UZbdVm+>eyX|dwVmnr6;s<=m#!W6$)09&-SkD6&+YY>Sg$Ph)%D-_W#_8qsNK8E zF2B}aZTDiKr}f|L{ja51thF9rxqAPv{N8`5tXsC&B|q~ieWs<WEBoyK>*!mzE_s*r z<^B2eYX94>>z`b3Kijl-rPa3k+5gMe*9U8x&E56Y%)24&*XxI8b<bYA$-d{=mtXeP z0{=cR@yGqWU;TIUE9F<wzrL)@&Z}6GUp;?US#d&YOWK9(|GTc+i$AI|U#|ZC_N|K- zcJGz3__1}}O)tF-OF#QK$NgTu_g|yHh2<Ol(~n&EvsFrJ{+j&EcNy7+$)(Zxmzn0R z%Jxp@I2`wTf7Rb?-3^PE`oI5m?D#FI+qdO2vkZ-!ADS-qb$)R>Vx>v`o^Sb8f4Nn6 z-8*x>>U*Y1v1)Mky&B!?K}Sxgzn<mQ^CEM_;S=-PW}W+bRj#cnrgm%g$%OWAc^QTm zm%X~))$B9P=fc<U;_Vx?PWUwY9_bC=t@evwxypQs#ow+YXPF*PUB_A0HvNVscl+H< z1wTW?<f>C1ZVne?{C(uot{T;%%SXHKJYRC+>>1Z5itR3P8)Kgs&hM~EJgyzv-KBT$ z-kd%Op}v_~4_h*s%pM;u;k4ePpE!M{(6<?1z1Att{CA`zy5IbosmV6etu|?G3#A0p zJgSyvXRdddQn5U&<8Zp^x@5MUQi5p*RtrAdyvWVvz=c1Hr*?D9jCm|0Se)f@WKXoR zQsOD?yWLCPb!<`3&B;i+t{q!#$RE4;rIgn18E0#Bmh4`<@@k2}RPGr!e_r3}q;&2I zSEpsPw#vhpUIF9E|C_=(4*!f&)VqI$>*J09?IX{F)=p?Rz17KS-4(9R9bwuk&#Kl= zXkj*WI^*>)VXZ*>*R4)xHth)OIDRZj(QbBDD@)EgQK3ys!#s{$3*WWP(aL$%GB4Gz zXY<$Q-9ED|yy)}%Ps<8Yb9}#RS^a-m@b2oJHyf-r<h!3%PKye?x5Uf;-kIq?E1g0s z^uDYP-(4QK=EAQn{28W`P4}Jims`E~%$jvecgmdp8UB^a^xvtN^$RyH{QL4*m7mCz z4<DCb(wcgD#<^X3A~Du~)34WjxgB0C8yXrD{Z~0g$HE_WCPl%^tM5WxRo9(QuC<bi znls^aSLcpJGiJ=~^1Pucz5PhuQHz>Y64PzFKK}4AOw(F2qd~Td^XB>)9n4*wmMdno zIBzoi`{m)EyFUNt-kX0;_lk1sy{DhUi~HwR&boEmC)sT6&lROP%f0*G-afbS&xV+f zFXIEPznAu3?<lzOJ?r{>ja|yOUd>;VZC?CJ?YPeOxalRyw@jB*J$)U<xqItt)6I{* z#!L_X_UumSSAUbw4`0XDpL?_A>DgDHb1`(!)_ka1_ab!Dr__Mc*)A43s|6o!+Tzx7 ze3F!)opH7ci_R)R!A&2&-cj1BwdnE7Yi?qD=4Gq<Un{?2A9;WIx~)fQu3DZ6o_jjx zYUP>0x#x4PvYrWwJ@M#zvA5LaAgN2NH>ziLR(!wTnEvGB<le8Ps@wMKCA9a)uaC<w zc_{SS<=uv`Cwkc~?>0s~vAgUlXW;roak7it$89H))w*mFo2IZ$&kfq~a?SDXI}dqZ zn#e_~zS-=0D6L89;RIiyz6jNaGx}BLY>3nNq5N!L-`Te9Tf_D_=Q?k@7*cR%SNN{- z&sr99Kd;%k@bIkgUEg_kCwAUuD&3(PFnu=D*By!h^WU>deV7|-F#W38mxxs7`R2J2 zAKG}G`EDB|?dMJIyJ7H<oqO99iSN5u&kFtdT4NjS^Usg#%F`AH+dl3q&u2I=_uX<W zs65{$XPoom^EzJUZ#N8{gvf0(wK@CHr#m<2Ma7es*LHm?v#VKJWng;e%qzLKe<SX1 z+jXjA`b`7FUHRG0zt|r<T_3_f+1EF3_e(Qt_l#+tn~H1FGSiODpO|)N>yxSP&OUG7 zwzXe!*39{lJWEz>GQ4}|lgQ^!;cqIo*wyYmkeUBSm@lR6OZz3?r~iE7f^J^PTE={) zZfRB7RrSAhPtWNG-}}BRM`mB$-d!I;mmLwGW%N1dX^mdI=CSAAM~}=2_BoWfkmG6j zPoI}Yk?Vu_=4YRJx?-74U*@UjJC-r|WoSKFv`pz;me#X$U)4LoQ)b%Tzdq$Kv+vG7 zi!}S|)@z+wzJD1LTZUH3f@Ml<nObQZmI<|KoYMa|IY|G0;*7E>rwe>5_bk*jcFWL8 zXj$gumZkMb*Y{}8rI14zuB@uZGEOC~Sr&6F^HlPlWi{_KX7V%Do$=Ao(yEnwV*2{w z`#)B<eAh=lfAwq9P5!CPF=kq73C#(Y7EO&<FVSA=BzS7sk=?V3W~|eko;mB&j8&S( ztk*)0SkLmBu_okn>e`|SFT?I%nsWH|tfHAKH2XPceUe<ivY~Jmm*nb|9fq^IB-gHN z3G|w0b4`PNsr{#=n(h21PdCK`%bhlPx;Z46(R<3K-)qA7jB1Z2JzdZcddhLx>WC#W zBAbE?1+_(lH#-<|8pmzk)%j($nfSi2z528FIozA}zv!&s%*b1ZC!Bh&;Feak%x`ww zr7e}dmOYoIoX+sA?72AQe1z{zv-K+{e4N!aW5P_H@>Q$!dnWd+so8$;_RO{Kx=z*h zUsX|D%5T5uM!ZG&b9TP^KZ_U1yvsQCRNc4o&ebXB&(Gr8v3%u(-?O?ZKDb*i4ZZ*G zOLs|%>e9bPpZ|u~{>eF7y*~3?;=Wfg&r<L0-e9*O;8DB17@Gx8#ZrR<sR@M*#}_<e zn168QQunXy^3T$CO*zvp_H0ks-oDJYb@BCO%ZgXj%`Wjs%l!VK_tL`kA@9GIXS{DW z()qk0;yoAd`5dK^EvDN|SvWVxZO({DTIO)}Q_KQAdCn`3-5Y=XQMk}>n~8U)>V=Nk zOuai5FSNWi>-CBeUUAr*sq|;u0=fH~SDtq{Fza!fJelO6tjA^YEV@xGW<zGoqg4*F zZRy+WwXUSjaIkITx{}`Ez|6*Nk}|<TnT^XNt-(R~-HojtUSBpR3%AF&L`-LA`np3u zV16|d?~lj@ish_QA16B``|S_gdpdBLukXt=U#oDdCEoqbyS`X1INk7f7thV*r#t@d z-(dWE(yCLR3O0wWzj`(D>ec<P)`jxlt#=N+TYOGdUX@*IdZeVl%#7>7Ha7#ZMeV+> zc2(*+qrh=uMMIa-$puDQi;cQZ*__b$Y-7#1_x*&z$8UD$Uwiy-U-9{h$472X6g1zj zGhOl9)YBZ*-m0prqfae8^sZ>-#?>)WZ*->Xl}+*HkDuZ#KY#jZhTEr8J93{I9l93e z$@ykp(aM5_F<CX?dc5bRdy79mwX}Wn(@V!^7D+xR*~wG5D#pzsLhI$(7b!bKECTgR z`=)z0C)elO{QG)pT~_hdr}}(--x5XpK7`kl|LNU-RbO=FA$O*$KOz>e&F7f1Nw%>n zLOVeIHH%cjUI*tKfsB@3SFe?ymotU_@L3?m&6;HpyFf3E<I3ULOkWLy7ci!AnjFb# zbej>pWXij9qAL#BG-mBl4QSrZ`YLIkgRPFhivCO{-Vc2aFF7<XaJ(1Q%stS}vg*S> z@80@}9vK~>t`dn)z2B%_x%*paQS{U1AkXqMkBU}qSQ3+^qdT4L^r@xYPoG{o-2U{^ z@vb7tgy5Y#8+XRI-3Zcpx$kzAp6Iqtqq_|o?*3ZkskD2Sr-aP5ZF~M_zL&UjGv?)+ zH9YT@t&{szUE8{PZtmH$Q>I!(%=~|2p}5wXQ}yD3?R;h&A&JQwnR>6fW_$<~+*Pri z!?q@<#qOF&V#8KfiKJLTw}|+L`bD*CIWnWQoqBy;GdAqxh|SS-;*ajWaD1E6lICOo zzx`Wf&^VVlbK)}58Hc359W^XASj3^}t{1b`aO(k{Wg;`WuXCR{{DkSV;mQL{J~|r& z{No?!IPvSda~p3uAj0=4J2R3`L_&4}ch9-AtZv7jGA#}+YP|UHN9(=iYAQN$6KZ8H zYG2!D6xp|zby-12{Hl%Z%FTCWcHTHTv3;)0&l?8=8}u!>K3cj9ZZmf2E|{Tg^{Ze> z;S^=7n8GKIEZpV%3Z6VxacA7O_4$U?TMr*R`b$5*dgh^}OqX~3+1~bQl6?B(E8M)Z zBewoGzG}a<+}c7npw*b^s)cqyb1_qCVcY^aIkUVal3zDgWK3j>Fxv7@di@WPR|zY5 zMW*q-{d=;IOQyzXOX3Z~!VUA4Ti07$`Y}tn%CPXsBL;UlzM?0O1>70=ibWoAxC{1e z`lzucu{EP(w?&Y}xrxU(`*{3{o;-@~vzhbg#PQ5Nra2Eajzsn;%}IWIG2%4W#ln|1 z?p*f^mlQTCH+ReI+;L=L`)Qe<Kk7J~8Slv_eZTU6`C7U7Ysrrr8U^L0B{@H6Dl6J0 zs~l4Mee7C9p7_GZTW2~BiMg3<GfLXfD=2<j^5X|fWxIU|DhK%7oZh6U9P+6aV3WV) z`pAC0;Ua@(!QPiW5<baCj!O4b%sAE2ui3*Qan_^bWRJ^?6#hd;xps4?iU~^w&N$F< ztip}wnBk)&9c8&=29F+>x@o*jZV|F`)3~{r{bAzL*dvS2^b4N)C9$xlU+~m7iG@D> zf~P)7eB3xe@YFkrg=hK%PrZ8M_#+}!{G;a4<d#d;ZYI|ZlM1E^ieH!bSTI*m-duvS zVDdzP?Gl{@x8+<Ku9i(aa8TAw<ekx?!hXT#-#t4j4sh(fZuID}pfcmWbd@8bZc2YL z_?3>hmrcBI(7#9H&zX*6$!<LJ3?4nwRF<pymnAH(EW!D~NLkU%Na*3zUzgK`gdQgE zUU}l+>Tj+S4{5lW#3dg|Fj3a)+c?YiP1=#;a&Am-QdN%Fxhd6HZu!@~X-10W=4Spj zkC?=d6MluClHS>TbyL6(4>2kE(}x}iNA8GF;pWdyeV9B~hiT1p*ACuI9UB7Y2==Nz zV*Pl$X48Tx5n@xsPB#T5M+Q{saC=Qmb<EtPqY*ldb*cHLjt{LC%52_8xnG>EYSR%} zcG@X<mJZLo39a2LH+?X075mbs(akV9RWNCaj*^c^`jMkXADOD2&sX4X{kZ9ZggSTg z#!Ur=Zent7rw<*^-o#<xDW-UD3ajkHBa$CC#O!J46WpA#&>&h&syHRlAY4rE*~vqP zqc?r{xJ`%Y%yicd)=eE70_F(H%Xf)inE6CDQe%%ccklO<#0_pa)(5yIwnu1w;#l2w z#oqXA#rykJ>!wJwu6CBP^Ig4c{>G%E-MyJr*~MFTZjCx>s$H73cFV(ErG`^8tM{>* z>)g0zv*FgQ-rt9%j5nTZoSObIA>wemWXq%c0-G&eq0#@}JXkjMuwc-R)Y2v0Rz<h7 zCLg<Y>1vO)%rWQPw>ftI=Fh8sX374&E8t<BV3v)BQ**oPi${TiL48>VpKW7gw%Yx% zM56hl&mV&&4<#<&eYD`YE4M~Z#l}RjN&C<BuC@PVqRe+Mcg_U~%{|8_9#iV$x%d3U zQFfWih%*!WRb^NsP6c+P%D6_@ossK5WML*J^{D-gmDbiK<=&|>l6%ff?5^&eKj-L) z!?k@iHV-roIQKc(JkmJy{p;~3OFJgHn#o!9{yDI2aq)?Mnan>&CLTO5v$NvN#CCO= zpB1M98|2JBvkBkW`!q>OSx(LHQL>RTV_bTRS%RCA%!d4&-rZ&88PoV~iC<KaO>%i` zpv>kjQCK)dkpH;E$HF-i8Pp{>3nxwFxPPnJKq6CoVe&OiHxWPMMTKpG&6|66{Ad&8 ze=hm)qpUKco`KLKC1pXqo6Q9uyJB1X+@?zg?l{zOEYyu>o#CTLR?2dD29F-ADKq97 z3OzDY7Tk8L`NM}*u`PVYt0foabO?GiODz1;E-0QYv9PCI(5qBpp-j7=SDwVeHOJ&y z`UA@Zt?Fw|ai|*f1Ux&$u~ergVBMjP_RyYyvO^q8ReJ*L4soa|eN$lClP1n7^CrP1 zQC(STw}j!1vmM>GJry@jcl6ixu>6=bQQ)^^=ZC4b9RiErDlKeYFBxc&{yt&hu@E<n z(4-?rP26mJ(vKYHabwzZ(xYQ$kIRgy_AdHrg2!&e_Q{;trr#y<%wUn>biwAzo*fn4 zg8cc$kCN?_8J8IdB`GQk-jlpm@M>*+|AB)X6SeQG{lDI!+gifhM`X&~lTOJ^Iy`Ep z4jomAteBz0eX2ZFFriV$NoIm;OY@_ij2;pF1>dhbZwj!`;qF!5WMHAiEq*-Z;lmv| zHFGAoHcZ~c@o}w=(j1}mBM(GBGF5H1)8%e8PV&`@6W!S!sI)vK?g^t?x6KiWiS827 zZ!%^b{(ECauA0*6hI4$`9`82gU$yv==`m63k4`}EaVFD>q>C1rJ@b=)_I|bMn|$nZ z*zYMO+d{ONKVCW2vnk}0_3I1smNbi71ntN$6<ppteNB&+H@kkZg#R(o-?!drt-E&e z!MbZ%#m6`A2+KE8mb(6W^+d%}ahgXD_a^SG+;%imE-z}Tioem@mGviX|4>!Cb-`ub zhff#oiEg#nTl3mGm$S8b(*+9!?&kcIhmW!&D{d%q%l}Sw%-_9<W23*A;J0h`A2#fJ z*E;c0&xN*a?rS>Dw%pycAh=D3r|i_Bqv4wt%yAN%5_O`<h$k{&ks|jg^COZAd41<h zwD>KM-8EStGC)s>+siZM;iEY^8jcfLpK5MeP~s{!W$THiN#ccW8OdVtzFQ2t=a{8U zWVg_|CjY48gtCmEUD4|o3l_LZJFZ=O%WB27{q<)Ls=1q$H0c{k&7UUG-0Sz}_PRx$ zX7hfuXKVkzk<Qo}S}T4b;$k^tbN@dH`x`5lIH=yc%=dTSd!dpmKiE}G`2IBuP5JoW z?w?n+yL)VOt;GNKqSXfL_*WZl<IgsD#{bdxX2Qy7zqOmW%R>TJMBEW;={u_HI3wat z$VcO2If35R4z~`nE!SVaVW0o{jqCjLH(dL9ep!6@ZSB9=_3KKum4~m|>K7w(?xsVG z#J_mo{i@gUvfk>hl|BFG=*q3zx0YNGeB3*)Zd1^Vuw^FqbTqC;KRjc!)L^ww=9zad z-PtEZT#cG_JNvGwRqlm@kJ^765AV_XBVm6~W$D}8T+TIH%ge4mT5ibyKlJi`l~s2S zKD7zh5ww0)EPu!H&AW{*9K8B4Bg;$EcXjjk>R+Zzt**9zGqhJWM}1r3!hZb``!SIn zhrZrhx$b;qjoK2{!z+G$`24abwp#sJ!O~aZdxJvuhM#@=^84+Sm7b*`Y+KBOqi2Yh z|1dXOqIvAL?cciV){K(tw|qSw?Rv+zf@%FMtCp90vZjXFPQAWx(yHYmg)v`W+y1S) z{N=Pr`Ngp32}a6XlXHaZof`#PB@KUux;?tvbnf)wT&MKZ*#WNol7SUM_FC$S=eKR+ z%UPTDU1+c79SuV+^Vi$MjvicV6R;yB{=b*m{F2REx7c5A`~K<Yi_niIz5V%r>$iVY z=+PGIQ_s)w-~T`Fm+bMaeO{l9Wp^0Q3BO{?k^Jem`qEYR7M52^zueXPOg5;nJ??b; z!o4Q%E?oXGvuaM--<_v_Eq?TG&n*2E)!2XK5`hsJ!ckjUdo<3xfB9Yhrc>Car}8HS zWzS14TIE)-<ih0s+yw7^f4;wpcJmW`_q?Pa?pvdSsNA*pJXNc!r?7L~KkgX5`rUo2 z0|)PU*#_L0%q}JU=|Dob*p{+w78Yh3<TU1-VD43}blB-E^~2Np_GPX|4vEon898T} zn{^{6Y}s5IU>k5_61&vtPX`h*g-TW|nS0ig)ze}5Z<`A{rn9q^Z&t`mFAH<LbZ(B# zg&DKi**;HNFe|K9eWe1YN#66ugKJN%pZ(92H|vXCjd8K()8I{7lTN8WZ#=ljG2>UN z)D<h<xsUl&wrR&MeeJ30xu8Io-(=mh#)DIJJlsn4X8uzNu$av*rT+OqLdc~8p(|Fh zSwAXn73|8Hep%{r>h3A*QtqD*Ja7_I;mtp%<so%%3cJ+l&j%8GZxzTa;f?ov^Z8}R zc{NkD#zQl0E?CTEXIs8WA@fI~(K?lYiYe?RA!nGIH+mlSoM86H_f~<`qsf`QFFUS3 zZ#?$V#=v44tLI_vO<a@O!k;xBTV-Q#W3Jhp{vS^k)Mx)XT735G*5|iZue~8({^{o% zyEx(N^VztvKR58Def&F>tz>yZOj+oqiIIiHnF|bz`Cr_a!p=7TsH|sm)bd4rHFIyg zxmGt}%e1?<_&*<b5O}HN@3nuH#h#bBH)~B==Kj3#Sc{E;#C(&v_nyagm91YdG<)r@ z$xDvqT$O*`c<f8cjGL?cPd6=jxV+Ngc4k=gr4MiKNUZUe%8U5AH8apWv%)FLgiqwy z^TuN{Yz%&AzMVAN<WFjt<E0OeY%WAhVrTn&x$SX-TCdxl`V0EA{_T9d{{MHTe=q+t z26!{Gh%hiPa4-l&`$PpEH(eaez`)SQz`(%Cz{!x4Uy`qvnU`D?8p6uJ{Ge=2I`_#l zXU}-|mwW1X_LQI2@A`hmThHsP?^$inGli#5>g#*!8eK6qHwx15(>>*L=HwbLos(zI zZ{Fmk<8|(Yj(4bmNw`6P0dtbVA-3MY3W-Y@6Sx^*PGA5#Vcy&)J_hUz3?R$_b3#!{ zBHRTUTlN1Y^opBWGTe%85prNCp2Pg-eK;or1A~KmCgYSvjRqftS6+D+w>_s@$@=ER zRZ@3bYO6(_KiY4!{B6B{<juQ(Tz9WhOWWqP-!ndCHM10>AfpW9!v4%Fw#y^q9=%m( zGU|0$%<swZbynt1PJZ`vz4vN|FEUr=ZH`fKwVn{td4%OshU~n@I_$P9QkYzQ7YR(v zVkz9W?0v?x$#Xo^E^tUC^&Txs_sJJZ_iwKYvpI65(N2ZSh$)cEG4Rsz-v^Gpxhl}D znz3IrtbFT@FAui(Naw~r6r7=T<c6C2n=d!F)UcR0EtR$Zb1YI%YoV54dquw7`6Fsa zw?w4%i_Fi|`F^iXyWi7XBekP4GJRXbM&0jcQ%>|w^;sam!5Q2s5wkE;aaq1a-Hi76 zbDk=!&yVmHKe8ylTXzfNb_3?c`lli`9a{Z>T{JY}{sk_<ez6MXGdtWj9NsJ=@nM}_ z#l**Q4`#Nj-M@O0qswk#-?KoM*XtHuiQBMw>Xo3gC!cOzy!_~_?H$)Gv{jXUKKL-J ztGeQMuJLUh`@{|F9R8$jo>LNP`grcf9XDH^eahN%DD2Cev!5>B(UA{al&f`b;j*~5 z29tw>fB9~<nJP80WLd}ejA`?CoSkzek9Yg06+1YxH$HLO6Zp>UqwKj)Rpq?LW3Qdh zpZ!!p>)zhK%kIvqvbeuNB-EyT^BtC}GgYmOO_dZAf3GUKYo2U%r0TI6$3)>5Tf5qC zScGeByKuX$ZBN?f`6tS?>V91*HaYFT=dXpReC6*}oAju>UkZ+`PV+CO&w6^dT;7Ul zlVxjA@Zyb!HkEv2uk~1Xq5aFeOv_i{a#Aeo-4AL#+wFQL)TZT2&z@zGeutiA9o_Y{ zjeE9Nwe{(=rxhC4w-&1!UuV75pSDiqTaxgb*r3a{T^bezHxeR!*X>=`;k)i^NXnkD zr?(!8O69*iYMqzhCTz%(`X}OH=!Pec*bJneE_?2^Q(;e=v8njKXNB3-#;o~afft%T z&e~QuH}j)cPe??UNQ>7_v6^MM(&vvo5?S}WVAD?D?(7>8I?{jCZa=%D6UR6^;BxEz z3KL1Sd%nImmS~DMzt7l_vpMol74P=U;8J7LM5(nmD*BgSK55b&UXb#XW05S^?2^O9 zbNiNg?o`_I>|x2XEf#J2V-mb(m2ghFv1IbH7?HTw6=t`#RJ5trT2(o<GVOTE%4T}$ zX<*9xb(K0R6;3d1zi{A1tof<GO&jm0y_&uK*s9s~_clk$DcLA8S2Zu)C+pf<!m@`U zZE~`m<wU!??UR?)_`6+daIf%B4r-4+FhzQC%y(vfhXd)6(+cJI`x~y`a~1r+He2Sd zJCB#@+@1$V|G(SB@_euT6900eri8yG%+ntvUbVOtexL8mue%4g>#9vW9{X9<S5@`Y zt^U39w`Z>?v^c2yV(#(Ddw+JRBt`~3;P;sC*Xqqw!Dz79VMAM0gS^6fQOku+;_d=c z-U<<2-y(MHsxnUAd+(`6*u=dWGrr{dIK7V!dLS{mV~YO2E$yH8DTY1><L!w!+S7F2 zsDI7ly*2*k!XH@9hu({ejk|Si_WmnJ1*fQJG{hg+byWJ^n@&Y3@elP3_2CuO=E>Fv zxNE|GN<Y$_7R#{zkE!t-u}0<<iVKa7X|#E`xHwKy>t(#yazul3?P)%thC`k_trBTH ziY|gpEG+_ziiZ>%158}qWaq2@jSGt5I$oMDv&-nlrK$gXy>=~b=~imEVEk#~R`U;R zjeqxX7`mKLYG_MpT5zkr{V$i@|IZ!^jQNYV*7UDj($VOBX;STsMOI7F4soSTo_c;& z$uZ{8x2ry|U0u{rc;!f=uKcRF0J}Hyb0!`C!Xa_GK}1jP-q|(@-fsVu$x$VNcMa6F zA_bBRwpgy_i!=+Lxb<qzifvO@>-3woO56J7y>jP^oLu{+_wNRWWiNO3+ZuIS%+@=* z?qoq<Y4GZoRq6*nrx>>!{2Q^Ptv+zF)P+;>q1-C$Y)knzGG<ohvCFQ^_!q?;DB>6w zBRa1k{!)(iA+8Ls6_({!zn+m|)Vm|`qq#Tk>RrK07FV1Fk4CnA=rc-Z<j9?UE#}ao z1^1FQ!k@(km~Wl*_*SjZv&$PwH?S8RzV!9I{W|N`DTOmGgt#1I`*(YxaCb(@m-$`p z+zbC#<lEE>_i6R2I5#LiaVqn3i7*OJx^YjUZTm@4ndk@J?GL{6ng3a!-Mm8B-Kq23 zreis#y%L4BDKUTFZt!Yl%Xq?|Ub#8;L4ak5aR2N-M<2#ZF(l<((P~(^=X6mWKTCdq z^6a@Ex?4(5&kopnIUqfNW1FMP&VO6`C2}OMYbz^S_q~576d$(qwBlF6j<bi;er}ZD zPDz-y_V-*_(N7HT8EOKQSfuNm-Zin@TaxvgS0;e(+shj()j2-?bm?%JV#Kn}QKQzw zP+xKJ>bi*zp=Zx5e==cKs?;9-3>k-zq&rgk@=hpoD4INC@brG$`rt^foRK`cCbQoU zj^LdsN~y<Je+^`XRj-g5-qG=+Vl5K`!#Z{b23~0O8ef!>sFziop9imF-%bhizil9J z{Qggo<zAB=4tYj-EvQYN((4)EGW((@hpXS4ZE}5cZ~858uK9jbZ_PsKDbF)2GerN_ zf8YQ4=bU!k4gT3K-Ya=(1cO&{<;)OSYiCn&_Vw{iF&bvGrFZYCYAkzi;5K)~<;MIT z<HG&fvY#0GEZQeBoAj($wrZJ5L+BLlg1}e{B~vj=)q-<Hs)-KS(}MrDd1sf01}mwy zYp?pU>DD#T)rJ!T*w0yI9NxB8<K4Rh$GZxdeqVIF^ZKYk;mr?BIcMJ<{ug(rzpB8_ zBPQ_fvfm8SuVQ5Xccxv~R<ry5%WvPU`tIg$S|0TKn2)8A>M4<~?|crSP6md}JGzb1 z9%P4c1~;zNDLOh=_3^U98+EvJI*bGVX#_c$&h8Z9J|jLW)1f7EPT?$}oDWk@@1CNi zD!M>EXz8v^53?>d)Tm#!UQ@&SV)rzrLpya=@OAq=zqTz+Y~FmHKK-_Zx--3%1x-a0 zBP6~^7o7g5zG3!7MQyKn+YTR?SGps|tSHvj<!o)cioUY^6s;!a=|5bf|8BcuC;KU= zP}TaWo&ABg8b<ZU4&JblJzb%A=FYmmOO`xcd0h1mPuwZNp6Rh0e|*iFVml?FmfJa| zLi_ESdB5&@ygb-_=`QPA1D6+5IJv&@hu`_~`?}Mtkm)Ar!EPsc7gYIG>}rhiH%RDu z)^_#E^N4Wwva|@t?c8c#`j{7$CK<`(+h@KG`n1c$vNqH!Zo1oa_xk96XHwgC?!8}j z;arBVCC^1psl_MNEE4C`RdCxCU-?}V{=)70$2+yJ?xo*OF#pB3T4^!Y6Ol79fj)ma z%cfs`Iq&%0W8zoc_eeNL+Qt7WeSAz{o%jFa&v}2yOV6LZ_INyMf<5>3-=8VW3=Hp0 zK#f#rle9b~4W3r-MqSLiqaaZ8{`!rCGT!>hH{4!%D{l?jzu)-uQ@vekS<NSIMojl; z-}~l_#EsYwfA7`(`}OaWa_@Z?lM`+J6I5?rUlF%xjpFMier`7}h@Jeg@6-z6cd0iI zEn;5B67jcuz6#$l2A_LN6A#=^zGy4OH~+=*C#C#sO2QE>Ptxt*x2$LWc-;1a=(5Xx zH#VNx+p_RJW9`KS!Y$SN<#!#P{GH`K=l%CR=Vxv|ze@W@OzH14f1A$l+|OTE7hC;U zFEE_d@Va^Dj~&lSe~DCGo)g}D-|F?%f{fYUwIoeW*O>lzTNKY*b^1+s^L?ZLS08Ub z)$o-k>gj<hwzZoQY@5R>H_UTf?Gtf$L9X7Kgo63Jh1N5a-Q7DbAH1Uww~$r+NBotT z)&BDh`M4X5HiYkX{>{2gdb7C=&-$JR*VY!yu{0~0@k0EJ`-&)o82^8oHjCrUmd;Cz z>CpPY|6#YBSEucpn?6kQOpk9Vx4k%Lj%)vNwvu<X^KN!6oH<j&ry^C&;rS^K?#*k9 zMc?cyTc31<H7Molt*t`EHj2kBb|u|9VjgW-`&w&R!Py@+i+?ZKSnRr{U0~r^jl+p9 z&nM;{a1{%*UCn%u!Q88T`=$-quF7+zE(YvkP+In(rs7$FNqkqT_TtuXub2<FD#f<$ zIQ#YS+1xERkLB64zl@qWGjDm6V@|}}yR~MgZYVw3UZs;&{M+*TzC}kCwa!@}wn*^% zigZcI63wl5FKp+V*}A=Ye(d#CXV2&@XJZqT+&J~;)ti~;Ig`HczcMd<YNxB+<;j^T z?`l^XKG|7a`o1su{i-ij>#~&9y-FVjnHzH7n7hw(l7`;-1!l9NuWy$tuCZQek*;ex zS?o^o`i{Ju*l$1BoF4DYZ}qx$<;KrfNir?P$0oij?>jYf+SK%?1&Nz`=f3|oN#KwT zL;9B5@}(PF-`6O(UkZP8#C=s><Xc(Se~eyJ%H1zHxjW=fzachB{?0RY`MU?wv)c9f zQ-b9p6sOo(oZYoge;<3|pI_WF#qG_D=FE(-;(fis>`cxQF(%o`^Leumf7j`a*jxFr z<~DR!{BDyZ2V}niqdq`aa{<hbJ}6FH~z}@?59vylxP^{bB2xu9@kQFEX+Zl?j;F z6_ji-?472Yo9eN0^2~?R%ijOm*Y^D2joO2^-<X}LDAQb3BWyag$)#WX^Qrf<B3!l4 z3wkt6ofMNjo!wyDYMrwW=66rAFAOfUxmloHpZF_kd9J?YQA?g?p2feJ1H2iTM3@o% z$~X6_quiMo7zEiF7}yzj89;!Mfq|i+5yVPKF3_vU%?S<Rg!C~%{Y+#N_@jNI_=2w7 z^kQUS$Yf$*;6^c_l!<`>)K85sE-6jYD+8Nyzidr92&0?QCKvG7laYa8F%ts=ABrg- znNdv1NP##9WC#eO8`PZ8<gd%fz@Wj1FbL$8hQ@qWs6hpZMTxm^e}N1EVPu0qJ?^hD zU1#r#GB7M~WMJTex(H&>MK*{*dc_%u1*u?ffdU4E(GBrg{bl<?76t}WT~HZ`9K82s zpoUbWB;z&0K-jx!k`n_%hZ7=(AnsVN2s0uNhY665`|Q0_?XuY!7(kc<#V7eGP!ozv z5^)#-X`B=u`gf($f`OsL0^tvcBRF%QMx=sDeyr&P;tGXnoxj9c7#Khp)fF3;K#eF! zNy6a@h!L;6AAGlDU|;}Y)F}DB0%}A`S_Mu+AYPFOU8pR`z`(%IfF7@jE1`xY=VaqF z1mcqGL0oRUrx_SP7}Yb2VTKeDFye4<{g)5S3=ANQ>Y4i`jNp8umzS55SBy7A-eo(> zit{osfG{UY)G|~;4XH>XkibsP+@QnY#>ilq$A*^B-P@pMq@<SNbO<C=QOBo{6MDrN zCWvn!qg8lP$UKw*CWsl3MD`nI26(s$s}T@qpf;i*MnH<Qs4Ea>AT_D+n1kB3K{ki` zI>a2ka*XuO$_8?+2!jYi6e|P6xm!#OdJL{6Nk&CxW=SStE>14q230|kRoNbeDTaB; Y{)yg6u9d+l<vtmXS&okGj=qkz08FasumAu6 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_physopt.dcp b/loto/loto.runs/impl_1/loto_physopt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..44fdf2fd75aa4c7caaa95364086ff4e29a0fc953 GIT binary patch literal 221581 zcmWIWW@Zs#U|`^25Q_GRT9HujGMb5jA(EYeft`VqAtkv$uOc@mG=!Cb`AOND^u1Hh z7B4c8IClPZ{KSV_sx@4<M9JRfix1mX!~4M9-$!NRCf7p_C13Z)uPMyhb$RZWP3(G= z^PhiLdGDR@WyAd^3GJ(`l#kB6GEMa5!+oYk*DPvvJ;MSFtgnZJGUbI$c;y$g<oC7h z6YaLj8h>22F0b`KvviI6U5&r@5-v<$*Z=k7mBLBpf~(z%7vyi2VN_#Y!cg4Pz|HRv z>iE0t#!KF*j0I;UR&?F6O>DYgzTEAM#O54!LB`$5v3bq!_rAMowAg-zNZ6E@-!kR5 ziMB5L>h1nEZtKly21{;px&P3qj`!%1xmG%DUdz0#9rC*RGb0n1So*A)lV#N?x~s$K zruVeRO=+%L%TtVXKKu(P7j9XVcyq;+#OSn-hMF_g)u+t4c4kf6X_@S3ublY%>%x5Z z|Fo(1{yWu?tLyu7kFdhTC_(o31?R3so;$)*<PpB))`wq(oBajkPbJH*y-@b&xJuXZ zT_qb=E?IVa>7Hyi-+(!L4S&ydeE#g&wtBhOtSL!W^98SIh&QuDt=P$Z{h@^K;|Fee z_s;%5&aw8u#d(%aVr%%f#s}>@l*-4SG^aH)#_5Tz*U_GfF5EijqShyN9zDa|&#!wV zXIf^zrLvp0VY)$OpO3BK+L^f#oy&KIeOCLSSkKT>?)q0X&X~D5eM*L=Tf_@_>-{3R zw%q)GGtab#FM1fb=HueU0cR@SJ+7EI;}$zd)LYljlE(^a9zVaoz@+}K(uvK_&I((X ziPW3jS#-j+J-gcNMCI)KRMj+I-+vmSLOE}OpH!b@FTb?oX}qc69@*l_`(^h3FSK;K z{@(uV@37bWs0ooT=*mqmMh1pVCI$v>22O^Y{F40m;*!!Ny)t-WtexQKeb_;u_5DxL z;*b@&QA<LrwZ2_yj#$;9pqf**{N$yxemCY`d3JyI>@W|3Ju24u&#T|7PUf@;zH1db zh3E3|_Mdlk=AL~JES-B^`9_3g+f>61-Q03zFD}jv=$-5--{ZsbK5?nvA+L}Ri<T-! zJofbd@?X+*`S+me1FN2iX$AE<{<s$7<lE=C(Bu&3wUmbo4o?;7b?4u=HD(dNg7C~A zEV=wI931jmY@GbkEhaRd-Il%ERKvze*G8G8C}B<R0oIxYm)p2LT(Rh!zT<(ylvf>j zVsb6LllqnzTz^_vl$+P_GHvoxUgMh|9!BPQ$USzPm2BpFS!>?h=YlE>>u(-P4qW(j z>$k0fZ&#@AUFdi+uyDnr)dd~j$|NmvU$-r;6gLh?ii!Wx`!-wAuJ200oc+)IU;gu- z{O?fGhJu$@cfaT2d$#VSk>hH;{i;71QNzAXF5s~zBLl-?CI$vR)UeM;L6ilx6CAS- zL4$wIg%%f==wcyW)r5^Jrreu$Hcd+W`rQq?H$J;xu9OkFWqafMy_NmqZ3d>L*{NGU zPS~@L=WyMf_lKv41j)}+ysp&L8MNUI3*WRC7v62`Y5H*KMy1tb2DPX2vKv+Rcqj6n zDbQ!#^<PdiG9oYf#AY4u=3@^(c7?r`oUMQFlcx8ihYGXKJkJzZ@a@v}1wG~pu?!y) z*R-oI;8sX?eZ2Rg0o%%lZ~XlOBsbO`Xt}jXrLUZSL+L-g$PE(@XSuIUyL7c{`x2wx z|C2YK&)F5Hv+|*U*XPA6%Xs!if94YH(tFrgUUED;^O5vn&QP(9(hs?WUdyuU^uNA% za_tQd|AW1*1^YA))Xbm$^j@@Qu~4R|@A0G4M4vgtb0>=w&5iRoH{<G_l&x<L$vVcy zu39G_c+IewC){=kbH21sGn4kp-McbBaHEEPb3&89E+Yej1|w4F7bF%X=Ax9uj2evB z|3&0fgc(}6Iw^pFVM-#1QZO_$*p$yx-`{Ivxzn2QvG?T47=zo+64@R+4w1_v+v{#8 z-G8<Ce7vnqc+JX?_Ls91&$wLR^t!g|n?rR^(UQdpMQ?1*95-2I@#Rrx#7dj0hxN(v z9g~#4i!5<Glm6?&;uGnOx88hc>2lJ);P#-!h0pta#PyvA9L|~^3fi*en$72LMaj2T zY~W;ObV&;13YM8?dZT;sgNq9JtaD}^&k){usG5J__8Jo@W6kFaKK_i^Hp^P?v=-mu ztxwqA&fsZzJ2B*5ndzScCTW*FCr{<qGhMwPXTc)@&mA$Zy_%FRxOQ0bZ2x>!eR9dS zt9;JMW-+QAs@n~$EUH*%ig0J<mHu=)Kdr}M!SU~HCZ9x~DO{*3xK;J9nQ1+02={pw zK8gfYd5jDUBCzzG8IVy~>|ao#SCm?ilUNBaWFB)p-o$ZQ$J^&*xQ4Njfzd_d5RX+M zD?GH$p79JkaXy&C-#74s@0t(;qacGV8;s008*K>rP|6v|uu^mq!^vGs)ifoTQhC?* z_^j#ibue1S#S`OvBqn&pP6kvrxhGliR!T51n0qlWa6#RqSDcYpkP3In+!*eX*+-{d z(~ti2V|z+({mqlUP0d1|3@W2G&9$%*N{Q_A%{d)W=E31+vCV_WZ_W)qfq8z1v>l=s z#76yk<QvVw$i%v<)hxI2i{tdX^P6@rKcD-3OLSG$i+RDDGSBDnSHC~==a>9O9Z9|; z-|Ie~-D~{${><w1NgM26eEjn>`q;5t<;BX?>-$U6*LN=|=YMzFEs9;8y`0Z4CEQgg z`8Qu*|C?L3lATxGrIkNkyx0+-uJrh3=bIl}Z$32Lsw&r?uKDkI<lDpXi*mI+zEzmT z+*D}&@vD33g>^q~zT}Uyk1Z7Yed3S1vD4a*`zn@g-JYDi$@=o7J2EM9i}#q{n<{zB z)?(9i<Fg!JxJwI?G72oDQ{ooyF}<ri+j-5A6)!xtrdS27J$dfOH?Od)7f#|?9X~^g zt#00)<<man{t6FI$=zF8B4-Modig1RhN1G36mIS96|d4~ygV^E&v)KItsbwJx0;wZ zW^Orm<yoJLD7Td3WYq}<tGDE6{$}Hroa(1>W=HOhO=lBAJ&fCzDKIN0-be}ExIr*v zg3GhbS;>A%^ZbrPPhOj~v&h$Un_BUUNA5Gu9&G$}WTU%xeROtJas2OX470Nh8gt({ zv+}gr8*8#y%uZ(s@&2v)m*tE(lb2YJ);5OePQ7xvWlNgg=q#RNH}k@(2;FU~6**cq zw`N>soRiD)rpHUn@XMVq(M~ynM;m6ju39=t_gLEOs=h9Xi-!}uUleam(aZKP-Nq%h zVX52JoVOX-n@wA88fgbzC=Jh7l1w_CdPMVXLg~(qfPfiuS6?eAzMpzBLhGXVd!Egr zZYP3{-eS4w6~(FaZOQfU&7o}DkKL5=-kS4%=IJo$M0XX>8ouac3BICe=|i7yL@hq% zE3R7{xmR#o+BCzDQevj7=Ik(#cmB-BEEyx!Z2BN(ci}80E1}xGbBiMuCvHiaEzGx3 zZKGGL<V~@p*DdqHtkPe9RG$5I<v+V~vse{4Ii_spZR2nJw{7Pe8)YA-_+{Gj-g$r1 zk}kgSvrX}1NqS9o(816*Og$Q+d%lXy2z}$k-RYIGSn$Yv$ya(6p*fR<JKJu$emQ!} zxbs^@dHE*e=KGpw9$otv!fYDhooD<^ZL+}RlUqu@EVZ^4@;n#S+psbqAZ+uJgT)Q6 z!cN{YD6rnOz02`S=*F|>Iv=Rzm;13y<dgbV_S;3TOvz%RuF1r=-#X7E&vomSJ-yNL zwGq?Xwb#C_O1axM@7}z3UZuh3y`~v``Bt(=RJ!$+b5{195PieYuGa>yRj<d+yq6Na zsJMGUOXRJ_lf_H=`*?fW{_T*6Z}|FnqVvbz$6OoM1}$`KyRlTlGi%EZ7R7C6S2&!U z<G^}5Z%XMBquW)t3?pOGUhK`i7EwMStM_YB@KVDUIR`#0RxHejeHim|o7S|#bv8=j zm#ntm46nP;`QX(1lC=FP<=K|fMm2N)*5>9u&57{18#^bpw_$?O%YFCySo~gTEmjhI z|5j9f#p2y_-Wt{14%*xK_G!-chp%7VzjiI=oZFHu`{u;zF6B*qBehuN?(N8fZoQja z((T`ho%_b|cuG>VRq)4sM%%7y9AuGBNM>_3*_9V<BAf8Lh-=-yy5P>l(>qq0EHX;e zI=jM$YpJ3dkIV_pj0aJ{ip<w%a!KyE7c%Rzm379C)=Q!Z<{C1&>^FLyBCl)k+@BJB z;ltTwLWkdN?|AoGYPZ&nwQe&-W^3P^qQ=#eu}1QD_$FsF(fz*?S00;{ac}CI4&S5K zZZEkdvec9H=3A*>-Y54tmbG7DnZDWDbg@(3gLM^)#D#CiN*!H!W!23<o!S(Q6C2z% zHr-t-S+{d0Pg#H3(GY7{@6R{)O3k~U_5SOli4)Fz+4}hW*|!Jm7CzL>c(&+VND_DI z*O1dP-#pK*n3R|E!Redk*%|F$_`cMntuHE@pEl*2Qozx7$C=i!E}s*~xOg+W$>Hlw zR~^e|)J7R=J%0K2Zs)xxX^|7BZa$xIeV&W^rb&OBV|4yVoqg&3d?UN*Vyml{r@wsR z)?fRA^RV8m%<RW+Htz`ewA%gp)^BFlH=NI8>n~R^ww*h3oli})yHxm&HrteinZi%s ze7+&6n;LR7=<vP;fy+wt0vc)qjZgjBy}e|)-rH|Y&r&(<cmLmb-7mZ%GO}lG%?t7J zUq5W>W*?h>?Zx%~SAV3N)G60{K90Xwp<D0!Sh{jTebi(9>_1E&e%y@xUf*q1E%~{C zzd}h)GegjS<-4ywzq_YbUbyPN@AHm+{YUZ(WdH3u*8bzV$-PtO)x!_}sg|mD`SZKD zw`Skcn=w;otTPFAcpmri&hli*b)E5^*Gx3EU99T<F5dpP#`yo_W9&ckP3pWJ+h6{n zW_K+4PruavwKF~n_D=uYZt}1HvemX{8m#k+=6nw?*~2AU|Je4}`h%=7jql7p)y17! zzu@Jx&l+npX6$!%{x$b*>PsHIr4RZm{#0q+)lU1>$efX)zTYFhT>k&UW7a=bOVtNG zzJK+Hzp2deWU2r9z4i+~nw$Q!jOy*r_?pWc`9`Y4d{LOPxxoCNwl!aDmnc}w^1S9_ z#M$K2q%c{*B5v{<(~~M3VE%(CGoA=d28*9i;qY7OsfUpI;j`(y%4CHTV5N`EPu5NL zY1-xz@8fO%+1}%ljl?qJe?e<h%}buyyG}HSxVB;C{7e7-Ogwh`N3+Q6@DFA8PTaqs zQSj<#zv;cxf48Krf3#9^TgQ#rQ4^~-&zt+_!`j<_x3DfZ`M2v>f98|lJKByPSu7QQ zI{#5*ozvmFMh8xxNV|9WxcTRo&Fu5%@BRMe^_9}j`M$n$_uPM<&cC-~TS?ic_vLrq zE??XBXyJbc!N=Fndl|g)+EXf3Hg~oE{F*&6tDhy^EKmq|zV`YoTk*=<g;RptW%&B$ zTVz>Pe7+I*bV129zGs#)GG!JOcFz-DJ-owH^J2jk4)#Y0ZtS05d*$%;S;*Y^xLEla z&%IM;57>LNbIb7M6<btXj9OG*{j{Sfz|5OH-BRYxyMhmswz^DXHuGlR%Ew-AA!Cz1 z`{3?n&7UHzB3={}EI)jZGn?)G{zR(_=`SDTv^VdT;oFyY_5r^oyXfW;fkF$5R|Oxs zo<4XRBf^^YGGXH3gLnDZ*GKXFv;97?d2`8z8xJ4IwKq@KWq<$FuIQ<b?3~911?vwV zG}mFTKU3R$K}S}n(BenS;e&H`&i!*@|Fg6M=`Ryj9zLip%UAd9U81qi+Wr_*Z}!tN ze0EO@3Z|EeMgBf8V`=kKKKAdHGJo#OYW&K3Xmd%x^MZo?hY#xOu!qeEJAdimPCj;i z3mKWSx)-O2E;HR+vf<Ff2Y>JH&nhn1?laR)M85v@1b*2cOP(6V-n+Y0byw^H)%v+@ z)4ZlG<(HXOmmK-aw9_~`iO;*fETSqXPj*wu`R_-Uq;Cp&x8ciuKJWW~gU?<1?lI@) zq<0%iP9?sq-=}WJ5?S?cZ&NatrOw>4h^o0vu2H|H^~{{96KbjR_iAG1tE+RCI;)(^ zIav_#%f5Z-?X`1%Jh`2A^WoFYtFxb3y_(f8!`FAYd<x&Yb5~7o>BycbwD@r;Jbt_7 zj|aXyaXZE8D<jvjcKHW-RJT^N91&8io4#YIla1R7e>RSVb&7W088Zd?C!c8fA=CNP zh{fYdYem<tP>zqRPRvd<6OSyAVP=h6Dqz24Vr$C}q0+}re_riZh*CYWe}8|Bb4UEb zyu{3&9ao)do+P?tw^TG;uym@Ka$)BsJxON8y17RxFG=vG?-P($FKHC`?<96^QnG^6 zT7ms;kDL_kd>`F(`ZHmNprW1g3nk-gO}qXo`n$>84f|NV_DF~JY1cc~-&Yksi*0x$ z$d$a_y65TnZDyHs<QOmfP;<A~|H{DbY}(SV3L7mRi(B;6SG<}#^Sp>ied(`^jmKuo z9{c~y!0xPK*Q5CQh%YCS`5ym&ZD4nHXV;_P7P0g_7Zd+{+kdmyzgS{n#f~5RQsol$ zf6mFDpR+VWs6{NjrZ-il(7yUj?{&ZMDI3xQY<_zGDJj{i^5@CN=eegizf29Dw|T42 z-$U2ZUu`dA{+}5hw<Y@bUFPqRrw;GnEk1Sst*-x#xtET7ob<;0^0o-^n=afFm%rry zW?1vHQ(dQ8B!1ImpL<GwQiP92&r{=)xv3(&wL|-+OXHN~on~D*lRP8eDBpCER`<Vr z`Tb3?XR5o4&aAUZ5AVA@HT~@=?y{#Xu?vlz_#D-$3R_O7Ss8yasr@WEyYu=RF4>Gt zlYPEF+B4a=<iOL+MX}1WQ?kTodzoF-J9YU>Yy54eCxN?*-uT|0Ah&sYK+_kaDK3wu zNThn1yJ=qT4SZLUJjLa%L~5|PTjtf?z`D}pDH(SqQe({BR$lK7<SR>_(sNfLHPzhh z<;~u}d1c8{Hr$m+%`<n?ywe+~SDrlO%3X=na&x!Ld%c1C%9E%3xGNFLkk{kIXzpg( zD82a6f!@HW500&fDM$`6-(jewa7Q9kD6dD$wKI@a(@;xNRzfR}Z|Vl2#h1d8LQY#5 z-0{!j+bikSb5BUst-qb`%xSMXGF4ntBy0t}dhW5v&X_)Rm*tm3>V{Q6g=bW}Do%)7 zc1`uTAb+{gVs_iki`?^=KW{R&_#&rnShakz#NOGK2Kw5?#V=<1CVly%c0BWIu*BbQ zy_1#W&a1es&pLH~cba``W#rD;&izS3i;o+<?2luWnKAvPUSGxQNfLV-J$vN#%FLJ^ zy2J8Ii>hJOtw|EL#TEwo+&e747^xaoZJZ==_pj`X>8y8UstP7a*t&T3toy=u=Csyb znJV{55_|PMd*qB|W=wCrBU2?eNy1i|(`S#crGfrqy}pXC6D9Vtar)T&w=mFOT~v4^ zx$nq}iS9|)z6Z~kE}6%-_o7G7y}Tv%*>zl>H*M%vo)@R$=5MrV{#RL%XQ@~9`YHk^ zO6=WoqV8IGz>MivcUY+V-|*g<{`OSxx1e_`EdEV(VqLW*`Dz+Jmycjwg8r4d)cl{5 z_GVSb9BBx8VWiL-#d=G)j7jv%q=c(U-@AfC1^4M*ni#Y%KYU+u_`NOKCHp73tqpps zYyFUS_b2AvpSHzT9^6+{qCDAcE!XSmO8y#$jK6ig{n9DEMr@bnsdZt7UvsN%-OTwt zH@sSQo5hXg*YwW&0ZA3NfBdz6WIiR!>xLm`wo>4fj>osv`K}rjUYXj>yuwL_+i_#0 za}sxG=K;R%g4LWSmhdhW^q(s>VdlKLcyEmmw+rw8T&rZ>)YfEl)u~m;;HuMVhNr7q zz05BJYI2^~!y~$?b&?j#6sJ%DmZ^+dHx~qLy%5Uiv|<X|lfO(BTKgK-d|TjkafJzk zv*dyp{=}<Jo^q?EI^C4b66p=HI1{KF^8aAW(twHV3q)4EOPCk5Vomc;uZ2~7Vj8Vo zW)|L>CBK`ZOtZA^CM?Wa_)Gj;Snz^h;!0i%Cy9$`wtf@PaXTtM;ktr_`_=%BgF>mj zel`(ZUXc!R?i+kGOO72VS{ksCr&Q1~ca^}ynA8S6)(uReViTq_MXVIrC2~M3<P`HK zDTdXd+()(6C^B=W#+j}Pp7~YyW^DDQYV~M$wQs$Aa(6Bo2pmkCxNdoNUt;l8o3A~a zLej2RnC&)SboEqCO3PZlmv1u4GK$<qelk`*Z`dlhb6v^lhN`VPThbL)rMX)3BzY~I z%gpPwaJ8_>q{ddG>we}tN|W9wwfbMbW1`@uHS4^LX6d@Al?k)1echBlFDz<H!yZn@ z$+INx1|`mos<Prv+&hbV>g)?WU2+rLwQ~Y=Ljn#}u4-MSZ(*;b+!&-Cvq0ojb-}_Q zjhzSFf}D(2OY93tv$57Wrv1hExOe=<uxHOQqohMQ0$!V(_BhM<a~8wwE9y(L<n)3z zFL=W8v7^Uf*LsKUtcBdnDpQ=ySu;YpVh<{=4D0So$ml+CxP9G&4Us<=cAIU{Ze91_ z!a|W<a}FQ%T6o(eCy?vz@rA*fCGzchOD}}V@4D)sY3Sg^+n(ooL3pBc|2n$@&aEve zdvkwS89f%BoHTWX+`PQ08XvM#C5_JttqwSIIZ8i#hLPQuRe~3l1(#fncp1y_Yl_oL z-e01<UTim3P3xQ2^dQJ}aSPvVk={w>IpJKkTMwiP?)*08WMccvDN{}>8_BIa)z;Y2 zW3?;jfT-6(D=8zdg^%Rdg>Z#_F<2p@H9K*6&<e9w#iap>Jcqp&W{Gd|S{Nj<E{IFi zYJ+!guioAb(V8WT+XR<hxGKIbj7wCmz}H3YL`e356+ti7a(r`nvgKtd$2Yf>n1EQu z<SsW=&Nso=R{UxB>$Omc`Iu;LmedS~rAi9ff-^!)w01mbSkmckv%^fuo^vnLq0%gt zkhcfEZtAbN*WBFYcD}lxIq1b)-n<azrOT~$cxs0HJb3n$=G2;k?w}Q?nxj2APnny~ zxO5^r!0y~Z#o)!=nlfs>=1)SeCCJX4%dVz={KJ&>)3%2=zdym#8#lT0+V%GfQxw*n za+CbzcD!w!pt?b<-tyZbA~zg=YH_-s=<S~~sciOzJzpb?_7;kVxqXTGb!p{;)~b-d zuCtFkxR7`K{@Tu~{=dt!v-ZgKFQ4`=W77P+pD$=HZ(4Nk*Rz+?{aL+lD6aL3x3MXD zd&1@0fr@9VKEC<qQ<A&O+}NZn;eAS(4F9=U>qW2GDl|>R9do1}*hTF3iVy3MkUr6q zvgi)~bDitrq6){^DkRrLtkl;%kUhmrQm5;swz~T<_VUz;CMMg`@2iyVDk~^SiTLJa zv3B}l<y$?654>+#mK@Xmv{hVDO!k3jL89Q1V~lbQ-CX`_BK>qYy3=Kr#@u9#<9x1n zN&JnZw4H&~wsVFLWwUNN8{Av4ew#!(%d#JjpGGjIxP9~U37XD!?%}IL_g=GCh+Yx> z_s?+Sg2223mz1t?+6PLQi8<y-Jh-}HCC7KIkgSAA!7#%W&mH!BcyjS~Ohn|2_W802 zp^0+8=CZHm{#;OguHCe?@-_Pprikbn*KH1*Z~8T3pBI})vf4C#hYZQoh9ecaF>yD( zv@z}zSS0=R>&~~GFHRg$nHTzVugTLrCLcCGoWC`)Z2KaMW6B>U_ut>!sozw8Y1Y?> zb-y1pp4@2LJXvMi(%nYFH~+G4_<iC*2HW$w@4COMo|WA$k@wF+R{E!9!C$S~%yo}5 z$}Bd&-({PX`rS88V1~i=dqNd)*6!;|r~CJXKJi{?uAjd5kxuixomEqJo$XNT)Ng;= z>aV?Z>uZIeihS3PJFLW|uDvR~eC7ks|3mM%Dx#v->d&6mAG)u5uh6`UT<hfJW;N~M z+84zVS$py7hm^!kHV$k*q%7iQ^sskq*dsPit7%Q&hN>T4iJK~ITp!+4I{70u=|jxK z6q^fD4`zg=Tr&;dEx7N`$L~qX`Ay|pm;SnWId^49T2fJtjrN{3&CRFN(iX<=lUuFd zQxUqUbH9L^@;=F1=dOzT-;ZVabmCs@p+jstoSE+$bUV-P{H(CkC4NS_+ZHhwEBRfY z-+7gu`oyg;clzS6ws?-|S6(Py_j@3n82!#-zT*AhKQq;zs!3??sWt5V-2I@b=4zW2 z=c5hlH)yWmsN^;gb3F28O2g+ag``cHt)I<bp8dJF=-A=yjp`3Sw{CB+JZtu2);72J zdG{r2r^bGLq~P`|tzrX5RkLS)`*~5v{#jr6Dpqh*ommpkGhesr_o~nN+%+pX_<NVN z`~64~{GvAb9&5Ztl|$Cw-47oo?VjNt!zFi>E4(}KR?Le9?9)U9OCwTanWT%Nn^vh$ zPrhTaw;(hm-ZHi}_5PJDm(rBH4~x2f*-%z6<@><~+p^-*6S?DJ#0}-v82^4495qjI z_UYZCZJ!LUG}dXpxnr<e%6*<9bB%P|{?}hzFMst(bqf`3h}kEbcfS7F<&?Ig4tmYf zpXU9X<a(^^fvog-ua8Bk*6Z}!zx_GBwNixtz_dRqQ3gt}j4S`BY?e8F;OI|JEruUc zFHD`kWS^yc%eg-)#`bSo`u12J`C`WMx6<<2owkRU_Q)77Pfg5@>$7~ZdV#+GH-qh` z^eh67tULTD&D=!P(WP5iK)b2K_3YIR>mKkKZ9i^WaAl&_GWFGSjkwCi9mCk)%KdN= zObNAq*Xl3k7`FAX#GT+7IrFCeesI}!(#PmG?Z3GvpWR$1^G?^t>~JEJU9gIz{R5Rd z=C^;(`Zb6B!L&QvCwasZZgYDo?-yIA^XyX2+>5?{tR(dEj4Hh1`1vzjmoRMoeME=P zX<3I{mVdXL`GE&I;y$fkdJD4W_2wAmHT|uWNb9)EGuha%fH$wPvwvceK~7%l;nrZD z-XgE&*<9g&j@T?Y*vtJT>-F2~(_HwnH|$*4(RKUO2i`v&Y}MT_lWp5gKHWBv;C!KD zYc%t%^$z{hwM%!kR|`)3A3rsvXZn=aU+OI~Gag7C`qckjIcC-Cx{v!sUp(43A<och zlkr4ZSy3~s4W558Ke@e2t;&qfRyt{__(i3XmCM9;-Qo*3?~6ZG4eIz<q-j#1*6J3g z)vESx@*%5t?u}{(PydrgovH9y{bl<?76t}WUC^Qd$jq!>MM^S!o?>rQZ2m0|k=lFz zx+dN@u3GWORcs}HpKp|iL0rP-(8XC#jxxJ4_T(&=7J0h={f!G64acwT_32zBkaXB~ z-}5t;`<#R3ZS)n&ub7m3vf|06+j09(SN(fCVUJIGx9a;X`;KeR+ZML#-;S6y&(}I$ zFK(~XzHKtSP|UpYn~3hA(rY!=ioVNq=iBE8Pv$*j^7!P{ViQ%*k7?oIYwwo-^z2^Y z?D=Vr=e37j<#qf`6R%5q`q!)SUtX^A@O6)?P3)QL_wAOv*LUC3p?hufj6Es0dEeK3 zj0tL3;PT*%{EyFSew+Nx^Z%PS!Q{`u@B7tkdaM?&dptEH!zAy}SLL4`E55RGie*o7 z7WJ=ozP9{Iy6`C%=~y+s|I_Mwm*20SS7iJ#R=`QN^}_<E2Rq8oE!X3&vU?dc+vd#Y z#gA-*FD3uCOO34g(<S9|?B|TC!yk`)v`v39@!wu+i9X)PH8E;j;wxHOwLYpz9JUed zk=)R^Pq@dTMrX!H`zuU}TLL+jHgTR&j+^%Ji=dnL?;4k{MU97dxgBJh`a?K$(M5&4 zI!l#$DLqHgm2EmdgBPgwEo0xgn3t<@p4F_|`xnnL`|(G#P5AkqpqXX;UkqaHj2N?7 zC$oe;*tRvu)#>oecOf!ocC48BdZnh8?xT)?w_cY`F6l`4Y|muORF261r+%+gOkMla zChMc8>i11blW_IYd&I=&dwxqsRn|o-QSAc>_u`Y?{AHtDcCkCJxUuN{(M9$O>Ym05 z`qMXLSghi<FgY4&vZAeSo%(#OOF~Uo?&XQ!TfOoA*A-{o3>v!5{y0~4{?7zen<m5c z|EuJWEjScuu!ilNqV}ig^Y8V0w?C;{Kh;Sm_Zw%M!1MJ%8*A(&Jlb;R71Y(#$*Qon zMQMxk=*?MsDC>dGri)_JMb4#Ze{>hpy>!;-&Y@S2dNv=rToV5*a~*S2+Jiq~t1kR& z6pCwGGxt;FJ&XM7+u7gV*7lKTV>-N6aE;Im3+Z(aZfIDX%U|N<7W<v8jW7Lp(5%|1 z%l2IF<j<WszeJKx`1uP_liRFkzb}55t9gEdtbudmZh4*O&ATU0Vte&~?NQA?pLq`r zCI%`#zd0$|EJwwtAXDl2kA&;1mQ=EBsa)0+&{)jV-swG!tMBt&dCudp5+*UTJS_LE z&Ys7aH?PSBEZaSmtIzkR)xK88!>*lE9yi8aw&A{G!<xzTT!K$nTvJr$_NP)FX$Iez z9uLcR@;nWyXEz@_8$3a+pzol8Zp@McSsLe=tKL4JA3l}y<VRBpi=&+nPERp;=52TO z0rP~?iw+KpL*}u6+4aL`)0|{3=H*s`Sr%;m_SamQ8GC~&<(}MbdRqD5hZWE4M-CEK zO!#enM2Med*71@SXBQO-R*pZqn?KM0c)@Y=gdYWgN=t7}Kl|`ETT{AxwBwwH%(lfx zQoUHux^0}KIy<W`|ImYnhg{BWdc#+D-~PjwWNi)+gVP05c7&`<nE7mW)+Qgef87Fl zK2Ky$mqmZO%j|Ezt;vP4n8&@dd#YBSw$V+t#O%k1T)Yb7w^{DXm#g@ZsqG<baI|2` z4v&?KEbeD%rtjcwZaXcgdAM1s?e>FvnjS(1hYP0cu=x4G9#)<)fR{Y|-f(Hs4NV3H z5awWjmS+ViN$~PaW2^q(1u{Pv8B+ZiZy6qR4N~Ap^ptI=z1~;-Zs+rPM`L))ybHc| zeLtmeT|~oFS*f%%_Il~H(zB`MS+l%0#aLg--EF_{QrY`=2Kt-MzS|rBUtO@3$>GQ) zj+c|xMlOrmn>vY+heeJhh~;yL)&IU<Z#RG49$vp&TUAk3((-fq%gc*HUhVLF^85DR z)~cecUvF=1y>{#FUCG6fE+#4>EJyxC{`#U(SynRd_;*hG`JamG*yjJY`%=lNq5t%V zx9*-T_m`^w3KCkm1OiG-)I29YQ?b<aYnps-$r2?&PEWV4i3v-@J-b@Iyg#tYRNHjh zON;A9D-u1Nt&KMaxS6Sai_Z2n{TdzpG~(CouWKF`3cb|7GC6p1Q1;rnEBlwYf4&F; zf<bl9Wv;*IocCDj@9rxR-;PSA7A=#N{rh&^*6ih%UzS*vz82e>7kEx=Ti(U}`KwH| zr8hdB6Z>^#-Pg-o%jBg%;L@$f;^(|pZp(-`y`b%()2;lyOO|Z!TeK$h)tBvkUniEm z?b+Dc0s?hSVDK+C|Gr-DV+qstNgZA5w)9Pyu;)cbN9L0pF+pBS0S*x8IGJKJ^UTxp zAkcrlXlIPx^#AW3LjZG2yoI%X>Sd#t8O*;v3a%DlYMCS6x<k(*=Nij8UC!&;YZPA3 zo}lxD<?EM&&g|xVXYTKNSbm{s`PviTq&t3YzVb1%_FQa5ORM{hS5cv{+N-idO-olV z$-DX{=%ilgo1ne#uIvsDcyVb`$dvv$^T0rUY0%0Lt?4#k@P8lk{s4bJU!TAi`Fp3l zJ7Wz3r)2M2TEDD)_@+8V$na8dO-;bzC^l0b@w)AqH}BhKq^F6Us@tBDyuUw5wC;OL zV{K*Ni$g`eH!hvpl@#l_Vb!8ple#vAt=vB~_@&POuwx&%zdpHgM5NVj`jkl%`g-P^ zRa&(0{@M%q^A_B<J~RJ*)bbgBdjHhw{JZwyw?&gEM_kQ?tgTUN!(Q)?UVRnBQrj7- zHPvfr(8?<>OS+!;Ex-J7qBWQwqBZyV%@cE<SC;MCtaEyk&S~Gom?y_v@@$?QbIRj6 z?D(#lrD$Q_JK@W<zY6D0TNgaJ|Mrn1BE3!v&!_kAUQ#ms-`<&j9$xhNKd+@EWy|8n zyUsn~zf`(w-RoVT=<-pT=%Mnd!bYy&eeuN%6S3~2NgFxNWPbm6JT^&S#^t>7AM0ly zk}q%nFZcNNV~$V>hsHF)ixUC_1cJ}}h&DgIXI<{adhyL2;q15G6|N|~>u}rlU;cx# zetYlva;oS1`hG{7AFg?^zqL4kYfAAWvAlgZc%S5b?9{vB`}c9)$NTl_6Wx<1TGS*8 z%((pS{;nngy9$|wT*7AZSN8uc|NOnMMN9usO~L<b`?p@3m$Ef2QS;WUYof1R(^xLK zU2pvCGOgdwSC+3dID2pVJc}I@R}^n9UY&i*^y!mzvyA^7JG+}-?%pfEjv2enX5V>p z<JOILH#W^G&6B?IM9ajtrDAfm^W<BNpIu%nhyCZ<|My(qx_8_Af4nU(D=oPdn_a$k zYuVbnTlx0w1%cWhA08fV=eN6cSn$Qc!@{;N7CN`{$y)6=nzu>%&9_}U6)g)M9B4c& zJeN6;{i*o5Q|>G-y*){y`}Sy*v<eu0zwKBN)P12?vCf)f?c=y9-dSt6W?zqDzkLe? zZh*nR*!>40ocq=+y!yd~KP!TJ9pm+)Yqz$l|B4ctsp!m>$d)|OA|x0Det%z(Q=?q6 zP3qB&I}ax0$DC6+^o!y9?Z3@3zdyBB6&3LdPs($5ldZFszMQ+Vx#Zo89iC4vMyT+` zzqobx?%lhw+37w0-XQS%WZLGNIc1%U%xpXo20vnU6g)iC%KiV`;>XKWTW`usU0jl3 zJgY}+@xcszsi=t+-{yIqe`fqs$FBK&OS-c$2yEV-aIlG$TkPN8)MP1ci|OG}5z-oe z%D8;P_s?|>Jh^b*oLRSaOv*W?Bv>4|<Z;Q{cUGs^H>?4HoR^DZjWlois7*eaVsO*j z&FovB=kaHTl{#|G$B!LJF*MxBb(-rSmuQ<lPu7Fm*A;)eSQ}^0-(UOo(6lz5h;^5* zn5;<+IQ-yAW?fs_v|6iKH*e;f|9*Q+N%~8s<BMCdyLa!-UfV0h0|IYegTU$Ir$ONL zea$_fLco~qkjT6j9$b0i!6Lt6f}+?S>+M**n)^05|8BUk;Ph8BL)V4=Gqj&o?VJ#E zjMFFZMX_h5<Q#)Ur^0M7=w5LYoY$v0MrvPc+8U?3^xFRPR1jcYfBp5>s=wvQYs|On z>O4ylouiZE(>$@av#~Yw!mgyisR{e<a=%{1!`!_1*rKxQ@7_7-fWVsi>*4vr!6Lsx zqT*s>cM7R^Oqj5xYW9pp7nWZV+E%qTW%Z3ytGL!xtzNZaMZ&7-U0KT}tz5Be*|KF1 zR#s$Xd3kJ=>UNnMRUMm|So%_bP001>)2AG>vUOz4t(b0S>!r^X8*w8;#7t~*RQB4^ zDg8=|6c;KeJnNs<l&Yz@P+Il)h6}wxTz56f*Y4`n<f_&4d>FnhYW1a8F;iC;imuyt z6;d>XXl=+cy%o{0`ROcy>#Xf_4{Tjpy0mp`>EE{zh0~Jv&MU3WDynLlmG<|W_Owr{ z+)sX4D&6gA@oL>&+f&E=K@}6&n3wy{Lp^%#v(5PvH>b{Abm94=OGXx_H_4pdpridX z<qtUYm#WGf-zamwu%{<cqAgLvPU`-T+V~%H<6mm;KQ*00wRP6Qlob+OZB+}W1mtf` zd(LJO;@PoiRurgc{`EFk+ce8dD{F1g@+FJ-c6;_8y4CFeUii<KCsvl0mU{~><W?<W zzpJKlA(e?KcA2`>h19NdftFnEOD~#Bxi1dL;AveLq!H5Q)O=;<>a26hZW<RNjmlXX zIQ#fLJ$%#*Yl>bhopi28>5}{N69p?Di`2ZnwYBVR)b8Ef3mqDnSh=RC`_Hqf{FL(Y zNT;y6-<%&A+dU_%`OY%Qyrj~Za;Sw<Sj}gKfu+>L2i#MNla@C$DFyN!6XsC=v5-44 zef7e}I!QvF8&o>|3+_6Y928RZ+*iuEuT*yFlGKS4%aopN@;L5!Bu1&<d#*U!R!~W$ zrWd&><>Vw)d#@=Pfs0&D^4oe&Qt_PBk=YxXale$a>-{bk`w|rcAr&Jb6^}d0A15lm zpQ!BCBVm|yq(e~IwM#@ZXo<%}C6^8XMW+@HK_%xl9!aB=4z+zLLAkphncsUao*N`r zr<~bb8q}-oa86aqRr&ru6~pP%th`KTn8Zn3S+_O&`ns)U;#`7YLmZn}IE7SB2yqA~ zI5aT)T)5l5?$3{pkB|4udoJOZx2pk_rpGVdSnS>}XIu5<#ldEFemR?p4-Y;%iyy1| zyV+s;LY~u4UABB-j%#_heY&XPr?<?``$`q>|C-4D^V-L*FpJKfH?Lm2+j6c`rSQkQ zTU)c&-rZVVc)U;6y6nx5i;%LpZiRA(a>s*S1txR%J{ij*mBKw11xG9j6q4H&mcI~? z$-lFs@Uh#6wu@~!huLxtxAiJ*Y};}y#(ACK^@i*{rdd3h7Zx}+v-Qq5Og`2lX<YZ> zXhc+5d79a^rpkU@_M)v!KOZu`V_fPIp8oxIWtmQG)s%NOcZ59z_SYWYZne+!p<vDI z$4Bz7-vWiq-K}M7=NfkSNE)S_n4tK$9TM)x_!AE_Ffz0C$nX07=;$A%I>lPW{S_aT zUOJUMWGZ`jh_#6Al;uYI4c$)l58Cx=nu8w9QQrPhuZp3|<$rC9Y=J}BfyM>Q_9~|i zSg`9^G1UDyl+Z1v8?`0lqqF)k&zLi1OA0d7m#ZKDxYnBG{xMle%egPj_xbLV>@LVJ zblv_@cTU;b*zC1;TXiBfBphry%73)y-|iW%I#tZ}F&mSPc8O}=*<-2if3ExUgFWW@ z`khB6KRR1s6xYN4cyC3;|8<W-6+;bIPY6Atl_09sp?Oc_l1MR^rRy9fzlo3TZ|9CJ zn=g4byXLjYiJD{ED-FCnT%Vr&aV@!q`|<6COBU__A;#*@xy*Qd$BEdQ*Z&S|mutwM zc&Bkenfxq+L{Ron@tC0C*u=smq7ksbq3{tn7s+hzl;5QnxX7hbNcG>`mO@b41tsD| z9(#5}fb^}KAW$0%0(bZGCyKW%P-5j$TDwM@Gw3QGUqk-h=IuYOMb_+2PWi&NPj_9w zL!K<D&s`OoT_G01B7zGI#9qF7^R8}p+4Wm@x0aRHTY&*2Q72iNgTVLqicbUzJIq;R zlv=o%SU^c!WnvK&{Ff7l0QZ(=2>AVdx^}_QcSoj8oBrdiiqD@iVb!KN9xStVe3fRa z2@u}C)oX3qLxUSywaX3Xw64DNrh9EzvShW&w}{8$eAYtMNm^xDQ`28fv3h-d{=GGE z8-)+;In?8ldj9=mj_Rm;H3je9<+<FJ`qrt(&+|dRkwtKY;hb9a4Wio<{nq93YOAO{ z)l(36;!r$tMMtMmR^UDN`lBavBMu97T8lX_GI1#Uxv=~rv(1jptD_GybsiV3tPs6W z_U_i!P3s~OJr}=b6=1$KpV?$rT!Va7=&V}l2QAIfccUaGRD>*UxWz7C^FdERzh!px z-1e;oiiR`I8cq0ZK0Ijc<XoX$rP`ygu$j+lf?NXg9@R!&K8ptq+qw>j*1JB?_M2l7 zA~5HP7DvdzM&{#De-qNxsu^z1HqT!s6X2j#+ECKWYu2!~z|7*Hn);!4y5625LfH*7 z*rYN7*5+OK-ekz2)68Qu!8m{^TK51KGmpWH<#}mGLbw(bJwE1}lN+qwubS|tiCb^M zI>*_97nb?XzM17X^$SDJjSUO^t}6KQZb&;jOG_&0a{Z<l(^sv#dGm_$Qpy$YI8+pD zUc9dLqd;>^_S&!SMJp?`g8q~V2FzJt9N2WE!+Q6w`(7K?uh+kR`t;Xd<y^55>;6A% z(OtVHTY`1b_J6@8a~UEI%~4rp%Ds4PVryc1J)goS_4{oJjjUomi7B&{=iSe9JMw<* z;axHvFJ`~^#jwY>YP0^WS=S?OmL8hMx+Zz1sxsfB1C@_uD?T_fZdj)hBf!qW_dw(U zGr!e?2TZc;eftafR|PJ1>y<LiytKq~vKp_HNydc*j>XT;%rs6v_vga@e<)zWuE;jl z!)fas7cBYTcT4isjyT7_7ouQgO-7O;dABx1HaoIO<uoiiD`lQzc0qxe=T1%cvnI2g zYcn7PUh1hSn!(FJ^}vY<ipFVYK*B$MVgY9(q&$k3HQAdoZ)jjhI`?Pk$_5589tU43 z!;EtwAJpBz)eN-8O8^&mXQu1N@2mNF={gpmp6YbON5UZf&#?)bhsB?9&oD~aC;Rxg zx*E?6{kT09A0Hj{o~{?WtK{XOR&H^<m>oGcH>IAQrdxv*1S`aB%Q)H8>MgEc8@wgq z=A@<Py~XvSmwm`Q+2jf-D^E^R^`52^2`T|uxu<D|uZ!7Pl$wtL_&MLp_I`|;sPUe$ z*Z7ge`7?`NXoY=Sbil9fY4rWy*StiRe_Ct4yykV){axNa&API$ue*Eq?$+$JrWd+I zwZqm#tPEP}HB~EgMZiL*RxZ)`n!(F_W*R+hU$-0uJm2T3Qe$$=CDNZcdGX`a1Dr`~ zzAn13RQ31<?g!E38s}BNtKZ)>IluP3+WlSG*Kgg8-F+=;JGkn0h1C3=pPZ-fe8l-- z+O#>M@ri3Tyq>C~xUFe*oK~e)T+`GIkq#-s)ps3S?@R8|W03uR)z|a~QzYMo3#E*I zK4$0$ZO!W~c4nNIxI*OU&#WE>1_mJ(N5;$23=BscBwTpfBkqYXu!aOEw3wL)s0eU$ zx-WK6Ve_1*!NSPE#K3bvfukj`&++&c)-#_Sjb!*;tT~^?JX|Xj@l!l{Zf#+~y2~Cf zQjV#&9#G3kKBh6>*uwU&mc*ss`o~Y)*dO+iVM5R2@Ly+BuQ-~nHn~yc)9mcts_!3g z*88z!yJ-X4&i(7}PCudeP2}c^Oq0nxa}<l8wKk*$-MnSv6lc^rW!}t*A1hiae_xZG z<NmbVM$2LUL)+DCZ|6+qkYh7hXJ^T$(y4KCL-VVEd9(j+UYPc3QqOLyz{eLKD4#OC zCntU?BD<!cSEgjqp-<fxwm!38$7=TMLI>At{f<vIQ#Rj>s=O-8ueIQ=_=|M5S5|XZ zMAR+fx>J*x^`~ylj<snw%n!USl?vSW%awi3-`rC_-mZAG&Nt$?K$AHCvj@WLq8HwA zD=ppS`=aW1m_}c7SH^Sc=1srnnk?cJz2?vI?hogs4z-Yo{T$BM_eq(QS%=-p4nDjq zLxgFms+QP(jXVF%4lta|HBF6}yN=<nO5cH%0;aP3Up!@)*e6P)$jF|U_+!Q|7qxdw z?`L#9yznDs;VI2U+_7;H>;@&Wu339~@A?S-&)xfe(qqSca|}dyFG>G86WGVX#eMN$ zl>MeAxvATf^&h@kS{R;l^6mLArObR6)K=Y@*T2v)IA}q^x%Us6j;@`bylc6Sn(X2K z(<O~xA5NJnH`(3V^{8+ASDB#yuQWdUZr>~P+HdL-X@@W07m7@L`?|XLh|cGWQ4jxY zQQMqQmR%Pf^~kF{<jBGKM$yM-_@1@(Tj8H4trZt^hf(EXp?BJq@<X@9e*PB<c(`NV ziC@B{^NU5b5>H-U|NW_EMw0o#`*SvZxFe7-pK0}yZ!7rBZs$hNst8+~`|?9#nA+Ur zZh`6F*(Yqb=CYf=NHZ++YZ$lerddg|HFj?CteH7CpV3k~<cHJ4=S!oxrsOfaP4da# zzW?Dh#*~(3vApe`)hiyX&a?X~_UCjU-(60{_s5rAXvt-&FW$47$Mfncuc;LwZPn`; zR&~uuc6`XKdeFWg-M`>cti$0~qDyP%dmaAJBjo*>*{I^`+2z?n)t09(S_(e-S|K!R z!`cVpecx9lcCK2sYSQ^v8=i}Lgz6THh}109`N6LDo6~O=cTU8ArC-_Q(s=^^+CH<{ zJQ6#TpcHxH?eVs`axRPWuGM^reI&kI$3op<AK!c@<K3Kpj(yx`H6`e3i0Q|bQf9Mr zwX+@faBv)XWKnR`zhFUZ!?9oDj`h1k4;QXc+V)LYsp869|LaWkg(sS-m5#igA!)XG z?t{3#@2eFjc`aR~#(y<ob8dd57*E{<>6cro#U0t7?P!>k`y}08)X<$}iFZA>xbz{H zr^OqdDP>%G@jRmC;a0BiJ=;z(I=AfdTBkd?!ba}8<HOYKFloP&S-n@vf(-7yJM-$^ zD(zDns}6F>wmYp6%1ADs8Cw?l@zrnME1P}_rVDbE$yOIDUB0n|_t?$53b(cd#<Meh zsm|uy&ybKXE1+4`zc#-8`9t%@ldN1>0=X$cA1{Zmtln0(TJK}X@0ai27#tSr&)S{4 zeXmXMfy?)QZV7wZC$8d|l{+<B_icXgg`WOtwjH|DH8-oSnSB236{Q2`CRhkRE`P>Z zqN90!y5l0l0~4mqoAqzCL&C>tu@2$WRI_KqT)c3Ut!bID$0L5-lhY4J&2H3d{A+qG z*EwMgx9p468>6ES@v%g&E}5CN^z^%f#xE{?WOrYa?)v>v_eK4T+dCes#)MaHd?#{Y zvbEgz&#U(?o>;~CaIxAB_UBvjIF7l*x_{8MUq4r-z$e2hr)}06U5T3b(w#H@h9oI) zDsB(E=eqWy%|(twH+4Q_ahq-vlD)Xj_odC(xh^*5eTi4LKUubQOP@f0T~+*7gZB=b zf;j`_)m~bNp6;;h>k3Us?%}@`d-8hP)^95rc^DQxR;qpbQky9?IdanjR_z5ptFK1z z?d291+?u`d{lc!31>YHCrmroy@1Vxm7VX@<?dFSs`S)~k7&onn@HYOD{Ks&K<4x@e zU)IQdpRsP?y)~Maul~Hc`8Fv3eCgsH_Ye17TK#?VR%4DU_jhdP*tn~%GQ=n`>*mU@ zPt$Y$N_Hn-{VCqK{*aJv-LG$Q#<nJ(zUgw;b~B`{J#_O`Pu9!T_gj>oe%pMf_%a)Z zOZ=XHw<fH4QRR3}ktyo6Re+0_p~uW+c9#`4J#t&i?RNXjI+Nh%r}zt3IGD)El~29D zR_l3O=hbR)&)tutqi<~W+xb1{7E9z(ww3d)#yedzZg?W_^0o!<R@O(2H_BAo7ks)l z|AF6>y}n*jcmIDEcxVt|EYP^pUH9_4pBee0yixm<SKhCDcWYATGT#SM+BbG9?%pQg zafr3-qs1?~4Bdy}WmXTZ1la#4X0ADSRQ-qQvYB6=mZW_WefUFxE9rYm<e}|b>x9>P z+^)D_=Y7WV$%X@mCAl0De$+ix=6t^-?8?9W%$r%o4n1Glt8l;OmSEfb+n4{mexx{4 zZ8hhcsdr+dH?LEPi~P6auw4}s$Mw5rw*`9VM--Snn)qhwd%Nz`d6CDSC2`2|eKix= z;$oel!?C9Q{N(i6Yh2@2GX4<DDOFv4?9t!M*h5E|?UfWh7)s9wcqI_*+Za>#?r}%v zQYR*bry3nT3uQEg(hAdFZrR}2HS>}2TwhN8vR_PhIrhE3tM9jMdCSUXIi<IZI@_(k zG1N4lI_qn#aG#|?+n(pV@8iX*$|9a_7u#mHQ`drdb9qAZp)KZlx*Ch`#(Yw*nG&*m z(zzI)xNcYGEVg1vnU+_#v~QJd$mUyj-RhX0n*Plb*;<u(Vphg00$%q`$oEOj4r%Q9 zud)22(Y8;fhI9AG?hR#0Y*=0xEj#7)qS=}M9Q99q%|0lx{`Hdb&B|9ETSiU)o#Qi~ z!(h*27yj$c<xVT6x;`~DiCkiFA|_L8^Y5>0Y7Ol+JHIFT^!qZqH1a>4yQc7EwLs4C zn75iy%+uvwG+#-&D>Ykv|JlF(a}My#x4HQ~(&Hi9PFazRMFHMSCHLRn`N^PH&fm<w z;zRhAbCWnFZR%29+Rc^-owvtcK0`OLZfk4NwL=T_%k6W1zg;J!dw4a+%^&8@{!zv! z1S9{Qo>dw)Nu~UP`LZyc+dOmYSb}eMRIj!?rgwO))uwrV9{JJ6i=3iYt$I>#GU4++ z207!S1)mQnRNT^Hbv^QCSH;a2lOHf?Z@;W~MKbKwH9y~}jFVcvndmzk9X(#XvcZX4 z?eSyYb1Qo_wnlkxzY{e>C*p|56{Ak`E27)h6r7jfRaG=Uz5MHeT7?-Wn<E@{PW<qw zsq@3j#g$h*R&027#r|irA-mjcJH=EXvmXVDx+g8ud*900e`Mx9wYz7{bm=&gOB<}0 zKHpJ2%Rkrq?dF+_Z}`15J90AXy`J)`O|7N1Ul#?u?r*j{_J_eZz4PX`_*=(4g}?q> zE2eF$`09T03<GV&udR;L`n>mjl5jX)82usKOP$+%t*Cv(wu&xYE%q5cPZs~tw7aQs z!_&UQW}};<x&?pK^gl9jtYW?E8%x%>DDA4LzO&uEF7}qt4F-?ojt_Gfx3c_R^vkL1 zN^fh^y+1J*UEcKg8mnaR=-M;P@%&PMDE+*6@U(<BF<U*^yyFSs3lk$|zj)PhvS_9i z<C>j+PW<|%EG#Bf5X~L<>*z60iMD$zZhNMh%Y3{w?FqZYbuWvnR{w?H9`U;Ha2MZt zA**e6%lF-=<x)7JaO3GNt0gz)ODe1LA2Etr5wOIi(<sO-Jn8V0=nV@J4>qYr%v<h% zf7YzilZERiojIx!FtJ!dXtVsUy2(t}n4kXq$CbWsd8lUR>wQTdW`>4+tL=A^tlX%z zBw6L2OIW<}6|>DN`&EkmB|n+jFZId7``5LeU%A)k*&YeMoi#sy#k0r##^)}cIk)|t z%jc!LCM`duy|i@hv`_hV5<eCf3P<mIl6$}Iq3fxM(NU9TZ=bk`!GHf=u2qKNjQL09 zT{+ly9=q}F`qVCs$#+gC2{PC9Ki#}0?sANF1KT~nEH-a;`>8x<e;v7de~WIj>KeVZ z;++Dv4-RfS8hkrLnE(E5_Qx-?T^BBQed+v7&n{<XiBH!Q9$_WkmrGt;P?`9IC+dQ; ziJR%X^?Jz@?tRzkE*0Y8K7LCxHNIt&s{%i7$v(ct8-?>Y-k)QV-^y0~n^A5PhZ2LM zlyca+nP+7a7av_@BzvMqblQn~v;JrG1>U=}Ld;T2^at;uI8CkPU$QwxZ~tDh=9cF7 z8K>7BJUjnK>7kABHWhC6>=6&0+@t3|c^tXH{?9t@MCWxq`@i?Z3Uo|8-hLqdf7KfS z-HVQM^UiK&e{W#heVx&F>e7t#yvydh-M38Nq8S%*x=B@cMboSYuQl=n<|`}n#)(gm z^DVtHxyAA9y006SrvF;-X#U>UPDbGhN~()gJ}Lj;>s6ilH|qb?IoW$s+)fDvJM(_= zQ2D^y^gc+-YjyCW*aGFbUUf2p7kPfK-Y&8tXM57gH3uqEvfrvc^D{c#w<Kpq;+4qT z?wg#H=X>3qIP;meYVGS1KEF$!8@ZpZO0;O&I$LLhs%mNTtLQ}yL7pAE^t*kfI4?dm zn_V0plF3{c&hoHRuw?ol9;fRO>)6t^+9?_du&VZ|#m?wEboE91BuDL;buJ8x!uK|^ z>uwM)@6FRSc)B86w5h|)p7rmAj#oB?*Gn>G<}TGRTeFC%@#4Y07fxTBt#dzZo5$!C z*R0stmXxDy=yAj6O&`N8U7i+u22<JHacl11uyE`7$hLWz;{G;Xg>^rSGCqdL8u~TN znklp6LA~FB`^Ke}i7%4-ir254WE{FAY-35;Yi^?*i-o0Y9V>q<I;{V^;zra>M!~g) zryLJ%zM)vMZuVKX{`*_^)GS`|x;=c?;hA=f8>D{9y!yV6^V^*6n!0(rSKgiX`R1|B z3m3h(aO}knQMG_YpILUDmCLy8ptgHLHMfHx0|x`sLAS>r@*LX~|IfOxFsI^-%t~j; z9qYN7)~bZNH016$viZjWt~Iy46K&eK+h4!UFyyYan78+ySCp!IxABvM4@<NcojM-W zG389ef4$tM;GW%Y&0qam@TEei`|Qf~4)Pt&fsvXnJ`-9O+Hmvf^Z#wKIsE3-#v9*% z`Y1Rpa&Tn59-QauH2IbAiRC&Qoc^qupmV$EP`G|epv;#aoS!Cs?wZ>BOD=+0q}rP= zoXwUgHkI|pfzQl-o%gZ=?oU2`MZ1qr$!i+#LN}I)rRp_e=Eg#EufFySIA<xorEgL1 z<jc|<c1>LuwMB7pee>)ktfq27LK3#Gg4|42{rIo-QT)fdJQ=Av&p)Cns~^bks3_Rz zfBHw+hOiT!O17&T(-tQtxqjWVCx36p_qXRRh`A{J<(tl$;ZeVM+ScH}2U{j9=KI}U z!k?csfjfg`qL@m_X6D5*N*o{EnlCK;VvrcYQ>r7|C75ro6~iF-P<@8*CD9lAK7}wD zxN0}<iI(cM%KIzARXX44vDq<miC_+%ttA^;?lLX0O)Yfma4FtW!e}*taZ+05gfhir z!adU$INd$*TH{jBEtADp-u<@tvqU=1-D=Gt(+)kxuFj=ZYBtg)5fi6{Pw8^<@BgGf zrT7=8aX9O|CrdUIo`~Yzutv3IhR~|xZdUIE0y<UQu9bDgecA0~zrW^BRd-kA?wfxX zR>pK`%;l8aIfu(r!+}xh`P7-wi>4%S#qV=?v6x{)K*r^V4KwRpzdKqiVV!g6=x6Wk zhCMvZ=h7~_N`L%+&iR+wwb^qWUR&C!*GY0QGO<K-#C|$w^hK~_+7l-EDLLE9G>X|+ zLuWYaaVNf$y?@c6_WswcM^p_aM0ILJv#|V#WEE+uOMP<hWp?}1<=0m%y7+~E-h#P* zE;gv-_#c>h@?T@ifi(49$&3#|dqq!F%;ji}-=QeASS2sD<a_;^M-~e{9dzew+Q8tL z{a|zYQVu2y4f_-RybqThx-{)i!@C_;0_Xlmhn@4<S$ggF%EvQ~Cv<)m=_rf3oPJ1f z#hDuwJAWHX+o@Z-H(h>lM<*>*_1PJPhcDA^b$&imxZIrM=XBY#_VYAu-(X5zEkE1# zP3hjp!oLHuTr1w>{dT!K|6s_rO*QYe4_klO8pd%o)%kNzPHlf%$8F~zx5$})PEWY7 z>qd{bkaSx@&W?`#%q!w&{al)=v+>pWGnaNUN@W`?n(v*>BwE%od%yottB7rD7x3Q} zWIDH3`bpHSHqIWNo{nP$@rt_n0$V3<bW}{eo+HzB*C6rRiA$>Qd>W_qG4UPsubH$% zV_KZq(tA@4HG-B+Sg2y7>o%K(<I$a+!ko>0MvQm!W1MpA4EC?R^X9~{H7^dGK7YXE z=Cfl9_qqlgcK9{RMEy#g_OVx2xF$;<$}LndZ@Id~k?ll1gYxcKvu-ar6SAAVxkj(H zICI(onKf-4#qH_k8~xl{m#MCJB&YS$@Ik};iJhIC7wx{!Ft%;|_^@fsxlZ#VG2ZKo z{y)u}!L{hTrD*4q8}jq2-|v3GTrBx6`OJ@)3R69Y0}K}|o{RV?ZCgA0LtNgI$Feq4 z^{0RR_)$vsYE5rt>8AFM%NIh=ZeIN(Na)`t=BHlMbafLLgrZ)bb8OT;RLtbaDWrO( zP{rjsU*^*}1#c#&y>eUeT29gLAlubMsY*TjYa35*e=Bh<<x#N-^9@~Fv&mwvKP8?Q z&d)q@Y0=!~*}v~e_bq?QDv}d8q51Ts!bjJ3WZYPNXZoktYrZwz{h?JLTjZsF|MYU- zy;uEAy+ReA+?aRdWptk8%KteBPCnRIQo*h#Gw-|H47Id2c7um!*ni2-407#Vx-o5s zebt+lhn~0d1lz|3xusuF%?o?9=*s+s?=%7)TU}MLd%H^EP5aim)H~+dR(ji}x>fKf z73l^(y&vr6s^Y=vIqk{nZ&6dO8vWF|%&5S1)Y|q}z{TUIdb7HwtxGz?SJor&Ib;2_ z>^1zoGt^|Q&EKv+A-LZm;quBW1}?8-^&X$!7*M1W^ks@vtpDVrftEt%kL`{v_qw*K z&A75m<<I{VLobhjWeSc?wJd3~PbDNcGzb_voLIhBsi|B*Z_fT5e*!erey@{{JDv84 z?Lo_N^FvEcY&KfTu5_R%<=gx2U2E+o<o$CGam?3le|%|ot%s*2)6NZht}be?=MWYO zywv=yrTUlSC%vmnHyN{6yeO6A-gRznzRU3#*+*9&_)of$qrUdQT$R6<Tb;k|DW32~ z=t91IY;2=)(82#_4z1ZGqa5hbZk@8Sq-5^9^BG;2Wc+w0#5`~K@?CL?uFiwH^*eRy zxstC|8ZLbEv5O_{=B?wrt8ycSkL0IXnf2XhuVwKsS~SP{%~y+_Q;$0$?)J`j#JhIY zsmzni)7e^Uh0`;AcAT){R^R#L@w6#L5uxH->sQ?NJ}!OpxLwAo&QEebVtkXPyRW=< z;neKOFXY@R*T=O;FTI%TTM@%{p6R&y{nO@Ko$3z1WSG@lH|@(R+xs3Tj$eD_k|K06 zOsZ?Y44*oW?wT@_TSqIOo%OtGIM;c>CgB&gQoc*R$vnwfd2H_9Ac^9c=??mymU9ih zz1QXQpUus{q&WMVhOqF&(gQ!2q<xlhJ{}sH#Ab3JtWf^^rrKlj1zDHMuZHq|nlI@s z+wd@YO~Wmjxop3^{PxM)o~peNBK%adp#J$Mk)=T=uPzd)DzNy~#TB~7=}`aP%@5wI zCw=6I(sb&6shno`<dlS&ak0Su`)Mm>RGOFqll+yoriX6&;M{xuP`K;t>UX~!YYrU~ zRd?=F$e*X7%`1AOkafE1zsdHgrMGQsSDi=+kd^p9<=4A8$|{_z^j-hgvhbuVH@`f~ zYPHzneV6?5*$(&mybgL&<n?mrq`JMI-)#%-c|K3N?d-ARukN^96ng5}f3}9@%9>Bf z+8=v%vMRJlt_(PMu}EosL}-_c?CA+FZYJ2oKGm{X@8oymp*-`66+d#OZL@thr&R3! z_Zv$ea;%TKZd)NX`<kyz%rg##4Hqky|4d-&<XB<B+Ui#Mw7|A4Napj#E(V>LdvYo^ ztF)No7?l*CE{{KPiP`;f?9J~UQIC#qTPm&KzJF%SR}WJY=ZHUhGWi*Hvit6BzxIH+ z=A&Zgr^K^AAFTW0xa#w^bM60`)1JS`_p#HMQ?cRXQRf>K5kG4WeCB&yS|c&7M&e+N z!kZccH+ciAR~s15O}aEmee0Xsn=U9H-d7`Uufw3CCiIIz!Jv7`9NDVsnJ%7d>OaS} zb{Cd0d^p>tpy{P-#j2FjF3Piiwj#69filA-Qlbt2K0V*&Y;ATuy~yaC-a!@x12z$x zC99b)t1Gkl?mvIp*2SrDrGcmHslwip4Q+Qrj+JV0=V$fyh(D>4vRzVt-7+bnZ{vUI zoi2$QD|qbhK9$(x|0H_(m-fmKSKWOZ>s6$_^yU7RUKRW`#4`N+BA+cq-$Xrb#(7#M z-s^jvSUmaf!WFWA?aegLsb8%76MdiM;nhE|WuTCg?;5V3$To6gV9;=boO}m5a7?cv z555YNfzjdLex&o342=xaj6mltnV1<G^hE2qsXs0G=+j>IZdd7l@e<{#1B|P0o48)~ z>VL}ob>XKz_LkRn4|g4A+3>>oe;|wYk~xAL)eTSA=N5Ax$PD($wfkkWjrruFTX7N2 z5xvX)>ls|A&q`Ri^%%2p{-JXT$<75K_LJkau5oip?_1;frF+|vDwXP=tf31SANAGh z<tZ{B6S#VG{|1RoQ-7aYojFftU3>zEi|ULwdOwBg%@c&q>Uou3@60`PW5Tc7iDl2t z=Q5SB<ub=a>h({z3)^upt=5!-<xcR{MYk^<ymEN*700~^@_~U`Zy8s5>ZGrEqbwu7 z>)81zTh6$xE?Ud~>HPJ&Nze0-WXzBV|FC*9_qq5VpEBcFicRbC1-8u5lhRtcgXMkp ztq#dA_2DOk6H|*`>7RbQ*~^HN<3VlThfOhZJ_|~UE(>maczN^pe+v(B`lZ@0>11BS zTlK0#O#1h|s$I8wm+NO-xa|-vyElSi&jbyxC3aVC#4CwZUurqI+(1gvvt;IEk$>US z+}(OT&dB+0$h#2ltUfi-Zkmvx%&g~9H-1!9YAigl;T7k+0@dAO*_S^?^Jt|ii0A$5 zY<~Bs>!R`jDdCl$f0nnYv&(R-P?^4Yy<5@Ot-U6fFRgkRz2K$NvsIc?|1NEtQS~A^ z=JuAk+l^EXII4$FTyOsAPX7_VFbi}3E|C{|#3%W$51V?AZN`*9+X>Un5-w<EeRfQm zA^M!>c18Oht9ggZ^4@a2@B0&UQvYX7b<CTX;@>;Ue#x4BH8~%A;>*m+7j`_1f1ECM zao+xAdtbM<QJnAWHICvoUN+C($LhP|a|L!f+m>2&>wGULnRm-wUF^~B#o3K)3Jj@L z6QZAQ$eqBpZu0@>MK`TeBLAG6{#HtI(qy5qX=`)@J{Y-&ofj;;bojXVg?Q1$=XAa^ zuzitYS!mnTt(%`?`B>tv|D}?dO$-i}dOXJ$M;J_HmUU|?46dCl^Hna$Ybxux*#X!7 z{@r`^vw4h?l}v(Poy{*7+gHvnyJpSdU)}QcPW*{qmqKa}q}#n(m2!JtljUc&mva-& zHJw)ynGqTv6?Qz!$v=0h_8zU1W?w$>Y*UxweY{`BPyCOY>x)C{WV?^)Sj0sLW?xOH zH(siB_{f68uZ6$HtUI-C(w*B8TSBj=R&gY<>KR+5-}&~Uk@xMQqK0`jK^^hGlbZRT z>%457JO7%~hs{eS-il?qF)OZYXC`ZWfM>>*>l{C?{hINj%fyMvyU>R5)43ZrjQ?M9 zlD28QD#?3m-RZFNlP<4F{Xezg^Vg}LAFTZQ@bmQG8ykFPYaE#MUv<$M)Bf-ZHko;e z?avc*a;<X=%6p2+W@a2se6CsIc_Ao~`ROCaJ(nGxDarl&Y4qEA|Es-gUZkx(D3ZQ1 zHYP8_W2)4HThT4TE@hh*7Z|EPbrXuyx%%GoFvpxs20pFO>^w^jgBNSIy69c$+@&>v zdwOi#+Ut&PY_E1Y&R1UWWNVY$VV3Vv!5pU&L?nu{Q#W!p%yi0kYWn%(o4ozs=v(iS zwp?VX-ISHE`)_#McJ1;K+YYrx+l;2;?_L~}sp51iYhx&@7Glqe3YXp-)%D|mslh+1 ztcpc`f7XAO-NCGU&i7r=iR)#5+iz^hpQUvsajQ;K-;^chC&SC?XLv@xDJef-DJtOq z<5a*tRnHRDbsHCcUOK7v){S)4vmNVqb8Fm9($u^0C+B*v?X&%TE)V#QS_`So@!R_? zd|i5W`KhZSqE}{4+GV@+!=<i{9M9dwwLg{_&zaE`TQJ-3+6}?h`4M@(LB0!*W(9p- zIm_%r*7N(1WUM2<a7@V(Na(-TRr!`>M&FtZhc`@(kDimO_ap1Hj=xS}0{^;`C6NmJ zogMp@T3&5iotYJU=3o8YyI)thZ1#Mo{q;=2jJk)@zTHs0yWF;sFMZ0Qb&Q4oUV1wx z{gIMdSMczerqXH2i=Jzj@B65wXlo~WP{_x5=9>f41Uu5gr$k=sJ;}=V>WaY1e#_U_ zFA1M0)tPKEd$q}e>607oPdJn!zrk$DyPzZeQ?7cviz<0Nzx>zimz9iC3QsjtRvho! zw&u+tceCSq)0?ElYHrx6gnLe}-Yp<=bsERsN0zo4R^e0gxO|#~FTZusxbJN9?AD#T zYoi_R22bPfl7I62=;OCC2QR!?IQv_lNSCGCZYHZ-+ueLOpBBm}<}n(4opahwR$W-# zLviPdNH;~DQwIvKhhMXanZqA*E6(?n<uz&blX?v+wKWuYbh-;VS>;v)PIv8{>99t$ z@7A?b4cdZI^{;MJy%NjsTd|_N=i~!LGclj?6_J7c7i+m0_+MOO^V_bjzo;nu>&8We zTd(_^y??7`nymJLiU5ODZ)tP38!M_8Y)$y`!Y9DiFp6{T@3X%;Z`^(K=Y5smx@i{^ zydE?xz09z>VT*>DfOd_#m()^ssWnRXKL$tc_-kBJHDwu>*%srfi^rz&&%2y%dwbgP zjGuRl|I4ji-&?Px^gX;}^Lyr|tndqOs?rz(zCV@`{A6R%GVPGV#8sA3hYU?O^v|7k zhb1UnPVlwQt993{1a2G&@tbl;#B;Z5yKHt}wqnVfvPXRk*4)$H6$^)5X0Z*jm3(#R z6{`yC<T}4w(|@eXQoMLV>16okk8$VP%^KzObC)M2ZVOAECpnQb+p1PY?a_v9$u=F0 z_jXO1@yLEvPVcM6e;L+!@=sqDMW^|dd?^+bKRUnCp7-8zk^J)Sl3NS=73Om@YyVi0 z{dK#+Z-0ZA(#<TtTvpupSUb01<NV03_imr#-yY->V_YHVGQro~gKhp*<~w{pYg!Mi zuwHrW;-Y5Vr4DDObN<^Rbb3Ys|1obfA>N9vOS3nubeK~*{ntZpgO<2;C!01Neem&Y zV$Q|n_tCNonGf^4DQ)>IYSq>l-Mu8{<-R#)_LDtkKhx{AeEFr{F6a8B*)t*}9poMU z{g9iXoFuJ%NbAf)uGd#=tv_1@o5svMZp@!6wLJH#lZeg&p_ooJ(F()P8&@M%xxca5 zc<o9~RkGNnu0rX>Q~wG5FSfjV(B*Z!*q)+l?aI)Wv&#>!X(>=#`Rn7P-TQqnWZm1p zRwh0t??jnt@?*y+0auNt!|Pu;n@;`tSx-`TiZG9st)}YiRRuY9M)FSG9<I-K9kZWu zsy^#Y(T~S#OxTtx>4dGjknD8i>b7;+4$d46D|X<P^c(w!?jkJE1ZKQ;W=seCuw` zoYNO?{^6JJnai*K&G>Ot)LxLal$+)E>-7ugStZ^(9LufK;8R*Sr!ZHg_oMp!|HV&3 z<{fl3UGm)JORV(bo?GTYCn_KM&d%wdzwpD&-)x`$2O0)i+^~GNdIk@Ve%DpM|I_`< z|J7a=-Bf*lUsHO_M*iLz;hST>Yc1sI;Q4sim2XYg%WE<_Bre8I4><KQBU>SUSN&nX zhtvE%O$-m$XOZ1ESwD2S_4<lXzZu)R{N(t)sYxmPv~v4<ST-i@7L)%@MpM<Z%jZs5 zp7*oBuSXy;%<J{JfQqz^jgnDbf*%roee7K(^SS<ln#$7k?;P&bJD*Bj`E{Cn+}|pZ zsq-q=JILP-*zh-u`NNlfU8Qx&o90h_`{YyWkIk+&Ut`iMBV3IphuH6QD41-pY=-&- z387VYFEqAmc0V_$^;9pHj@6j_V=~|JLq2bU8+{i%*%s6Ba(3#UiKWZUnzFxjar`|T zI9V@n5f^JUqvDx2jo105KK5R?e_-O#N!R;ke`0#L;QY!7Z2p1U*Uj9xA!uXu+m^sx zZP^}wJb6qm`Dr%a`Iw|`V&}7MS;V9}l1;BC6ziwE-96+zTiEzrl<~XG5x3P2-$`H$ zd$;l4sW(#J&ADF{u{@Uh;ii1}w8_VW<tx@Ldb=V;VjDY8xN`4R=3Rp4<`*o<>R*1) zX6i4QrQ8?v?-X#}R6iMi*tbOG;KvU!+uI9-S)v!}PjWZ>>24^Yd_(Mm67#j_1m0;M zVoI;8FJgZ^zi#`}8+v7H%vH7*yh*L`oRe|v$7QdKg^A4`=H2c3WmE1;crm^Gz5K%u zCy&Dym+~|ow2q4X@LP^|vg+B%aeR&cuGX#)`gOP8vwXu#^$9)8o@)6S#BB?VW7zgD z$E|wD+4I6BQbO6U1-P%D^WIXsbi05^q?}cyx$_%^oHpHuJkIMv*WJvRzqdr?ij(Y| zXaCtO&RzSs@Nw2l1G9-|y^l1hM=WYEDq}wAUslq8!Pn}giH3UO<1NCw4scK4)}Lgw z(S|?J@mhfItSztKFJS0<RS{4fS(}||wdK%_h+P$?%^&VN&3L|b`UkEjnGfqPZuYOy zyR$Up&+?m(_oe+4?(SLe-d}XBk?{H#{5>alW^ZCzXRIBaw3yj`s$j%QR$;yEmCV(? zi;MS7Fmm0UFD#O{>feNEo0h!aA;7rDbcV8L)w%P}xYs4^idm9(HdUW9;EV^iq55B; z!*i-tKY6ZTw0N;jUnjJEi!66>ZgE+}<E~dGO@|WVy;`2_eLYk6dSI^L(~I}o?=L## zn^}2HaqlddOoi;KXGP8(QF9rj{T9F5{Y_hFwceYxr)y+4mG`n)KYnHE={Z@bHT7kc zedW{3np>P?WnVv7e&OeW7e_43-IxToE_vV(!n-Q}xbo44;-?eW{|phj9sVZFro?I& zQ+Cbna-IY)4Q;Ebk;y$rI9+_b-b<cmDUq90|0H$oxvIHpiwc%p=$-pLM&sz!bszcI zE~uXPZ;_QXhpo@V$ePP>U!BOuo6{GhJ07Z7HA7babXS&e?9#(4TtBlnUbuWB@S|1n z`HKs_aSF4Q8>+-_ZcgEubGB*v<qK=R?0CiT=ufG+*x_E404wgPfpaJLWF&36Tfp8U z?!Iw9o72B?`@pIH=bB_><RtNK*524AW)>aVX;ystnd*WyQ>QEZtuR07FyD3m%ci71 z0YN*2A};A`^iKHtylv?x$0t!mzuZ|${4VhRN}1-M-!w;7IBxQnt(U$ncyiqS@s8*1 zd~+Lm#8cOAKFToVTI^cAE8kewF#T~gn8M;W>wRS7n#u#c3mOZuF8|T1-Sezo*RXS6 z^691DV-+{uP2Yd}ceDD|>H0jY8@nPG@e67(l=pp#?%0vJxztfX!t3t21^OjyE1FgW zb_mZm`afsR>Z3Dv%FUhc`Ezpi)KCdlS8X}lOWsqLUNC>3l4H2y_SwD*3-X`V-Vzm< zxWfPZ0wK+dhtJv-JzbUf=!azXqviHm^7kCse{VC~wQpNVl3{(ymz=E2s=j>pe`%b$ zcu1fB@|{fz-7k-Du5r!eNj<V`%Yr6r#wSq)4C^#^w(QE+%Ui+1&t!MPJ6fS_{gJIK zi&I()Keaty<x;hpfBqo_HJ6KjCmU*hsCfEq$qr4gGRFG)p0dTMu06|N#5c0V_)fW1 zWffY*CK$`ZsJvCjD)_>?oJj|ZwrSKjHm?6KEPPMz_zu38%p%^crYl<RT(X?~;_~y{ zq|c?xSNmv-Yu)>M{9y3q0Qry$m+$1*eBIBbFEmF}tM}rJXw{s_`d&+>vFq(<c{b0U zxzd2MtJ!L{mvg&Jo>x-g_i~-`vIBqW*xv`1lt(|B>vp6=Cu+sH?&}$D>yNLQyWmpF zof{4d8MaK(xv4nq<)mc0K-r+@N0MLNDV)M0tD*MJQl44r$pgdSBLcyXUY`)!JllNT z)7kqHH(l0w_qsS~is(|W9&`SMF5)XvCX_6@{;I~|RmlO@b8Oo=A5G2Q`&LZ;t=i^= zttXsaA16MY>*U;>8j#v>qgA+`W8?O#7h+|sHNM*{zh%R=<4Rs;!;~3`uj>9ic6yQ& zeVYFbUrzg(?((ixE11I{Uz^}qS!v^4)oVJNPe*V5`oev`c<<Wl&9av-x9QXNmGTw% zHQm10bQ9aIGu!#@{kBS#U38!Q^7C^7I`^*p-qN-7lkPr;K(>^lg2q;gpM@5^wM(AL z7b^S6!)9O0!DsXP408Y6akLgunfd0}MKQl?E*D?VTlBMt>Dt{VC%FGg1{gc8+Z?Fg z=<#={LeQqHFB`XS`=s6U;6t>nT3+%L_l;M5O~2pVoe=f(CEFjzdw&AL-Z6Z=XS(K8 z*1P<Zt4giof`gv&trh0{c1_yq;_fi(<bzjk)WivQPieXO&2Z{AmjY%U``EspCCa%R zu2Dt{9)JIJxodsQ8lM!VzICiu9DN=JS)ASXGg<quh|i^^+&_)v43ZC9NXMV}9n>Nn z|0ps3mk*~fv*g^O_UHHh^l{62@6%YdP-=>#*S7xY*Qze1tP$E1I{BdR*`?1KEvL%w z7UjQS5!JXRo9pzS(~g^uFP)KkcGXMvjL1suZ@mwWi24_Q`)2Zc_S}cFH}}01F<X?q z@XwiFoY!-^qW_+{y{T}&=GQ|S5!R1I60R_Oe&U(Z{55mJoxdu&Q_S0K{aseb2JpRb zQCBiZ+;Ug1ctYEi`!BYyJhfAAS#xOTYr8G}H|A-tJGE*5{54!p|FK+bSt@?qe&*%l zY7R0!hROv$O!mCEeNuJB#P&H;dM8R=UD4%OZZ1@*p#5&Yu7zh*spam^=9`c3OF#Z{ zwy->Ikwy0>#s@PcMG{Rnf86w@_}p~`Z2|e-8wVHUing4Hb=Le@X_IikTDSb!1g>C9 zCx<CLuU;=Xcl?RjO6N5bZhndX<9VL_w3;P@t4{Fht2sZFW>>GNFn2vO=lS;c`wPrx zSMHy;a22CbX@CF4|7ZUP+!86<Htl-bZN3zp^1t`*%W3IdTUpt8`QCxUljWHjZ$5jn z;*R6(`?^uHlOsG^Y#1+a`AltGaOv+-)>pBTOM*Lh37WAUUU;WW@DJ+~LA|qAx21ZV zyHI18?03m<v2x4PE4CY_RPWYGSj$y%R!&>*<mHUjr@Bm;PhNVHy2wQ#ZFNLm_mf2) zoAai=bJ1hjmKd-vs)s*#SI(=bm%4(I-wy3-_5X3nbFJTpJI7ZR{tUhw_Cs{C-62i+ zr&Al*--VqmxLkW_L2l!*yVgfsf6r(A*2T+IU*#Vbb}C<u)nrHincuD&J(E}P$9!dq zaASBPb;jQ2+O+k%`_AkN$U3Pi+;XIEd2q)M@5e=1YrnG2bYFe<@!Mmaa?{GDv;7V3 ze_1KM_*KK6b!8zYFQY_PCwuRVs8qU=xc_XI&M&TS0X$asn=9u;z5gGjIH52mM~eGT z(##jz`~EF(`7btM>F?9`SJ{O$O!|_2&giOAB}3fYKgzN~Udl|9d<tCJZr*Wwb?Qjd zRm+pcL2T-_)eagejY?s6^8)ky+Ey_aT9lbOo!&F$L#EHoKEtj9X0{%exA;akOI_Dg z=n&ui@5pbN;s*zRpKSNs^SV`X`AUYLK1CYwihdDu`gg4qZ<+ma|1qoYQ^Unn9PVnw zd{H+^{BTro({$-QC)PS{_Yj%M`t9`m1h3RrB5rqAiOuG0{nGLJ`kli{%WZTP{62i? z^6d!Y>fSd8r`*v$8^U|aZhP&lJx(Qm-9FUp<#K0O)*`y`{Qo_6OH{&Mb#SdN2za#O z#2%03b)S2_Ub?x9rDkRu%VnX@4T~N<*|+5XZ)FaZ$+n-q^prh4wQ6(R74E~=R&=p= zoMUj&b4@X|40*)dfA?O)1<eQZuc!a~mUPGEU)SBM_OmWr*Ll<Vp=46^x@;E#-34=b zEtg1&MlcnbZd<f~t5<H7xWK;6l|N>4{})JqerfR`HS5|VJA*QEZyXJjJb#yQ`P#~J zGFP_p?Rr)_bI#Hf#ZILXjTHhP=WH`t*}6P*_YocD@Sv!d*9?NXL$mwr4<4&}!DA`= z;mk)RlY=`i7~8uxT#k|{t>rp(w$l2iK4;_9Ig7eCXGx!8>TT+M5Xs#7(D>soq0Ef; zMo+}vO*!$Z_V~?rt5^<Y*gk(~o;~l)l==y5Z{sK0Z)k97e=aTQ-JitG{wJ-|E7{&$ zp+feRZO!Jd{3$!XY`y)cD)#Y91>q>~<B@7}JQ;uM-09}bS)Y6Khidn?6>)Vly&sh& zn@0yc{q#s@kA$Ds;%~k6dkmCn+9DS(TWTHZwlQZ`Rjg3I+%;zRt|VovOuPGy=ar}4 zJNqi&U(~xD%Qo|H3e+Co&6)ds!4_4ui!FP5D;7NB%;#`r*l~NJMVWf{UjwySM;iQg z_(i`huxndxqFfely-?=Y-0tSv%{$uI`zGv*5cp9pD11V6&fYNhTX|<!`u@1US9@xf z^D@W29X<*>v!2$r6e*=&TocK~yJ|LDt)OUtaP_V&D>ILEzHW&5;bB=b^Sq5!m2}+B zJ4d=dKJ!(#IDc3B{L=k5k4FB=npPX;nD=PiTGwwMx<!qej5Vt6TGd_n`D$L$@iQUk z?K|Y9R)nwOoA<z`+}XkGe1+f=g|jQaGp&2V@$|aV(~aSON+d#K7S$ezZw!2yp}g|j z)K6y~7Kb?+9{jcIwTFdO_JtKe-r_k|q)Y;n->}An2Ohl{D{1_B`=VEks$4#<bCq7Z z@Q5G#$z$nOzf8n?5y!i&*=+F{!t$QsqPbShD?@BPdtN;}bE^m2^M^C#Vl$+tSN^-0 z7VWghS8UI-Jq{geN}cBB^=~rguhYush}cnh=;@-+gTEsi53002FFZW;LC4QOf9E&7 zJby$!U}pR_VWW-JS@9lvf!+u0PQ~nR6Wp#9FTu(;fn|10k8IRbiK587>_-CC7a!kC zWH<S;+-S=N_9H3JR^-NYEsBd)?LAakCv{k9W2^1;-Tg9MWzl{u(pA>so3aHN`rqH( z8o{#gSL|E;6h2Gk$dIE)>v@zoPOVO^GhS*|{g8V}tLnlU#bxupzuchhHgoeSfz2)# z^n2fJ+BV5^*8hDc&x_q&zW>4Dq^_s$l$AV<r&%Qlir?Bj{qWCk9aT+f56-<>sBf}K z;9G;TR_}zP5_60--ln!*KXv8(S(b<>{h7kczR#PzPxJ12uB<cX`ofKl*(<j&Pi8yB zulC^5{+Ek9-+y=>ws84AEq*)u-{<F_ZAsp_;EJ>8@5`Eh57#|7raiT~#dGDvg$+kO zPPx2nsgH1|1rzu6gUgOgtJ*lheqOlU3Z}jL19E?uT(wo$E4#|}4a?V!YwyXiU5uT1 zTSDjQ|0`FMKiz+(%y8?yK}xjel4EQ!hUb<gW?q|c#68Gj!i+<CADIlK3{L((=Kj<+ z^;Gxj5A`+tQsEJI&;PeN9dA^0`Uk_0qfLy(lbGrvuN>ArwCvWsmoMBtan6ucNLU>5 z=HRX4hkTDuXSK>=J-A1ZzhndJO-HL~pO-K@z5R9N4sXxV^`$|oCBmf}?%0M`Y5rN` zyghy4{$hbv8K$gLr_Zj9w>X*TJ$Jz!?roCqFFe}sqg;JS+h(GE-xu4^j~<6^^?ZDM zVB_t)?iZ$3#t$Z(n5c07VDXz{mr{gdWmS^OW@xWAW0+LD@b2ECIpR0cQjfp?*nOt) zaKm2T2);j@Y}rp9hvlXEE7e;~ecU4Fv+3uun>z)yI3Ij?$0Tj}k@3fdYy0*xOEM^B z+^$=0Qf2joZQqaLyVq0AMc$gv?d8}kd+$Kz-Q*LJ4AV;Ye_q16-R6c9%akVVAC*gv zPi<Unw8r7lmFNq<&FwbS*Sni_Z87V$_FBB)M4Qgn3;kC++Fl*YN>bfn5*VoS{aDa% zhL@~MzMPN!%P{%#mVgf`#v2TTmzprx#VzBiJkhk!Qhl}l_12@+XX-Bm-|wDvEdEm7 zl6&I+QyHG!^gZxxe=%dl`o8No7k+Rrw_0-QhC<JkoweIc*cO_u%h9lJ$$4b@wr+RY zGmi8$JqK6$evXwEWtX+T*jzlSzTuXkYNlOQ-m2>dPyFv`>z1Fs;d%PYbvG-&U%mU~ z);_o3%5Js$yH9GkPL*D><c)6gYX%t?mp3;4+>7)@C+hdk^gGp%;pfBP=+A4NwD;^* z$piTUyJoA1{d#s-Eag>V?xW=Rd#j{vFZ^AfG(CE^if{6T*V6+(#JIKWa49m44r9Cf z*RyYqe?nTyFRvcy1-GtE_T-wBWv%lhe%)c|z3<snc>8bNT_TzOKq$S(+J2c@Qe#?F zn*I0d2kh2Mi%Ng=;fcNaA=QZc*Qw7Ea;5n{SKs}6@5)qL{_lsT?-DvVVMU^U@tJ?R z%3NvOwo{+4tUD~YPezuf%e?PuoAQsmNl#@O4!eI?V7n;s$Ff5gVp}x|kL;dtu=SOH zxtk=P=gT5@zuM4Q7Yknfe{S(@R&hs^-J)*GgzYV+XTP*`f1cU0{<g4;*a^0}V+^rh zu52>6=l3h|XWiEJV_63uO^#a{yf1RC>nEWf8#f<H{&UUZ@}k~ZM`U;Zy!k$E^A-s& zufDWeaW(!47RiT7?i`)A??&qx>+H?{Ze*z%SO2?U+r+nfebG{-vr!4^llQfsUtT=r zVVZS#XxYT7y82J=@6K!7;U6;HuTG>v(Tr>Mw%QwuU3V|tt-dXk=aSBzIY!|rx6eJ` zSay;tuFmqeLZAJn<yAYn`OiFGGF__c3om!`oQcwxvQ_suc3WNi_$7JC73q483`^O4 z*&7vaAF(yQwL|N$*xE*}>wjzgjJ6iVHtmyGxo9!pg#*vzm%q3=XYUM^r#;TA*`hn9 z%o6`4?Vq#p?~88zIw_Au!4q!g@y)FKC;UvOq0;BzRp+GXYURf*6jG1hT@t7pSLrbE zu-ljEhQ3UkwV5HNANWgCE?fG%Q8bm7G@etH(C~YO+0W-awhA0`w_7merF^iSC;TQ^ zyYBhZ<%cJ>S!4!ZSr=xjx7_;8$(L;hZ}smzleVa5LWSSOJxQ-bUe0Z^E55z$U5;hF z_1z`wlU4ZD9iGfv)wTVl`y|QU7@hm=XCnBnCmuX4zE`#-_bvx-;Usl?Jw~-W)gzlO zt@-_K+qXOO|DHKBz3hTe-uLY>GQ7`jCDyjs{NyW{lyz^x^c{1(9bV4l^^}U)#C*E1 z>KOC8zdV7qH<e1(P4g_T=2m@w^+sD$_*}C$JR9t%ckS=3b^avlYJN|3Z<CMpp{T2o z(L$f^`hH%1BXaTfXNQibbLhyt*)Mx1?s0ft#F8!HN9W#Mw((TT@10*JKe7ndTNlu$ z_liw3bo=UeMfZF^OfEKfJ?-t3obF2pzWxp<wld5*_P;axY{bEQ1J>-23-4}R2z(xy zvn}T_bG-ZH6z}zhKcd!pEnd!Os8n1m&bi-4chbTM);eA@@+xL|7o4zrm%;L^ecBH9 zoW87`7cKtGOcwt4QA=(;$6-fS%kHD!&5V-f{buB-oq8`nW&5L_UzWB;+b@e^s=Vh~ zXD@O7@x^6HPp8B+?O3J#iDhT^gDaj}OQ*}cf03KiE_SfSMe}R@(}q>cQ@plJEqIdP zePip_Ls<&XXFa&xzCm=oGk5vzMK?ExAKNRwajoP-9bbt9!5lhG-G0?8`|kIz51OHJ z)Vjsp-*-`R?HVZwRjKHAuQqOM=j`;aJb6VaEbPDKL#CexzdkzCpyqPjVMS-`%ZXi! zl*%?8Z@af_t2Dzq1`Y|f3wPgguU=ldA(DS8&o^fM&Jzc<C(jak#=2`CLt^{PJv!BS zAA}b7sY^?{9p=7u?&FI;)4#c1(fYH&Zx>I$+z%bKilXH<-%7)CdhYG;Y+aMxap9-y zovZqy8=rNvuXD6e(KURT-OBklBdn`*hKFj`wD`~zp*<<?_oE}C^7n5xNn8Bh;y|s) zoGq=sO!;ajpGxH{RE`S2e|>xFm*PV=D`wQK|NGm)bMM|~e(BxZ+_`)2e4CK=_M%=? z+^(I4g~t>>O?@9{leyNV&OqApRd$o3fIXM#v3TJ}`x^YGgx+ciD!(IjY%R;qkI5es zQaZ%>d^e=!&%Ec9m*jG-YNhksO^lngEz)0D>^i@C(Z8unj{Fepbd+g7`}>7V-^wj3 z+?|YGsCi%Apf%+HZ(i2qFUJn3E%BIel&!n_0`seb-W6e7v-US?t)4f10vpSkm8DLJ zM=O{9d0Ec)G(DjFN#D^)TW@<$3jQSaF|@4b|BG4wV=ehk9K3HN6A~nR)bEMQ>4f`V z<_9d9fAMJY&0YashY82jYt}fv@nJt+@S2y+i)+bE1G{G@J!KQFvNq}WCrx<sAy&;H zPp;$k^!iK>j<nh<tM8l)wpm^(D|cn$$zvtYS;}6{Q;q$(=-8ayU&D9q%k}JFouS>k zXrsWV4t5@|ezsrroI#UL?k(GY{@;hj_WSWC?F6|v-!eX)ryI;Qy-{3EC#*02#4;)G zpNr}ZwT%1s*rxPNsV!Q_wPWGY#wv%X4yT&C?=63ZPG7ODW`0r+`{x%&=7%&b+IaGM zsrnB0j9K;<*E}(m3ukg+@7uy4d-_q}Wd4(R?^ZgPFMIZ*Y01}mW@&Ri)@k`nfveg# zy*@Z)--cU)^|e20_wvkI^{noelBk4z59@=b@JUa;mR-62xap|Pn`PR^Ph9%+i>F4! zD{{V3sbsO?LfJP?lO$)`{hN1orfK0-{Zw1|S+6GUSbx-FW7wiYN7u$kDZO@*3;3kR z-QZu}FgYgDZ13zC!I#$!&e-0b`}EC`!)6tC1UE11{lfU2eUAAGMOlYUHR^|%f9@?j zEBrw+?Y~mQ-?N4(^M8KsmG0g>hj%u&nnl=Q{`l;gZn>x{7fmYUvvhYKH9vA8#7&Cl z!sO}KTpsN;o2@0hrX%6Vsigr2J&(QF#QoJ-aG`g^(n%YR6>}9$pZi+RWuYniHi6&% z?_GMIr%Y&`dHA_b+QmJB^=#?WuU20=B{?&B@|^v0*>wiYV)FwInLY_KT&9%4;<5bU z%pVtZ&YwDeUaE#KwEX2MzSe2=7mZea`?R;O+uBRZ)&7dmEG7XbiCubed6{X#`5d1n zKI?oxKk%|qaD}|hiTc_SkqgO<k0*HEzTIx>I_<<`p1HA}y2{HU)2C`?&Aq(f_sVxh zt9Gq_B*AbmIJe8}w#AW`-TWqBLXO2&q^EbiRywM2OwQfx{e*9<`N!-7x6D71`gB@} z`@)K5t&pg{(q(pbX<KXlZOUL>AvJx$zU;$mIlH+SU){^d;M5G0bpGmF^Z8NfbL)+g z*Im~9scb7|+fv|r@L0gMHSIE@X%=Oot7g7kfBg%SrBL&Y9XEVBrg7Pwchvm!@ae<d zmUdqfJ<OMXdX$)yXYlP^@zJf1IhHxq=+9)g*}roAI}e%1t}0J0QrGnasT^CP@Me41 z>?2|yJRVHdkZIQ0E*I4{|F~ySaYE60n`fOKyEe9#zAxL8d1&pT#E^=I%$*^I4IOP= zl@0RJ%`OW9j4rHOkQmIpSnTcBD(`1HtSh$gU3k&(STKF<*{9(K$I|(-cNzXNy!UIK z6C>M?nNEDHHj7W}IJa(V?;@R!89|zwoOu(~oP4I9EljhTRy1Mf{_Q_m3cmjTzBQiN z<i$~?(|L>DF#T$I#8zVz;lsXPYp%QD`8@M$?Nip9<awPry7>J(LFerX=l89TlQ(zL zX3MH|*2$F)P@c4<=jr3CIeZKYRV1Tk9oI4FntdlVW?{VA3B8riL)+rluJBTcpLu@6 z_3kR=fQY&;6Pf2U6}0KCx}j<2_hrM%BXwL8Z|QtG9G&etF-mEF=Z`O8OAlyo`{lfP zpU}Lt71qy=ZJs2<euj5m=kX1ds{7v+e7maRB6ObZQQobk%YC9emX%EN@91E7z<es% zW$J%Ud+xa>3gew;oWAy_LHCSatwo{ZXTejyJI;QycWt@ZDfjQFv|_h}Wr50pua7nN zygC)@H__BABl@zy^o;zER-poqd(@ZY?~$HiUu&JE8D{@L+OxusNhnJB`}YMu>P0QC zD@T9*c~oa!T=}-W0XY-cn2yVzXY5M<zennY`iGL`0rE;WcQmLQ&iS0-XfLzu?d(<e zzyELkcX@?)?xLTLnNn=2x9uJJ+&W(GNvRY6@%nMP|Aq8x&%EzVR1C2dmP<P4bLDu} zfu#$tpZK=w?ZTIC6;emmy56p6I^?9lC|v2;aW~0_+r{mI!n~~(xwogAo_n=qr|<U& z!F$(zS-JL4h%1e<W1QA_jGZes=!ed3)yu8XA<s6Ra8(xg`|h~jOQF>*JsY-l?(02O zVbP}@xhzjH>Q>7{k?@zA?jlzh*Y4m8-MRAN_Hd)SO*0<NcpW~|O0eH2o~3Ap=#R2G zvz02EHTR_i!?(QJ`i{r*#Sx97j;dYv?P7)d*-oAQ`A$5PPwKU%(s8R?rqg`gvfZW! zzmzXKQ**YxK|A_o{qEQGf`6SCZ2flQWK4POh8@d~--$1)6MvPI$(S{%%jjR#ub_9m zO<Nc}Z<V~vT>9iIzYs?(m#Yx_xldOrX9Q|>O?qY;tKs-$zI3ni$<zNFY(6p^IkRcs zipe~#1zHimQhaJpUf0m|*~YqjV)L??&HJ0%AGh9=dt{{edc}gShzbAMZaHq7zRtAp zM%u@#2P^k~PJjMgbiQpElda!`$9~pxk583Yy>Fw_dx^OVS~l*#9;Yhv<Xg__#{x@= z{1zTP{&~yYrGn4rId0QrS#ZAP&Qir^>U=iZjSAi-0^2uUv1L5Tu$9SgBG>D`9_?E` zyg0t?>-Ln^<iH2j0T#|GCZFEf1WnvE<#=?bPE+zvx%$E%55s2Kw7NCV|Es#*@%q6f z`xojqudz+ong5h!r-o4R3;(OE%vHVSWh|b#-+R(;e0cCmdCTYBrgc3QGLx39vp9cY zNleN55XCER+NY&(viYBQ?0qVugQt3G)TGRwRbtziinGgS3ltp+srb4e>4um3{EeC) z3}>FYapk_7Q^`}O?Tf?9IMNq6e3+pfTlw&(_>B6}`*kzhyArc6Y)iirH2t34Ui*!+ z;{whzFnYe+lfCYGtN=&)U%difRyKo5cQvEX4YyWJxTU@9fPY#+*Zu2@Z!zvGb&%%m zZ|a)Wa5B70DEafpX{;gf+rMmGw!W@6W6vJjHBHy2Pt%(e&b#-x`HzOj##{==zAR3u zJ@Gi}V{yd9Y~@dXm+>FE>!%cVbyHRShN#|cpV~VnxK#0eD~wsf%jHs1$;0w!$se8P zC6g?!ylcvS`M9@Ib^gk~nbR$rJGm09B~31BZ(GW+CShJu#Hv;4TEd~vSyMc88m`>2 zGyi|qMdy>Q!knD54R5?BPW|S%cm^x~Ddm|}34sbhPub*r*XdhJGz2CuSYWj4dv+>& z_R=M8oo4sMME2dxzc*j(nn8lOcf!{7{abl-r9SVBpKR*;o$<4YM5a!?fPeIt1}BU8 z5w}(qOjvkTz5DG7wTW@kvjYoP9&XUM&BDU%@N@V7Jq<mpCEji7_xcxDzy5Xo?<FD{ zZ*n+wzGXB`5V&f$AauLo+PB_9v+qcBoNRCVbBi%+mj;ht*A13k_uUL)__&W{teeZ$ zVq<k`yJRA7SKP(pJ<m#J_zDJz9ZFOWQ!DoP=zddQ;<w0NWp4}dTUU;*k)Is(U16D@ z_l$&n%eSA8E-{@vS149;X(Ge=-4pVrIju+*J;k>mzN__5F~7XXW~m>t`c*{}o-6RZ z>yl>FnC&b3<C59&&~q<l?)$bvJ#XR0C;wL|eC4)N=07&KP*Ce2>$^+$%YM5FZDxFM zQS0C#BVixWh07M57rarqq%`fr(`{i}eQW;iRo(8`Cihn>;H$2|qR#e>^^Xrc?)!A^ znVi6iGA0fwzJ^Xof3}pV(f^{DdCMg48wehGn!X_|DlX_lYn95(DZzbV1*i8<&NJ)l z`}S*>(xnRrP6wRaVNpAkt?rZT<CWWz+G6__H02~scB-0bv+7vcynSs6-&&3?6PdFz zqmGre@4e2O2U<pE1r=wm=Ce(5C{1EfDUqJxX>efmOVdZDx(N>h&E;-PxDfa#_lVB+ z*5wcGNM)8ieIS3%%O&Sk^*jDHmg{|uzo(XUOY4gJY`Gr(@1q${UNmEppjg+L+H*CB z9ty2mer?tqtA2r1cV+~OyRMv~by3kpiz~Nl(&Dc%SFRNFJU{0aw#xqV(}w?Ya!Vtw zsi^*2>LB*tLyB`<;9-%dS>CSGH>JtD$86dzVpFzduE)nT?%ls8SIo2VeIt9wyxwKM zC-a%u)B@kqfN2}cVs_O1>Gc+yaG}gQDlj$HX#2MwL(!P^OJ-HhSyEY$_VUjym2~zO zznn@{0>5p%vH$)bZ!^OkQCinl)i|%3Ut4mm_jU7%MH4ksX0hf6N<L(dda)q#o{Wb8 z$1dmF+w!8HKEK1F(qXQ`^{YhjTyDgt-0)q+^->3JEa+cxQ^s$Ln6^%oQ;DtS(}j-$ zUq794baKe@(5oI-UthXxxAJu7)!F$<FU-#0zIZOrqC#-brnftc<Mr()UTB>4<B@@~ z=Ylg=oo3Hov%lfL@;l+{!I^W7LS47sH9pnu(KS`!QcsVyw9@ZW2D3LBFIoNF?p@-v zxv$Ml7<V(*JuohxrnGU{lDX?b<}F&1^K7Z`w?e`Auq$;z_rk;WSxQ`Ax;P+x(-jXz zE5#>U6aGCi+VRNabj3VF`Nd0QCb`7v?G`qCRo8AZy>so}&<Dvc!_Sx33NVx}h>|Q~ z$X8vqy{@3*i}F4G1|iK4E*sA!WOY6<Ghw;>ilP3%w<k;*(Ki+dXI)uWzhQCVfA_w3 zOK*$qS{-Df_er&z|JSTDd)U5aJeqNcSweZPsm%{t-W!|UBUd>y7)00pR=JfOGW(@O z-ddI?_XH;Ldwqxx+4<U_E3{3qT>0m82cgpQ4>{(`FYh>7`|W{FoN<Lp-6HPFhL`5I z#MJ#=+MCqnUv5ZwJexu6eCpq4XQp&0$V=FI6`q&A?HVPud1u;&Q!hl0+}9Lb9jVSY z-TPyGdo1rCu7yWq<Yr$mR#j5djEG&gq+e$RyZ*BL{VG3p>7F^{VV?0*Du7et@B)cn z$AzVm=kK5W@se1T&~}l$DSlN2HC7SJ_IjS+*RlV_cmLi-7U?_F8+PbKF5CX<Yvtix z6I_yt`FFbRIJ}~A=HI!us}BFL`mjkpe&4f+?LHFrGi~NgNt(EB{@m1_|Mj)Ezdx5c z`<CHcYwKTSuc?|EK8t+R;y!#<^~&Mt`dsv62FL!j92WiI=Zhp}-qS2sn%yV6@cmyU z&F_VN84f;5zoo84{41Q?`ORa(Yqm=@TRXyjC*3ZuJrY#4yF|FHF!+`Bl@%ZR9zJkk zX*#0vyj=RzbLrRVLK<FAZ!NzkKUd<lOp=3UQrH5)1?t%+_Gm8fJ8a4=e=JbQZ`-?P zhYbBbH(#{*zVvO}8V!S_W7mAYgw9;C<HdqT+qKh9D#;|a{}s~AxVNIEAi-_(I!SqL z{o);!8;+h_ZzIseGUuHBvloZmKb^3ARup2ePHa_qtasV+D(SvmUuK=Uusw)x@&*1Z z3;$ICmz-IiiY4}%s!Q$O*HyILi8J>zdwkSMuZw+|TmPQl9V)g$IaDDud8U;2@h!#e zre`!u=Dlw?EA;i%&g8fD#~!Gy<4t~ipP{ei!{=|i>*hId8(rG)_@JiT$rncVCcink zXXAwr&zt^g^l{dito&N>HD}45+cwuXw;XU+oS0aCFG!(n8te9R(I*UJBO)(;du<au zSB+hYk598s|7pN!iI7#Fg`>TA@)=+KY^`nDp!8*afltwnnyn2-`ff|K=js2LXRGTZ zvfsgV!G_NGccl+yR3>Vt9{4WlQ+v34f2&~b;&o}F934h2&$TX3c%>|!;(t-mre3G! zy>siFKfcbY7gGY&UTMefYmeuC5W3~v47(FtTdjrW&NWVJzqLqu_czbDB`Pv{v878` zuAFH-9$<W4c8%qnv&(v>Mz6kK#<Ao5#t#P9<gJs9|6g)RcrTjLdSz#}gQQ>T$uEE2 zm?|4c@MYxRJQ;RxeQ<zbGw*uM^(<TS9||zG1zbCM;Tj*`Kjz|Br5$P>u1@MbZ!+^2 z@~*m5xAN<+X^UO$pWi7DJsn)TWY6wvTbu81xXN{{D7CZbN^;?w%wR+2-x|*^C(M-S zJja!?&t;S4tCi<p>|5xPDKJZwU23VqwD28M-+$xe;%1%hn-_iI!0}6$f~G{2n!mLu zSg4Vf_3z>N4QqJc^KCh>*kjR5wi#|A>>t?Ps5XU%Kl)Q{`)B5H=KIO(87yXMW?3um zuJt}}GgoujRh|_8FLMtF2%L{>{XXZcaLTnOGq-D<H<sVRnPIhwr<<YcDud|n+k%F@ zwgEix8xqdA-JIFzaD!EETg(%wrjJkGJXkONc+JilxjciDizg+YvFj8!kuqhjy5ikB z_w4f98e7C!dCZJ@RcG{{l#t}IDc*CkWV&b1g3bHPbJt3w{PmXp_8}_j-*<WKO%_TC zHLr9lfA{3BKk$9CTJXCA_mrpnKGi0bw%;u_v3;%L6QSy3mu_&b{i;9h#zF~!_T!Ix z+}sa%O_AI+(}q=K^=*coY`Q+bBVyZUD~hHsxs;-Bdepm;OEp*b(ezj6{{Icmo$Qyw zR6W&TZqi5V-7lWAdA<uNmp`m^*!Mu%k?C(!44zu=4|_O8C$sF0SS?#c{Mz&>S{83F zPnj=nvVP0o*DVH)&o;9kn)HQjSqtav?6BWj7GW=JIU>8beCG<Dk+8qN=ecsr!W7-@ z&9~Qu^`Dgx3$Lsz*3c;O+*7X3;J@#%7`N=4Gdl6>8m<H$o0r<1x=61~_LY(TwLOjo z?Q+-upZNAS^Y-&fohOHWC!UTD{qM?k_TW6XW&3;^&7FD=-Eq6+efz|7ll;$rile2C zGcEr}Zo1TV((c2Dx=fGhRR*&IxAZKwI~b^3cj}v^=wsKj=T50Je_~}i70tQm-{lnk zvJ5^pX1`e(OBSnN@D5R9%RKhxMk#ObhUX{eKD1xYe)Vt11?`)Rom2ZtRJ2~4RMxn1 zq33z_j@NfC`ssd9?Y%!oz)b(b-9o`4u8k)JP8VG$OE)+nq|hE>!SdZ{f5etkw_a5( z^G|<e$jP>3-p$*0*3~g4B`wmJr2euwY5)E|yYy=;8758>*x<jXG31uC@QkYyW>oW> zzbU16TKVmdHB(d1u{^as_k*XhroLKs_nF^6H?Y=pthsk@=R<)Y^PJhWEE8D0(+jU% z+Inf#jYVfAb*@(Yz40SZ{Pz>)U31Jo&hYG$YnmFQr{!ws#5Q4z^K<zdH@Oc^y#MyZ zGszhL+x!&?fzG_A1v=k*T#fj`X}ag-h6-M5z7~P4b)v`Oll|AIUOCxmc9UhpL7{@@ z<vF{{=C3-i-{*XCozI~gS^}Te=@fRd?0VrinfKg-)}wWqr&hYy?)xmh_V|&umWrC* zTi(WJkH>b^@|_G7y&28dlI4?GaZ*~jQRv&r_)Rgh{%I<|5BVDAlB}8(b(VeUqfZU3 zt#MC^Iz2Tdo*loSd9;DgQPXTq!put_%?cCl<f-p>OjnnGo-#==^-G1IRI@~qr2F!> zZF24}-`Q{`@4v~mSR~Elj@16yLC^aRzYRNkP{?AP>#Di4*Pc-DesV4)*=TD|o}_wN zM7n)vtU<X!(O*gX16vO(>^R$W)_1n|{A;RS+fJFei_LsHxrcd{Q<B$=>?HHfi#_s6 zm9A19e%T9R<)*yUw%Wq3EjLMuWAcK8@*9Us4IFzU3LP9>_l3@97M`*;^L>b3>DyYS z6JDIZbZbuM>o70-QaNem`UQX2_FC=GX}kRBe*4d*jZ17@SudS<#qpQjE$n=!^2V<} z)4y-B&Zy)x(LKEJcH7T2JiVuS?tNDl|2_9g2FGH_t18(~C$*gNvN^+X_NBEIubIDw zb*|02i=W&z%v(0h-u=&NMn?OoIqNL$Zg@O@o3>HOF*fJNNvk)_I^eW+Q@HiH6%Ux_ zE$CHHe)FJa*9Jc)yB0ysc~6U&@9IwT^J=ygnVYQlY4cYGsnB0M=@YYFTZKjDKV0n- zYPRTVla^tX@AJ!Rk9W^^;&=FPQFw**<J;vO{?^;?q%+IS;eW};9oc%}PQ_*gE(7kv zA7qyON~_&*Q?kBfmU!sqAg!sb^X}hxQztNYp^o~7_1bTXoi{Ix;qn%Y7tsIyV9B*Z zXU}K6ntx|X^ox6M{x8`Px-Rq3EM~0(EOLDYd(!qjNHb|Tu$F@@?e>PRNwSkG7VhXV zvwST5@7$H8dRG@-J2lHQdi(4BX$sr=cS%kBYQFl&Ip*q8!Mgj?DqE{Rsi{U?t(9J2 zU)Uzdyx>o3wWa2HnYkhC-<K|!zGT&<UacD&w^x0xH`F!Y;k;(iW*@A(@y?$Y0%d8T z$6AlJMI}b`s;n~q-y*I#uf^-r8x6NJU8y=xzcpTxt?HZZ>lrA$Y5#nU#Mw6NhgYB4 zZ(()S{n^*$KhEZQ_eegwD6XFT;m)d<uH^!S>$GMSXr0X|-*qQtjehIlJO3BCe{fx- z_wL5k)mygytbFFL85wMJc>|O6u^C&fKRunCcZJO{&wAp`pq0y>uH2uy%2PPHoZIp{ zyVxGtwu>=`{=HXgK0NhV!m*Rg68ardmp0@?%4?sw{@zUTKU1i;gZYm$TOR)H7Jk69 zC~)at{S`+_Zd};kUv~R=MBDO}t%8qtwKFpE-iX#Z7~$@;c<qieE5qGNKYl;(T+FU0 z&N%Y%LcJiTc#YeZiWjdnOfx;oS?2Sq-(nA+L5P#$L$=JG4N@&XZgKyun55;Qwa3o? z05|7HBk4meqMGNgxyc;p$=&nlN%-zx0@J2x*sV|USovh{|L61MI9+1JyqCMr5bry+ z`@Q97?f<9V?tLD9e21XYw0&<6UE%cNn!mYM!Oi*X7a5<1_n9es1ZOEbos7Q{JOA9X zgAe%L?(>X!XIeSkOzcbbYO89m4^#Gc=X_fh`f1Cb4za`2#f8+^7acgTEAQT<2_DK? z$2Fct<@oTMpFCAiGSytsK3h9?U)P)IqDCs$C&rkyR*Ggm>~Vg2C-8owoxP%4y>8~0 zwqNJm8zx-tnta(Suc2;}rgYvb**l!SOrm~Tbmj)^JHfK0@7D#LCA;gCt}_1SoDh>) zwtRMIzpWcj_}U{eB3!;{_s&T_FP(XE<GYEm^^245`SY{-CSK9M`r}$?kC;i_r*~4z zn##{!ep1%nHYcnzg-JfkUU-h+>BCa*+^#>k`)~R0Esiq(_7#V^Z+o+GTAWHxVKmRt zwY}o@Z>B^(xb);%pu!^Krnx>2ZaFXQmap=wII-)J;et8mCOTX+uQXr{XD(n(>N;^r zd+F@YQN=U!8X2cLoxj~3w(%-+R+#Cpg?Y+uHH**o+-<ery28Z&U*@Ajh6z%>qMN5I zyxkZso7AFmciU<9xUTd2_>VBBC7+t|T_fge?VHHreS5+f`nrGL@yc5%BXBBPRbWQl z={ugj+m`(bytqxk{z_F|;XHlyrms4gk<--Y{kf_l%s!d>aq}V0Zwvm{7`ty;{MYJO z$k)b7rL}Qd=e<-yLuUTV-}>}(lljKA`VE#5HVb{;rLVA--?l12ATTtE`%U(5w|YmG z&u3fX&dvW-`S)Ky=;v>%5B6DnPM*)((w$ZR$Mv%OKDpk;kjw9;E@T$G->jPFu-Y~8 z?QdbFxRR}X--<Z{#jWmz-z+^RzRiu}?z$;LhRq*^OBw#Vd$O7yJgWV6_vvL))!QTt z_sqG%HtE75u6JKgd@q&z@#b&tyU(Ik!At(7_3Zd$Iq%C63vZd@FOIl9l02i<&yzjv z_@i|J-c=e2+7miIwu|Se?-lg_5xjNV;(rN}<}MwlGS?Swm5=@Y(v9<-)eZ3#0UK6- zia7Ro9|za2%FT@%Se!rQe*L`k*$U(Q?f;CNS(0lnEuLeN_OY<+ll}VqEp0Q;9yz9Z zXUeDK*E<4SMYSh9Tjp@i{Y~V)Lr;G$F?sXJkm=CdB8Qu8LZJ&a?!INX^Ym^?hLW$U zYVJag=o2FAU(Y`DELYoC?Hc=BowEE{u0Bm2d!mjz*?+k{KmAPN%L!}$`6_4~Kl@_2 zzTmI->a~u`towdel=|%1&&9-Ay!A$3!K5w82H$=LZc5CSeblR|`sT4kvHpp<KmKoB z^7u}<aj8Ys!<2rtxBtaE_-}3eR=sfl)!EyMP8Vc&R=)ZrvYKb=I(hc89n%yxAK*wA zESoNM#O2+*)vg;i=x*+FQ<=ysA#KtBe5Ip}+MDOcc;2io-r_j(=-Opx*t<l|D}*d} zE$O~-y!g&J2aOr7&+aRndHVNK)J}_A`#PS;emY$$aNvXd=HFlKuky7%sd1Av6?mrI zF{#-6`zqPw-IG4Q-0flhbMO7jmmhrIc<^pa_tt%fU)eXL`M+kU=}~LW%l_tf#nn6G z%(nMh<@bLdS)F<!xkzf!k?*xzcfa+BirSTQz;*i*LxI;`%^PP{%t$}|zcS#c*1=bq zv9I@ravXfYr|<Ak^4XeaA?uIv9yjYS3AnVS>XLC-waLxeRZlz4RQ+7_*eLzcHrK~O z0oI$3-(7w#vpBOxsl@G(?=t;NHj5PfAFp)<`#eqh9*1-Mt*HAKkP>(JTJG<kJ9Z1Q zylrokR;`@Ybac||pbg%hiD{*)54s-Gd|k0Lb$ZOQ-<OVrI>cWsU7;krM>BiTYQ5@n zLB;*5cZ#_pS{p99zRs=8;$l3vSmFqWSHz|hW=Yq2jYLJBwXXiI;%WKy+-l)_vd<)I z6L`<g;+$d|@-6w_-9-+pS=Aa3Ufg%GSla%SQJw9^Pr27lI~E^#xku;vl)vk}16*&; z{;B#ad&2^yWdZR=Ju|}2E}C0;Hv6^fvA@q-<z2Ks2`_02i=NN3z;o%97a`MC_itNi z$aZ#KpTc6x*BMTw_Wu8V2i4Ag`Rr7Z+iNQ?TM3(*hu7Gr=sn%9>s|B0GFMxy+5GCu znC^WpC%CpOR@}znFP9*~!`anyXO5-8nz$ztagByD*Jd}Hhb^5u-=FW{gTlb714_yH zwVzTA41U>i8!VA9nX$S+UUC`RkDXtFH$=VLm;XenL03CQNt|n*z#@%ej&sc${G2qr z7MA?YT=4OKhm?i(OZOKxH(rK+*^%(Nd+NmNxmV`52(6eti(m8>U+tmm);H_e7PUQl zyT(qh>%^8Ly}Ofp91dGNC_JP4>!2>fxqMYdtIrp2{y5Cqa&S-cu`{3UaBCaBad{Fm zX%1`Hjg>EopWWsUSS4ngdA%(9LHEb@yq7i{e7uf#ZtMTLU_O8O3Bz`qO0Qi%xMj6= zzh_-rWV3p0&~uXuA=4}$RXqOq_k6}>%VV#1W&5X{<4F)!=(eg(m~=vFl^I{h@rjpz z<$OP0<keuszT&(|<qQd<h4Wt&ym8p(QrFC4@-n{iTffqRkJ^&Q+W2LrS}9+A7#)6~ zx;Ru|g|G5at_KU!E%<-5E!SVoTbI0~bbTPt<%2bm%*MMsrzV>i<S?u|-*fqDmStIX z?;i6QRXhKr&S^`ZY~|Ve<L>r?T^pp29pfu5=G!_wx~BA`S@5>rh09HK+h#}dsBpYq zHe+v{E$grEY;PY2a-TZ9KHpj-!ccOtpx5*Z0<Kk0uLkEm%SkYP{&tV;52xro-zIL` z`+rqr(qyx*@%w+pC@R%_oyYl))!m8V^&Gh!i_^p>J=syLef>?tt@o>PPiXypbue>z zUc~PCuh&d3^1EEfkZ~kAQoC67@1<|6T>alM<o>jKb7$t9rBfc%3x`IAOn-7XC1*;+ zsz`6Y%tWc6B9&x@zPEKt77P4S-XHe+;_`r#p%U3Db3ZJbUbx@Rec?Lhig!`XS5I&| zr*^T~IvkLaca?c$dGdaW!g;|fx6jz`?S8b+aY6S#trwaz?q6eJj9hwmgUM`}u=~;s zr<P}HT~n-=pX6~Ti)rU=Q<LPh%X=z~;}0C~%Io_%%V_0Ok>}N$lH_gYPYm5xx+rk6 zr44`U)|4AHixfhh9AT<#VLKum7p}Kv=lv|_=#E?GpF8a~E>By~;OSDu`kRA&?bCdw zQ@0dDf)&f6tqc49BqhIo@}xjg@?_YC&3&)7OqqW4D(^(Lc~TQUNIogG(>$QPE%waQ zi2-$HI+}8izeHcQ*0^*1ot=-O{hk|cm(w38)_1%M%{}+Y()#|3b`wUSpeOB4rTR<V zS0BG}{z3|q@3utMgU9>CUoN~pXYP(kRx<6a7Fy0cckWMoJ9plSj+fgwCMP`Xn7il3 z#O%PV*2k9?e!BB>!4${8dJSw$pQddKtY+YE34Lymo8KGrdRIB8!}V0_L!H@@SNgb_ zw`aZ#SpPlqOX_}&;L6!ww{iA;*R9WMUA3h?^MLurw{54MeUW--|3OXuiS{-5D=b?J z-tX4=E`O$0SFYmoDve8vH!G%mF+I6aYiiVIGo!5@lR|@-A8Xxi@~lqVI_J)cq%wCU zoigTAd7Yw{UOZ-g-n@0)-nqutw&g_eZIV1Yx#iQ6E0&9k7T0p?{93!LrQ!bh2b?mG zKGjYCCi+T8O65hJ>$|43X3p1BUCQF$KfQUTX-CF?o1@14K}XvczV-QM|GM0ME8DSu z^F%&z&VF37uEgR?%%K>IIn`=+g5nfH-S65O&pn#g5p+1vK%_dYb%CUpz2(<g_oiAj z?Gk<Q?D)JR$(=uH`76&a^<@0PrEYvv*4RsEUiZ2W{*ng|Y%H3b6IJK?L3zQ-kO@gz z<+c}c<Ry1-bw@RqUordWYui`+&X9Sw*vc)er?(_AP2ZT<XPy7&YNdZLhsC^e3K{<< zOA9>P#r$_CNBX;)x2_%MQGTGvAUTtL+KvBSK`H9yylYo8Fs1%t*6iw!FSlAXgYjSK z4zqZF1E(0tey6>&Do&WXM{2jW`A&Bb{cl*h*6iIaZre<C|07Iy_gb*4NMGJl8^vRF zrQ7bT)Z)EAAD)?F{p6v`uCxvFu6G?M?{iqBzjF%gd>P0!gYgIVZ&B1|U;tqb*foR2 zC5i9@W<b{rCfMcetzbMQ+&wcw!Ee{(lF-o5vNSNkTJ!tu_WO0eziq!?_xCIF`<hSZ z)aTcHI;lRtrsP4(E<sD{{SVKXdcKNZ^||cx`CUi69!xHt6Z!j3>WZVq7j_3KuN9nh z;+{(GC8j#A*&N3?edjA&o)jc>NxdQ^#Kq(bC)eGC3%pEsADrj?btmDx@A*4hdS*{5 zylj}Kb<Fqh4owO3zmJy1-PzJTyQuK8Zr-Y+zVQW@b@IB7`mW!xQq+9yqh%p?6ePck z%Q*L(*Oxf9JVv1Byp-slN5VFb*kTKs-R>j^ntL4K<tuD<x|1Ma&T)kIUqQ3oodq+c zb&8u)@(RxIcI`Z9V9xQ3ZU3DG)1}`OHOJ%?oaW8ic~Hl^<0;$vI}4^tuPJH{$tyU; z>$UTshB?Pmw)uA++~l2gcfn-ooFaRkH>X#>{u~o+yJeGIf9d~M`>y_7^*iMI@^{{M z`*-n|{(rge^512@eZEhBr+wFdSAWU>7yB;$UG&@Kd;2@?yZpQOOa4FKcmD4@X`ABa z)H{nLCdk_zvMUS`d=va<m+SiIH@jTdMc>(VRBro<((cIZ9i`pj+cQeLL$`aBb_Z|Q zDD4j1&QaR!@8|2|J%3Tqqz)CiPPh3<6FpS;I-Qa(Eay=9*I}1*e&+cNJ=Q9Z`Ht^! zHhgE$W6koIZ~qQw{dXI>t)D#N+rGnD_uU89@)<{ElM36z?<^E8k2oqDWY{Va=<u;c z*w<j=k@OWVLLM>?o6cY8Q0kCy>Ns!EVa@W0uYQNK{JRThWT)+PHY&Gx#&`5i;tXq+ z;`aD=7f#ET?R3^F-|>`h>z%}D)<=qtdWmYye<-3ExWMKo&-pWROcFmuHcIIQPGUJZ z@%)7ovSK@(mC7T^+MVA8oRDSP>AcBWX1DW3YnGyR`*#<P%eLKBydhh6cj0x}vb&1c z!}!;(IWO?~)T*vm#&afhHC6rMnLBI7v?+Fnm;9DilC7<CUhKa!@uGU4lu&J(bGb%I zyJI;=NxOadi{f_M@8|F6Kem(netd`ivA+iInhXDT|Bk!!{*j${bjHUf9lQRcqG2J9 zN1rdBpv0vy@5dfc2($>z_kUz3^u2$_`=;M+cl00G34U+ip?~DB!aL@||Lwo+?wA+v zKT~V;{rDa6Vtdd99lL6z7R);>rW?7T=3m!Tt&n9kai{)jd|!B{zUcp(eK%{>zt6st zUQ~awR`vVjJMKmQPt+=Z@4lm6wExY&3BRiq9qsn3|DN)D<N5Bp>_z{N*UFc3Pq)yE z+>vtNDc5xF9U%{mTD?W|{CAi<3}T$F8Z)V&^6{l(PtPqqmwHb1+|#qEOnQO^l?~p^ zG1qo1DYQJa^j%QPX#qX%0?!6-0X_R2G6$BPUCN+myW`D+NzJEu^@^vPPOr9nd}+1R zA8*D9oRfMEa0^r%WL(QNr;<UOM<Uok$Rb*qBT@KR)Jj(yo}a0Qo4$wEn+Hu`THk*C za<lt0&4-1R&T9^|-sMuc!LuNG(naps;?iGEd~J!*xyhqy^578f$JRvcO&t0>vkj#E zy)tKO?Qdq4;wX??(BQ;gW^s_+PJo$D^Uj?m1&vb7EE$XPq886Qo722tP8jbszOxdG zk_$ra&pe$nvs|s2A>xD0`N=_EFFntHwpl-GgOmUHUf=$8w<<i&+zWZHlCW@^f>fgO zezE3xQd+TE2b0?+r4o`G1dA>-Huo}?omj{;TO_AUg0WxHCt-4vWWj}-3mn>|Q#Ken z@LAnhlCW9XozJ>tfg^jrbj1Nh7Q+`G8uD4AIJZd8>PvHuUSIXT5q!;zU*Urj4M+9f zi6}HZoOkZvI+msH`&t&gf7izG<?c<5$Ge4t3i-;cC2T(4eW~&BZeqfb@^obm-VJ-2 z@1C1-{Y^>Hq0QId6crs(U4Qf8lNPrklLuAoyJyR+x&Ef$(8~9Ij0-Pkm#MaRuD>}^ zKq<QR^Fb4?HrpmJZ&Nw*B#u>@q9Q41s5|Z;E#8Noy~DYPwrmM*P*%2Ss{U_$}F! z?$42yidpghV{Ri8U%|Q7DtU2`TMz5KE7-AN)v|q70hYcNUe_Ofc=15efsg5{`}MaE z?l3gIFexhg_gOIKg~NiT)Cq-!(?1_AZ(n@$de<C{f*C*Z7BqR*l-fx=QhqVRvR8VJ zv_g$#udH;Wol_s*)cysNi@ymL6_^DaRFh|9@8g+qGTZFQjY5^<e6kg90?f)79(;K5 zV5Q>mzFGau2ijz;cjY~3OuS-su1&^z)jCGLem*(rq6-I=+2u+WG_tovUopM$)WEhy z_S{A18+RL=_!FP|oD;W*vSFDsdzshD3Jz;&|2=8j7lXpVZBN>CVHZgQvrTV2E*eHV zJ!zAydhjrjNos?ZXf-dNjHJPY>t&Yl>u)~%QgQa(F)ekj_LrvCMITNyvdb2HIHJJJ z=DB{O;}+-ok`pI>GP!p@E~r>z;m5wlPg9PGPe!spWc}MRlY~cdZ_4^A@|GJPDc&t3 zKkxR#j>>hX&xy)vTBck0&O7|@=E61^^A8^k7<p|BPfR#C>3Km#$p?l9C(I8#ym+wr zbfxnvooaS#>Ez>Y%MSJ3epw*9{q#YrymsT#JMWIQT`shi_B{T!tfTMt%QN#ImszIB zY(M<-(T=-k`^1jF&50<ylc(PGxXj8&X8UQ&M>}NC2^@c$S5jk<m+pL~aQ9rj<8Mng z$ZS77v*-@r^EW&0`ktHgxXi3aX8UcyqC0-<Ckl7ZS^v1q!nW`B-3Jk}+cyiA-pOl! zxnu6Ri-o&o7CtVsh(BMrTblpIgk<*fy}XuX9}XCF70zMWX3;BTx8jkBN5LbLygL?; zOmglBJTfWEn_}5JhkxIkHl_`G+&BZ{npxD=7cM@LeM6$Uch36j2N)G!n-=7G%x&Yl zocTm#E?Xb(|2b`a63ZJmZ$6s&CZtfx%5M%^-#NkM8;y@-KACdzW091FMYx|b`>9M& z8u^)UGE<}BgtLa@8^s9^z6#`J*s@56@+%&1Ufy27{8H)01V{12_MYwqmyf!h*!f85 zfkUBSUO`374hCjD1!jL9qYrlsn)&1`pFDWTVp;ZJW&;nefWtZGpuH-3PJHM2EXzLJ zaBSzbEW1)<5yF4c_`t)1jI3&)l*yaO*ZzvP^60~rj>mb8zXTk#V{T7p=eMkw!N4w? zao~|5GoRIm2Z?Nk?ap6k^)d4K^GT=OSlE2LcuUved<z?Mvw~SN^JHc79xP;&E#N5L z!qs^B!G}2t$N2<5ym_E_oX@K2LLjqmUs$XKAA28<h5Kq<n<I+OXD@1TUtiEBV_lK3 z;N-<uT*seIP(IElU6F7=!F{z>4I8J%9fN~zoYv+gWkp2=d3;R#Qbl(rIJfZ?ZRu)g zE;!6!mht9AiPH&1hI8!d2i_fH<~_i`ZSY}5LYuVDhUPx8iYF78*`<r#I56?^$UVup z`i$kl#>0H`#50PvygFEDQ+C49ouO#Use{FQ84PR@2Od6SYhTV{e&N7pC*QuXVsQtM zpAR~7RK%T7a9{oV=|*Qhs|u+GMzOdDnvA?wcLbQ`i5r}_xQcE5!sg-zX>)cB#^-9s z-PG9`EzCq39=38nIN-o-a3r8Hh9l<L(SCii0_#sp*u7X?^f#zH{K_C*bfM6hv#6-R zg4^`Yg64LCB@MGSOfH_BT-+$8maw=%S~%~;i~y!SU%$RyuCy5qzPy$u2ORr+np`LF zu`^m*np=Khmd%>6kX=@C!A@x_wKq>@G&CnqZZ2+^Gn@IwiJd1I9oS5ydegp4ktkr4 z^tr*ns<NRm!N}*=gt$vtso}g!{eoOW1AW&{sY+_9DvG+fq-4vIDO-eAdYo9{A+%Oz z)uJn&noB42{)v1U{5*5d#;-jgTFuwAgI1bE^?NKW%G|rjEA@I+%G9d#*FRT?FupFm z`qFjn^w5>+TjfHR#$BlLoLUv}S~2uh;o9<$mEzmx1unfe@oS6L*JamUuMBy$N6qid zxhXn{O-pk2D*K%|H_gD&DP^y6TDnJ{NMt|fBxSd2*4dAaywT~Lr0O$a!rF3|GskC0 zO!!*YP}<s9yY~6ksYkb7O}1UDt+ht`eAeDoT2iuWmxuIkxfd+D^jxr4RQ{^CS2im& zR<5*~Icv^5tsi$i=57yh)c1VOxpsMo^UBW+uclb7SI=0^zD4-U#*cN^KCir&x#Uum z*jm*Kw}hjs6SDKO-^Q-BT~NxSt-j&Yv9_;^xv%B_TC-pN_4(|-nQQm2`ucox*@Uh2 zYu;`(yL8Jr`}dmE30tQ`onE%pCF=CTtzROm?XS(de(Q2nb!hha^w`F!f)P76Z>}@R z^sQdA^71afm`hUbTaNu*6TbY)QHEw7!-xd!0R2+o1_o9&g~nb7Tdv(swOqTM{&H!p z;r_}Kk;wSlX=%{)hF_j@nO~`w@~@bGWp9AJSizPDPD}6iUNKy7>HRV9OH(&!UkP}X zcg6oziN_89S4*zkz43nc#9i-qZ#;MG-Idd`8DA8bEx*Tdmr;yyYTW9cb;qO@)U_-P z)Iao!YlC9L29_0%%8eWTF6d&4n9X;snRi<Atg~lt99_%CaDyRXgXD!A+3#WXYmIi@ zy7lU?=)u~(oV#{zx#U0d<(~Mz%g>bDJM}8|$b#ivSzfP<S-y7vGMRGQKX3kBv)yl> zluf?vlc&FP?US-ew>|RYcji7Rn|RwLZ~9%iqUaNE6}F$u>%S|uoA=4L{@ZqW?RVLB z|1G`!dfmcZuY=2Ws!os2+qraFblOhUY0+^zmrjii+o?J=+HdF5Dba2_Ri{MT?R<Ju z_iWzFC)0fMPCl9DowxGIG|#-wC)3>XGM`Ly%@YmrOgYzi<oO(}6_-1juRLF7``xDa zywN+)W46b4*lN5IdHjXD>LROnbWKy#9tCclbw60v?l`EGH=#><&Lg&^I}U2(33N%< zJYt)><DgpJgih(0M{HAf98`H{>B>6M;;&w<rv6^<JE;tMj0H0fs<KW0I_cS`GRwGI zdfC$-rB?j({xB)7ZNa(v`<5wOGac1#K9`xDBz)qJVQtO14V-5CmtBAJYfemY_O}^P z#;=Q$&aTchU9EQY`J7on##v5hm%lWbt#&zPme+jaOr^7nGflef)Gx}+ax%_fsy#RV zrE&GSDIb4MG;EVdZ20)I_MxkIOwPxjnID^UHtcxxdGjJJtz{D)eeP!JQlFFY=yP%s zr|Kk!N1v?^vAT&k9(iuwBH+mI@o+l#*UtxiB#n~o><tqS)O`H(v-II6SMO=(`A>^# zhpwop3tr;V`02@KYvbZeEhnFEckvWbaksNqcI}wL`}0o=i=wpf?>`NHJ_xdX`&nCA zT9{i~XS-$7hIMw?SI)osy>Hq1_rLe?zx@1r-=g#H-M?slm;Uvq<=uPnFF*e_*zLc6 ze)*mA&p*H3x!>;d&r-?x@smGlUQe!x>baeGhwbq<@$Gwe+&!ABmzQ4{-F;hs$K0d2 zI(hMhx4UkK-dXnOo5=R1J7kaMYUJ4$-tN5ZdnfJDH=*rQcg#JKtCA;Qc$;;5?~d3b zxk`Ebh0z_i9q+I``o_P#c1P~BvYEG2^7?n`J}aAXJ0Y)r=i8@c({IP*<?mekv~1e# zh`jinxlhZc-VVw0->Lg_U7+mK%Q4%x=Y8L?w$%Ey*|oQ;Z-;GPofmv}-Y(rz>sMx1 z-Y&oGw|#b=@!hyxYfG$Onq7Lk__o{j?mXeUa=Ua(tY4U2csu{L-S+Cd%4gG3?@a26 z?kn^*%#%E(dvM27@$Fw8O^dxVsXIEa&|5d}XH#@qp|?(6<x$<WJ5oirFL^XA_)bt) zv|FLKM&8dOx^s7=3U5z&G|l@?P-nDSp|?t2<q_T30&nHK$RoO)JDv(`7kM<z@s3bO z^uGdc`Mk_$(^B(1pG`~7(|k59F^}`vwD@;Yp;bSpq;8if@>bl=Q{=rVy6<k#n`sVt zKOaxC%abhg-Vj}P_tNWWvD=^QdU{Q_`cBa6X_4EH?0R}tcWqu|srRaAzq>)NrUh<q z+4c0Y?%ceaCEm-T_3j3}oaVXRW!KY-y1jXkCEkmo`R)e2nC7^hW!Ka5y0v*Xi>uS~ z*7w|2e*Ep&4qL-K{hr&*kH78PVXL3FzWes$N8h&Xu+`0b&$@m3(YJ|()!}#MiEa-+ z`ZlnzI{3~!k?rC~-#Qjn``?)-y#4x-w}yq)-go8+ZJ&O`c5%ZaPW4$o)&!~xy9#`? zY!^`CP^kMc$8LtEbK05bBE|LTGk!h|e)r{*<?cI^Pup(46MWis^Bv*Sw(IX)K4rW5 z&g4_J%kKoAvR!;f_>}GZJD=aoOZ#3@bYAUyO3``M?;%C!Rld6vomc*DQgmMFyGqgd zO|zMPK2+v;Gr10&(l5zHMMi|rzw&BXsQJ=eFR%D6&66q(UNPJ5?v<Cze9cpLy}aZ* zHE&i)@RHebcdxuy=4!6G>*WRC);y__;03$gmfFuZ?x}7nJg@)m<x$(+JJiL$-+44I z>dt4@?`Iy(i@5W-t2(Oiyw*F<qqfU;EEfs(5M&jZ|AkY1Rzgyz|0>9cgnyQcpbL-U z5p`as4*42`&z`66#CxTkc|N`C=M?Y5H9-dEe~W_k&EFOU>zUsz3f470TNJEgzPBh? z+k9<Nu$KAUqF_z)-lAX)^V*`zn`h6ud*!6>>^oCV`cA(Sa?*G59g&m1{dcaM@a?`c z<%I9)JgX<mobsfeEK4z$-M#WgZv&T6<RTT$N&X*B@Jj7GsATT(gza=22dC3F&c3?~ zZtybgJScDeLYe2y>DR(<b~&z-zO(C~ocW5Wt);17Im7NMT;=t<yWk41o1?8I>+6E- zT&Jne+O8#DyOrZNZH8s$CNnk99u3c?lw9`?4o#;eIl0a!8dwyiqi4_PUoUTW=o;I# ztA|&2XXi#ohDAk2Wba<I$@S){oRDoRw|d^3m*ZomaeMOF%v@hH)!P$uPcBRGj*_0V z_JmrB@g~um9oNiKm^XdB(Ujw0XSQwr)}JBo7k6w~SG(3#`E}H_UDvj3Rh-%ta&6JH ztuwZWb+5^ecs=XNu47xo_FhxEwyP~O+g?lba8B>)Ai*=cmSyR7<-T1N^zn3*Omw&1 z>sc$;x`t}`tv#yuT59oH-B7K*n^J*GjZa@QW4N^-y?Fs|gV~Rpyfvpf41?RwTszkA zQi$!$^tF{Yn_5qc=|-PUN<Ag29lFg;)$8pVwWVT9*;2FpU)dU~msa`C&{=uedy}bZ z=oF8>E1JC`ib2wwwr<km;tW#1IU{1O{Wi8GU6<Th_io_w+MvIlt0;Hv>aL*h+piW* zE!}FWvs!D_$`xy`W^IiMo@-jNb?I8etJkMwd73V{6?k@q+m@}1ZUy+R5DQ^m_4i8E zwlMA6F~Lhs6=oZ+Dw%cjj{j0q`E0|JyS8mz=eRaII9u>k)SsyC$k(%$ZOus4HClUg zk?7HoUD|mogG)9gP4zmesy#1s)xj>WS0e3ejxH2Et;Ktw%i)#C>T9N++GU|yUTZ5t zGugDAu4;0v-4U|#$7ZF#rKLybS#904VcnV)X5pr)qO4!9Y_U{drn*!`W${_r(2X4{ z&M7XmYSx<Z`=(65x#dkk(_gQ=>?3JZ+7(#{x+V72mpvsbA50V784~C%rW5{Z&89`7 zTA;eCXxpR7TC+kg6kl56H?ea?%28F%S1v_IJm$s;Zp?923Y7_R^!H%ht04O2#Ic4o z8ey(K54%UqO^7c&wN7;Jj%`&Lsj+K2Lf=P*h6V)rh6Z@GL>aE?3R1VTG`*^s?{cBj zTidA9OLU5rA?M2#ek+B0U+uZr>7p%ml|M9qEA#?4lTf`@MT+vbH7|mXUcR%<ZPhuc zC2J#BUD;;pQrZ@<ZQ1fm7jm2prndQ+oe9dkk>ad1wav$@CP=e2DtHm^^bo0}n-e^i zKAg&XREu|Q&Wq5@h*ak_UWYZM-9n|jZU(HpWN@m@d1~E>U&+$nLbNt&y@-tr4S1Ec zYQ?K5w`Q%>SfRdr+0wO%tG-Xs(wMCELQ(dr#8rV@-$2o&>(W-Ztx#LRw&L0HW$D>9 zXQn6`yYbB6JzVqgQtRnyI?`Dyj|;_du5Af1TN89tQ*?@uYRx9aDO&Sd(-uzN;JG8^ zZ~&+w&?9hjgU3w)MaL6I%w(899d?(PalI`*7Bn2Z!R*YgO{*5o>be!c_3GrxPS4E4 zOI4OAFJ5GoU-Ixmo6FZAai&(=!~hPFe>oP1(|M~Ro0G2jNBvLdO^TGy`n&XN`?rv( zb~*n;Uhe$nHB~OVKIA2DcJ1t_`*W^sU-Gp#qxSbr?ocbU$bOG&$v3NHqWcqHPt4x$ z^n0_j_7=z84!51tYPTIfv#)1{e)5r)o6Rp59rYI1`>h+XD&=5NYJTe}5zWAPZx+s( zX_>ewf0GO6q}oZ!`kTx@ZL*!H=yc+nqg~m?|2LQ!Uvn}4zEz!@TA2IwMt1DnR}uSn zUEQ~;E9krCN~^sqmfKDVo*VRinbk}!jiz^Azn506tPYwh|DtY-`zmuSrCk^5R&+VC z|2kj&&3y6KAIY{-Yjf?dnJq4Td#WjAYLiQLK^AY>+Jmc2Uu<FPzh-LxI^c|zN_2bF z>j{~)+)@2;uMIPDKS$kex@M}${cDBDnoSSFmMTnPU9H7BTZbc5vm?cEnb*Nhtle6y zN5#IZEXioQ(6d0&-kLe1?R<}%vFMi*IuU6;thR=US{nH-7aHTmSb|;kMc*7++7u;r zhbfJ5V?&tO9w${VQLSLHDW8sTPEk+io@MBHspaG()~PazO;0)|vUc+*YD*`{YNQ-g zNVYrXuyGb2%cckY1{?I(ud~W8d2p>M2Hf0RCAMYNqAQId!9fAsZdbi!e}pW*(&$$z z<iKvEdn06jh@-#eN<Wnk96C`KR&=?Dva~xW8mwqi5O|Ty{7dIY@ZQT8R+y$n1*>I8 zZ4+4&)U{<<RJPUDWue!$WctotqdC#^=_bDO)AB;SR!)lw^=j3rT{VR%a#e`t-zcjP z&2{OvTh|nNy?&W$yLe5Z^XtqM+tb%f)nDJ5SgL!%>iXt+Zr&@wsUVW;nD=z9s_1D& zCzD*g#dN&ahb(kjsxz1As1DZ@b?@_YOjZ`341!iVFDELAssuS!Iy1=1ZrY;LF=K*4 ziudul2F9NclQU<C-(3`crMpb`>8piPTSL=%Ww%CEh3c1X>00GysVW+}e9z8{7nd&$ z2%X~R>r>jbV6m6?^jDL$Izk**E_QeO;^y8yO(-<*mB!L3|8&+KjOq@_-gq*KCF;7& z+U1kJnne5Sz5bc<JbbC~3BPq-&->@vYHhvQ8M>U^diAR(3Cly~CQaCSB6aTVpCQYC zB*%V^>UJqJ3f1DeSsAi2W9z!WTb3a&)3*tmv$~q9Zr!0VM>vo3drR>lQ{6sKP0p2m z@7-8r&t(+4TgV9Jt!PquQQ+7u%(Ut=v+TL_Li?{l?XOo}HV$@N@55?qlzixoNHG7h zrg$xly(KFblm|PTZ-4V-(b97|qDO)pE01zbog4aUuV&DqD=!@_Z~t7<l_pve<hXLS z?<)~U^XYF=g4Qo-GUA-XRpqXwk)QP<ZQ@+FRT`Z<HzVEHR5)(l@H3SEoc(WxUD^#l z1O0V#V>cf^r@NNRYt7uq@KEcut29<FDf0`x*j;stGt_lCSCzT^3$@GVmT8?@%2nm% zDQa`8GsJZ<*D2|hvt32M<Z&#R?QGroY)<jNH-C<OGY)#mb8EfFQjr_|9&?S}goiC( zzC}KA>HV8^c3OWIe0#m>OZP4P)tCIU>{og1U+{O5*53`^oL7B$eCz#+OZqwXi@o+M z|K<$+<@@$~?R^lM3Sr1k{JZb{?|n+YYwxG0?0^3o#CflL8^mDV1Xj2eL|#t@$(_#v zk?()+JD&kz^nC+qnDFiQKK)FH$iDZ&w?GQcJo|m~{PKAPv)I<0d{uJbmiyd$QI{8) z?u`nbZ>pEon;cah`fBOdkfnUl-l19Rz6LJ+bUbQB5NFC;#gtU%mNm^CKP7~jH~nM0 zCd&40>$h8vR$UHytrH#^@X9B2x%tx1S7xnl3rfH37j=7E=e2ErQy<UY>d*SnBq&EU za_Ocl)$pa8a-N1Q<%_zxI7oW!l~q?lwAX4)P1atlReQi|nblr3?S8GVbJmzdYqn3A z$}70Z$U}5%)tWb}?nk{`+_lNOXsyg-dldmqwc}5w^Td7q*BLi;k%sO0#TPS7&VSCZ zn$_#xdBw<T!oh~?3;`zuB@G&+-YY)wn7d`->#Nd%EJY%jHc?j}&JW*PZ*ptdg;rK~ z4#p#sjd}K5H#*SpZPp3K-ZIUqd)Fsl+I(-O*Y8CRXXZ97jP`L1<o7D)cc{9s>!@6d z&Vi2It@Cv@>~QMRSWt1OQ*B0s6Q@Gmfrrf8X?Yu&T<$C~|JKOm`2C{ps(_MiEs48H z4EsbTy%X8tu=GGlw`RvqSAGqypgS4~h20u4jfaHHxdQJfarw?!P%?i(Nw>PeT!oVP z1u0WXQd&}+B)@ao+d0~+|G4vr(_#Ax>#e&Sm0CE!jql*LGZLUa^hxk!AE@o|>B*Th zo|9D+CTVAtc0ZUFJtZiNqv-M~O>o7m25Q_aS*Wl;UjA0`G9II20?IrFdtNx5;3?|f z@<J?CKxD<cl~YY;zq2guHhv}MI;;1zkls=0>5JTpJr`fJkv{)<A5YMVMa+@{Pxidp z%PiNcrl0xf`9;0VAZ}l;g`R3Ao=d!=bQ!o`J~^p0>kZ@K&l?t023)XFvC-$4rQY@| zb75srhMd5x<F23AWW))d)m~I-dvB)51)m4K4Qx#3Crd6#IM$>*VZp_W&?^g=4KHMv z+?vjK*6oVpf#`$Uj;zvJ5l&5E#UTZbtll0MGPVd7T}W(_4cXxAJ0*CJ_s`qcQxaEv zF5V=tVscBY!(Wvvs{)oEH*2+u-KKHnr)Dl^>9aL{f8!$N{JOjDn)%AFYcp$|qwcp| zGoSzUM2f9kWWV#Z#W$*0qWhy?f6TJ=T6=ui*N!c6?bjBczjZ7n*Lu@7-pJcWu9<Dv znwy=wJL>k5YulD><<0yny`D$<_64~Gb)GeAc-J0Ya(BV<OLwkV+1#2n!>c&z^@NP< zSgp01YqQ(7wJp6Qb9t9qMs~2)S<$uGHQUO<GI?`iS4VXRU3)ZftHd_3>NVN(u9>ba zJ)5bU6xr>U{V^lkQ)_MH+M}A;7mr8XiMsBv_NY$who0UaTed20WnF)9#>SPJH)TS< zp1D(|__}*7f2u6o+kYWdTCdG-PG0#X{k40f{mFmcOQ&zzck`FmHTzB1gI+FQvp=O) z{k3%D{1f}UmRfJA4|@6Q&CHNrhSe%l@15S~vGn{V{nb|(syA6>zNx?RbLH3WYwS_y zUqm*?UQ3TU&k)s|aP7g=+VG|2QO(KMj9acHO#8a{ntIgzblz!^&C%Z?r#3~I8Ml24 z+1h;FZOvDUt#Wg(C10viiR!nve!M&=dh%A@lefaQZR=f|o0u(qy(vX&YvS76;OyC# zZ%x~>O>k|lJ$K#8lIHoPy=QiPOO3s}X<caK^#wXBmIi5UT`<+lah++#R<_=256)YC z*~B+_&0+c10-3TwYxARCU(B)1TAQ1eJ^NZ!^8(hzAzW2!9oLw4Y+-A>w(zRelTCd6 zYYuNH&DgRpbgjGU>y25t!JD>)uFXypD+$t+*v3}9=HOCOi!E%6uRWYrnvo*gwD$1I z(ughl9M>LKdM%ihtG#KP<J#;*F`pn!j%{pPuPwMFwIr(9DSJa^tnwDV(`ybZzMhaR zYqvJv`?cYTs+g$TO>1(!UrSAA?F`|nTYGSg>5MIG^RFdbkeU*8onh@^!`B8Utln&7 z>)*gRTTErO&HB3;e;0gRdM!WdJV#V>+O>okrYBNsmn@CfTADm9Z{-)qX;CYuWJPK% zy~G@u6{gv{sl;>XgDIvJ+t{YBaa7I@I3dNd>59kFkdv1br(RlR`gPMXziV4g%#vEG zd2N<o<mIJHlcru;Y<f28vR<~qnYN%vt}yNoQtL8o_pez#<*U!8c`ny>EdDC8d0yzX z$tSC{)@HlEmbze7zV)2r+G5Ywb1v-KmJ+MHX<g@9SEKIcRV7W4=QUq<rt~^TJ#M&G ze5NWY^1NF1oC{TYYqLFH&$?hWed{^TwZ-1AWiIYImJ+MIX<hHyqek7)tERB&o?8(l zbM;Y^)=^vCbIXG!X6tIL&0chE(e$kz+tw|N>h>>dTe;=h)?)9aTQ_X&NQr&Dah>hj z?2v53Q&B9@-BGWlmT#>{)iqn|x_EAgmgw2Cd7)ZvYqPt`^j3!O+=^UsY1Znw&RTP$ zURQ3N=l6Q%mU*$SEw|1Kc<s4m-NvYHpKF&-R+UBiYrL*ZQJ>(o{CZW;+Vm?`Vr!pY zt4dqD{A!iiTGtO7XL?xgfAze0_Sy8kjpuinrNw^Uv@SLB`ieE#{?`_suWG!ezu9ia z*X?WaQ)}0M-Fj_(RCCa^1vjNaHnDZDIjE5R;rOhk$jjQ<Roj-iUn|M+J$!9Sw(Zet z!Z~jp-!i^hl6gz2^pe3_j?zmyYdK0UY2-4#TH+Zc@M?+UEygRJ#aozGOg@%jy<+mR z4D%I}+cL6WEs?w>S$c^>cb(s?1*{HpO&q!%=bG4i2dnp<?<>9ZC1>rq=xe{+w(hfC zoA32H`r<FPEpl_$99MZg@kEtMbiduT<m**uqWVK#f6TPiT6=uaSB@>)EF*7ET9fN? z&1}=wv&U~;+qx}vZEi^R?aQ~8ZP_NeHrL@bZ^N~%Mz5u2l;)n^m6jUYy@{{!n)a4^ z^S&Nkb3e6K=XLYi{agQCm6C~W4!QPVvgwHwUb)C-=W8KnW~D_2Yh=$#@oir7vfuP; zRPX}RysX~hDF01gzigc6@Y+A;Z{yniYrmFn{nv0!Zqv3Kw-U0o*T!x!yAZ_}>AmV} zepG_;e6uw=6}~aER#@#f2`H`e{v4uy;;5TmZ*c2@qn)YwVN<V7c%!@O(yW{JW@w%L z`R474OS2~I<qWkt`Q~le?z?#r)w}QJZNGixUf%ZGZ=Y@1e*10NZq>J7DHn*;`Fm5= zJfA8%JtWF_6W_!)8A~ojO)iZ{IlgIYMw+|A)N3cMEu0{`UyJwnwasVx;;)&`mtCvH zyL(NspLN(;XI<-Ak=MJ*Y*v}5Y(6LZmSYRw;Wrk2k1fn@7RnqiblLRSqVI9UHV|n6 zCMCe+iLD@!4lro}CSPm;iOc|#9w0J#;@KaY7yJJ`z9B32cjWC!UWZqgzRS#Y(>fcu z)_LVt&Xp!DQP+E}u`RiDA#<*C)a~%4I~SDh*s@J-YMaBgtqZnBhR*7aYPY*47MjVI zEnBy?cjcuG*|E-1w*!}2E^Uup@%Tf8<BnG{6K4tv3JQ8&5<B)-T0x<E@}<x~cHxG$ znU5dYE|E|?X5p0P;*#RxqN3|Fi<u+z*ZfzT-aWT`XTpBh@tM-9h?U2;%F0Pi&2@+@ z*I(tm{&Z^WnmqqlmzP>{SJUI420xsA_29xh?>LwF54a7gR`~8+eM!mXduN^AcD{qc ze#av8)=3^(dP8o?wY`^lJ{F41mNJY^oZoiK;&JYr4bnk(_#$7Qc+J6kecn0wnUC{I z%YIlV^DT^u|6E@Y&*QcH){3$imddC$+m#%W*~xvkW-PGt%aB&P(`)&vz2{5Fh0hTO zR*6OAYrYF~`ZZ%wnMZ-WZc=i0!e%Ab%@MB3YhONZS=AL1cKBQfXUZDpybbfi#WFrK zv1=qaJT7i=<zIHkHEL_H>{m^N&gsmdfjyc#Y}cpyXY6WQwnHqur9d_I#^nU@Ev=mM zmsmHR{M_?%p3yzSCBM)A+;8#zJ*WR7#lVeoS8S^l;i-RA^RDLf%zuWHZ1c;`dH&Cg z%+I^jJ2TgCvn|_cUbcn*1$BQu4q$xu;r`=0zvuk7`ZU*l&-Lsbh6`mP79O2`T_*YM z^piWn3og1%F}^rI{=}}uon}ru0{9NC&8yxn^L}Zv_pNDDo%Yt=wOH!y{QK(BvtQ#Z z43+$KI+hkJtVoc1IpNJ(gZ_uznwRYV`AnF6^oP-zC4P^6Du3|#eoDNb-^RvT_)Fq7 z=aVnD)`x0u`Qzn%Q%sR%<Mz2HP8>R&Khd<&TE(u<|KBc)_L?+p-mR;%BF+dtP!~S_ zx|AcM^4<kme!<&i$J<grFF3GIn~QbNJg*ZU)g?;ird@H5FZ?C5$13-e#hN{W^>ga& zR{P}5>NPsNy<JE6T!dA4y_;cF^V>J&?<TYTVmP_&>0Yk03vI7frfTdk*3im1#yPFl zT9Ijn=cn&EEo<2SN^u`vF|AoeH~I1U)fRKUUA(e<v)#OJ1wm7<d!A~vI&Iq=GG$U% zmFcr;&&K7mw<@$Xe|!9I(S)vhD;B-lai535WTwVdKC8!u%}+e;&%CK}<>Tg0y^iHA zr~2Q7X1vi?T6S{<qm66x&7Ure8y|UkpYSpH`kFc8qnX;>uWf4_46R(`S9abEF_;jO zz_rjaZO69E(05CP-(E_H+d1!^NMF7C<}+XB&;I(!Ye}kRZImbDN}sZTX|sxd6n;@- zKHWRxh=jHGgQZJqY&K}@<<+rQt1<h)8|eQa`{c2O)rZf`{U<-!ZKXI*fzrcio>P)6 z7d@4?e)ikVE^umT_1}mC+$W14|E)a6Vg3K$X7;-~RoVC?+OA)TYHs}LboTit>qP=- zGAh318J}+5xW@SA+rki+iH{EzcE08?zrpm;px*Vpc(-?T!$<v$>XSdEZ}=CBUC6rc zdPHJ&p68p07j{bo)~=PSy?g$L!{YVRe0do+h`bN-vgulU``q-&tGF-hT*r07)Vr`= z;84Xz)6#6dRPXrf)g{^0@9O{RdoI~M^GjRZ<<uY6^PS!X_paiSj*T%<DxCG_e%PL~ z-+jZs^<4aMSfcm(-3b@uT{~IKSSH7r9qLu>H4A)|8q;z6zx>5~r|G*hSsR{4>LvcX zXYrg#?la@xl!wpVd@N3VTAXa6x%F-Gv9Gt?53P&h)4o>tmTil?!-L<AnfF_b9;~|l zeE+3_fSI4lSG{WdX8g5&q0IK|Gf(4h-k)$^Q(tQ0b#?nq(VEw@X9jpr;Mlrj1+V7i z(<k0sU3bfD^3EfFThF)bJ$n9<YxGRB^g{}Jli!5h-jE^7ez)N>bH}duwr{aPVg*06 z)=oANxFh?F&*wnk%ycb_W32MQhc;;?dHhNhD9KV1_;hns?CYFE!A#G$U(yk`>9M(f z*=XuQ`MONIXO$O@%Z7`#XCB$HqGvYCrq5^nrd<u@UzNUjsmYE?!`h6qiBltv>CAeq zvX3L(x7z1!@87luPZA64lUbK0w|<eyw~Wt@{(m^g;L_f_Yxk~KesxK|HGRpx(5?Sv zxtay?Zy$^k4_$RE=>F0Pz3CUVrfqoS9(r%-#BTN4XIcJbH*fq43bj3Iw7|jZE!VN{ zihJwg7G;VQJ$4WKwn~7R;emO#iq&IYT|Yx^O}ocg{N=OzKL=%q2F(3&`I(e4Z}0u2 z8x?^u-x>a}?=z8~w`lVQx&NGB_WY0U(XwUTw(85lgyXJ`-DP|4Tm8tbI>od7-gmRj zr*6&eUva}}{cGQ6j9YJ)KS*n57XP;DgwT|KU-Bbut}ZtHlE{B*`j(Vp4&C0?nnh<n zEKcoyDHF5q%O>v1=6!yA#~SM&uwAV`u+%7Ho_@w3>jN>@%4E$nIu^<^gvSZ@B``O6 zZRBFHU)hrDYiaxWiiF7u6@{N$k6bqi3g25=opwG!E;a6YujOp*qIt`euWRq~77DV^ z{?hccZ2P@s-={8kSD+nb#jyKB)j{zt>-Q!zbsDr*ZcJsL$>h1rG%fVaswRuSW|H@> z88WWa^0ix0%eA@noY1a$msGjBD>NLkZKvIcJmYoD`p~6QGN+y{$$W4^)%Zj8??pdj z+!~)+={PeAxUHQTayw0K>*-m0dYvU(k_-2InY(I-*H@F=jgJ|o->f?o)7u^N^zoug z&tg=Hzw9_QdBNd}({j?IH(xDJeZDIH>34tmPYXWqwwzjL<2?Jvje@6ry0`D?x%}1N z)M32M?%KQ$&wl^E;8kQads1eT|J4(5Q?71WacXs<es9@1xw-O>^y|Hs?Y9vzdhkK! zM03*88$HQ4<8LfI_9Xsoy=(J^e~J4h8C;0TO}o?@>pp9_^|6Y5m6Ij1W}Ta7m^~@k z_wr5SG|!?nnO4=ubUgb^*KUn8>fewVE5P<J=_cQ-%BCj^T=z4^zI(LprPH%d&y}Wq z%wwEh7-7*a$E|aSRpy$`Vs7*OZxs5T7S81EZ<`e@V&cf`Jll!=Xc6N@(cTOHGJc&3 zn3nlOapTXRsXDU`o+x_cHTzMeD(fw)#clU})2_ZTT76Dz^OCc_j77IIv8=sZI(5~n zt4nt+h!S|utp81E%B1HTr02W7ES>-Rhrnwk*m`=%eXWNNZZQn8U|{gKU|`^Yt*1{- zNrSJaXY{w&a2#}DtFgJOlY)YRk%57M0qDY3BO~)v&|-Urh&95DS_dD<8(hdbwT#(i z`_GgYrkhgS#E&JN@m0GJCg|$J(GecE)Vbw^ZmQSZf(h@;<82P;S4`n5V7kh9j`0{f zZ?w(nNSoLGt#7;^^B-gJGPoi8RL1Ic>?}XmhjI#X{axvaTJu^D+H`fLJ62pdy7)qf zUSC_0!MUZC)0ZtOkU5~>Y2zSqW?^-M!-PjOzv_Hwn&`mn%*2ys%iD75pj;1!3eV(| zo>FQ&dW~#tED9R}xC^ZK<(BPo$Y^lgv1a?qHD`4TOSRZ`TZJ-*u_iuRkof7q0mnlM zMq97eRKJ=l$Sa{B!ILDExWQ8;>5@U9Lz2NM=bO!jja-_J6D4|-HcnreG4E-Dpw_g| z!WB&X`~sSv4k%1iVeb@~IpI+U8xNNfFPDVJB<8NBl?R;;rwCnaaCBkjI=We-yE*Vi zYN#}Oa{r>B#J%3mmJ;0!GYnijr1bb&cg|~bthmzJY`f%S^Ag8J4SRiCva>cABuboF zTwt(l<%;f00iyazd)a699XqHL5i-N8cwxo#X2WAb7Vn#Ot>8(`l}X*Xfg|i_m4l&* z%?#%As|v!N7p~ww#q@S^<A%a%?KeH<&Tc&vqBrMi<#n~-K#k{-;_aI%!s4d-l$L5; z=eoQxWM+Ec#ucae+nyE~I+TXaU=a~>Z}1LYs5AF9XF#l|Tf^C@3mTPNRk(#BGBkXc z>(v;ar>^p5V%vNn;M&nbgDD0+YTq25R!O=aYQDH3Va2&-b}5}h%x=#8>4Fnkb(~s# zSx>x47u4dO@l^3b!mO@XiB+2qBusynY9xBJ`61(%ineA$E$^pIMF$dQcBKYJ&h}lF zZnpd8#I28Sss-;<h-=#=)1#VkuQX7Mh28r>$+}ZV3sr0kW_uk=3KdY=!)EaQk)h25 zMeEBUBFC%5MW;Nql<;1$j_a_N#_3{~4uLDPviXAkh{Q@|*V?IiP6`mz?@jN{I56S- z{qkLG8d_(V&2NUfZr{CoX5OKfbN=7orhXyu+5C*^^&PshGBPT+-L`*Gxqk5B!v_sB zU;f`dx93xWftsh^>g(Hd7pf>O^xnH_<5P9F#VV1LZfsk7e6PuuZ7PbkGr9jCYEhjt z_sOLT|1Vu|H%SOsU$y+@OugQ%|0cvs1)P3%NpaC`i&-3^XWE=yb7nu(>uRqQnEotP zNA7u{2s>MG#e!wR8lE~253choy}faD@YdDAv2$O&3gJ1n&QH|wyxWD%zMt!C9Ml3B zRV8|C9pqdErwFh-{A_dY?)GaYp;u?E>YDlT|Nr^_KT5bxROI;e*=F|c?9ke@XZ2g& zHVC)-87n@#kol={Uv>^JucLqy$5OlVx7Teq4RQ6Um8*@8{rm2n-EQu;d0Tex*zxmu z<-4fp%~_8=-`QQ4Eg0Ihq-=^emsPLx^1}RiE*CZne#yDKXX!Ie>juY3D(pP}{`W6P zpZzSivsYju8!MN9;Kgl!|5tc?pO_<*c&&Our6l{8+iM<0ik{zO!y9V&Ac5bFk;TWu zV8-F@#Va*r82lf1C~-6`cz!e2_iMe~|Nr~`KmHiV;&^`Z?%T7np8dVO?X5<-yXBFi z7vB57y%e#!m(#UAJM8Ki`KxZO-RYKIcKP@Jt(~waI@>qY)cEuN+~1A78%?t>|IWCY ze)-w5nKQ%Bo_V}JZ`Qf{ywUq^uFbr6!{oln`)eV_^(Na~jW682CO2nEXsg4wOA*=2 zzF%qxQq5HNd3q>Tn(I45;)x5}Jm&UZyZ_ca?)~i<Rkz*dY&fJU(IXi;|GfP}!$z(b z0ZJ3^@X1&NXsq)4|1EI+um9Wf_ZaTwpK>v|QjDch=lm|GonbwTGakuzr@MAdXPYwR z{<fy4ksVwz=N2z`QE<mKR6#;?UTCb0b^xQq+69&8Hx-DTEf!a2Fwu&b>Z`_}tQC>5 zqMKQ8qKigQ^AX*K8J|wJdM@{Vd^038aCzn|BV&EuyML9BdMB@4?SDmu!%1aA<|Kv7 zDvfK_u3WeJ^8sHK!3i83-x(enh`zeCr;oY+v6zF;1?7nr1rq9x4*kz8IhFD~ozAS^ zYWY4r%Xzzd`wJmiJAunP?@#^r|KI<%+)sPWp1(iivp6^Xbj`hUu{Cw|U(C+_-#Me~ zx2npK*!SyJh33CJe}9qI?A6VM;jTv`F9=+0ILIJw7^twpclxm?;oC_inJ)wjXQ@r8 z*kw{8{;5jcWsb@*Z|CxhaV-Y@&orLD`*6b~Kgaj8Tkb6Lw99)w`hIpZKO3FpYx;S6 zv9YN1Hc%}6zUjVV#oCo=|F+4S`<wxZ?6!Sz<Z*QH**|Yzbc*%nfmAP)SIt?wHFMIk zYf;`VQ#=%eI9)bLH*VQhkZBWrdt2E$702nvHosjjun1HNC~;KHyZg1OaFQCQqVN;{ z76%1^liA8udpSLWyk-iqG;!}Q@oai<=+OOpDw9&O^71a5Y!#as6udAqYPG29oMoJA zSN^mS((E!aRy<N_we;nsh}ElHs^)1dy>H>WkKgz5!c3<vx$&Z>VmUW`cDGS-yXbVm z?))Jpy*ICxOg|PCD!B3Owq>(^`d@x$vbI++sU<J3qhpodb5k&QYp)uUc1!qw?)GJ~ zcnymed77ku)ZsjuZn=8ZteHRmZ&NRDepq5K+g>fiQB|TR(#HF9n7hdo=k1<n&n%a# zf1Q8(+8<$An~XT8v(k&%gRY*jkJ>8!DQDH{ng0LI=N9YmAKqxwv)fGM!n>epP8)Nd zuif_c_P@<9-@81{PygpDn0sb<|EuI`p9QHaEjXJd{m;LxYg%vr{<pmsW6!P?Th2$# zb!lUBU|`^2U`Q5cNRUtv5>T%abGykX!oVaVCZJ`aU?d@=At1sbAi~ALCnqK)BO)Qx zd89GXL4%L2Wyw^}&QA;{Iyg<fE?VTddhw@*2i1EzoXisbo>-Rku|(`m2$KTq%3Dhp zyqe$E-!w%fgH3o-rFqV4@hS2RE}HjtmON$O?B_U1Bx!3wyGE<?>E};fmwHEAI4^X4 z!Oit_R{%?D&!<agc1bS1!&SP-@vgy^$Bjy&-9m@e9-E!I$$e#^V{C%PI&R+^_TD_o z&r_y65qq(lt@c2GpLo}jKN@kfD%%%MiC(~0wL-eBY<|EJzQCl2O?TWZcQ;s-tYGFk zTDYL|#j_vY6N9$YRDYSr_*+o!$z7X<Nqgi{y5Dj?c^l8gzq09f@AEvBcHYNgcV9n$ z_qy2eSe(5|R@_6`b>HId^|of5d${+{jtaM|ghin|-`!*XYMD$<@2!xVvEs+ids9zU zZoT%p<+k~)H~gQ<CfgKr?5K7=;TXoYdpA#n`*uI2npHUoFW2v|e|aY}@8awL4V9!e zfeFXG@BWimR8j7e|0K_P0qceE+tVJjUyz#gv6LluiDX!_@YnO^tTpy!1-nam?dsZo zop|+e#f83apJ((>ekRQD{1ofn|9qEs@EvH0KH$sRZJFmeSI4~R?xGh5esuL7j@0@; zbHcCh5+Z92{|If``EkMrR>hB}ezv41G8XyVxNfEV->lehuDjrtg+XV&t>`MPi`7@Z zFU<OSVX@9bzx(D#%)d8n;rKJ*b*%16KQ0Fr<wwz->a$Kfa&;45cJls@Pa#}MUnc&z zdT*8Wfy1IJPL)|SExjN9n)Rjp%DWFUjbr{xonQR@%b5jynm@EJAFBKGH*#5bSSjbx zXx>jdcKwlyIhS<m;KVeZ4UyMp^Iy;7<QCK|<F(9pSzrA`-Bny^6L-<Aqh+_`^XIX} z=PjJhlE9MWekZ%^gl>~DgTNA7RtD9*Y8(e2K5^fvqszYJIPZraNrlo2j|Hel@!Y=Q z`ss&}^_qu=tKGeNUpcCms;*O1aI%@ta3oDsJFTly>ByEDob9jE@>u*%P1sw~F6sPj zSDmjA$4z_Zt~&vZJ?au6asPL=GzKql>CoN3?BcG6^NZs+L!%{HL_f~+Han^?t9J8Q zmBlU>J}X<!zgAeX;k3<!hnDiy9T7|>`$cEnI?g?_#;L&Im-F?fN2EH}_o(E_oIUPg zy^{6*y*VbYGP3oVWu`X%xgKveOMxZf;9H*EHjAc5ESS4R;jf$Y%Fz2lZ}#!EzFE7G zuj;Ash4#Azb3a|+sbSywrElHqg+CUisBB%dxn{#2!Kj|ptN?z!7^f!;o|)Hng<a6! zuy`tS(dsq5mm?lcNsygZvH3=XCwqy}oqKu*H~Jfd?(%gEcavF}`SPLkLiNupO$~Ed zRG+p!eaCZmp4+US_dZTp$Y<dAH6wys@%!wr)kPcILzXam*}sk8yY}(VjrqH;if_Lh ztu7_<TT@UfJM7Ir#>sovE=a9m46d2$7t%bD!#w#HLxuCs&VX<x(?yq79K6ZT)^4s} z_22aEYPS79nZvtVzFo3fP%dk+`eoGfE2}ulw!W)rtJBCeY3FkKJMW1R$I1XPo2<wm znR(hPMHchcSM*6-HJo{M@*b!3B%cI<WBmK-vM-+Js@IFl)Sj0rT=;R(;RX)QbF2w} z4omgqvF_##VVDxDuk|&5<$9J*LCpg1w(_p$#*s!g+Ci6N3m>1T&)=mm<$cOZJHOOl z9p(*A|Ky72Z$H!BUltQNU9;@?=4GvnY8lN((ocB*{oQeJ$Iie{t^eP>G@QCiX90)M z<%;&$t10JSOmkMRoIXK(_S2TRTjXBn8Kg9Ad0-zQa&|^;*_Fp@<1Q>z+_*ok<ocV- zp=a+JYI3WIh22_ayn2yAXnF3|=uHnA9fL!jCNq70>6BFD&tEqww$Hcaz`LxCBF8vY zS#~T)sgZJdbTew{wS_g8_V^y&aB}(qan=6CsShqVO$z*~^tx~h?*v8Ry35zLun8rU zNWNU?yo`H$JU8$3kEQ1`T~9qc*Y)dITZ>DUeE3Gmc&En_8@{>x5d7Gy-m~TWni{X5 zS!wbUI7%Jlt8{*Z6@BRbY5MlX@d<%DlBCS99Np8n;lYjdw>;RzPIC9SRK6(PEgXDV zW&IS37hk=OOgib&ds-upUtPfFS^LwEJuaR%<5+n1u$oT`k~=4m!D4)E%2gxgMVb?K zbkDu#U%J8XYHV!6`F-zaZxEe(e&H#m$p(H}+L632F8gFZ?fNUG?jvlNU)gt7{LAKT zJswQSs~(lL$9bi?_3z0Hy~N<S;-%bayR92-RVQ#eYxaB1yS3+gK&DtGcf+jttZQ4G z(h?r7OPs&xoo3?htVIX^?pkqkt@p&e3}TZ%9pg(hp1b?)&ZS@1#VUL{Jk{ck`IVaw zPkq#oWm_lJ^5Ev?w(jQX|3ptOkiEPr->uFf#i)BqOWA7caP#?V{x?0SlK%6&e))vi zv%mXvD9v4B!}!Oc_~VnpnZ3csZ?4%FU;bjhifYxT7$<u*cWq}S#^aN6gzsx>l+6xI zEp<zq+!gtBS)0jvsi*gQQoqZb&^+`a==}NalTp4AhZ$@{EAM^0aEQ%tevr(QyPs^* zvfs)GhP-IBt~+3^oR#9HIC1Nw9%ToeQ$Myad*tT4Hp+iz)qWyi(M<lxhi{F1V?WeA z+WpP^)2U5)+{<FpFN-hwrM|PcnU~G|Q}_|5?J?(PFS`0z=(>biP53j7ZP}`G{@iVp zod0IU<YhaRju`f96fJY8=wr|N7I83ZZ<OY%GppJ*ESGt^=U9u{jyG?kS3J5@))-LF zvC$wTUu{{;teF#UtMA)()#FOz8l99PQw!FD#9#Iu!Uv}}?vwD3{P}ayiBB^m)_!2s z{<Uh-kDrSbru*>7oH^8btSx-!DS5a0Yn!AO+&uAR`S~s4U#|QWeR$3`MnQo4opnr( zZ(yq8iAU#Im1Rr4?p%=#(%tB?JN6#itJ51*r%u_y8ZNo*2b0|lUdBV!8M<@o?sUo? zwkfKwym#qh{w3bGR?Vu{CdzB-zO`b~y*Bl{hO<SqfsA;~{%MKrk4jSAdzl12Y+?v1 zowv67+qx1f3AwEwuDy2hSNd1vDjD^TPh`<f=j_zu*Q*VW=iYc?)ARb{GPR!2*&)7M zQePh~pRD%t1>f8U4DWM9EOc+PSQc+8Ik+v!BZT+GA^)blx<7f@PdQ!ZeH5CuS>tbT z#ofes(TyB)pRgY+IkK>K&V(O7PPJQh2{c}K_4z}Z_>Mycp%cuMx;ze*`_11hxqVie z=8PXx_U_6H6-fT|Z^!+LxtEW9u(-GE>2c@x8&;lHlshzuH+|K)+W8{)9xQ)zc<sIC zrl&YV0u{7NPfqVrdRs4U+^!N^Ua;-5-LI8utXbvrv>1|jZuN?lbXl@jaVDH7s_y$3 zXM8b9O+e~|Zo)!854oZpr}?)(xPIqiYEJsZppdK8>s@(m=3To|c2e~VSMIf1VGEgU zyIJmW{X6liF8bi2^!hbxUaq&ZKYOD7{Dt2WpS=6|<@!H|j-Qw68`rZc<Sp~Ocfjar zvqr(PQije=t={g8D@B>CpS-o0uAXsTJ|c4Vq}1HEdu*<7>&-tFSj=~W<&U0w+r>`r zg~q~1d4+GC&pV{x#NYP8uEqXVShn1iH<64M*V0^lF8p~N?Z789%Sd6*$r>@X^RqVH z5zE=WO}&?0vaiA5k&fe?#<Mkct79)eH9xiWQQ6VRdpmDTntUVjIqyv_x$I!kU_qCy z2g)Y=kz6qO#PqIIjXn2;Vp}$EHlM4@bFy*X{g<nj^4$r_JM=qZ&PmqYQ7QVp@2j|3 z_j^k&xo|&1=J<`(@dq~M1(`7IxoR22bUZk!?ANQK2`Wk%mSq<*>`t>rv-g=Cwrwkm zG!(F#Wp(dYU@nh)R0hxX9DAj$rdMsRzPiJa9po)(F5M~gWLbX?^K6$-dOsYbH-?>< z&~{zH?-Z-;k&?z%yRY2K6uSd{<T@Q%$8N1|()nb*iRGJm;o0^dth{b=6<+DmUa0+2 zqe1sUgLmQYjIXXw#ZT6|G%wgNXVI}OF%pH%-2C4peY#)B$+ohohTS=S>(%A8mk!2W z*yVY>*vh(Ud-)6Q=+B(fTx}=cV3G4_TG_&7>c8{1tYf6R`0<uq+Z??ey51yk3z$`> zE4Tg4uMf04v_84)yi!GG(Dlb>6V#`3uj@a=c`)JHDy?$aRZoj}62s#?WzBzIHQe@W z&g-cj{nObWFxYVNJ%7J@y6u!mcAiykf;>DXb9J3mKGdJrn(wpp#Mxqn+?O}iX1Usm zmp)~B=E|wW%lvho^TE)?`ldB!Kit%?-Y+K|?tMEtHSNiT>8<aZuk{uNtzFsNW!YP* zwmNO@UqiW-Ta{PVMw$d^9r*Q@t$WYq>h-sunlVm#%KuW~#Eu2u7X6=b(pvkura(dx z|D3{yYj;fA{i^g~Qir4aYo`g#yE|;^rL+Ydy_Xd^seL{(cjr8-=tbO(Tzh@2=Uj+7 zdA~I(_@E7+VA;$Xo)qO}3(o$0!rZ<nZaLG!@14yy?~>M^HJMZRTE6~XN9rrHt+ka` z6fVnOHGciXusYMhnD>~Hm4?_2mw0BgzjH6|sNQhAPU+f9v00mh%;I;uiJd-r`}5<X zGq>+8)MfB{*H`(tE`I&*Wy=Fyd4zP*L_Ozk-TT|%$)O3+!tO>jQJTj~^P`MEny`Om z=IZ}^$bR0GHm+kTJ=Uc<&0nu2U;T1qr{1HbN7}8gGB6~ix9-&6pzF1eB}aJ0?_Gyy zo%U;Z8IxvWT*~6{il^r7Re^}+6T(hW%iEi~j-S~(C0pk1x4&~XZr$~ijp>=r#lt^C z-lyE)K5Vy0?73F$N?V7(*KyyOYgrH1#cY*7YIm5!L?p|i>?YI1wZ8&1S$)hF@77v& zHg9vbfO^w|fOY3T%>Vx2kBj4<tn-BlcH8`G%ntfb@I9q4!FR#iD_3-^cqZ|!^|U{< zWY?5%4MsI}sqV?4{Bsk`Lu=VKm3Ln3m?E~e!z(j*{{n`N<-dzl){DgI7<r3LlUa9? zwWr_3==`QRjYr*YFzu4P_w?~5(KXfS%GrL?r#}?lfBlHPqSddvey;u3+_x-u(7!4F z>i5pYe|Iw;Gq~rNn<pTad#(P?4|_wiZreRf`oH93t||J*iT*Ktv!wH?--OA+CKDF3 z{nVU3ec^!@`z`D@2rtmrdD-p#PS0*b$rZ~8(J312%QmdPa_VvD_S@x~6I9MEQ0+;0 zmAyqM_j?)lmKihs7xJl?)Hm!ov18ixf9-mUo$I)4_lNs-yIRF)R6TtfZg(lH-q5pX z<%gHOZrpP@|LY`HonC6awv}DPX_3QOmN}OKd~aU-%rnE;g}o)ShO<Yw{+{RYLkWM5 zuvWRW%nUnr(2`G2MC($Z3Tr~8<FZdG)9iJYdS5;8HArxQ@sgPf0^2fPB}`uJ!mY#; zu<YmiCB?HOJa&rw$&IM^9x(Bj{r(?IH7jSX{yV$kqx0-lIyvj2*3P;(HF?t%^?k<< z{+=Q|Nq-sNtA#5fS5>Td6SVJV@_Ug8eik2*p8Tbc9hh=mY&Sn;(UDuW?~Uo(2|KNG zuRr2en6SyjpqT4(X-m4}vd+vz;T3TK+HB94{;TUW$oOK}clB}GcIKxg-sdh$y)HA5 z>+Cu5YVo^?mfZTgUkV?*a&yjY@72?G_|NE1+R?(gZF|!Nm6|J0<MJ+c91y*|cmJ9z zUu{xe?wxh&)cw=uY|2}-`pb8Op6mJd>}v1DFOr+LvYjwH`v1p=iiiG@;up5(=P(_( zk|`{$KK=K9QKPIare@y~MW1rHmYi8){BEb!>Ci783?Y093{F3#Oy_c)=}kyK>psW) zQrFQ7XaBG)zbhYjqm^UHd)>RI_eio<-Cg#N{ea1G!-H|rCq4aixi@{7wnDy*qe>!) z@!sF_CdU6HJmWqW&MJR=?B~HR*MHyO^)7B+oquarv6Pkb7jyqxD|DAQw0|s4G;Hx* zdZIIa8bfnNhIY%oLV?#U)q#njK~2dT#gkqO-HH~>-LNHX*PAH+hl^*r{F45Za#Mv( zuVAwL?4MH<n(n)DJ&#(+-fHaj<(b2Qg;Q^-*gV`BEUKZ>@;~!}!2Uh!=iNLqdFo>) zDF&0rKId)MPneogn~(xJ@+Ysgb7Sa5+m~-c9GX@B8x}U*m8$rCK{9I6iiY`x%z9s% zy_g~`|9{<lRG{kSlVy>5@2q!y<oo?YOZuFdmglu)ytcLp8}_f4-xl>MzQD(?cgALk z)(_98hnbX5xR@xp>bS@W33nNlnL2OQNXm)*`qVf_T`if*)^h!u{hb>>irg_jtFYry ziihJDj{W8n^jxkAZt7XBq?Kb(?Rj<glPgIL$267&=(gT;oyT(WZ2;33DR=)ff-*Z7 zak3}rZdoJy-Dt<U%dOw1UgOCV3$@v{;_$!!`gNI1k}QY$4!mB{R=KF|xk(!5RwHS( zx3kop*=DirKKe^p;@Fj0Q@j&1OFs0v>oD!;H+bV2lGacpb@zVqo0C(_IZ8@r2=+wP zeUnnyI8AB!2G^@>306}ww)A{=`#L@T@tuwR`aHMV4N@c(i}INl$x9w?$c)Z?sJZpe z)k{jj6BjM8TlFUUTBzP%6WK1&3Aa5w&p73+`K$XiF7Nfrfa7Jan3^S%947A9I+r*9 zcSsk5)B)9ROj&B@{v0_Ho15(@yfQH{Ek@b7v*q%fJqMlR)(dJccfNC6iYY|1F<Ebg zQ1h}1t5xi;g}0uwR`kql$vI=Zbi@Cz99rxw)y?W}?X$m!oLe5!=f)=!e)`eDYY7`z zs-$z)FwK0I&fS0No2PGZ-Jv~KCxkOzFqkbk=YFE$g_K#F9zHmF^!Mg(Zr2aVv}{jW z_|b5kYinej)8`{9Z>kp*i|D2cZBx4a{LljB?7Q+k+Ia!l4jsy#XDh-p8M$S-zF(66 zo#1(J*VRfN<}cA#vU!$l=ZFej^q1EpDM4}Zws+1d@fDME-XE^2&Ce3ly->O~;^O}E zYhQS#N4I27xg$I|>O$wa$XAi-<}Mc&r{%`?sxf^jN!dH?$r0`e3tsn0glHJ=f1sE& zVRikkokj8Ptgnh5ILZE8s%O-s&}lY@>;4-HJ>J!~i}wDFnz>O?>Ymfy8e`_maxW6R z59Y~oPn)wib;qo;cjV`FH~l%6f1%2HAw#55v&G{mF5}`zjmkZyQdhnNMy+!ZJgacM z-^%o+?a!uLxhsWipSG>}lwzNI)Ha@b=FQ(Ki<|-jk9w=5&x;dyBsaxv?}rDA#6>sn zUs4y6ID0~g>z>qkGp&vn8Aj&M{Q5Z8ueonC=ayFXy|bmSbL@D&Vs^);3mT6VOIAtF zlI#~?35>O6>CJj+Y%ZtD7PP(RXc@!BhdDv#9Mey2@49_(pZgPwV?R2lzt@eMt^Aw8 z@tE!LkmYYX4`;8`eO0l$WOMFmmcx>TR!j#H?yB;>Fc%CH+WuTx%69T<?V@bqnLSIQ zXV-}7>1?Y1eOS5sdzW#;sUMTBA7M9IDCcRiw&&VvD~atjZ)Ro*Zw>Gk?2fsT6p{Jm zwrRJg9<%0IeXc!awuLKIW=@qZ_j%+Nv-|JLhWXQt4)yOmZN|DJuW*Y{fya(>Uq1C2 zaj!n6lQTPE!K;~OQ(Mlf-d<?ETq^nGQOR&o!`;%?_m;8E7Syrs)YLU<+OILSKJQ7{ z@~_vY3Y4Z?FJlhc73H)_Ytytc=A_>zJy%#=%HGYK9Xm(CMRe)ZJj-|UCr@1<Gg<8O zv<cN8%qlH<r#>&P7H>&(P8a4ly!@RS=i&BqYCg;&Z(HAd*dkP#Tf9ekQ@mE*+V|03 zwx=hF8!B0v&orO@xO|U_`|-@h+c&IF*_pGGNvkvTXNS@9;tTbSHw2x2hD+Y%;H~Ck z*md;eLRG!QPhXEHa`crhbKCwes+h4ZUSiVb4~$lOXIJKbDd6d13f11ckMH)Hj_0#i z??|{_|5s+a`oiXKmnQ8f*_YAs#dqfS>+{qKISjX?q+XU!k=wyqof>h}DtPU(O}VQL z^3IfgerCG;Tv9hvYR;C-<3^9TH?=#cN~iAHl+x;yV^?v!;ij$o@&0y`)YYyBcplBW zkv;D)o9pe@xgiOh8};@n%e~QL=I_m^4&9=**&vbE&L?fk-_PrFx+2S>zm=$LliAmJ z{Ala3M*%D<vraFn%rt3rzbu@|HrY+G<H3Tnjv>Xzo<BU?D(knX#b>hn_KjB`n?KAk zH;%mXMJa#Z#r<6=nu#}>rk_#lK9#||ME1$nAD0a7H}+1LaAnb|&u)CpGG`V%Pf>2u zdn_b#aAKe5F?|l636tk9(PI-i9i$T8^~k-W|A$TA2PRfcxi#u?Q<pNVZ#`%dr@fSO z$B&k|#yVM5e^-}HcBqMavv{Xzp~9jn*E9YB@8_>s9H+m<p4pS{%c8qC{2Cvn@P3$7 zTph-`{+&wc|M^)#OUgReKfCB?E5UkOxz0{uEtliMpN-3Z9oJ;s=w`b$BV@)aZP~DO zl25|V@_brm{5AcLx9!pvx3_(F4l=EFJfOrLIzMY+-fD%W6Cw9AujZ}X#ouz%tYZ<^ z`+|$BV}nEM1GYYPPM8+z`Sg(K@nBxpR{!;{+)CCNvu}U+ar2k$4tW;3T{cth)n;3t zoVI+=rb)l7W*MwJ{#RGI#9BI5<6D*NIexBH{vlmr%UKumPqV!rWx(Wlw)jUzB1iAP zulBq~)fd`3PAV?l#&<bc@^D<<o!)oynfD_NGuWq}o4Edl+S;={*ADvlL^~E%N9r@2 zt<l=EviS1D1%HzM+e%-szvmo2Dd=5-p6&naeN$e{e=gG-QsF*LVag;6jzfG8%8lB) z?SFFaN|#->cUsmuh3|S&9VG_85+q)KK9Ct1`pNP}+hNalCwSc#ddj3c4k+GU!yLKf zjeqBgO{r^HimuFde1GxtT^COtKJ(Uwr`Ox3F5j<Rwb@ac>3GbIkHU=~In~l5*NN=W zo|t7{u`2DL2HS^&7vgQ-d`XgDXydg{%+t1t`3UbmgU6i?ZL9~LyDZHu-=DX_YEI<R z<ei}kneon185b@3kDhwM_#t<j0_USm=2w2rnylRU>imzm`zmifGnCc%Yg}OZdv3z7 zx5x8mq~v^UIsEsw^r!7#;tC$w{F<6{w611<-^HdbhCPf)>}P+yE9H&!?b3MF8M*0f zRQpexx$}xoSa#nC4Y{y-S?t=Eg(qE374%-LYs<+0b7H}%__zO~BaZrq+iJJn`IPj= ze%*IQ%|lb`kXEG_niyCngI1*&nkE{4UBi$S#=xV>FnKax^2CMmqDK$$-@T*VHBW0( z?xuGNDW|6dd0A;b|M&m@-}kK37^X0+XLy?Nb7s-UGSBC}MrR&9irsZG?CkYvPe0hc ztTI2XdeJg;eO2X`_p3Y?M_EQ~jQJfJ7F&LE(yy6MPV6*ZyQ(8`#^E`^-dFwiu3Ggk zQ~dq3E%RJLYwQ0V`TX_ut(42#PTt(+J4tWzoT!O6gRDcdcW>L8TcpzY>-YBD%eSX? zym_`&W$&4{a}3nmB6sPuKQCC4b@gX;S$EVlneAKmGJd<Y?d`60@pbd3-JO<xBG6lP ztFGrHmCCZ8XQ$tF&Z=y?<1XYmDdl#`zyJTwFZbD&dwb6Di?_DrX5UuxoMhrz7k4~& zOKx@f^xNMmUv8_4-dmV{qBgqpc1K5t49m|?_fx+Ict3k`ueVE)BX&W`nOMgatG><O zcKe#j{<nr44ab=;eb6hPzWDyr6Xlk#V*4tlFTTsj!s8;)U|`r-Q1D8ce|nYX-dAaH zqBAX)zuF%C^|tO#Uo#UU0hx|~1(D}iE}gjHu*L0gt841wqQZ`UXVq>`+amAa;lls# z|9@V^Rk!Lc<wei_^V&qhckj-~buz51NB^%6TYWWaYmvzR``d1BOWDlIvnsv#ltH@k z*MQh%ve_qAR20Ns|7vMA@%&EjzuWd&TR!^#|G)o#|Nr&7&(#0>|NsBy+}pQbe9lpt ztQeQIJ*R5xbG{B{r2}tRdAoTRh01jEOG}E%s0grV$Qqe3*%)YPh)?BeW#H!&;^<n| z>7yjY+04YEq%yVl6l+VP+d-E@j>?Lf7ZjXagbf>KOqdX0BFx4sCn0W>5unAw@ZrXV z1qnMQC>}g~=t*IrW2cRVgo2HhfSd?7j~ClfCf7rZD;2mlJFM(5*r=f7DUhiq$Y~p* zXCl?Z+snnx%O;pRAv8lt_{;zQoigjxoP%Ail&L5xEG<5_s_TFD?Ogv&-xN3+jyJE$ z`k%Qw*Z0$H8G%)+{w{yJ&Gh7XM#bG(yl%m5rz3NhZ@PU`)qU%m32ofm9b8j-IFGEF zJHw<Sz`#I3urp{y%7xlC289L{1;)$b3<(MnLX*=oXHA**mQjR(fnR`2$Hl}#OiV&Z zLP&szk4r*Hj6;ZvkE`vlVq$~?UyFyk%fk@4g#2SQOFlfiu=Rgx3xDs{q+|D=U%M&z zw_y9cUwgJT?X$kQrSVMA)C88)?<;E<J`1!;rk<&Aj^92h=<&guai1q2<4d`q#9;q& zd-<oE4^6ftpIUUCC0$lzy?wNt;j7h)HVQ~<lr*!Rd?cg!^^4}NcW-a0-Ezp<ao50N ze&T6{bunfhi*F=0t8DhzF2*{^X~Pw}f^BR6XLYRqHkWb66+Xt3+s;l-x_ajSI-|3z zYAXxl8rO8(SjKa0-fCMDoi7=Or`VQ!T<h=b`n-F4Zm;X5B|SHePdE|0;8Sk(ZGPU0 zKhKkNI(}cU;k|W8=j*Q1TP#y8({H>iJCz@?-=fY?O-Oyg`Nx?%P8~eRcDA+9!NqWe zsBW_&x2nag3jZHwe=K?aOuRTjn#Hejv4wA(M!}Bkk^}6;KEk1ozj{v4G*Ah9Q5Jjs za-3@t^Zkbx>g<n-CQmW=d%7i5H|r$(1@&DQdN>b%x!n6Yx9WBG0{@b$u43nG@6KcG z^S!%oAG=+|_ald<M>z+5n{@u2SmAT6m{-f0rX=jY+qH+)_Cx>e`a25Gzn?hgvu>uh zi~O8*k3R`4@=3oT+#ygBuhMg2<{jR3GCuFb!uizSin;U6|M9<Twcx9`taEc-NV+J@ z_h+d;sqs|rZ$qoWs`a-Pekjpp{U)$amgCHy34u2o4{y4E{^X5oNih}kuV$`ZV-o*A zcBfhV{@9&)>*}nFYS;bS{q%5|bw+@w((4U9I`5xMUn?iLcb;VW_jK{g0{KrSc#6(D zK6&c}^H&=dFzEPu{e9RZ-q<wZQnIM}n#BQM`mZahObaNKxF8`l&+7Q4-lz$doWh?E z9rNs0S!MPzi?Kf2H)B>&BH!fRlV6H#T+Y{APkQFm?t1njXC{+x^NDq9TYQiFco_Y` z)@EAPmh+~HzU&t-R&P&ceObZKz&V@eOub1-&?4E@Hf=H`8;?D^`axl1oAd^YOAoI0 zJl0g3o!1gE>5YYJ*RA^(CTIQl^I)BbL(}$UQ)h78?y}P9zSjI}g|IsBC6#H40ym^| zBw76!*JK(UcsHlqKH%B%i}PIXhpf36Tr6|?nAJ)-n-fxYsnb6H6KLd^FPbjBwMn2= z^2?lrw#n9}0aJD+eD)UDrn#ue@JdhmwwWt?=dSN4+r(*AzpX{a+biVylo{J^te*Mu zOk9Q6=1;#3cA4m^oMUKkn%u_m_xJT9PS>maL@Ix(-e47!^6=<q6u<fThh(UQ=#g`> zD>p0MUdlY5)oIs4aYKEcwZ4<*ut+b_*vMA+IZ2?;^SuA9I*%1m8VqX#`F}0iTXbT} zYyBCg5*QU{1W0P{s|weCY!#7z(8B!sg}y6O=N>=r*Sdf4rxINo<*6Dsj?@I&tkQbl z-MvK8!@$)3+@~d%!q~<5-JL>TPTPI@v4A62YJ7CLs>b)%nXR!q+KRKi+O<j>*C}y? z-Bu2Fx_Wc^1`GQ;JGHtVc`k|WUNhbCW`mX9?mNe3%Q6Q%W*5#<$@aL}vNo@pxnzz& zry`@z-Neef)gM>fxfjN<(Z}?sVn|obfjb)#tY;jHU}<0At;p~>sajCYz2-~7M$@Ba zEXnQl=51S@ADHc*xMI&jOYwULCHiMO>=9k`#s0daU0m^Qf9BubU124*d-ZCHTAnKX zEPS%tv~qjZ-lwU5i@*4D{P24Db;-WXyxaSnrX&`W@|QVQitf;4+}F-H^DBee7RxVf z$JI{6y_=*~KIdr8^F2l!ev`6~PyasY*+C7Cr@mJM)LvDbe*E*X<|2ju<D31ucNX;L zH5~e?R9m|IPWkziEn9v|ZMHoAx7Xy_l<-jRDw|pNYHr=DxfS<w%j?YF6BQnorq_nN zVT{}G==17Hj@57XXj*-H<$kop(ctgiZ0mi22d`Hup3>DnyN)|czUb^**R}tga`l7W z{@oPzUwp^5DK(*)Ui&o5ZVR)rYt9T*`I)<LZ~U*?j75_s9xa>A75DmO_of$dnv$`f zXKky{e~}+QM}5tVU56djnLNCs9(hcjzPJA6=Gp7!ZuS1I^;FsPansev-4Pou?RXK8 z!Y(-d;ol(F?MH4EKRqI<$~!Ik&Fem=sYXY)ait!A_)5iWnyufRC!wpJ)E?$Gn%`V; z^!S;ny$d@+C+=FlW+&@PzUuRej_>6q#2NmtF8G}LA&z%JgO$LmV~0Ew_ttIQP*!z# z#)>7+4(gpak~)LmVkgt4C66a9$rV&PvLN7Do1Ke7%9Z?^o0b3Z-&=Cz-^|0xh5y{% z&hJpuQGNP)vB`SZRVi1`HzhVb_}-Hwbzc6{i<_sXoo?9}B<tDwqvgS)C^`AtrXRv< zK30SrI63WXtgx+FcFg<!MZDK-XXMsMGkvj{BKLTyu7_Lu=@j?aM>Ci&OgS}S;;ZT0 zcMa9P|KmTqJpbDMZ*kv4w%CYkm%jS!^~hYl-SOga&cxg7d+&QMyl6IYe(C$%)~$&J zp6iS~IwZAp-u!U;&Ux&-^E~l~v$f-@=G5$#ulqTVLq>k?sd%mZS65uCJCVBZ(nPQ6 zFZYUzM@^aZ{Qu0oVjl8~PP45)w*JiLB8SqM%wM^*ZTCN!x_NiDhGEJjRnD+;iQcor zy?0Ep+@v{KF6RHINy~CP8RY)%JJM$LaA8u^e}??5*?l(8Czk7!EKBDve)GVmdH#!g z^Mz(J%z2#lQ`16i3yV<4<Ja=c>lij>%WaMj5sXUfWSr2)=&*#}M&r=h+OrPk!WQel zwieF}Kc;=*m(tlrbDKGTWa}nR+x%9bmgkCOzv`iATgBOm7c}pbB+ct^Ntax)^@`R( z*MsS9Qso^c5uAyxGnX&yT=P*$mp|<5ihV*}V%>H8^J6Z~k-xS7rS_s{9qqrKS1}x1 z)A!6|!H+Xj=AT=#cT(-PldBd?wf;E4(`84K$0s3g>wHV)Eyvze|1MUYx4W)4`=Wzq zsQ-Djk|v*hN1vXudU#vcV7tMlq*)s$dho26BE_Rry_`c;peW#?c)`hlc@a-k?x*by zw!R+Yx6XO>nFgf*!+YXy#Glv1Z!vR_-{p6iBY$Dft{`;|4~exp`pz9^=e%N^Fzs>5 zpLHHLPqr?*aOsqz%ku5c@pWg|zL~A>nt9E-c7v*0SI3gCdHO5d9Ok?J>uJ+)pS$qT z^!K~%J0EdRw%^Pc$~$?p%$`}_mhk+z-Vo1!Nq5=X?dfT(D&g~DN((rSJ=*<9pK0b+ zcExWScGmxwdN=p1`@Ltsb~uCt?9OlIT`g&M_BiL;W4l(GwYu+{nz8%y;?ugfJPNbs ziM{2%W>S7ozdp>rbVc^Z)5k6OjuxtQUoHLjpxY?C^rpY`V}JHD2UJpL9$(92m3j3q zN6O^@yWLgJDjH=)3Ed~tuUgHkN!?=WfBD+(o0pB|mTu+S{V?IL*stY++ch`N`x1Tl zq~wkN91A4Yem`HhPs!Y|@9l1PhV)6oEK3utPh=<O$~3O@oyj-b(?)FiucGrNHy?9} zYrat38`|~c{iMrl{_U;oGPATh?tbVczckxfsdu|gM8E#_nebv$!?{Ij>sMRm-Bo>k zZJPI9!O|lo-=?y>?N0q)Vl>?)eYzr>?aO!4*O#yT>6RKIy>UXL(wbQUPJPUmBAy=K zo4sE3`j(F&ld|*|nSZvpJku@qNCSh;4vy)8n%jI<u$%PR{SK_0F5&m#LD0MgZL_>- zt`F@QI}|GdGAwfW%$^178D}%|ESklwp5v+7XdSlQ>GLD@u9lZAS$u1It)|>GNxbo` z{gTU^TO54HjFQb-GlF9rzL<+2eZgbDC1wYsMD5RYsTxY{3>IguOe);?iPyb~&18|I zwcojypC>smn<m`buw;tRHGvJ5@A)+{EGNEv=d^13MuQrkowcGm8|-Jeu2vVZb_ry+ zuvq{9(97)IM<NPOl;>1;dW0}<I{xg_PQ`%!4=>DF+&A)VRZTgfm=$n-S4#OCjx~W< zX>RTtSKf4AJ8gjjlkmh$6))F+7gJtHxZW#hu*iRP&+%Er4rA+mnvdQ0FM0OMZJAEZ z;%h6;Ub_9>vGBs~)IXg@@}G9!ZCI1i-gc(XK#Oy$p3TfVkw2Zk*C=e@&|7-0-s+L* zSKBL}GD}`Ap8NA({rfMM>?fz5S{?u0Y5LWi5Et*n7mgP`_80d#@p198RA2hs*`v5= zVJh$bMJW}fj};#6yeu26RK4kff=VsJfyl1#ejC?ap*C*Dv)Z~2OJ6?FVJz?ea^^hM z;}@Q5GoSiVA#Zadnf=HG?{hN$nQd%)GpY)veoWl<sWN-p-~01pKJCdq|Ml7bR~?79 zO!=JV-nLzEa&+aI{H`~inPz9z6r%q8s-7dc@1(%DHuIl?YjRY0s`lOb{Oxb`cNV@i z@w~@WSNSq+Q0JAkGvGU;EM)oh{pAm`!CO~ORCnLMy)x*B<Ra$KJ=)h4!{@v>yrg{L z-_`Q5-~Ili?6B|<-xu~h>BY{<=WjCQBUXM@S@K~8!;(*}5vvxgH4|>Ky)JNybA7Uq zs8X)LhSq;pe1FecZdkOdE$&fk!dCgM^%FPG?i5yzKR^9fyh#1AIcHpgHv~SOc<ui2 zy`7VT*Z%UFb;I+;oFxrR8u8K`H(uO)wdv{+wP|yDYpvbxyjgwWXqU{zwCZ5*b8hQ& zUtd?{_;^fn_7B!iby|tPo4h86*}aupYxnkZOLvT>sJ_3|j_Bs)Ztd4JlEeIpuTP)T zH;sp7*;Pl@wQhHU)=U>n3Kdv3XVyx`sH4XvCbxWDS$I66<NCQM#p%kkN)8u>t7}`$ zJt~oOB1K0d<;9X3Yt=o4mXV>qTUgaAUf3vwB|nzlx_r`;u*;f9zgF^BygvP9vdV<* z3!9Ih&w1s!$5eUYyAM<6nys#UUi;;x{BqX-wem>}=g+)<mF?lW;P{$qnRv~sKFZ1O z7#cHozvkYexi;sS+uZxi6JjoEyx0G!*(|d>{{!2p*n2zP&A7cr>WWmcY5eO4o|6L~ ztb8~3Qm#elj@7@;$0|#A8N8V#v|)YJ_Vll@?}OU1Ch$ioSV~V6uwG`*kT_R)OX8%@ zJG^)osWirGoqs0z-tbSBoZz<CNe8kMO>Z#7?CJi&?A|4FPhNm?l9y<hCD)hKDJP`g z-ckJ?&=%h1D^=`uKzj4?+}=5}w2qxlT)kT0Yp9K#sbs~<3&p0k>)sp{+ayumn)T7^ ziKXo)$Is1^|JoiE_GeHnNxnb9eD(Qq^I6QF49-?W@6O^-KBAO<<j<Eo4{hd0>^tVK z_qsyt-_uE%>2JT7<hI%wZ}iUi+-ID7>Tmyi<(n>P%<MjYDjvGdTF}TZUe~bk*n>mN z9TH61;w>ElNrwu~voUL((Fn}gaI`^6xNpz<M{j4JJ@1$lZ}D!n;er2mUfb6FsdIYo zAoIlK5btgW2gArJX|shni)<b*f18oDcTG{9`L!z1oXnicq%)lt@3S=PZ__PYu)E!P zv#+phZClps1xpoPTqybVaUI9`e3o5HSxhFMGN@2_vttV1)LhxOm%KQai$~N76lZ-g zPpn{P326ClzWU6eBf(1zw?;1BZs)Pzw&Io5-Pbez?Jr(fzxwfubALYV^jX@<Wv{}z zQOEaiTsU)G_x{78w{<4E@l5JFc)`#hL}G$V$<-=@(qG>qn2kABs(chPFO?R)d~N=& zr)6@S8|Qs|p0Lod?NhzS{J(QjJ=k6~&h_S>;uU;dagX3s%iHl%ZnY*UyOhrEe0qR; z;kW%NT<`eLpJe#;O#No>qk0pcc@K+z@`z1~?K@-cp(waaMo4ZQk71k#yX$r(;oR4P zbCrBFoFd!u3(LHf7x8#A>rC!=(Qt0%LcYfleO|%xkv5wi<*2>yaFj64?0Y7>^5-k7 zyQS<YkItQM+Pb3DGTI{WQOMc!B{S2U*2aHI&(3b}>)ATxz^Co+EmN6z_lKvPD4TNf zw8X9dlOCONKA{-a9=I&tc74!PuGQClo9+I7Ju_8&@q9fGj<?Mx7YH=+UOqH++GR<P zgm~+x|9}7V37&r}@a8FPz04z56jpzKf8k%d$F0wCRT8hu9`0$ncWh_LmRXPf9&|fc zd~B-X9^o(VG~Op~*|<ya_oj8zQ&!JCa{SKg;A8h#t!l3-=KqPE8Nc@_M{&A_eSXTP z$nX0~;@v*$Z1^Fo^d?;Bv-jHt5eGAE^F#}d2`YH0?+%~TBX8TpCUN`ffsN<oz0bI( zy`B1^R#={s;hFlAb^mV7wb857UZ1FaNK5_u(_ZJbe(Qf+T<~b6vVypkXW{zaXT1CG za=o>#V|=^P;oXDAO$lr6&a?>(pJ%P6kQXm>Xt%{@@hRSOi{sXCoLl>T?hOaAt@fuq zTqa%9$=)$*m44X5gL%y#m^<>=m;O2MuXRBT_fxkMtA(~q+9cEcFE&B<!r3;%q-CqU z8QWSXS9?eHwf@)Ju+2Hv;<ZpA^T)}*Z)p}tnC+Oq(YX3X`G1D9{WDfhV1aExgk0(K z%KO20O9lo85axnyK}<=jNJ)ZkLImwIRIqAgWC&s6<2`d`?LAR81_lNR1_cHt4n{VP zySul4<$ZVe|Nq_Jw|(Vh;$UQEXh?9_5tqsXvk9`<Q6hAqvLFKk13v=;1Kg(MoNOeU z9zU-Ap7+)^(2R|ZjgyU)jR9r?#I4tZxZHS8GcbTKe7j^3l75g&7ySLk$iN%HHm{(M zOHW5Y;6gcb|J!=2*8zWQub4ir536hBZ~rVAC#UA}!6xSMJj2TGf{q%qV^1<LI_j$G zsp}QRWz}|mU3ztTNPO34p*hoo;;TLjz4BhJzq9h>m!+4cd&Fmb7MeZ%+8&c%lU{gd z#c|bgepzawU%T(g&!FetbM$lfE%_-lYx=o8Q+`gGIo%+BSIx;!OO5nH_qqJM^u&9T zzUw}hXR0TrAKRnyY^j01?Y<{Jf*yPK=<DuV@+0VxcZa_0zLXz954~ITdH1RO5SlT4 z-<~H=m+I*kR%V{|Zq#So_vQPf``)$snU$UIm+qauX-~`3rP}&0_fGjPbk931Zq+BD zsng%Z&H6Oyu6L=vXJzNRr5gH<m6`8UcTS(TN96mY$<rUiRaKwNU3!0NP57(W%=2D* zv|mPMmaE>K`e{u|`qDd7->peWSG_YeFMLz%$}-hkQ`5pH#jZT(wNd-vI+ygN*QY*P z^JLegIa8y;lVUSVRj*Ecw1y>p>6NMX*0k)p^wKLY%qVtciRz`Px7Hj<TWYG^yG~`- zq*+s)!kJ<<&w9<*u3UFzr_gh++1i=wTGEypYp1SDNmD&DRWE!~%*r!fQ?&!vne3eO z#A~AV#7NGfrH0y_k(y_``n4_BUD+Y@*sEJxbKR63LXW)KwI$bu>=1hB)vV3AjwNlW zzIJ8g%F|vkVYfB~J@9JK{=4?c_MrP-QDKjwGxL|~XeUO#JmpoT{d8^1_DNHxu3F=g zx^(x{WouHl2i^4w3R@JddCDswY*w^q-coh#qidg}sP340FRbYI!T0<%^%s6O+uA?) z+c;1D%|D0l?3Hy5&)Ij{@A%AYZGYhJ!MFT5^#Q+`Z^@te=kSfav`*kR^9}h6KM%g< zfA;6VSN5X13%{7J$*=k6@Rhx=j^R1`O8bh>%va>+{5x=#-={v{7xN|gEk7A&%dh!) z@CCn1{eoxg3+);HI?j@>`FG$m`&|16e-1w5H>q!U#-3g`;U}}P{eeFRpYrR}2Rvh+ zYQNym!6*DG^#VVcjqDr#9GoHF@zZgJyv@G@AK82D6aE~0#4l1m;Ro{(d6|C)KCrji zEBrb5fS;wF;Td~;-Gis>v2_QYvPahm{9ryH|L5<4_w2Ry7d|oXlYjG9;WYn-KMzjv zf2o`Boq3OZ#81bm@&P{&-sNBR=fFGmQu_&?n61R)6E(Z;rDSI2E?oQKkd&5ImB3T> zfI5Tk%sb_6emdUapYw;|9ecig!R>?Z`D*-|?mE8b`}U@xoV`ls%{zy3_R6w`boQO< zZ$H|;EN3qd3D19!%3db(;N62V_HEWH?lQjRODk8{-E3vPB4v-`th=W=4&6O)lK0UQ zo&18zptqjecBtR9e0h$S%l}Yu(7eed{#~}7=RNnBXY6sDF0C_f%B(57n{Q0^Vm0@V z%Bc}at`d^;G@m?Iy>i|c&Z?jno>^|3>_7LMIHyp?wr=XQzL`8}>s2fTeHTsgN@vSS zbFgl{b$jxYv=8N%Vx~y`xaK?4W+m6WxOj!>k|h(1FWu!i@IzEiP0#FHg23nCqRXdw z5-y%uP|P+jXjQ<<-HSKR-n5{ctyup<&E{ogY=x_LtXsctt#QXD<{PpXZXSHi_w3Dq zQud;<3%{6d%Wim=P|Ci_x??l5g|)}sgR^B9>~kn%U#`Dn@8Q!7U9;{>?l}A4Eo=3Y zxQ6EjCL7ipvgeg0>}tLwJK^1evwSz+B%I}QD_7XXY--JMm+=MPr8f_X+2>imxWo9I z&#ZjGPG%Epi_OhvWM|xDe8#6&p0Kmo$a=*c#;1I0<qA8SPsmQV$@qlt*c*p4e23mV zC}i)m&bY()m`|*H!H(u5vI_4W6tK5hYusUc$j4T$u!Gsa`o|__ed`ySnDwl0Y-&Cr z%kb_&K6{<@i`$LUWIw!3$Y+l%Ye;1eFI%v^d5`Raw+Bw~t$NdNitk;SK`MKh^@`h# zQ)Dl^RXD}B;7vjvdy#d;rsf^87B?C1@ZBqWP}Y23`p3Nm-`T434pcMSn%~&l_>T9} z9ftF~MfnBK*~;=7s+(_1-?+En8`~E18G9Sw@V>gE@QrPQ`GVr+>(Xa-HooS4a%aI; zwl(GvdmCTzKDxtjjyEX(!!x!O<`%WxZ(7161g5g(<t0=#Uy`11Z^2pK8+Q`U^19_K zR56>HbL?e&!F%b>gU@X9%wOzbe9mi@zo3%Y#N48|`Hb|8os7?T_3{%cn~ls@>|uP$ ztCp`&*?dBJ!cN8~yvOc1oZ&rm=fOv|KJ$z{jE{N6@)uMzACXqL_uvCto4Ljw#)rIY z`3e=x2IfDCnDxzH6fx_W-zaK6AkA>^!F#qk^B21tr%8XfoA913GOyt&TX^1r^5#9# z5AGf~#k=ZG!ztc(c?M6}%FI{nZk!^0;jY3d-UW9O-mw*#R}?kxkha*#c!&31-h;AB z?>+aaf1S7GxyrrCU*>G7p7hSM((h1l&^ym^zfHwL?>x7w-<%gxE%erNv--(-A<viG zn4I9hsQA)r&tktKThDWzYt=W-+fp^@m1m(}Q*qEM&wM{8+m~Nd%++Vk3#k%%>6z=7 zRD5aHWH)~yThFte3)LIvO?kHD{A3&dS2mrWmz<q!;_p;^Y35`jf1_fdXP(p5Bj<%Y zTXJf$hW{)Z&reHEOg=G(rSj5@$w%ghJX>;nvW$P0P3OlYM<<K;d)aV)Tyl6akH3~p z=Z7T+C$so76<?Y@dC#0HPgV5Pb1gMLs2rI5&+n7<%J(X|>L2HBDG!=9dBdENrz(3V zfATwIee#rNsNbTZOLskk{We*ze5azResQkIQxy&MvvXC-FHN5O$gikK=#FQ;`p&Zt z%GvfAznFV)p5%vf4(EBw(id1a+ZZ1(ZoVUVXJ+GE$p_~il(B6yUNM*PEl*myf_1Z% zamQT7H#{%SJSb%=PJ3X*Y-yY^m+>`ESo#91W((s6<K`=pduB4e;_*v=kjA#mSYs~Z zOP*V28qV@uJCjhtw#YcZxcP!)!#RbsJa*|1ESt|s7Mx2cW}9W~VBBnMd}2=HOv!|E z4~p2P8EY6fpOOqXmr%qu$+%+<<4j41a|vg7j+{|A!y}jez@qt>q`|p_Lbfhrk2#Ew zc=*y2ESe8V3Y<$QU~4jFFmBd2{$SLsXZ*mZS=X3j4&wu!e`ycQoA*g(%w)XJ^DS*c zDqBQaK`L97@rl`t_juNvS#XMH#hC|rY{6*>=FPh#J!UfA<ymxw;S|raGzIf!b>oiN zjguu0oPChXmZ$%tw(&i8Rs4qMtb6n?d~Uic{$iiPdF~H;6uz^T$0a;x-J!puw(%`@ zR=mUSrkmm?_ANNaofOaTo5@PQqW0h%@g4gd&T&V@ANbXDReZ%hg>&2w_9%R1&5vvN z)pS{WM`hznZm)QUUrlEE37?xx^(AT>UvOX9qws}wfxgG*rt{(z`xbmQ*s^iGaE8XV z6MhRoRZW0PisZJ2&#bfcFVry35}#A)_>B9^9){1X)AcvhIL;Ig+1K!ib+Z118pkKx zC-yLWVoi=yc*dF-_u&WAadDG<4If#%^#f`gA8`xB7yMv4EH1LI;R9>4zCaD*4Dp)E z#_8fEm5kHHb1EGla5Kbz_|CLn{L5a4)7(GeHhgc=(LeC1Nn8Iywc|bR4{-@kSwrI- zp0bwf&-lc&Tl~sihf~}y;sl<u2E-YBXWA)lQ|Wkzd(Iw)cdYsP1<?oJbJc`j*xh8S z{UEk+p6Hu(4&|(sVGZf5JGFOgX0p~k5PR?~S5A1qZl+tJXVy8Cv6hAj>}I+ldLi=Q zYp!Q&4wSMMg<aUibWL>4I)_r$!Z3z()|J{7o0+bN&RKWhESFDsz%HgsqFW*vXN#_h zJotjkC44~|>q2dYSjSnSHR}!(v(D9i5OeSumq~a-8f$vkgq=*r+6Q6|KIPI04@hI3 zs=XlQ;1e#DaDkmnM%oQA2WN<OL^{q8wOMzdkhNDkA?DyCE|KsFJD84$%B(w3z}l*< z5OeSW7fU!p8f$#mgH+boumh>A(P08Rm=1{kS$iO#wO0GWCZ>I&Z`LZD=Gw64!6~jU zVH379?GcTLbet+05P9$}*RngU&s-&!9mr!X)t<14X_u%=B;yp(Gix34SpCBUwlk?~ zH$)%2&2`7`Lp9TV;V<(N&U04yFL=(fTm6Eq<2>O9mX7Z@-_1F2j<dkOpqlBn@RfND z-&i)QPq1~ID|}=g!#9=^zYkSSmg)tzjdO&z%xn0{vRXaB)^U#T0ZYeMocHE1d}Ud# zUQo<*S$N94hA%9+eg~ehWcwLZF<lg1Vd?mS)4_kjGnV=4A8Z_-b6WUssAM`Tyuk9{ zOyQJy2hMOB_!m?%ofe*A>G+gW!{4Bi>7=mByoNKJ3jPMqSd#n%p0V_+7uYyH=9KW? zP{DLmSY;l=N0xSV0~^POoE-iJ6-)<(8!Qh_7p|~$oGx5od2pIA%RGh;EcNOitR3%j zZkf~Yo~2s-L=lsY`i>%|y~0oCGQ4LA^9y*&65>}--lVC%!P@aI=aM-M?^pu;7@o2e zt52{#I9d3}+yf^$ANYMJW7;nGr|&>H%U<Oh#!UAFUq~K&$5G-wA)TedZGv@^wekjI zraOXn`VN$_Y*k($d+-fMihDph%SPn}S;o17d-@X2am2VQq_eD5PLMtLiX+5bAf2Va zZGu&kdHKT9(z!1V32AXLbTE{%ELSctX1XlsBkA~(!^8bT8cUAbhBTJN$^x>DvjkiE z7)n?&?Ha6`&I?xbEhuK0qkKcA@fpX3o(E?*jNB8_SY{~ikZF9%ajIuQ5z7?i88VGe zIF#HS(pV-aOBgd5Dsvb&9T&9dTTsZ-qZ}dA_=rQuy}_dCu%JZWf&!KnWeJ(a2OI}_ z9-QXb)3e|-$Bv$a(;Q6h3>^>NKUHf;XQ|6_yXkYFoN3kO;1v~)7tEP-g-^H~FlW3g z_(t)B5u?F6<pV<6){F-a?mJdjAQ&Urc#q?gTZ1{1w(<%iCN1S1(g&vq-soMB#}ee` zVBVym++ftSQ}9IZgOePO+#aN`6ew3nH{NEivw!fr@gD!1pA7HWztkjrXWk`$;xnU- z{E5E@=JMb8$?%Rn&pzRI<1PLRbqU{?H_5N~+<1fkKwZN*_BeZk=gjNmCwy+a#=oI1 z;Vbhh`Hs(w7V;i{56tFYQ1{>qbDmwouf|LK6Y3V6Wxr9AaF*T8Uf~y`sXWJDh8OIY zY94%Mo+tm}55seIGy4TU8BOFZJ~y7>pYfC78M~f+!p}w{`4xW{p0caiEBtIc!9U?A z!xQ#nH4bOk57j*Q$lNEN@rU6tyO{lgAB{)&73v;*U~ZGw_`~p!oy}h12cv=fk57#H z@-IFy>dD{u)OdiOq3*$Z<~sQozZ<6Uf2d7(&m3vj@RT{+Zo&7)J^T-951e9ORnu^a z{hgh`Q|2=H6~7y%@L#A^IK{r8CgB}(k$lCc#vS|?KN;?@-?MwLt?@qZkGuut%++QG zb~D;a-?-cGj_p$p!+EwM^MZ8dGP8!=jkkGk<Si&;-XcBYZo?b4S2+r0%p0T^Y;L^H zd*)`tYqlpj3rd;SNJrdlc*XW8hv6Jskoku+<`vQwcN=E&&d6I(!n{N}V>6?fbj0Sy zi@Y6q4rke%%o%nynn?e+)9{?l%KX62#<RQ`c?@US&gCc+GpCs~>})*E8<Dr*44am@ z!_LN&ydHT9Ma(H?3_BYQr3E%O8c0{%X?V;gWqx2s<56CXJcUB$4rz-!4G-D4%pG<# z9^~c7V>rXMFXzB%wp}?5r`fjUC=@U^NdLIqaG&j$*@9H&8tEIi9j5Un+&nOq_eHKk zK68cihE0uH(hD{<?&kHm*>IQbm6<{+bBT1vCPoeE8Mhnmu+7U+$Yb_1W7yufop(p< zgYQiH#9!<^Fpv8~oWpt6GW`YBjW*&3iW~26-`UwPm-|87gKtdR#8>QPc*~lmuTb4+ zCEl@@;SKAHm<L~(iuE2;F<Ode>}7b(8m7OXs?kEcp}6r1_nw^$uUP%`A3S4PCa$rU z;U(*>n1-{g*J2XBFf9@fC~myK-4Lg6meo%GL1p7P?t-|4&rGw#9f})`#ZT;Mn8}?G z_uv!LG;xjM##7t@aS5N8CW&|KVVKG75SMU<^+=4u8CE&{2NjLSxDDbGJ~DNQd+cF& z#LB0iP|<jZTOcms15=YYLvf?N_=loKJ@E%cjk@9-dl(+D{?mI<-nfrDV<*FX)^B<f zo-#$~6+C6C5<jt<;U4Rnm<6X;SHwJc#}urWP~NzU+hZrgUDic045wJ1=_!;qs*88* zZkWt{Aojsqro8{Z_uc<pJ@5P1Kkr`G%{%^iXZ`cHHt+85dwbpP?fHFguK&EzUTgLL zSMj~CPitOp{`YEi-JJH%EB`+W{Qu?do|n_@UT&{6+yBfx{>#;x7tM9E{@0v;Uvut$ z%~|))>2{yb+B}Qj_jLNtQ_KIHoc`y8`sWGu&yLp2sINJmUvn(J=BR(o5&N3M`Zb5- zYYwu1j=y)hyi#xfQ)c-O|L?v39e4Wk-+SqmI`vP#+P(jH?^JlDR{i(jd+%Pyow{5* zrTtT}{&#=7cXMs-?B9EvrB3~Ub>ltGH!~UDvwZPMC}-Lwe8QN~M)<_s19LfV%w%}S zlBb?v-FS=hf?q-z(<b2+#*H^P5BN2lV~JBYNM~9nJi)l}8s`STgi@we!X3tp7Q!BL z56tFV;P;?}DNilIs__!%1iuAmS#J0woMmxSSFmC<73P@B@Pg%%&x2y7dBQK|Fg#~5 zQ(s`oXd-N3+<1m_#!QB1EPCn*mW@WjE9NjfWl>XCuxvcRIbkNl6P9B>4rf>n`8+6O z>J!eG!|<3zOnrex;}K2;zXt_OZNeIJ7#^~)sVi788VLU|V$>IYVZ^8>e8Z^m04Iar zgM6ks;TN+Trg47oO~_}8RBK3O3RhcT-nfVJf$xD+EUSDPPO-dGGe~7B6J9a9VG8F3 zUxiaF3w#ptn2Llej2d@vTFhj)!*WmU!MBF{>_6-ld}pkdJ5Vh)U7XR9`HM}$cg9`( zCq6US@SmtXFqi#CCBr-BJo$v*4Y$}Y*d=^p+{C})bHfex19lDPnB(LPo-?lFpYXZi z8v6#jgs+UN_&Yw|xX!u7V6CB8R78fxwhe3|cQv%EoV1h-7|t;V$$xmpxPsrJwqZ8= z47&wi7?<#8d}c7?kNDhhk-fvt;ViS0Jj1UB6aF7H4bPda<PZF8ILn@4$8eVUoQ=X~ z#x%L)gQm$0&zSY(6Mi-r@vo?1c*?9Mukf?s1p9<ah9}I&a?IKmX|3Vr_0d_q;zh=p z?4zA}YZfkAWU$uIgvph4k59{72k(taZ&(5+3Qn3a(aAl?*y_?Fk6z_gNzNjbqo&HC zeJP$6lOB7_R6g1_#Y$-IL<@H-W1(3SO^$c;iKMGsofzg`B<p$3V{M9Cma))FkEO~p z`>v!eF;`wF*Ec0y<?h4-Jto$Z-gxA>tuns!z@xy;N_J&|%B_i)dXA(nIX%(NeUi+} zLKQa~WzN17i%ZidzU$eNy5!cx1ou}mC(n88P`=ss#PZTxk2T6q`&=x8W=<4RcJDH} zG_^m;{?%WP^X_ZpAND=@KJlIVCi%N{DbE+%$WQ&b;@jf86aU$5`rA>yWN*KQz0>Ew z*X~7blZ=G!dekZ(?PamJbjPDWIaIQf|CC3RUDesM(wwI~8s+awdcIe_HE~nTmgkEt z<tP4papjBhmHrTWqt6$gx%c<VcmC}7pnURhzkt2hpNwydkM>L07yZ#V<K8U)x7OsD z@`-*Wd#yhwN>z6DJJ~b+eeqfOe7}|bqdy*>&$!Q&zxeaS`^BgGb?jID(KzS6QrT9r z^OQ%qvajUHcZ(17@2dIoRM|j2vCibz#aaEgYFvH=zI4yC3;KL<cE5{#)L)J=6?OTm zk}uybzTf|$=E>>r6Cb$O%2)pEIPD(IU?23yBTxB?xqRZ!7bo4z<sa6HY@hhXt-!47 zbKtbzAoELiSClWl+rOs9B~{r>dS@L=s<N&0$GVc##kSHb>rB31oYL!Oe(3YW+ipd6 zU7Ie>?f+zV>Gq57%IeZr^Gwng-{{RTue#fD&b?atVBV9o#dmts9-8gCd*b_IE$Ov& zA=?FCyO&8n%u7jMe69bU*`m81CCaAKQ*WLqUVN_iLQYBA;xoNxa$0syeCjq`Iy28? zm*8`^Of#mt8YPP__4=6qy5mu__++n=`Jy`<MaqWKxp`O878^>hz1eZbZL0Lsn;j*K zZg*a=5viCsr~6rqOS;n8PBZmKb5?v-y59XR=1W!JYu7d6l{;6wS27Uij4Sb|2z=<; zCR{mdC&%YSr#o-ul%y`+)%!^;iMc9pUiTZHEoqA`-syf7Go|X{8<+XQOJ{nNEV^*3 zJ4b)lUXF6*J)Kv4N}es++5N<<NadF9tD?ZWu4U!ofjd{6at+gK+U;@5wMsm8C&y{m zNWELTJNVx(^05(r8Mmc+;=JyEdY^W`IPE$`+&508QgBN5q8OL$fit>4>3Qw(__*k3 zw~~I=o)aGz9q&FA(^7G9Mz?~#QnBD;m*vumc~f>weB{<9ZI~xwK5=%ZkGj^~6@`nB z_llWo&CxjL(l33}cgpdMQ*Lo)uV!<UE8XpU=JUjA;+)<)W>vR2@|4wu_vV$9U(6SL z@8+-ObT=bUSwnc`uedAK7w7dZ%5m8~@t#|qw5%UX`l2hH_i|LsCq8vaRa>>E<I5s5 z@r^q(&blrZ4)lAnW8&0q7yY2(z!$Dlg)bT&GOD~dv-^@n>CKMQZqa63b2zr0atqPZ z+I!-QlDYWYIF;Rj(>fj056wAo#$~4X!niMK%9_%Db6G4dK6P&3|Ep{F<okrj&b?jy zyna{ACr)eUk~gY(@nPYf_8)RdGQVn9d|G&--A4Y_rwg;&?@aNV@>KCq`!ySrUjftG z?c^ubWPDn5zS~BAQ_YJ{3(vJ%$lsdN@qXdm&PQ^)J_*cjzh$HHd%`p48T<<?J<hu{ z_?@y@@;hK&Cx`r{rtcG`xBJMSs`2=$c(474jmWcw?iUw^Z|{7dwrjRW;i4n$Ir58Y zUwm75wLMDy(WihH&dF-Kj0C40Y4?z~s^utE3NqzCYZqcYQSOmTyRf9+7qf}et@w}H zvHTX8+sP$==ySlV_8|F5pDxVl{HC_3y5s9YWB!Si8t0s+@E<I!ec}DmDN`(Kv%u`; zXErWR7g(@wlnbez@Yb=6Z&C4u*G}1TP1Pq(Iv4WWR(iZ!xKo4wqHamr!kx`uWRBe4 zJz;X|6TU86kFN`^wlC2U*)?HStBbf)ZN@3*a_*0MThbTayWf6KtZVZwf!9uJxlcx( zC|zj9eNxY4x4>Jc_1vL)A!&-ITlK_^HU~U&n#{d0(xX`MT&tBhQ|yb+Wr}xN-#pQq zlD_a(YuR0~Pc<jrEws|*=G9Z#Auyx$K?eUUTaPluL#;o=jABomb(+GRsJCV3gttx= zVwW}t+;b{(T)`hYQ@AC4;r7-$e7|g0oO6m#5U(nl@XoQ4?^dkFJB9nr4_J1}siZH| z<aV@NQMx{;qgz16-|tdu$zh8qIhJP&^x0D_SG-p+Xa6g^<*9-myQ-XuL`&<(1?TkY z!udWG2|RRcWtUWvGvTP1@QA65|B$stKI1;_AGR-Y8Fv-2pOs~Kx<JF9ugUtvTLpFQ z7rdLI56o*`CR0)_FtvHr3t5-%42Dc8>@AiJGudtI4t!+n<xi+N@Q7JNe!>riBkVGE z2R<;i@+;IFc)-je&+v>fUhctD##p%nPZ^`-1b#3aVE<!#Am8CWgD&$AxeebNbodW^ zYS8AtQ0;J!`GZ`-Q^rs^htvainU~odc*j`EKj9O@E_RnnhAHf4Y#rV)`pXHJA2`Wq zz+do_VF&vj+XJ~v?oX_7e<hoF-eZsQOUcad3`tKKPDN~#K2fybbfW>gk#WGR#s_Rg zMgeymwleSKRY_IY%}~pH^6%u|{_|q*-K($p|5Nrv?t)#+B60`v7g%0n=-9$^h3Cm{ z_9f{Hu9$6RX5@3}NK-i5XvY3XW<{~W`9?eTQ!*SS3obNX;R&(4ApgW6g{@2GMWMo} zMk)45HAWYfJa&j-du23XdgDGGmNbQfjcn{(GAjxc4%dI+X|V`+<j}>e%Xg&0;=+{O zjhlE}QWdnB6D3!ivd{gOsIvC&@~`s27lN-`srO>sUh-4P<A?u(ne}@AEf=5s>7PEQ z{!+k4lRxYq<<0-^*mvmK%)Nh)^X0usd^G>Deaeeh%k~_-`|Z`DO>K%VL5%?$<)?im z=_=OB5Bo~2C%yI9s(i5z+&Cz8>yqUxQ?XLs+h>xla(&{Ho-0;DuRT^PuLQL*ER>h_ zeMwWfGSSby$aoT{i7~P7N}7t9@><Ev5|xV+uk?sm3BB;hbo(W9vUth4i5Bj+WIT&i zOqAzJY8I;)D<}4qSWbHCk?OWern6|t$%!iNT{4|#JSHgjN_w90NOY@`Ia#>m*hC5U zC>hVfB}XO-xLe7bELd`AB8R(_jAwz0fpV?n$<rROZjX#EO`FK%&Ls0PUuFNqUv8I- zgzkG(E2m0wp7scLyCkidud-+2nx2qU6)okvy(XzDyC=SaG&=&_nv5>p@hDQZmDJ2r zQCB|L`^9Y1ZTJ21KkKgizBsSH!v50di|^cb$v^$cao&BW{N0}?&bjXZHEDhazIERs zf3{BLci`OqB>Sen9p~KF%b)#u;;XWy{MNc7zb?LVUnPI^C&xMWmGXOka(rEUx&Ka0 z3aI_CM1Jefj<fFBc2a*mz9^f@x7M-z3Y^t%XaDKXiqFdD`pxVw{oy$4o^E&Q&xtec zGvs6IQho-`?ANki^e5w!^2vTBd!^5UPu!F3ggyt(=$ErE`m^Gr^09s~`=CD>AC-^v z^Vu8yS@A*nP(Pc!&>xO7?(ue?J_Szi-%+#W>EZ+Z4EDc%pLoA`U;mbxBi}FHbC0w; z^y%W%{x5c?esjE6)|L<b*>TFfT;BKRiFb>4^)IP$`5ri>e?g7O_lbAh{q4GbpE&7W zAiuZv$+nB{-S$a;&D)Z$e6ROQ&X(O1-?>$q9oiiD&aK>R(`LbUZri1A=7sDQeCxJZ z`ea@RsKJt8zG(Bs*KWmTMRz^UxviDnn73uu#8+;GW=)#|U%BO*Io*9xqHHcbGcRP9 z;7hk$v!u-zXZ5<73*GfN>$Xt3F>gxR;`6;W=CAH_6fZv8Yhv!S`Qpr8BXgt8g3sKh zOGoB~q%A(xt6@G1)OI=1dm@Kr=fxSlM{-2c79a1GG0(cwQMmYMuZX$V9gf1qhkJR< zweEBjEI!!FV$QVr;`H7<IagAZ^`vueY7{6R=>2E*>Gq0zWnJlyxm&gePV3!}Q<AE@ zxA&9Tq1z`;xrLf7+H~=*Td>)t+bi;vHKi}+ili!QNT1DB*?w_y?<2FKO@epa@}+mi zeyN`L-gU3|+npWXm2Ab|#x1E1oY(y!W=i$Nx!rGKTB<L;b=@X@HO{5_;v3gZ;#YTS zd{es7{UYW|)x|m8G5V8=!R@HEaZ9QK=X5^+HIHU@2k2YvJ#p4`nfTN=m#V<o-8W*k zJX>@T)=F3)zI5k{&r0XJt@I!5$@r{vw);X%$+Jagy3fS4R9<}QI!%1)&J~}OPIas4 zPuipLNy$juG0x<flA(C(&KDn*j(1DxC+*4jsC2YjNZ)DCiw{bNySen0_GEleI@o<6 z=F3wheeu$r9j9HR^|<zMd|0%<`;XqM-5#f1YsBBiPI;=NBObfc<NcyN-5>Ne6$MW1 zUJ+ALesN0o8@*MaMp}uu>rReSuEpXfW4}CEw4-}Y3`_aN+pc-SfBl|V2flZyR(mwp z<Gjmm;g^0>(v@t4pZb-gD_ILa^eeHR_||2s@I^lp>xpk%O4YjNa+E1q3GekYNmshw z`NZdnmEdca)xs<NMADTkgqQk#NmIJg>8D;~Jn^N=QsIeySJITsgxAi@C{eoDdBsP> zO7MkCrrNJLCyE!H>$Fh6HOHe^$wYYWOpRhCW8p-<5=%&1Y)(hfqLZB}>Rod>&bUkv z?w#pz#wAg$YR-wmMaMcN)T8Ernl7CJ>Q-}36f8Q_$)PSa$D=^WK)811iPJ8zYLARA zPU~b+XPWaOUul2mFSScXg7;mjg;Qs8oOTIUyEI!PUujS08lR9<B`x8*z9y+kyE|X0 zO)?U^>k_EeWOVV4OOdecOpQDxb>V})U(6=ncHYnb)9%Xe3-j75<S%``@XmP`|I<p2 z^Ugc@?^d2T=e&deu3gISfVa+D_|Mv@{0^Ago+RH?+i}i$J^$It6JHfA`M25~`E}uy z^D6$Ml^o}sSMu+z<oLSqa{C>dlwScaotN-$t?W4KoGm9+>+wa=l)u%E<yXM0c02h` zH7h<Vo@+Ogzf{9<);V47RLzMq&NKL9?NWXQ%xu?^UsRLvN%3U6lDyJqfhW$%azdX2 zX0*%67uBrzsCcYhOg^Ya<D=q{c0PHdniU@u54E$&3)OI(agLY!^eJF^`wp8ePZu6& zXORC@ed7JXeeGLpj(opx&pA@=(5DMi+rP-2s^)mFsLdZ**>TFboZq+d#Jh#N+Lze4 zd=Hq?zQD%h`-D5r{&HQQ23!IEUfU<zF1&Zz$Ng1rOS<B{)-O6+c29WcR4I07bHF>N za<NUD1>QMr=f0^I0&1RZ=02$xlD_apYl8Tq%@<xf6^j+cdYp4w%e_%=%dQEpoC?L7 zHV3?N$`^BreNm!l&OK8vWS788r(Ch5%@=01x`_+LdYpAy$la(nC2isPRvYnGF&)JV z&$gO~J8ix&v(-r4XtTgGr|I00dLd~GPqk`@&x-LVT6m)MgbvHj3o}}e=!m2(Jl-lJ zo)yzkxbSGJh`3h_N8!T5tvupdF&za954N(1Gi|;wy>*Yyl~hGN?%YU?0>uNZ|HM8; zugF)_<^HI<WqZK1)(tu(sfv4BKZzZRK5@z^RBX|v3wND@#WqE+$WzqhzNjmbs;I$z zR##>Fg~_dt#ELcv+;Ph1-YNT~dcu3hz3gu-JH9K}vcHvEQXMd_`Gd@q>I-w5-^jF7 zUwG@djs2>eOZ9~}j+@x8T55b#xY7JV=1bLuIn6QrlZpdgJFa10E4QR7U{3P`nJHBl zW;X}$TiKpC>$r@4s+>zz!0hH5GFzT4xY+E%A5<Lh!f^rnQp*>g70x$X@jtT3_^fcY z`GQQzvju0G&&ae?UU=#_jeV-+icbosn$`Fx*=T%HFk*L<GkK<9$lhxC;-kXxW-0z8 zn~aYNN1KKCoorrwP&nMo#jj+O@j>BW^8uMJPZjjpOD#K2J4W+y*>HSVu)p~a-z#g6 z(~dRlZ)K-ERnTFNwe)zuU{CW0zD-2|Q=3=Fl$2kX()@;Rm9@ty#}al|OO8{H#q1|# zzdTv6qj`=DOZkP{j(OZaVjJEwRf%s%XWYYmVROS>))#sT=b1j}D3mjnizTEp?%>`L z+whhtOWa|1!%fx`dJE1mC5bcaX0YO}h&?ceb%&nAIi@J_1G^fovaZlmILGurN1>E4 zU#wwQ!)4YTkqs}Iyu=-LHJEWHY;G{+mWXY5!E{AOp@eY(x5ws&^Q;wm3yK-%aNme& zc*b-==fN2!Bk_bZ#u?l@Vj7+@ozhuQ#5jd}MohyKCM9u)G{y<s5}O$exj8mB9A~xA zTTsZ@!yOUR@Q6uBykSSfVO9yf1qF;P+!8Sj510<<JUGp?M`yulrX4y7r<s_<8Fn=6 zXZ@kOAfGWx?7;Shy{svb4AWR+A{*{8eG+Tf&Y;b`ViSWF_m1cTQ&?~4F34jH5_8zz zpuyd+sbMGU3Ec-LnI4HfNMS7Cu83~9%~;3(pt|86^BYTs_l#d;623F+Vn0#LV8edG z_P|``8<q_381wiOsvB-GUyw`q#;}QfMRCIo<^ysK=NRMo4W2WsW1mpmaE*C`T*6m| zRqP$b3>NGjwg+Z2FOYlig&~hGp{n5$^8~pCXBltEB%Eb*<5#F+FlFbkWq84ON#?<4 zhI#BSY#5$1n(;5FWH4d3C~i2zJj0UV8KWM5LS=&y`wAO|r;KX+3Y85fm?u~=JYhU0 z<8X%Ykj#UR41MewHVls$#rPLgG#p`8kbCffp^aU`hT$P28^1yYg8}=GA_jf-7ex$u z>^F)U4lpywJ$TPh$Ns{)VH)!X*@X8Dk$eqL8N&G%lsD{Qejt0`6yqwHhEt61_za#h zl(Da{ZkWP+K~~`u;{ut4cML`B6-5m@m@O<B?l9itdti3qy~94{uY6n5749{D;n`w6 z;hjSz+acqCcMj!jn~VkCIc#UX$roZR@YZ27^GUvt^aVE>6WA9SUwG|M%vL1pan4~a z^G3ccRuf)16tXoL2fT8~XLFK$QKDeZJd-cPO5mkKE?biEg;|Ym>_W00XB`$YH}XwM zTX4S7hW(XHNAZHQjVA0)#usKb8nGK03p{g}&K$`XlD6PfqXzpd8IPg`CmK)iuvlK0 z(RhSMByGX*Mj7@jnU2B*M;k@hy<|8F7aVTnVb_xBC|Gc?k%gVf_`>wYJv>)Z74(>M zB{d2Z4mAE_`y{<0UqP4oBkvaTfN6~zcuG<g_BMWEJ0yMLltU=nBBKj;9fH|5Nw3IL z&}6>IE0U_9!F-li#r(qL#z$;LMgn&n@|k!3{rtQCz5U+*Z+^Cam$&`@=HKGq{`2ZT z{F(gw{M`CCf0}=ve`~+(|CN8vzt6w1-}L{=PxWu|H|k&f`TXnrocfsm6F>XEwqNsq z&A-LJ{O8m^_%r#}`PuaW|1JL>KWo42|CE2uzx-#{-}tln+5C(3F8>2R`@gVX@PEnA z=bz=z*IWI6_$U3d{Mq^oe~O>YKU06^PxH_7Pwl7upYn70C;3zLYX2wxQU4@w^xxs1 z@iTeD|1CeCf0RF7FZDn1Px?psqxC}n9sfN4Ab+@?>%Zck^bhg}>ks_-{8V25f634G z)ArH-IRCJJn7_aN&%c+y-A~)s{D1Rz@>6-8|1m$^-_PGu|KZ=pPySQuSNtjdett^* zn|~{RyPvWz`S0?R{gi$2{}X>dKbgOye$F4}@8@sZ=l%Zk?(uH__jc9a9^Q37Z@2sR zi+7XL<!ydHc~_h+Z~gnhyW-vbZ|%1JzVOa?cmEr^(r=x2*~{due(!l_oGyR8_Q{*e zyZB$*t^U2@op8Fm#qTBWKBviFsrCC_xVitO-O}F^-d#?UH~YQjX8M<P3l?$hwOjOi z#ZC1R`3tp|-hAHK|J-iw?}B%mclJNC%lKxw+5eec+P8yuo)^iVu08c8cqji;yUD*j z-U;vIpINK$U2=2(jM_tQKL5CG*H``a@(%vTcHO@<-c8=Y|H!WWx5T?(i)(f*zj@v% z@8F+NyYJ28)cJb93vQ;Lwrl*&@b2^W{`+>dzcX&O=g;3;yXj4H>U{0rFWyex&VSD? z?Ayvs{8MY+eVh3xdAt8zyP$6it25_o{C2pRo+rPvcHSG|?fsK$AAGC4ef+JOapg%b zKch+gIZw9TjN5%8OStlc6Q9w9!*x2$KaShIGwd&4^H}Ebk7H)*40|uTRUb3zGwg}4 z*>+P;Z}yvwNBMRiO{hPcw0?Ko#;%=yhF#mQS!J*B&v_&?x#EZtpJ9jnn}>YG6^EtH z8MNu=JY2nY-K<wDm-b1$T0S>#)=RG7ibFzu1})RWejVh?E4aiHx1mY6=K-_yjsyM= z7?o?cTyzz--EzT^+v0%3|2wVAT2qs>eX@I4#cxS)>zU{aC#nmG{JikXLXyepl<em3 zmLe(*j#qhQa$E{lJX8>J)IHQMucj2Sqo7pLX`-xEg@C1!3P)Irrc9Pe)&&hGWo;%w z8P{t~Ev^PkEywxg(iC_UX6~wWl)c2&tr5fWP>D@kaR-M%_m52+`rR)!ap-m5*wk^r zl|k=OzF=MVi|CeVt{-%h@&zNs8dC+s#TIVw*yH*@_s}V&RXR<ll-`LMrV5sIuZV7$ z;(9?>>6Fp}ouoX$qV9@K9XnhtB3bSz-4lCQ)^XqYhuos?0@Zv6t2u1jZ`iiHQ~V^u zbY8KDzwo(08DC>{$8F~ua*MtRY-yii+ww;7m5kCifeq~oiaV}5pRsItt@uP{(N}>r z?Gd&uuM{82Fr8Bj;{W(eU`4xyZOd%u8FGuh2rOyODCRJ0k0|cA=-eUabXL)cpRuaL zr2U6Y%X395{)3=)Z-yMxS;ccQN}mPN_!=uaPCG})Ejpv9#qU_zanjjCPU(|C3Lj%- zhhe)wafd;Bg-y$2MJfJ+6&**NHRO~&3Ustv*t9%U<l=X%=s4)iA;)w^ai7eg(~7%f znocWjlTrF0(9r(Fy5+v&FTRCO1!~%FSi4MfPOv;O)%k_2(tCl5_6<cHTI~ypI(9qz zShn0%e8s2uRG_52qliPJeTH?*9mRPvO78^x_!!GOwma|OeN-;6ula@Sk$H|E_?*rw zl(8?g?yzY-VBB%X@s4E6T*n7|kIDqLHLs9md8?4du4vt1)!ZS=@<!nW&!bX-Vz!4? z9G1-)vMjF^!q^vDbyzev7<XK8+#|{IO2Lo)VVc0QW(`@EmkPIdn$9X*<4GzJSkxR~ z+;PFNflukIf*t!q%Z_u71$;@x0<)SOj5~~*Psp^)bWGrTR3tF1S;M&Flw$y2Qjx%< z<_;N_nT`&8NoN#}@F<;8kYj&n(Q(YtfG?>~psU$KhUJk0AA6!j$00`nzN7+yre+4? z4*lj2Mjd+14~#l=n>l1y9w_`{duZOV&oM)i<-Wo<wuz|%5p0F20#(f?q*?AMtl?R7 zN?`@hqdb9NwnX!eU5*}-EO!+a@i3iIc*dq^-l5*yA>A_B@c{3mT!Fm$AAg(Q%UAv1 z@SJ~7{e{o%ckN&NQ#>#K;g8~X{_=kb&-r)M@A%vNRzB;$!|(Q+_9y-=JSU&@pW!#V zRei<Z!*lF+{Bt}fANBvhulB3<EB+~-lYj6>@hgA+zlLA!m+g1_Y<?;4_21!FyIFn0 z=XTS2iNDP+<gffu{KCJW-s5xodHafg3qSMEslV~3`I-EMKM&8y8~sms#y_Ke$Dih> z@~8eR{KP+{e#W2XC-O@F9iH(|sF(Q6ZdlLpx&64k#lM9g`FrXk{xm<57y94uqy4bG z#J`0f_*?2F{xm<3Kk(<_Y56^W7M_;h@h9=LJkx)MAMN|?fBaqeo<Hi}f$!~m?Nfd- zPqUBt*?dp_)4zuA?ArA!KCx@n@A!Rqiv5kh3*Yev{d4%<u2J9csePyYiN6m|%0K$| z;0b?0eZ}wQ+j4c^AM9?wXZz+R^Lx23ZxYM-cU7O*%x+VC;_l(Owl{7vzmv=Rp0K<9 zmhFXiiDmqos#k1ozhQgeUE?{qxbFt({OhVGY;M11yWw48DgUbKj?L^A)gE^b&$eCg z?qLak-nWEZ?U!sPyjyry?#7$MvvO|V6?U<kR&(5Cej#`1&BJ2;dDSoOFh7?w`@Uc& zyGgah=JqqTGj1|Jlhga2u(RE$dc_^)r*dlF6?V3tu$^#|`H9@IH;!lI4!wC;$lq6; zafkV_oY?mTJKB%fD!hAGz~5G_afkV#9NTw=9qb0xKQ^)JSHIZAu2+3yQ~Lp1hIbG1 z`Rl4*+-{y``{8Y3K7Zu5hE)FWZwt1!@3DRG_TVYGRc{(k$-Vn#kjh_Hz2bKB6x$1L z6;H`6c$1jNUsPSOseOm7#ZBfra`(PHC~LoO{o~%k?|jwo4pg(-mfzUh{7&}M9meyr zMehrq^Oe18sBXV)edFH3Z+u(IXY6f$Bm3%(;y1nx<qL}2uUntl+5B4e$(@B?`PP(2 z>}`G}`{)kiIoY81AD;28D7V<#JllH4y@g-+mXv1{vzwJi6t`cr?zrc8R@Uh~Lsh#; z`Hwx#&t<LNAE<0UYn^eA@vQ8*JBpwA(%v;xwx70+xVP|(tk!#n%J!4i9`_VK@uj?D zsBAYZ7btEwD6iPl{8(1%{eg=1qt+Vt6hHEHlw0g+ekjZJ-l3xXpf$%m#xt_}?i@TV zyX#KlY1wUe6hH7al>gY>d|&q0y9H1AYRYfycAjRPu=DU#>lb$w-}6<JZzyWlDqm34 zzT4VoXY*azSML;_@|Bc#6tQcR&)D63M|R#F#dmyu?-<J4w_EQx`>>pEU-65%hv!*- zIOlj?rtJ9w>vo&s1IF!lEbq*0o@@Ew+`}@yZN)3*GQX8cd#+&JZdKedm-&s%i!%>P z`HG)Cuwu6?&X~*mS|;rI0;_h5;s)dPE0%j^GQX1Xd;TDeZ&|U%T;`WDx6U-4mAQ5% zv4n3?ae#6A1<QtWif3i)o<Fc`KWABRF0q(zR<VO|yK(V}In6UI6V5#>;+s~iVcdSo zGT>Zd5#OZZjycRTEgjA!o{>3nM)8b{-17$(?Z+$)&LtM|brpNeVSXgT_dLO({g9== zxx@m#reX%;cKzZHM(ujV4~*J%i#g^nKalzN?16dvKFf@m%=cx!J)4lq7xAnhm9MJ! z#BAn!GHcE(JSDT@%)>ms;AaWu?Yk^JW-{NES#*Z+l+3ed3g+$V#T~PoCtDsk`!JU; zFaJkv^Ly#4`x~C~?#aLKx$Um`i+zgcr9bRZ{LWi`FX1`wj{F_9&2Oc%?mPT$yJ>!6 z-@<d!N%t9kvsvX=)E=H=zGI)`Iq9hT2Y$6(HD9q$@tpL7J&Ip>^Y1nMYP)Q{qq6y> zwAX!yUu|aj37^|c^CfDVUr1lsqxgk)LB7Z5w)5r{`xbuYos)l~rumulg*^|?NE_Wx zc*Z*;e@9L8Q|VKC7JlNLl0T!S`H8gBeTQef6Y?cKvl-@dd~Q2#Zn1CSN8X<Nh??d{ z(n9weezYAnm)N)P18+;dL{0Mp=>vNno|fLTXW?n-9eWZ_OEcYP_|dlC{Kwvf?|Gx{ z9r)h1*F2??d761lW%E7hPxl(WvuWqA_{64_zoYu_6!RN<7rx^Sy65n{O(VbIQ`=7S z6MG+?lzw#Y!4uws{EF)4+fsGcAM9?sXZj|R`MuPaHHqcCyRuJgX0ypY5qo&9>5WL{ zcT#!R6Lz=VGQF@av5a?9_KMAIH%t$#Ydj|vcikYJcU|^`&286AH>^u6<z1ECv6;;x z+avbyY|{nn9+vRtT}#;2cFA<Yx`k(@ZmdZ>E9G`wVHcZeHb*S;3#m(M9v1V?%YG5V z{9MZH`huNoCfOF7+s>HIh-7{yrFT7HXPZ&>iWugnQfk)~cD9``oe;_VMC#ZY$1_rg z);uia?aR)HVSX$nc74H)wj-tr>mC;Hwq<L?Fh7)HyRNW<%^>^7CN}--7n|7hvTtl^ zJ7CJN?qNP}UG|IU=4qxM)+XljMqX=3<qf~KV0+sh(+6u0o|0O%rty^2yK4riyk*%d zqMN6fURbMmN@~HH#5~@j?21ioJ4`JineRy5yY`^0?Y{Akc?-YuRG&Lg&1Rc^!?yXI z<fl1|=Ov5I7d+=FJJ(R%cH8*IyoKL*wxrLnZGI#9YL4PJo(<^>ircOmpRsIyE%{{5 z!mm7Q(j#n}Ur9ci!+1_I==_IgJS);IY@25r&zQIH3(u1DjAAyk^oZiNi^d)E9M4KR zooA?OGfDqp)BIf0>imJqwzI|=^BB)co|~iinJ4XBLuK1(<A`|+&q!*Wcc^STY3wmi z@e@zVIflwM!*qe-HiPsEo94%oQs)m;v>i3pn5X!Wrz73Mrum^H*LjDEwu8nT^BB)a z?wfP)wB)Wiji)8I%~AZo(~$ndy7|83uX78Y^3<f?uy&qioM3r)s_~1titl+U(l->f zX{9eHYTIq>W7&LH^3^$or#vO;9Yt&!=`*aG??}#@qxg=;?;JyU+jip}y${QI_9efN zJv`6wL!aY$iL&DhtlMmo4;Z)IF}x$$JlF6+-@`JVZOJQSncqsJ9ape!vr6ueWqu>^ zqUT{LPw}w_R&18Z8M4f;CBlv`uxhhNZZK}UVz@_=`IUs<@ds%<%aS!@nO{oW>S;VH zajhq@glAE5fN|Re!-hV^vl4d4A6T}XGc4#!EasV&>|oqxoP0v2d8T1P-@_uFX~`PK zZKn(a`VxzHCM9>sFwZn}=u12!aimA_jD+0r2NrF|3=R4c3wgSdJ!F_4N$?#{uxLAE zDA1Q!z|)k>VBDsk{K2SAFZqE{n{G0P4D$ntf5#q}x9u~`kYv6u@$J}zRGx@q1*tq$ z$tR?l?@6rbS$IlfMbE=Lp5S8%=54zSJtUd$N-XMOJSFk$n1XqmdUA(!^JK#Vy$^GF z^5TE|ZF(<WwSU8N?mh7rKDXZ0e^IA+Ui?Fi;&<-yeF@LGcf{}b+w@jEYrn(q)|>h# z>K2|8PukD$o7F15;_sn3`a9|z&xuFvKk%#ds{V>P#dG2hY81b6=kIIy)p}WfN2Eg_ z%R%n?TIPQ#&t9Dho*%CBLUXS`>gpTZ#qld{ulmXzB(`7R`(%YFx<Bd;zU3~6uQ1;8 zAZ7I_?q%^Bf0<s2y~~-Z$-Zr3U>WzS=z?75U91;$k1V|FH1~$?nVU{89*gO0FX(ie ze0EN&^*)X8&G9#HGEG0Iec5bH{KP!RQ;9MU!q>$g%}eB-5@Hp-;Wt+qcgZHPWf>D^ z7w>A_xBlLdi+i(NdG|W)(enGKRjhVV`;4}6Ku3JWXI8WLh|jGT^*ibu&x$+kXZY1> zV%%Hz@H6*3ajT;-5B6;M$$D0Q!AwoR#{nhXJ*Dl{O$j?f?y5H3oAP7ogR9fmvmIWc zw_NM<)Z4ei3!?Y_)cm4u>c8-x%CE~AZ^BCFN!0&JdbaZN+JNm|wO(IWUY*ZYuVhlw zRk}Lt+KKh+-uTunJ$gE1a(wPjt`DpC%{Q9A=}y<Vu-Vapc2|E~NqU$%YyF3Lw_KWk zUVau{75~w9;yv5YUsLafRffzLSbHa+b*2~3lc|$0&ljo>nsaB>q*EU>&(Ggu@$buz zE6>Armu=gX99r$Ved^nA|AOsOce8q*`8W9Ayp(q}P5aWyN85hg>AL(`^Tu)w*Y(S6 zZmud>bz*H;<jQ3}q0g<Z#ykq?h+FpP_Ng;r=?PDlElLvob@fh2<USkMwAFW38v0Lt zSo<pQ^U5P@tLn9iXIqNKOufJU$-Ga0IZxjUFZKWE_p)r`tgVaoPMY+4yXd_2e~!60 znHfcC-pMM^c6K?cX|>vQyN~h0u!_LcRc~TicZbG@z8BZlDB2!4-Q81eX|$=fmE>0M zogt|!p3GeQ=)%NB(O$(X&ApfEu}c5gC6cvz>Vwb?B~Nk^nX9t6rdC~<w$Q5I$;4Lc z-3s+$cgst>t;@Y;AJ7axXu0acsw<1x+Ou3+U+wjpI=P^HMfeS?&CVA$@jbPgGH)@< z>bUhq#ZzB~E-#FE%2T>)<;-WR{LimG719`I>L%oME;Q|&Olx__z0izR*FqxQVmq0h za+j+2&t5Dh|My+rrq#1-PQBGSu0Q8y(N#I|gRA${C~oGCSANTC9DiU>!B5uH+^PEl zG~Gqb`R4@%U)->PdrJI_KTS{k*V-Tb$$8FyrTxTzA-^ua@?TX{m$dg#<}cy-(tGBA ztGl%5d$9c6`ETYuDciMqvTV$~^K+lPIg+M+{rX&=z;dtN&68jGt+w9y?n|1w`BLl3 zcUP8`E;re`-+CwSJCU^I#{JfTR%TfzUthkHS*-47;9F95Xm|7M+sx53b_>s(Yn1=! z?#cCh?|s)A@2o5qR*<<mn<?EX^l*!MzR^S;r5VQ2dA}|%R-W^6d)~4e`Yv^iXT%lu z8$9Dq+9&XgyFb2Qj^`P1sr?6jv>w&hs8jsN-H~#v=HZ3!O%GcS=?l~)e&B90{#wU) z#-}>%5btKr$jG#UWydeZIG;PIU-PqRx_-$|rs*5FqxT8?U_GG!r}p4`b>sLKzniAb zm)n2fd&raE`SCY?J5AG1_<3lm{)<}0_uLis8~>?1=MLLf_1kHxe!$N|cf-Ti+^R`D z6}-hh^X954uL`t|=-;Sa_)dH8`XAd?{b`!AHfq1acahirZSftSST*8j{BF7<KCedc z9k<^;hVQN0^>^g9?rOci+^=5fPSg9ajrO{EQ+KxB(|vQ3>3!JN=nI=$@9MtDQ#>#B zAxE*CyL?;1^OfgxALKnO<K7m%;x5x$v9#?9yIZZIJMJ>Q5qpvIu#~%a+k;)KmeCn^ znO=*9ZC|jf)groKbL$n|JvW(NiTQ1RkjA|%TH`L$OR-xyjc3KK<s_DHFNzM>+<HN` zAy4tFnBDdVJ6q4`7UU%sbI*!)*xYIyed12jOx=XMheh1eqBS<Rp3)7-ODy7^6y0%$ zX{N42Ug8<CBRPs^#N@U=*wK1S*B~#kkh?3|;||j!F~02yJ6aFv3gjgga5qIWY;M($ z{;;W4FZ#izR^4chJ4_G6{%w1(y>*{%#!aUCV&Aq+Nac>$R*=eF6@B71(><{@ISWsT zt;l(p#~r*aVSDQ?U5}egcf}UvFrE^7woPGst9o?D?WW1P2XY_ga_7bWt$X@A^nG~s zzDIw(&WG=ge_1#6xwcLG)4I~<+Sc(8>q>u5eH*?t{$ic!@2PLXOZRpC<@%;=6~DL6 z^ttx+^-pT9{t|s1zB+zoo#=CIi}<B=U!Q4TS?{;M==0Q<;Y;Hu)?Iz3Z5F@wXVw?( zi|en{h+18_>(dgxV1NA5pRYb^pI>ja|IweU&)R3#U#KZfQ#-oesQs02>ZdhbpQg@S zy@03nyXZ5YjXt}=r-x6ApZasvC+$<~)%H*NqxDJK$arEM>(8q*)*q=6eYX1edKq@* z^-{}=K8rpMD2dm-7gE0T$K<!Zq5Hi4y!@tpWS@3tczg0bqd%)YXdhBLv-;gSw*5kT zn2Lp8gvYyFwsC8zdiqrJ)bckrrO#L1S--31=u^$R^N-kEeYRRRp0$qkN65VO|Mq?Q zz3RQTuD`FH>QB-8;nne}Ke<kahwr;ot@TOkz4o5<YidHDYHP*c^=17Y^3s21ux;h3 zuPZI%eRpn}t@UpC>E#vkH~m?a_v(}8rR8UATAyj&U%$kr^~aU>!Lx(++P3aA51ppH zYyF}c)~Bm?u79$x>eG~2>mNDoFZv{UCp<qmwC<@{=**RR%NKnLnYD72Pim^xo|T`L zU8?3PS$%(P&GJdNSAAc3bL}^qsXwNu&0Jft{nF;E?}Ah3No}6`F08U{(;cq!!Tr%s z?T+raI(O|GAJ#POJM&NZTuohdV7c4&U3X8Ft-dv1Yx|<RS>H6Tu1%TmRm*iQtaMw~ z-_Anq8!MOOxTde#HUEH*>C@#7diJ$9UzM)543@Q1wGMq0QXYKPcI&RGufvMAWz}|F z?JCtWj9%*}YAO0OBzf7aIb22BSJytuVST>*!rGwiRhva$`8WB`{kJ4-l}T`|-_{*h zUxxGqn@+lU>a71_`%b&oT~l9%^xJRr6MeSaG<s^D>#k7gTg$or&b#$_%3J@2V8y(n zX{$}6d+oOV5P28e<-ga?HC_AS+ABGtzeL`IEr?!v^VQ{3pVhU4CG)QSoct_oj(x72 z-PK>hkNi8MbMuz&6rHv9+@CE!LY{}sjGj5OD_=`LI?-=w>Z*M!|1iwYy7Q_?`}EpV zIiWj6pN35iF1+dWN&V<rrR_?aMW2KvZxh-aI%BQe_M$th3bl`|726(kN2^f#$XdSb zMt4>fXdhb3)^AlD@+_!uURTj>k#|95(=OfhD$u;Q{LZ|p$w@J%P6wB`doFWKcKfn& zkG6kmWfuSG;DBkXHcxpIT;N?B$#o{E$(vWtbw|j<pf+z=z1AHekAgN=P3yWFtMy%T z&#vWf=FR$aC3foaH9Do~+6K|JH&2}oi{18U)75EfnYJ_CdG&t9>9v2hy}IpnI;<x8 zZSK@mZJp@Yn_l^=_pJS}ZPTXEscTo{ly1K|W$l}7t8ROp3M+|ry~%YdtT_5)?$?yn zJJ!z0VcmZ9c358c-?*pMq3=Vh*FD<nbv|@=_{+Gd&$VpApT?D@uROl`jn35{)l;4Z z^@Tr-E3KaTHgs$F#cQEw<5XuqU1jC{QrC3XmC2_Te~~#_eP!O_s{T*5ugWyHFTK}y zD|XeHr$IBkXIgF+nYGl#a{8;7Q^se57I?SnE!{EYN#H8?wQ@(RLRP;HEbgm{<$9-a zV{uads^Tec0yn!~lnbq%@;0z!TG6JEJApI2PwJX(7n!njk&bJ5$ehJbWJ0T^ybR3i zv$Ey-y29LjsT^y%rY5MVk-pM&wf9E7tEaY$JP6$wesGtbY5L0ROSkBV{@5-ued(2w z$XC9zmwHUsD&2hLWzY(5-8k2D%^OQiG+*+yZz>j<x;n1iskFuDZEo}P6<Y4BpX8=m zT$#66q+iLz=G4i6_2FlCp8Bd~8NM~{Xw}tMfj#b~aZ9U0=UiTVs7J9l<Vk43I<LL2 z&W5h&aOdTFs-^yQ)#Zf|>x+t~JP2%ce!25hiN?9}S66$jSK7PkY-rB9sN$<HLKlUv z+^O|N>%u}O*Y#e-SDy#ut@~v2DsRQb)mH6Hd%HfbI=k9ry;JeknX8S~8x@N_3!NSw z`6}_Ib=Uh<M&Y4xu9YJ9WbQ6rb0yBSv-(Q%6{VHy--b>Kca3v>rgdWVu^827s|?&T zJBy~=5A6@Plv91W!s@`{H|w(YbbVZPbhXHOuRUBJR~=r>vtDaY*N0UHSF@~VD!w{> z^`4lkPqp;Ib9ZWe&^oaC-?~q`SH0KL4gVOswSC#=WtUcO%5low$#pt3yiIA3*ZWm_ zR)0wQw8!&oXxOS%7HyKN-Y+wplA5<^PuHoGt9PHgNw_*?X3b2W&oQaxp?5=r)-9U7 z^7|@{aL0>5rslyaSLQwpEt(T<JJa*ysvTPD;Rj>CmQB4KvOoBz-&O0Y^Hx@DRk`=& zX@Qn)@LRv7)}ixOe(;%UeRb~2H$JV_SKo$g3%=^-YJK%h$fn?{GquXJZmfLa^VRC= zoRu-lCmDyn4p|et)^BN*@V$`2x?Ouc->d0m<lWlS`FWYm`IQg;wA^N~3Vjul|7FY1 zB~E)^p79N=`z70zx9tAxSIeySp6q%Xl2??!>38P$W!5%j%Z%o(D$%;M@|Mrhv{k0T zy?&}zQ)jJoTF%7EtQ@_;V`|!}^DAwZznarkyz1;qljTmvS7)v?T5e=4`YdF6aHL;o z+Nx74HI~ns<5jfk#L5$YRMNFhtUTtUnzqUy*w*i<Md;&@o?u<Sr52%&LOOzF{ZcJL zABMC9^ZKb;h|XBK&*y3CD!t&snOUbp8iN`AzM4<HA5t5fIkPK&)!vnxd|FdiX$Qab zooX(6FC=W)DkIUUE8o@K`V{;iBzW1T*{kxjG=netil%C51fTU)HNQG}<)dXqMxu8@ z@`HEUe*Hb=eehoYw?8xAYu;P_#b)d8Der<S=N<YS@-Dc1-losN)0P*^-}RUCgXZn| z|LQ*dUip6It>qc>XVrRrTWMwg^zV}I!uNtV`X97&eZKPg@@F<re@&S)Uub^T-<KaW zug&MF*ZR}>Vfn%3Ve^A(wa)oB**E@tdD_3n|6rxo*Olh>8-He=4PIftvhvjzO>_U5 zcA>vSUIypROZt3e)^fM`LVq>S1ZUL+)o6Xuys-R|&DWooAN%*&oBn(9RP+3DtNN&# zuFosa&exfLsfO!paQeJcHK)!5&+w16OZ^!#bGg?1MKxKUG*2#9syF&9{46+SUelkI zpO&9qe#}Po*>WTQ*2-5OHIFZsnx9mY^-=Taa-sQ7HLpHs9-eP9U+YiiXU#*)+2#w? zaGeQ`pZDog$n@nqY_>jKd0;ui{9o0l-ml!Ze2dM|?^o^xN6tI+>B`jQU*?^v=6bKG z?H^j%bt<^r-?#GAyOq0^FR^j`9x`S50vprsQ|<)&&+Do_buzfXf3NM+ZCBn0?eqSs zw>4e!-qJ5RTX#=+7gRaz(B_bLLFLmnZ5DYKwB7rrUg&O-w?Uh|PwIuHue`A|Vfv!Y zS6&AdPb-S`Iv2Fod!ydgT~l5K6;5l~9P%nCf0|S5s}fCf@0og`yF^|F<xWf5d}Y>B zx9LK$UT1?AdN=A#O<Q?>sm=6PF<r$g&n`8Y?zH*J%%w)tjW&xs3!3g7sTZ2I^3+m| z>9b<IidLRjdP0YF=am^tkLZY|tvtR|W_ngkSK-Q|OGT!8#c&m_JiL@=x>ihA!ODY6 zS*9~>zA}C39-XVHntI;3ky-_s2bTVu_9=Q*zNW7CN8PR4L#8d=pi`Qvxp(QOX@{au zoeBz_wrJCpyFtOzHbt+>)7137s4JSPso{NAS9SZ9$x9ziE7~M-Cn(>0r|j42DenXK zy1%vT`mSN?{#I^jb;!KMA7rLhUzxl3jZACxmA8T0+^@>HR$qA&xXJyhrPeo%8;f7a ze66}NXK_sbq~eg*fot5?$}O!5nX~wT%+#tYvlj>STiKpE8@SAUs+?<8$n3>8WVSwA zadELre^7DAi@*i$OD$i0);Pb|s{fHq)@O~gi!aEOK3j2S@fn%c$}3L;r@2qHT=hxg z)MB;%Nj6%aG>qIG<xHPx7`nGwzWS(fe6du2l1<h}jiZZ&`kicEeb6|(n5$pOChLR7 z!Nmt;zCP8^cQ3W<Ivp6@$7RFyVa5K%fBIfodz}ufaepg2^{IxAd#t6``xSc@f9Ts( z6f$-33YpUKD^nJ~>04#(bt<sL-PMxoRA90DN!hPYR_s_jM~1ch%I&~B=f8YUtwY`i zRJT2n^*SH0+xaEm)N~CS=cjz7=^EC~5BW;1r@Rf=>U@#U)OyOBfYP=uS*|h-E9bp@ zrs*2j7e3*+Y9;bIV72o~KGAdy3+JVLU(+<MEc9zHGM@4>V5##&zN={(X3lFRvr05B zF1*4cY9;a_AhYe4%&FoP=N4MD-;(hv)-Z9NE2&kiVeFj9S86%sX+Ub*Dw(dL6(<*} zw0FsLoe7xW+$-sICLpn`O6FAIien2U+M{H=3f0ao6l!<+BlD_2<M2YR{4ANSf)xiB zva~Z9Uzxse56{(94L#@FohLsoJHC*qooR~9tM|){HaY*~y=p#LHSL-2^qq@0?sd+b zsmb@!XQ}f?-mT^#(-v+x^Thhf)P-N#PDyj+t6em8j+~kKsbGbcb70{VnOE<YIj+z& zPnA5Cw_?}qMLeph8oOq{`PSBDBr;{;v$jdnuTBOOI$KI|y;D21@Lpcf+{~|P+h;Fv z*m?KM&&%)q_t}5_w?+N4`n~yI?mVfytRZdQ)nnfIZ_Dq=@BAz44t)-O=U-m8>9g=V z-_W{Uwu>u+pZjmKzxvPR_vJVKo9wUt)cmG?WBv=9u!N5<zb?15-}z7F`SNS?BkH66 zdVXDQVSn(a=GW!s_6z@&{F*#_zE8c@-_9?~&A`PZsLA|q$+P7b<~!7TeZKtMf1Z8m zzau{{Kl7huzwqbFGyXH}Xa3~;y!`ZhoqDa$!B73C*!%ud`5FAgKc%kePv#l_q&lw8 z(DL)hkIRqzyX;l}wfwmJ(7(-I^q<R*%MW0sb6nl4Pr}pZZ~G(iL->Jzz5UO>SH4f4 zHvdQ6t>2ob{UhpjeVTmFztaBU-;}4zwd@0bzI?Y_)Bfh)knfYH%zshW^+|Zj{AYEO ze!o2FUubXnlk?s3?ep)H{knUyeEGe(-`*VAJ$c^TvhquJHP8E1l%2Z!@|<5;*`d2H z%hYer%_?7XH?vIr=G<3rM0N|m@hd5-x|?~<Z=LnYn=4DzugwiB54x*)&aa@%>+Z|5 zek-i!zI&3UetE7}xzgR0XZ>=@qBdWC;kU?o<xR~J^$T+^z4@|p@^inr)`jo3?410} zFQd$AbMQ02w6a5YUKXjJo_p#|$WGy>ev_>|--+xLo;g>cTx#>=8FLT4`Lbj3W4~T& z!*^SDOn&6oX|4FKWXI%(ey!Gm?@V?~e&Cl-_G{DR>2r6zIg+|u*P8Vm%Z|(U{pzg0 zzFm^4zHjcgvQwLcr_EjWX36&8dwvzxkvCVK@(U^3bvyHvUzzpQw<@XXyXG!>!;-pu z=iDb{RhxqE`1zGJ-F}&?zI}FG{-?bwzb~^j|9Ef7^JRBum*k%+7M?e|D1TS+<hip8 z@^|e$`EA*)*%|q>_IiF>W@Uc#9!s_G+}UyYvx+Cb_AScG+S~bc+11%0`CY}rb7lwS zR}~At@?CDebLYt~%P!6K$j>Sko;~~89h0ibFMPA|xb|{>S!QBhd+$kQ@N?fe=DGKl zR0_|UeeTYb%E>cl8|3fWbMn(NBlFOEE|r&`_)ao+z31{w?ZoV3cT}D&GcdQk_oO2D zv2TyL?!6@y!H;}9%w_MTR0KctZ87J)r&1w2WA?r~Po6H*GcVkkdD^$poble5^2zso zYt1uvcD`S>clM?`El-zeo4>p}rCj))Z&=={BH^jC-{s9Jnta!{)ZBAt=euPZ=8iiv z->L1KJ@1Z4`Q*v7ALLc-KAF4h{>+;6S93GZ`|L4(IWx0d?e5G^XIj#i-I@9BOiH@i zotb&*o93=8Q@b@YEq&75mFIjm8Xr98lD_Qv%x7nwSWTWYGb%l4Zf2?4)tQgZu%s`$ zGV|V<7OTrIee%+b=B_MJyEOCGnImb-OpSZbsaQ>(HPb1bX|Cp3pZUg>=dM@^Klhn! zoO!M#ZJDug>baCOwKFsI(l^andB$g|ao{-<%gIlCCK^wi$yv0_(71D^<{6)UW6N__ zEQBBXbQ^1)n_?mS$fw;{@?408@I#+wW6pCdY0LDDD}S;?&6w7`UT@1fL;W>OuC9f< zE_8JDoZ55X!m&LYTDm$8&DeG3Na`|OW7cyl7MJh))ER$0yChX@-^_1mr;LQB&0Ke8 ziFxonp9<s1nJZ8Egrx17oq5Wq%=qeAl~lD|GZ&p<NnN&c=99E4qu@I}erZj!U*@W9 z*ZvcC@H^Mu@EgUf_q1Q^JoHYqWc`HaTovmkRJU4(ZzyKHqkSjt;5V+V;S2U2dLx>$ zKHxdm#_)!{OmnsO#3h~+jajeooNH}(!rnu#L_^jKJm)G{H=(N4JltXLp_ig}Vh(=c zS{kmf*J-x)hMk9IY4^lAe&NbmCs4(DL3_#0L(fHR)?cV(J*S-$=lGc`V_m^Bu9@Km z#jIzvW8w~e;!0f?P|12q+b7QP6W7FWg<{qd+C4j)W@xwUJoH#pX8nZ<)??Z_agHCk zI>R0I9C|3qvp%4L^^i7CoZ|<sgmoXDa>cE?@RTcN-G--Jjo}P?4&4|1v+hDUt8Vy% z-G}apZi-<%ExIno@jX{%_<`L`Q?)~OGELRK6PtKS^v$|}a#qdo1-qT5XglmYbVu~b zx(`pd3d0MESa)dei9MLhwLkd7T&MRU-+UU&xvG|Jux7oh`D7;3Jk2M556_9b@nJ0I z+8(@NuG3qQjO7N_tT#2U%yfDqlCaz%oojvY3}e>onkQyDy%vdBzQKz1s^*cIOmj4s z_&q!;60p3$iq$;WV6M|k5s&2;(ztS#ZAjx<94s)mX_jV-A7cqu=CTi#tmidL{2Gh7 zW(QxG!!%2C&P=CgB4>OUi@Bx;Z<ymWQ!~V`v50GO@Ps)|Pee}mFcxtoFH=b4N?i8A zg7vtjiC<$OS9fs09H&Pj0?P|5SPyH8_%#-AH3tjKVVa>?GqY*BX30#Z>6$q+ogRoV zEdOB6x?l5)uj6TvAImnFx9S8RFlyBfzA)SAp2&w~38`G6%N$a<%7bSZvF_Hq;_G-y z<i#?9RIY$!2Ij0gHEm`(-4U7N!<fgFA6!s<=)G{w{0qNZZ2ce9HqBFiW9RstvvOX; zbIzUqJ3g~m`yZ%1^j0`$e!y>*Tk2=*9KUgv&J*~}azp(><)PQY&uk8U<t&<a;TOv_ z^)+^mUpWisF+As7>0j}g<%;?oyMt$iedY)JV!5QgrIKm3`kKl^FN9s@FL=he(4V2! zX_k78-NDbCbNwIG9C{{fGQZ&&XZpMeKUs|Z57ZobDy%a<;2Gys{{=ONo(QYV7x>9y z<lj(pXoh-6rPB;`8@q!aIeYyRY7RXT7MVZc2g?z48M}iYI9vS{Y7RXRW|`0Mj5B`T zgQuLa^A0@ajGia(gXMtwAKQcPIcxndd}7(B{>E1EwD1O-ho^+U%$x9?WsiD9rPEaP zfXYL6g_qeJe8*YpKj9P0E_IhmrYY)YY#rZm`p*;i&Z6$$P<`mO@SSNNcC*}9{i2t6 zUZ`UFf^^Q^-WOt>=BYl2bb2TBPUqk`p@QiJyIF3lUeRkT<J{~$A=YWG>JdH0GR~4| zA9l4^dKbht%~9Q=*I3HA+B+cDX^!fFNT*jq_jDLbIhT7EY-YKvIz_Lsgfn;Afi%wS zX$HGkE~>7Gbb2A=FnvNA=X~!EF;35gET(VR$#Pb8LFA#CswsL0&j=YzFWAX)T6IdK z(^Dah=>|JlPO7@-HJ%Ytm~N29nKVrxjkDjoAjavjki_&2J6MjYs^~Ema<+RL#5g?^ z;+Sr*gXN%VL*$|9suht=(^U&14^2~L(PJ#&toQy9?Q~ygi%w%cXSMf<O)NUzJ2tWG zRehq%n9mtDEg+RMWLm-Y7ESLB(N1@TmgqF*aRyFfNaZZ{o)CR#vg#4tgC~U^O#85n zWxMhpxr5(1_PXCFX1S;Q!t&5N!IJ(7&p9gkCRDdryKg9FxubkX?%+3$t?mnK54{mg z=?{3$vC+N3mT9i?9=XJGf-(IH&pFn*C)gf(B^c5#@SLNdZ$edzxx0hyp_hVpWDb7e zSn94|>oi+=gXN)F$~|(9UpTV*1gcmrC@--*^gK2rJ~}2kBRMX@)s^|vo<m!XDOJcV z{LC@O{f14`Gr<co56=i1^(Q>znBl&|rs<ygz8zg%>yDi|azRf<wDdtzWs8yf3LB=U zf@=K=l`S6Hsc{LCN)s%Zo(LY3aXce<Nao>3jz0Gc8>YvCV*Lv$T8=0y$UXeP(dMpU z!}L&)tzV&n#bA16Bj^xQA+1YYAD(i=^<8+%5!1KfDMzC_gUzA)f`9rhl(Xo%Kd?S@ zPjHhA<7vTlGLG*#D%}rQH%(Ozv1FR6d`C9%l=wEko=T80N?JnA4wWpsm9NM;o)Ub~ zC-9UbK<SLE<2w%jwt&i(9m*D#Om_tD^*u0aIj8uCPcffkPKC3FOw)UTs`d@(9DAI1 z$TZDU^x$hO<k;<eLDp%W;sZ&icLMKt4xSSzXfLp4xvltz_n`k70h!%A2TuunYnzbD zF~fPq($?rhvWIR9+<CWW%5R~0(?7l0x8%9%z3Jb6?E7NPqUAgxde!GiZ@f2e)xWt< z<oBhw-ixy1l0IL0?Y&xm<Gw4eeqEYlroXcCByZMB@BBEUT20e)-mCOm_oX~jJvd#- zH2zji=jWx?=cixVvjuc8u~Gb@YR|6QUi<WKUfo;rO!ef{s`y1ZpC>)_p01y$^>^Kt z=SxpcSBO{oeCfG&p?;?1XQ8S4rnAKheO5i=HCwxI-Ibk_o_o#L&RjPoP1Ric<T{hx zLT|mcYTsN}vRmkx*G%oXk(_6}(!!WxHA_@YwL90D?7Ad%XKF}zRP4(VRm(NncOx~+ zRc*ANMtYVny*o83+zE6_aaGu+%|h?_i$4EZ@Qr=<9{U%6V^6+)dr(ew_iioi$e#yg zEz0U9>=JtHRje)g&*8d(;iR5>&8GZ$iLcMB+xJi5OR=hzx_{WD-;6K3DjIS;eEq|n z<{a+YVWDPtnP=G&wU(QTC-@eg6G&=jux7Dxu8=)6M{x(A<2iw-_5)TeR~1+ADV`H} zz@u2ok>A!})pA*Jhh)=B0k3uks}?io1mhM{X9?M+7Xnv!6iYZ32wdWMSj;ic`GpMA za{;sV1(qx(&KAZkXB1~hGCdQ}YfrFjF>+oZ!}L@@tzE&g<%Hq{Nv0<P$9Npi2pr;h zSjf@moFT*XSU{|Ofkn#^MFqZx1srY88Zt}|1=!jZELaSje;BdoJHIeu(R03G)N(+P zf$w2HN1gKv>85FlA9xe<IU?H{QaQrg7MQo}QGCFA@RYzRp2kxG@7fGfIm(<@NH<MU zyuhn?N?-v`Vjf44bA?gM4n+$|raJ=n+8%tn^xk`){?~n5K<Vqto-My8z4NY&JM=l| zop*WMrq4p}ytnJ$+!yj&=&ko={geAbo-e&IJt2M(D6tjC71e51_BB42*m-<MF^~1} z1GdNB8s_u|R`c9SJ|pM+O`^0<u$t#a@&(IduMMBc9R4a%)OWFp=UVa_Ip?nug?)_A zB~~7<DCW76JV);ESwo-xz$%_g$y+ShW+$()JoduSrGMcwiG{}*Y~5xh*T^0IEHU@^ z1Dj*d3{CnQpGl<mO|0ZGK7PRF*i%ED{=jDvQ;#pOIrhX*rC+d;$LM&2&9NED9hPo0 zl5OM;f0XDwo?vtAk)cTc#0s7x$ue?>KS;D5SFkzuz>uY%@tH(?-@~U8v3&=hN<{Yw zR`481{v&(%y+rNt3q?HplHbTGpElee^Z1nEm%fSRJbRKOEZwFi2Us4vYq(72@H>gp z;}eQ_b|t%5vQ0@oBkTN5!oN?joJak5gY~i7hIiUNTJzjb{KA)f-k_p=VY<Zb!xv=T z<|RImbbDv;j_2?>gM#)#Yo6PQSNNLCBsL$OAnP_a@dzJtnM6t3N2?yo!v(T!a}u}k zHJ3`PJ{%zHHYf3bq}wZldpyjg63Y)481r0CoWj>!B9Ys6Fij%6&CrVHV&V!(w-*Ku z?Gw`^<{$nb<M!OZqJ5(!&)LKUlE-Eyrtlp;V_?u;XvuRraf+ndQv;25Lrb2Mi7tH2 zXABhD4bvo&+62=i`VSY#xIH$IXy0hTb2L$fkGW8y{jh<I+d~76c0&uEgNY52$EGJ% zNV-i=ERZ}lEs=$fxj>@+@CRwP`vzNhn)4;951%mN(K)=sh-Yu&6JF+giLkc7REdzb zLh~NY!yBaC?iwuNY0i@fY-3E7C_X$v`q<>eBfN)C8a!zGXvVWW{?ETd-^KUtzww#- zUi^!nN8jm})K7daUQsvkcenNa4WGI1#NYXM=$rV~{R{pceWRaJANX8+<Nk)ftaIb{ z{7X8gA5*XRTzu{Rguh2$>4(${J{K>joA|5Se80orqc8RE{5kYReCd9Lzpk_6H~c(0 zE57HS(--lqI>BGu7vh)vJo;SUrvBnj?sM@u|C~OHXVeuw6Q8-?;4}A`_?Ul(K8dH+ z1^(nd74P%U>67@x{R*GCPsI29Y@HF`^7H6peVO`;Ke&&@>-=;2DBii>;m^^B`aJc4 zKe!LY^ZaxAAf8b7@u_%R-NmQkF?AcCiZ||O_;d8W{-3&w-??@7Klpw0p8lpkOsDnN z{c(CPUb+9k@7AgDAwOBC#^3pybV~nCUEp_a&HW30yH1IB_<8h>{*$_oPs9uRYv(## zesqXQTFa^U(pA@EN)CY+BHyR)FQ|IgP}}Rdx8M`^j`%%)553)d|9p-8>%TeY)A!7O z`7`Ic@!j*EYTBM}zH|N^&o@)GM{CY(O+WJ@<{FoDw0iex?$>9|rSF)3w=V5><lFQu z^Uv0){f?Y_KFPlMZ_l~3jaz2l%xhb{`{ul}Uvk2p>*(ok+I;=|vzlcuf6bh8-p9U} z`RC17=~L$~Rs0<JI=z2>>&-V`jjx?IvhV)m^T{~WaDL`boe!IJ=NEqbBst?ekG=Js zJ8Q~~<1d}RRWt3!%m?X_5A1^f@O;^<8Dh8k)6A*oKiFOV{pNIfgdO)^pR?(U=J(cZ zduD7le`;OXQ)9bB=eg{o|MXmZYrOmXJ-gyhk#p{(7tg<1`)r5go3xFyuWsDSGwod3 z&e?DCmObBe?QEU-?#+=|&(r74udUm*{pM_o`GtAgc1gZYTQ&RO&73ZIiNiaunin{H zj5%>Lr`Y)1xw8h*zUIxFx-ajFeevq%<Fw5e%w})=X}$U8w6kL7-kWF6ihYo$w(I7K zr)eo>+<RpHxGde&ef#X4H5>BU(!|%T-!R+rrt93BXHCi{&YGQGZoI={_RY6SWt%k$ z>v!+i#C_JdthgwnjL~XVsxaS~gok=Oub0GptDgD(p`Kd##2wtnT{U()h%igu+|j)! zHXtnO-6Nw9h2qhAw|nor`DS$Y^oJO=>h^PKd$yJpsXLmV-Yhxqbd2ri9;s=8_neMd z9#;L*e@1*q$b{U5q8eJ0Y@+}AeBEp@|KLxZuba*1FRUy3HFNfPAA9Y;JzqAP&ENPl z=WP1o`JHvko^8Hx-of7c^G#4NmDU~mdGlHNtoaLnzB!XVbN<YqJfAn8KCff1{W<b! z`jq*;b!tB&pQNYQHUG&ulb&S9{rTqO^uGC~b;o|(e3afbU$w67$IXZ7ZSzIz+<x4A zklr-E@#mY<>2Y?iKS@qMzpX~>hvb9w`uRU=uYI36?fehB+rM={QN8=q%zNpT^B>lx zJ>9G|Kk(<9cbhfm->eP$K6A?X7k1sBB&VEzW;glwo0I8<^DTe!yxY9}{2jC3ch8h> zzIXOp&avGy=bbGxzkFBcd|HLs>AP>vrInc-zWb)k`1aW>^Tl^_%8YNGeU&4&Tk=g> ziCOjCoO5aGW}m#drquY_*)a3qyE^C63e3FkzB!w=V)op;XKBWl&w80F-(7PyEypZ+ z^UW7&i)OF9sZ(Nn;q0ZHZ#!o`Pn$ctFmKz=na|QP%&a#@K1)k8JACI&k@4xXr*gt} zN<K}SJliu*Y^UVRvkK<Yn`h2Adno7Ij+u|sdS@HvZQC*PQCjD0#k{f|Gash4&KArw z+cEP&T7uc{O*5yT-Ia4Jb+hhl);zWyH}9v_&HkFZEY*15*>7g2H%U%AyDn$h_Q-o_ z6|*C6t~r$!Vz&Es&Z)Gr*;jMbQjK?=U6jL?x_Rf>CuY@~BJZU6nKj>jlWV*^_RqUR z<>GsH-`LE3FZRXFqwn-e$|t6aSCmcM-EF;l!)ER~v3K4bDihzjd%@kKZ}d{i1JlJf z?ryltIyZLDyQFh^G3AQs;%j#&+&%hAFQi;BUA&-d;;wG<-41t;zSO((=1__F(%lMo zU1!H`xOsF|Y|lHV67j4u!Cl-JVwc=J`drVZ{NhgTbFn$^oQlOW$_mrOXYMxG%zY*{ z=G~zp@zk=wo!qBlecm}0iBH_Eu$lWrY|qWs8L=%lk3QCuDZjXb`&g{bJEubN&fN}o zjy}}mDG%JieJGaaol}8$LfOYu@wl>!sp2tZ8&ky_cQf2MdSCBP*~RVLy1O6TK6+1Y z(;KGKdh6ae<%?JDK5)BrYHY|&)~T^~-X@*Wds7y;om+GFg4?cBVjXTCy`%S}>|=^} z;qHP>+&g0Tygl@G)BV#m`mguqoKM{|{pHS_??!h|e~M{)zUj{CcQI+tjqaSz)8D*z z%{QZ4r_=N&?_F~)b>sAdac<8yT|fOS=2_LuIj5uallSI)HM)BGQ4HJjO;=9ei)pL6 z`7$+6&v@^eFGiP6--<c*Y?JBq-Z-_YnX^ti=`-)uIh#6vdS%?TO3CM`v!`dqwLRNp zJUulo?U~V;(|Y=w_pCXSI(2$roLS|}C#e&sPu$7#X_Mjf&Ye1EQv0V{#$BtBe4N@n zT{CW4h2*2u_UV#wVHJ`OQ=6xA#<4xyq(8lK=bF>0F?zR)A|IqSO#l1W^w`lyr%$g~ zal$t|@Z!Z;CvU`<nM-D_l-^{vYw}yhyK92o#MwW6{r-hl=J*LWoA#ENKU{C?PCmQz z!B6e_uNiZWzxkynuWiEPQsd*THGiH)aemVNeaVGI-DmaE+`pA<?Mqiod6KeJH7#w1 zpN;)`gSCcCuFl(1OQ+wARV%+a`Q(WhwNxXsT)pDmXHKRTPCpy#W`1*)?c|qDmnQE0 zZ2hZB@=nUW>5dzXB{N^Xls1_>H#239PhinU0mCyhoD3$H-ZZ)?t{1L$coX~4yiFRD zPx_jb|32-#`iDeH#+&2~=|*R5p8cHhIyv9!^@N$Ve?HB4mAtxtqg~qf88QdM4j(_T zrSgryMDCZ#EBXcPjy>CG5iNH)i1q!(Jyy06-{dY=_vD|yom|rW^W7&qwbZ<g=KVYG z7H+!nKG|RFb*)aGVYv13Ek#A*&9OYD=UyeP-#T~x0?>)YY96ODmv*HmYTT0#n=#|s zjhmf@TNquPccf&fy`ICf`P1i38k2weeUmnie4jFFa%0>#vztrqrfiseb!JZ4rYNh) z6KD367@1FA=x1gX`7WhWt$VXo<m;4myC=K)rCH2;oRXyGJ*Vg6rhO+5`D|k?FgkQ{ zm(R1OM!J&|clNyBwD<HTpJ`8xbf(Ad^m)H&&*=|(n~Nf+o?a1CR(^BJaVPoU&of>m zFYaGk`R3b3+y1Y1Zog-|O)i!@T+8#t(7OL_rOx+_w~qVBTi5cOOWxFf)~@Wk#LVL# zY>vJ7apQ6F-2OznZ$BfX4EuX4c|IAs>h>$T$@$s2{fu~;oGQ1u=FO(l$&+^VzqMQT ze4|bOQaiD<a>IK^L*%PJ&6slh*&-V^@$a+K4R2jLnk8QyeP)YMkNW)kadD3pJWC1| zTP@AXt!HS$6B8d3>*~sQY|72pu=1HxPQTFWE|Q#b`kCJ3-ETlC#BwLkyG`3q-%<NL z_e}Ywdndp79J8J|?_`<!<+(cNQ!3O>&wX<)rA+Pc+&5)Lw@+rNFP@uIW_0u9D<3gy z$u}t_YSnXd&ZVrId~)WRQlo1p!_<T4>YPg{Q1hPq=4{G}$#ealr5Rm5>7}kbcg@+9 z9JOfUn=eupO<p-ur^M*O$xA-pEN4DXnLD}AZ=2=JXDJzK*2a;~Qqt58&v{d1bo%5e zpD;_wrzw*sd-{o4O3pl~pe}7ZbH>R-KHos`);rnIZ=1!;M=70?75&OAW<E@5oh;~Q zW-;?YN`l&NqnXoB?(#X7x=D93s~?-i&HE{JlfU{dOEube@|)UeBgtte*ZC|nkGz*s zF*$PPno}tuYP)CWoJuL1eAQPi)o9nrMLuk)n|7XjqE>Abc_+nBt$FsFT%+yB>*PPz zuKB*vw*Mn2Qtlovkw5)eV&3s0`Q4vq%spNpzq|I#w~e=sXUNa4_4&5Zs{g1R+i!`v z$K&K@f1dF=xkxU%w&&}{tH(p+yFW|JIUXcm{aNBw^78(jm1n+eymZ_{KKrx8?BmyL z%zn*yk(?#RUCZ-jqe*|Q-Lsz&&y(l$=h`j%DKYE#Ih$!eXUsfqAiulj%%_b;{h@Yl zKW{uqp49JZ=l0C-#PMS`YR@(r^xN7!`w{Utxu;*(ZrP8BN68)ivUX`dA|58U^z+)O z{g9Y(e4ov;ryKS93oCO@CpY#p+I{;z<9>2&e`aOR`;B{#Z?b87x>39TrR}uu68DnB z<W_%@n0ox3-0V*??k1P^dsg<m+o;j+Sef(AaOd%PHe%mrOg{cVuDbe6?#BB^Ys6p2 z=A2L3)BQ3sr`+)F(N8*U=^O7HeW#O_Zg}Ttp7`e2HD!jkj;4uEj$LyuX=C?6J-76Y z*N;BadA4iDoTE|V$+0=5hF6b1(qT*Ac;)Clowi*!UMA&<8ON?EF}!s2md>%Xji%kb zdTP67%sT2M&K#?AHfer$rQWrj63>%ncW3IgrEN6sPSs0GGdy!tPkeLCnlnjLy94#i zcFuT`G_iYPBu~*s!|u*Voij=O-IjXSc1S!<>h9Lmo3=yZQBr%iq+Zw#iHAwe-JE)C zX&d#sD<jvOPKpt`y(!{BQbYG&-Dle)?k7cwJ&w-F->B1_82RQ@QdRd;-L~yBrXF3T z<CeN{_t9lKY1<?2O6=ITV;75v;LX;jUWJdB?ma3C&XtQ72_*%IEsoYXl@uU0JK862 zqk8vI-DfF=JC5EHE4F^~-QfP=D*oHW67LhM_-@<!eBWTx{?JnA`v&Xwo0dG^H{3p) z!@t_L=i7#xhg0}h7e~BF+|YhelDSPdJ|@=D)m7`_z8yRwf4^*9VEg9l2Fv!Ha%#`T zHnwk-J66SgJ$lQ%rmq{W9uCQ$SQRnn@B^7?RX1iw&$;*LtZu;Jfc-Z2l+Ng~<vXsZ z;MR}LJGf&;IrrYZ(HT2g<EKWS@jSSC_wLrax-0G|>Am@4VBS7cF04x8WnwO0a`BB> zhu!#vZGFxrE^Kd<oAzwO`NKB+uWfohZ#a9{gx|UN#>~S;?5B-qyi3^LyjLzQZG(C9 zTt2aMgOKY7pB<3&DK!Ybb}))vTDIqGLiQCNwJM2ciPPI7<-(qAICWTqe>Tr#8=X%E zM(vJrX3q=^+gmN)6mBqSZk2mx5%Dasw^>o{n8l2ziQVm*a?>g#9wjVjo@@E$gTdj$ zT>Q#1Iz<Mj4zuwK%kZ2{OyK)1^z7*dz4k)MGx;0#AKoS-Rw3~qp}hX<zophQL=3b_ zcJJ9&S^t#pTUu(~2Ca%_OUXAU69WJJT3XB8`78WMLTUS7*=OYu_Y<S|9$V+U-=Nc; zX!+(;VpaQ7*|zc-QxC6_aeKO9_u*w6a%trecN2s77F+9_N(|teZSC`JgL?Z>*=J7- zb{xLPSG@b^d)=D+i`Ct>+aK(0ofrM)oYVH!ce<bMFrC*e$}fB_R+iUT-F-Xy#=S+~ zHi&K6K4Wj|8{JoTl)i~=*uJ2+`+D@5ovp8RpWIpWRcy`nh`p_^bRXSeI;R_y|M8jF zitQGATW3elxVPww*plrT#oT7wBZ|8(Mt9tEI;-oH&sf!Mvi--N*5|rb`3Ebz&qin5 zV>+vQ?vB!Dv9!F#%I?$A5%(6I(bdX#tn5A+?Qu`(lUPa~V`aDDc7ft<gY6Z2S|965 z<sYo*J{qlYPwAsr$99W7tq*m%@*OL>4@Ps`V>+X|@6Msqy1VW)oz~rUN9luD!}cG$ zTkq@s%3JtUtY-U--LBK36Lub*8vWv~(tELr?Hh`^wYD!P>fRmgv$OTC?yEe-r(z}B zJBqk9w$IqzdPjHO9i?|-etC@L-P@yg@P4zN@jhX1^IOTDas%7uw|vX2Bjz3az%$MI z#@vH%c-pLQyiM5Fe3j45`o^1tP0d#&b;=BG9DKp^&FaRSgE8!rjU!$stZ81$x6CSH z&cO#f)2wdHJ{Z7mEqmr{!m{S6d~Q||vk%_j*_O89;z1YoVB?4v2@9H+O1>#JIDgQJ z{jp3=vBBAc7kJ9jHk>(lhNsQ)#?ypp%~K`U6d9a4sK!1Sl-i7%9r?`C3=ErFCEpYp z96u<<o-C77XmIqP5WBO?n*xKw2f5glWpWA(4jw$f^DWgtzqwSh=X63e8@CKk!G`?@ z|FFH5_BowU)BKiqTB?CgbF8FK{)RmVKd@~!ikNzE1y7mzjVTA;u&tK%Ih9b->?+A~ zDxtXfB=5JB4Lc6b;bAksaXTTe{_nr1zr)|hSO0tT*ZX|@?)sPirh-Ogp8hL+u5Vrc z@L%cg>2Kq=)?fT*`g{7D_|kt}f4RTuTh;IVXZl?K`u-<>uKp5#9lyGM<v-Eq`WE#| z|9yR?e`UYl|Dw;+U&b%3pZM?UGkvrAwLi1J=wIA_<&Wqu@fY!#|9<^B{dxVl{TBak z{qg>+Z&E+^r}k%k<NCyZr9Y=XjZgiz>QDEl^(XhM{O|hHeI|ZFeeX~2Gx3T4s{Wk* zxc=CFiT_c5yg#l#vR~l8)t}QJ)*sr>@n7nX_XmB0`r4nTPshjpd-Uo0wEax~nf|<f zufKo)uYZ?5iQkW}u222ReL6n;-=*K$@AdcWU-KvQslHbI-M^+!^>^=o^>5NA@w@SX z|C&BszY||nZ~IgGoxXbg!M|U>O~0*Em;TVY`(EUmnXK=1zMM%a7u!|NsUbD#?=AH+ z;s+zw@cnZ5Wph(~-CH$d&dDhg)5R*%CR%q}Z{A?ceJAqHxkF`QTQ@J5d-RP?N_t?r z*v8Ebb6Mv`?m3rqPA4W^F@60}zTdCKf_E@=UyIz(H{*zE+Qwg<rf;@fi(YJTG-h?h z(b&y5j_8D>3#N+|q)oKyHs9<p_vlNVJ7*4+h%Mc$FxPc<<c66?XGQj$b1D(bN)xo= zz7V-&=F#UmHuAGwpX*qpZ_G4ldBCFm<Hh_&z6}k{V!OC|9WI`~*J>yh!`yZ4lab)I z&}~X@TxQ;s;4)dF#1xkpmFzY%MMd$bfu7wahY+62b696Z&Y9`@Oy|rQred+_n>WmH zof#Q&uBk|D^5zM1T%YKiIKxyVmYk-TCYG4?(SrMUq{+FaLb2}60drg*=?J73T5unZ z6gk&aAlAHDU=Hhy$eNk0(+@}dV3fPDT(y5a*SxthBD)MW85)5vEfW8ma4}UZCT(M? zSmS1fIY;m7{7JiL&aJ!o!R({=bT*w~I<2$rj8nc?<>mvkTc<{b%w(M!dFO1>DV;ZI zf#%$rn-|P>of7FV^XMI&Cutv3#0obT7;*22+;jHO+jR>TDaGxt{`v0e?(6gRR(!v- z`TD!KUDZ!-a-WaeS$+5B>2q;As_(u_-5vfmZcFvqcdEO?=k87V-gLM7T-^HVvo}wd z>RVQCeRp)%^;dDLs*m2}J{PyLdhbo{()E}3-g%R{EBs~LlIpEDyU)gDf0MfFU7~MV z-TIDoSNN>GcHckUSzWAuZm-$*OLw@>#-)Edb?5Y%xEa;4?^1V$&)lo^ebJrlBK?zl zmA)%&7Jm|#{7q<c_>8@B-;3_7F4RA^SL}Px9qmH>BYXM28{Ju5pnqsD+jpTm+-KtA zzkS*iK7H?wH(OKJAK1(A{nzc&`Rn)X-SXz>_UrfJBEKElbbadHFW*kx=FZpGt`3!C zzAk>f|7EAX9<wXQnPUMGqKdH_8r;ODCFyv3XLmaUHf~T}uTg!`cDuNUdO`WuXFE@a ze&>^oh`e@S@9rPJuJml;bluz;`OJtXWpTnnanVa=)py^TKGm~WRekerYgot^=i{sM z^fjw5z7<W?*Qh@GR(1RJ$$KAtE7~M}CoaEw=iRT>)8EJLEq}YS`@5cP`P+L-tHbB* z{%~h%_4T>C-`r`fzWz3LTlv*{uGQDy#BM6Tx>Ng`-i_Ta?tHDfK4*8#`$@&&uVdGg zuf4alDtylF2Y04cU7x)>;Jww}(`RFsl~29rS`|Kf_l-MSpRK#N+vR;warlea1?5Y3 zzW%Itez(>8M|-kA>z&<w;ZEtZb!T>;xzk#C{b}s9@~Jylf6_a(TkZX%J=&l2jLIGF znLg7qEN|WU`lH_Q-BRz9_GEw5JGxuwz0;o8AM_6I=6bKRC;Nlm!QBV$e0{2?UtYSi z`*dvdJFY$4AJ*;P{pa1Q-QK5TYs%l+KKp9?+(rCaKwx0_wA~x-ls?tlyZh6-L%UC( zic>oTzL(3(F+@c1Am=8-jXHYztch%00wtk4yHCZIm;3HK{chc^-AnGcmWNN-z2J^% z`Sd%n{_nbWpFSB|P`>x>({Ek(!+-2s^j)+%?%;1O+uuEvNA;d)m&8weE?N;c@pqT? z`VF7C?u6gjcj%kw*7XZ&kG|1Pi4S}(x^aC&E$iIyJ^PZ*X~)DXJ{MiPKB4yLEA5bY z!RMj{aT9-anXh-KJ^E7n&YnYGM3=5tsCAtkzM=Bytni+FPG3Z`;sk$jT?k)NdGxuq zP5i~5T<5}b_BnkP&4?>}COUJy!DlX?gZuV7=*7yYS{kY!tT3!O`czvdKJc07)b$H$ zjy};=i5L9IWwgGb=ID&@j!M@V;WqmYeH86opHOr3k+w+u#2;Ko!e#ay`XJi6UZLjb z18tUg#%H4OaSxx0#>O3dDjFRp_=D>}_@BLp-iy|*zwn7`U-+B7N~g6q?0Ixb`%B!! z?_7JrBPv~|h6hw0y{o-!&!KmsrRyhr;@TDNQpq|c{LEgbccT7rg5SB+*Edujy{&yG z?Bi~(`=MXfC7su*2w(VoL#*iT)fZx2=Y>9qbbY7wZq1={S_R_OZ^YWq9?ImEepb+K z`zKML{l^idu)^J3w?nV2Ybq1nym~^c>)g;I>zK+!OTs?x>atv25ZgK@bj!M?Qqk3` z17cn0gdT`=eWi784O6M;^3?^Kxh{uJS=Uq|nj3a7O*A{qa2MCb&=rxcFSH!OC#H$c zU;QD*^|_Ws_{N=FXG0f69-SGQvhL6sEraJ(BFvJOk(SdJtEQ>VI=l0S1*>#;A!wJx zlt|a7S{mVoJGoAVx~ywDqoojTm?oMOCYUDLzq%mC^|6*j_{JSvM?+QCF%^oouQrHr zeW=9|Zn%T%U}!_+(dnTTk*?E23nGtB3uRfyR3KWv`a`tqeXT8Pn({@fSD)C#rL%g+ zCa%4qPu4Q!i-v^-rizAy6>jg+T)iRM^{&>EHBEV<fnkiPqQ$ExL?4|TdSvaPlUfhL zK5paMzO(N6r@5=k*Vz_-Jh$|@-WG<HcFn&9-d)~)e#+rm0Z~cW?}E&h*Swpm|B4>V zmpAy$@bBza>*?=eDxV!P4u2O@{%n)6_`8_x#W&A|T8qDp*<5_`Txj~b8#@!8FEYOV zI;Qwp(OmCyF>8xAp4)0Q{Z&livnJ#4S26j|oaVkR(K9cec`npS{AEn;vn1o|vv#^Y z7n<vRHfCXQ<GHD6>(1}AdH!lnck#NjJ58QD8DF2d)9AU8vG}u?>BW)fLetir+Ntq; z)*SDmbtiV7IKygrea6lsXGGK19p5SQJZnyO;ku(cMV@=j;VxWvcqh+utvTHV>kjT@ zdCp{fefrKlXRfB|=@sYB)Gp9Fu=C%uPqSC&>**GMJiFCAeA><pXG&A`_U`=j?9lAf zr(!~%Ei$@(HzxSmrrE3W^fZevo)t~i(<nZBR@MCa<eiV66&Z=&iODbCIrq_bk$uZw z*dCo1{9&HcdCfBah1Fd)%MTQH-3h*9**Z7)!MsP`M7AwoVaxhfGtFPIy31;L$J~>? zdAE<Bv@85=qiJ+I`}dBwpSSVfabqi*&}x&Sv^sWyhJ)4{%@=DtH+T5la9yy|#JIA{ zc-9<_u8wsX3wKqnF3>gDxV#|6`Ptf&!9AHZF|R*}BwjO$;THO+b9nQ%l(QFCEt*>1 zbv^iu<&`HkNAGL?@?%WX@mk}rr@3p-(;s1XqxR<8&QpD^b8~ai<{1Bn=GW$JW;*{# zy8HVYo#hvbxDISyFz3)$ks`l~Rb1DC*UWSJDpKgj_*`V=@`_@vE5UQ-9XhM&<Gyfp zx=3}6`^Rdo?ZJDd&TA53eWv-z&#|0KySqP0LD^lgvP)y}0vpzQnyVJfs8K$CRgCqm zhJ>ekxUQ`6#gMYPrcZgV7F}>wWW8P{QkZ|Y^V76>yR>&N-r)3{Wy6Qg_tJ^)=eaxd zDO{el=&VxC_Owv{gU<xRAMH8%>)LGh88^LZxl06#<vu=Hd(HjC-mO;8MY8=2tGF%( zuc*u}5!}^%qqgOlrjtKoRhP-~A0<nbwSG=}qok&N|5uGR@0)A0TvO&9`YaMXcliUG zqt7%={2QN%r29>*<T74<z~<;vO&$NhXChOVFR(fKq)|!9R@Z#_gqYVQx>vRa`3vq8 zn;ksClJ!Z{#_ZNe?lV!@*Mf?>4D&_jIi1lwH0RMrk-p^_Hmr{|#rzlkxb`G6&TnD` z*O6cuw{=sui`|O~J2xq|`&3lqwOi5M`MSEx4X&&zy7n$|SNc=S?sJ-4=MUMe{;YFu zv)TDuMPjpq1?F9SrgLet*Lg1+?vHB@Z{|6FNv8YL+Fje<+^hN|HZ_=M-PLNYgInwT z8J~&7`#pTBdu+SR{i>MN1-gf~^7&tUDiU+eNrwAu#MI?CjKZF2GM%4g&H5lR@?29< z*gMUC$9~Cle;27+{=)k7dy%MfTr%0`HGlYREbr1;exRsJd-(-x*L#{D{1Tsvg!(x? z6)9gnqljyF@Rhkvr!-&q2|g7G@G~su+8JzP>3T<V&K#z9BKgY;q>sMWsByn&-DSJ@ zfo$u%z&CwP<sy}Cjp-sg7w<6UvR-^Z_UK!U9QQzLu3Ld;`kcx{O5Fslxo!krkUaWY z<5|z4QjsFJi&k9M0@w68m5LO)F{X>GTwGzybtQ04-=VV_KJI~5T$cj3NV3ijTqAk( zg@%j!!ZeYEiy35HX9d>u9V!-?yZC|3(PtVa?u}_8>24D(xr`SdkU0w4UJ;lkGIj9+ znWIlMRNMtExr`P!$Q+#!*dggUBhaSrP@zcg;slwak2FNwCt7eF36$wOR3Os2SV89K z0}U2;#x#+5w}+`Bv2F)bMWWpVEw~N@{^>oGFH*bsf)Urgz&E{0r!_Y8JUXTE#ciTF z*Pg%#N!O`?0g^}WYAowHlqXWUc!Ckvu0R(_)+vE!dY$q_{M`i2xzraoNFTkeap&Hz z+SA|H-rN3d&(Yu0=4~&#f2mgce00UVQ?;+pMVH+>RQvjy?(OYa_ZQV>f789W{gsVV zp5U(hqjpY3f|d80YP-)xug^bQd1UJLnER7HhrNzolXfqvx5oSHT8sRHmD;6(JM#BN z9(fnNqI*N_>o2<I{S7%aN0uy|<9;Uc$jj(u`BV3~{tBDD{l=cH&(>bt?s7lqbJz>z zNB5ZSdVRk3TuDoPyN%}kS2f+mV)1ct@vg4U?Gk3D7jx!Dw&=HU&rI89;C>)CR&UYw zrdHk4YmK6(Mo;O!@tMP%TQi`bRac{k!D8FetF6<HK5bpL;KafE*PcXA%6Hx8`b_u4 z_G5cgpRF~>x83*jN7&=&o_yVXOMiqtitfml-Iw|!>|u0EKJPx&A7V4M@7weA=~}(~ z!piK^(T(|x`@Vjkc0al{KeMv?{o1|TH|=SCx>h^?<=&~^#qLFi-COlZZ0h!R_hx;X zb~m~--?Os&-CB)&$I9$?x;wYe+avmY+T`sI?p0Nv&Ru(dYt8jnvDxRN_GG_|%r4ix zyY<tW*7UV^w!T}Fny!0iYu@!uv8&5;Z*5JxJ}Gwfxu}iV2iLi#uf4wY*_x-jrp?(J zbv-FIyHxk;)<<ht)7M_vdT&kZu4^x&@~#=ht}fBNwDs1SqiJhRvwPR6?wU4htJ8I+ zSnab>^Rp}0UEL}6JZg4!=DOCjwZ_@0>r&Hn&urDZzA0w)nW(ARf$L0nPJ0qHF?(Vp zchOqI?9NE-Gg1B7mg}zW5PKZeovpcU>JG6-QSI51>q2*kJ&bD3=3K{`wpKs8GII6l zsF-WFHibQiYRLY(_UZPp`%zKX9z|#8uhq#;jC_46sw(^G+ScvUrfyxe#x-^A?ybw# zq;3zp8x?eIQMC4{sDNv;qP_Fhs%IZv`!q#&$JTq-imYFM*SWvB>in%@vG<Wx=Wf}0 ze_vyh{?JnU`x@)?o0i<)*WBKmbAFX=_qR1SH>aFmRUGyvazpw>%hzAmSf=lsr}})& zwapRdqinsuuCYizXsP{mjd}XQd8Jj;W^eX6uVvf)WsO<-M$7E8k&Dwi=PiA<=E7!& z^IpZ*o=470FP(R^^4hb=S?LQcU!RGbnLg8!`}3O9n|01>6^A{IoRaQ4Pqi}aNo2~o zCY$UtkxA#cimyG6>`OPDceLW#qsXpw)p@NI*B(Z;rHjsUt+@6evMIgM^7ZM+xO1<H z#HMfFHb=BV>_KFG`p>yn%co7-{Nvm$YwgpK5$ARlO}iIanf`EY>eDq^>4BE7->uP1 zzd1LweA<-FFV1xpiA~x3?A#>l*C!(j(=9Ez->uoc`OdLlvZu?}+}rrA=cx6xc^k`) zUy{{6A5n4al<ezs5oN~?$-XYrxxF##_#)ZtGM$?nU-gJui@k{`IaVc`eJ)~M@=3|n zr8?I(h8+)*)jk(daLh~g_1TCO$#eUjrs-VX=yhC4cJ<kaoMTbO*Iq;{N?s|cU7~Yg z<E5UjmeZa`%uO!r+iE%OSwzM$E90<d5oyN`$-FMoIlb{zPpGBX(}>B*o_(U0Vly`? z9G5blHe=(Vp05_u9!K;h8}@CrnD!{5Gg+~()MDDhh}LAmK2wWn4<Ztd{W6+1edDg4 zqp53jlUe&%Ew0^<s7wCZyEIj2-^OppP8o?!+qkZ0sd?DFh>GM$$<?PKLXPc{&OQ}U zmVC8WHC1QV#zj4>scUv_d~&SHDC|yz-?1j?*SR{|{r}V*`Yyb8{*BL^_xxY{Jn~Mx z#D3y);R?HnzdNnxZ}`l4$Nx^<p>M)l=P&qs<c)fYec*H9jq@A+vds10Q<rp3J;q+~ zx$xTg34f2gQV+2gd@fvIH}O}e`Fw}JM_#JmsX6pTc<FqFzb>==H~c&@%fF}2>5Fid zo!~Fd3;s)f9(k^AV}B8JoJ&rf(`VrfyTWI}Gv^z8<~-vcQ+Mc-aH?J4PtH^RK6Or? zgeT5d_{@32zvpMm4F8s&M;@!o*kAm?dCXs@&gr9Y=X{4hM;@y4*a!aLJmk+)=k!51 z!S3Tz;W)dCPlaRbHa-<@oX_y*$bI!cb{D^M>dt@g`^Y`@O*KrX)z{THy%(;Wf8ck^ zRR55lEK~jO)Fz!$e`6Q;ol|rEg5NGv{2hKCxugEX?&A~T!ubWCICuE(sXde{ynptG zyDsn5zU4HP3s;$K+|7B{_sLC`dA?8b9-UKrlfzUlynXhDyDo3lGRzHkbKdm5a?|CF zT7tP_y72nhGd6Qx_dRjb<+WOb`NmzGSACD%WSQf;B=6B#wE*+NU7Y5#4eq+URP!*u zm?oTKwlPh3@oa&+Ewg-E@|a45GtEBk<UH?NlGju$JbU(qJ1nz&=iGF8rgkQWsaSaW z><xEZX8MNYH5Ca@o;~4?%M-N|IZQ>u$!3aa!ii=dcW@r}HOXr#6z-lKaL475nt*xX z4$i~AB6&>(!p*Y<?y$`8t-0AU-M8c>%XHtIn=TL37|cIz=iKl6CD-Y++7Gjh+dFk; zAK28XJ^R9KmwRd-%o0<DL(Lphh0ABp*u=Tp_e!qQDYX}7f~mp*W`^52clz4gbh)E8 zCx<CdIDdA*?j!G2YxFNxciK*Wu(xHN_nSDU??RP&jn9R4PTx_?X+8bG-Xm{SbMynN zId6HNiF5iURH`Rf&3VK7!p<YFRiDKi`YKeUcd?4|n)jMGr>{bVdW_G7R!*-d=DgxP zC+^T$RUiGpD$YyZTXwR{_Fl8|$O}~${e{nj7EWi_>oUu`ChpK@p}Er^>^bsG)kMGX znNYgk#7a)%=?C^4d8(?TANWjY>huMBjyzFS(HE@bG@9P9=g182j-4(uylvtReH7}Q zp0MZ0BUKUoi4~kjyk+7JeGqD$uCV9G163A%#%DtDdJmrp#p)e=Dip0JSiyO~`%mnl z_d>PPFBEa^^L`VnbXs*o%%fAPU-TxHbMEnu*y%FWJ7DLLyQ<4#4!sj9oj#$6bC<Wv zPL?U&XJVb+3Hj>@mUF64Z`gh0w(1?VkJg;`J-_%RomZ()Uzjemd-8?3F7rGe%yfCD z^3LbbIh6wSLTk?3o>%;u%7ivgo-o&CuICXyrZS-twU1VvmXizSw#@O|;@4Cvw0d&D zT$eeX2WGmwQn}~DR4TN5a)B}DWzQ*oO(jCPY6sJVvegW&I4^pxnCbFD#X)^yn$Y~o zALh6`SFupvXvuljbHU6bGd)xM4xLdkP%pIPJncDUrpr?m4Ru3H&Xb-leobdo6x0pV zgp$+*(}emb7tC>atRkVl(Sq}+r-~m_p-}r|gE=k_RXEfQEjSN)Hq1OS-Lqn*%XH6z znMbC1viLC-2-Q#iFx%z6$`+rde4*;eCyY3CChsuf-0S(omnmN;Of4`~C`7H$yi;@X zhS@H6RhIZP<p~9<F{TO?Po6OQ$YjqWzK2e#JW%^+#<|`7kKLi~f_wXKeCD|4{-W~8 zJLMAjiO&Tq<R<>^u<qaRnd6T89lJx{1h@7ts6FyVIYmD3x!}hBhFX@n?tAQ#&MC*p zD?S%o+n-Q-<dt%Wyx?=e0=bF5I?VeWYLC2BzGHLfi{R3Jg<6-{?i(tP%yRFsbNV8f zB`5fc<AVE=$|KK}ZR9V4E?Ua5bNVcpAy@cJaAv>3XO1)OF?NSO38u;g{^U62?qlcl zNpNDn!e@>X?md+)Gu&G$k33eEk-zwZ<CwdSozq9b&VGlQBM+5%<O6?j9CGKebNV2d zAoua9V4U2=r-CtZ8=nd`_A}HRxv%_3?&5b2-TnvFNA4+avSB){yw1kyy<lbkf$Em2 z?je;dQ{C^_CY@4#BNzCcL$iNDwaXNDhsq;&l%L3bd?HxbU+{@zhx;DeL%D+cyFbLb zyjS|B(^M{4CAM)l$6ePaku39EpXfb0r}RdLsa$Y-_l8)Pw@Mk}hPyd#x?YKNd83pd z?wBsPzI(=Ij_a-`B3)i9MTl?Q#c|d3NF>V~*Cl$7&MF0n7w+OP?>2~ad8y<felblj zM{Hx7;Not9*p^wYEqY8Pf|+6;cXFI}EzxT#7M$IEA%<m^>zqiJXG&*un2H6bcW;Ps zndus$*Hk1pxqHGNuC?CXtlYwy8!j9;7N8(%c|llEv&lz7YDUDghD97rN($nJX@W^& zf@y;N-32i&kCi0EH}2p#>Z+p0R4CZqZNTHPGr6vwQR0{9Qdushgct0Z<vl5{0s;aq zUYIdc>{izX;Z$c=SM5W7Q&=^)q@=a9jHI0#%@({ckT-X-+rMA8qA_C2j~%HW3pWeD zzI#0P-N^`<SmFC>`@4^d-BT&sHtn2m!TSdnTU7&Gj<|=V%bPpNZ+Db8ca%3bi2PA= z=g+rWZyvL)Fn7B(-TeEJ<Ggx)Z+Pd`-np~rg6YrPMbFC&{qAgFbakn<%WJXAi)C5; zV+U)W>$}Hg&!0bDX0Eog|Mt9o={Yl2O{u-J<c8_Ty(i}#%{uB+nEJIvRFw6{mqO(` z$8#?n_x-T(O6{EkHf_85Z%?niv**p@YpL?)dUkoy-wL_!9Oo^N-@d>0&Yy3EuWujs zJ#Cj)^LxkJw~wc#%A4!_-VuBAxNpAv_C2+CK71?Oys1BWYVDmBZyuLz@4qb~Z@#<s z&Z}>QlRwS4W0zObfAsBRu~d0;jo&-YzJ08={l<H{JpY<IkG>TaZ|ax6W0#k2mzU>T zm;dPYlJ9EOvp(E&@t=Hm_KSNe=Y2oiQTeV`o|p7oZHM{Jy`67;v+|v)C*PcX;@*;T zzDfB^)xuVZOkEwvUbMY5tK55X&V~hxl-ya&n|27EoW0|o%Q@eu{6o7Ys+&jN?YQsu z%WTn8wN>Vwp9PQhtK4Hb=Npv&>6zLJbIZM*vuDq^x8#f366wS}p0j6f*m-hR?~1&X zuWF0U1^0H&y8YpdSo!1&H?`6i&&e$^J2|`ITv)|qlg+&6)GB5_&z-xy@ZPpc=_k26 zHVfW+@>y-3eC4N!J8lN0R~Jt{ck|qtY38$M&Mr8|W-UGUW?cI0;>l-kt~;~LJo=fh zNq*Bawe-A6mBPm62lt$O>Z_B#d#~rr*&+8@KB-MMpSZ{KiSLO!ET7bp^OT;cCFXsq z5I#QJ<X+21wQlpkJ)Vzz1@en3gb&Xax!3YRt=U{~59f^8H9I?}&o0@?Iem7{PR|GK z4CY1Y%Il>Y_i{dP*RyZ>DQF^p@z058zW?$beV=%+_sG2^@71F64wX;dJ3D13=d{@| zJ3H_Be#&bq7uGgkStP7wzH|4<DYI|fU6N;Zakj@!&bz*g?y#KleU_(GK3Uzob9d+D z*$3`Ed8?LZ{Bv&Sd!MTGP3dZTj4v8bzB}{9IhFH1AI_+htCgoErK{~Q-Z{7Ptxs0E zllA1AGf$jba?U3yoyl6*%D8gw$vHE3oO3zn6P13*YVy^YE6%B$^LcParBp3Ht;uTg z<(WHXcE0rSN_VoFY-XHjJlWJ(a&G4fpDSlnO4Jq@dm2wZKeOW8l47+v#y975KJ&S7 z=E)f!qx7USwHe!Ucj|sHJ8=77T9Kvj>6uezdOr2hNH?++J~`9nT+10Bg><7dwWKtm zG`0TiH}8d2OTW!6$n)O&_H6Eo?Q`!Pduq1(_N%np#nN|klk&KWZ$HlM+irT#ELE+~ zICBo?V;`~fMHZ8f%v3n{q(H6BSaS~NLmxKKWnKoxKaGU-jb9oG>lxoPntWg;!?`E< zYIVjhXLnAU`QdCzzFK5jQ>t2c+9LDGduBd3d*qbQsxvL8eBOoaHkzzyym7YYU7saq zTJqEa)0k4#ij61EJ~?^jk+Vlm`aDScWG1}*DpUCFO;XdZ?piY~b?ddOPu4v9HR=BJ zAN!VkSFMga^jpYQ|7LCHJMT|>Sk8MF#TPwSEekvRN$Ae>JNu4&Q{B3nbDh}^sfSsu zt0mW|?UZ_)6&C08TWaq0g!t~-wdb-oiErF{;;n0ee$sQLa=k;96L(yF7PI7w(z5l5 zmAa?1tJi<ryX>h_wce#_!Ta5R_B|^TymU1#e0EH4@z!%!ZNh)o_<r7c_PRy<>zcJ^ zvfJ0s+&AsVtVh}1>o4vL`#tMz_V)D;&#{@$o_swbUb~jJaND_?m(H+RMZe5hra!Z` z^NshbJu2T+H|Q_?Jn8!MGnJjMy`St^@>O+>eq?RuEAK~pSk8F|#eaIHx<Wd#=HyH7 zJA00NQC+I9SnD}^`i9Drv!?g#bNQm06({sd=)&|Rl_#Hj+r(e`DRi!PPwtUi<+kma zl_#I&*6LrZ;hYtpa?<;B@vL{==k}<4R!xg*`Z?)z{2N(j@j}UVZ=LF!dzPH>){1xf zIqBqdk9{hiR8!)Zeoivf7yLZQK)-U1+hcDT|J!rjrd)n7XW288i<jN}lWo_2HL+a2 zbDmkcRF8gU4d-KTvG_$lCLNisu<ywS)i!<28qSB_Z1GAzgbeh5eiG8xfB8vBPygnp zNe8Ag?0fQFwNC$Kb?3C{ANHoaSB;Eoda4@kmMpXOqhxvLyu_!H73W`k;?Y0<V^h!F z)GLu}?+xGREH0Paclt+d+jC>P`4gW>E-0M0@K=w)d4ZZ^4~)L`v{~MIx@e~H;;ov0 zrF8{Q8A|mZT=9eFMCt*(=5t1In)4mqK1ohq{&Zg3^N$iUk8iMTdu*7|Z^-TZU84B- zirUV5-k;){z6)vVulyvWrN6WK<do?*_AYs+8WiXBeUgTL<EKeGr=QsS<fQkbxJOS^ z3-l|iJ8yf{g+JOo>E6^gk(}?nzMSYYi?*KiHgodwz<FVnQ8O>=_+Peud&;ZK|8&u< zdzmfEMdzhe+<KVVaacm<OLXVE%!sf_>8cfBlXg$C*50^T=+4wT>yDJEZq;5Gd-9D} zN_bGZ>PGFxSkAdq_pD1f=M@vKl&-o~J2Cd;E3c4np>)-Put~cnnQJ@7o_y(bXU&lk z)usD?$hJw}F_`m&*EwHx$=Y@6Cu?VJ7BbV0+&t;x)DFJKr@XGLQ7KVfpzXPN()p<s z>y{L&&e6UZ)A`Kn!kQ;%yo}tPV>@R~O<4D&NOhXF=H^MKrUtA_DN>!J-5J9<bE?C- zlrvsO)~KBEk_&&dW74sy2J2D^RlBr3V>lmq@r5Vtm~?2Wz`B$I)h2Dm&6D)CKW>_& zr~Po#BwcOJ7|sV?|H2+^pR{jkMkMEbuWw<KQdJ|uic(dpv`<EJ-t$_sX2~h96>Fa4 zsRoB7ZJ)F&^N%lcfmGw=8%Df(%XiNGRwi{P)6cJ4`t8>eso*fBRH;7gh0&f<raDBP zjG2-7X01z}s(+Z!b|H1`#@TICGOzd^&Qs0TF0?-R-m}L4QuQQT^@p~d^CrKU=ki^p zlI^#Yx4`wQuE&~IoH(IA;j~@sw~vn&cWmufeqdJ{{H@W3bKc}9^PZgZd^3mTyUKR; zjkca|Jv01`s)cS&zGCV5#xud+>AA{!^_j&&*C(H_^nC3Z;lHU$=<4JnmYj1YFPZn` ztY?6KQI(Lnx}mM-OHU8~OV3nt{5CyPS*$K-+c|4;%RH7ZDw%$tDuvEZE}7TzS!K5R zMH|jpljm4^KJz>?hvl=%boGrko--$h%xn3iGFg41jpq~36LVNTsU-U;JyS{a`&1!x ze6q>BmX9jk>VY<%k30qZiz<W;PZpWi@<F9pUC@Se#^f5y&gqj&EIFr7&aw1-;K|_s zsa$CP<S%nwPJ90F+f+VDNBv;YByIJJ)}Hq~Klmj*RSETTda6>cKC?(@_v9;cT~2wv z@DqBf65wZ4F0^y9jiu)u&pC5g-l^oP7fPRe?@{A^$$FBl@<Z9qc@y9Cxs<C^x;3S% z>{Q-qEM%>GQ1;|oj~w?PYoS{c&-A&Jsg$}2Sqt5mctP^yYY)Folcp<Za4pbETEG9< zp;sH){4H$FCRr*M%686~xTUY9RAsetpseSdi3cP-UwPc?VJTHvu3Ts=ba~>GzLpY| zT(?7MD%oyERzepiu8{P6;o;yuDNSX*@<$oZ=N=aBn=FOSPFx^)a^}R8z9VNm4BU$> zg-%bLBI)_mL&M$3Qt0GFm%f%W9t!S8X(~x>LTM`f%7rqXk3A&ZH(3ZBov6~sQmE3d zY$)UT(1XL>$U^Ag#0JTe(<fF)dQP8MAbE1yM3z350+o8@kJ6s^J+}0;<f~LGpEMHE zQQm1Jw0Gi@UY2~7Ft?yol@PZg^GTY@8>Kz(dMxQ_$x{h*V@g#iR-Pz*a`MC@y+=-Z zJaGGDCbYf(Pu-F4%6sK+eippf|KjI~ckU(jlb$PA*iHI9(OQ1vXTdxDcj}IOQ{F1S z@b8H??kV;`&y_dIH~!_A+rOtS<(zwrz0z~#wepF7PrPyuu@`!-Twpip*F<x9$G<0D zy5Ffe@<n+m|BM6i3C!|0Ua%TlaQb$0bMrU`Hk?vkBA@wL&`dt^^Tdn&9d#~e-JR^2 zeoZuy|M{omxx1D9p`R1a_Gi?woOM4}qw-lf&93R^#MAu|bxY2;YuP*foOrU|qfX_M za*7?(&xwZef}bZE$XEX9c<e4^f9S`=qx~9nDj$_Q<SqYnJap%>clt5$U_VD4%Nh55 zHAhan@2Y7z?Y^x><%4pA{LkMV_uYTlEqbb4BY*R^$F%;0pC_jFzo=DtuUsL&@zX>t z`Gub*?(X;b*>TtXm7UU4<r4YMPl6iqGk<s7ai3SC@=n>$j_Lcv?fpA)pOh=_lYV*k z#Jt`Qc`oPO%FGw-o@gU|aP!1Fy?1VQ%<X-U_oPgDoAk=N9B<vy%$0Uew36<;%kjqT zMb48_<zll(y96zzGw*V|b_+9Kv}>Y;bmQiUS9<r{<ap)gXZ|Qnd6~54U5=M-w{lv} zx?RgjDN$Y|9k_Yoh2Dldm9uVk=8twxJl9*0mr|@eOWJYsL}TfbcRFVFCgeRSQl2KQ zxq0HL-hjN6BIQZaop(59_B!OHoN+snqjJVg&iv7iiN|^k@=^+wyQDqua6EG3Gf&zv z@ldZoUP^&-lQiSziTctXH%-)&ez<9(t~BQzjt6f4%pPr@xUV<kCdYlZZ)THHl_SiG zQkAQuPu}LZ=e8zi$tkxLIZyJGgUyn*Pu$h(ag*b&+oBwnQ*O`9l(tV)m+rjXF}e3Z z?vq^QyzPJQJ*$p>pIe>xc(3pI+}+z>-kbK^%x3%3du7kfthYbBS5`gyZSL0X7w?%> z&wi6zn%BLT_nVp3_PzJao||32{p8NID(Tm`tGBPbC-&UTV*Ap2-=3LWx$T!<Ts-?_ z?$YfO?_GOlX10Cp&fG6%7jIv=BUUB-A~!Sd_nxz#x1GCfk$-!S?`JcU?Q?hPel|1S zo_MdUa`w~Q)V$SudOvMDd0QpFdr$9~+zH!zclw^mP0Xv_bN1u5W49&pqxblJ+;-%) zK)&^!vmdq{y3LU<y~p>1nZfqjoo7$y#^yaPx;^bSQ$F*ax9`pN-~N?%xk&ncZuR!m zoxG=W!}Bih)_rfb=k}UAVNcDpw%@&L25$N0O)iqYn;V$dTy*<RZqatzox1PL)VCkJ z`>kyD?VSCaf1bN$eS6-`iuB9Ix8LRL+Wd4T@A;gaoA1s%doE|k=DX+8tfSxNY}tJF zoSJp?+?z@1&2xLt<*eU)cIMeqGt13e&mFV6{VHeG=A$!t&*iM#ymuyV>9)%^@0>{k zH3pY#-a51QY)*EX^jzN(Gt<qj=h&>GXWg_*|2$`HvDvwsX6cvb@Se>{Pdh#5?3tVy zn`6(VSw_!<chPPtr7IgtKgmf>6E=>XaZ@h6c+T2Fvtu{K(u3#d7MdNo$(L?CXKjJm zp_^>!!gF}f<iw|aHj18pbH|x&soM_RWJv!#`)vNUeK)t9Ic9$QUQT4%VWZnqZ+=NT zJ)1Y*OnY<a%-&Nu<(qwHp3U2~>*kU(ZsyTbZZ0@uW<L8)j(=MB?6W6x3O4UO`|R7T z_u2c_f8Dq3x#_*@U-oSKJ?mX|W!&M<QSY+L<2HYmdY8R@{mp%0zop)0Z(e_LU)b}l zH?AkdFaCV%b#`%FajoyU?6vDR?%Vcj)~oEoxaQAMud?&woNM2HF*RR5b6?mmsh8Qg zamk-=&ARRuFI?+;Hhbav#(mSCZ9RY8CjNCzFQ^%A67T%^*39ch@y4H}o@Gy8AGt5= z+169nHR5O2_<q`Y;`)g_Y(H<!xPD}h*t4z2ugk<|*Ytkedi1(Tymt-n$E}C2^Tcb{ z^nTcS@H$I8^XFUBukYD&?Ww8W`rJz052gpM|BL%vz4pDS?)r~=w|$SAc74O1vZtnd zuYZa=Tz&Rbc4*w<Pq*%72ghx$Ui;2ebN$7=Voyyq)}P(0_Wjo6>yP4!KS|xm&R@SX z_Q`jpec~_oo|xDDA<pHzYnlF{>WMbu2a6}(>AthGV{Z3@xF_F~wu!IY%kkDVO<$>c zqLp~(UXC}eFJhj2RVvndR3&IBp1GIfwQHFEqN<4&;*G@<uXOL($??k7Pyf*~rDfup zdpTaZ-im2C>v}CF<%`lH@xbDV7rGnbRL;8E=|8HRc&@u3F6Fb*EOE!;iN@k5_jJtc zPKbN*Nokt6X7R*R-2rhapOhwvckbbs+3gUQa>n&YjLI2TIsHc!6OVNp#HD;x>Js<d z!|}+KPd}+*;-PMVxReh{P2!Bj6ZOSE7ERO>e^@k8SDbSX#{<`YdXLH{?(5Fj$#LKH zo8F|SN)dWRPnD{~PwwWp=ej0l$tl+rF;Ctp1?wf1Pu$h*v6JJj>!KKzQ?AeSl*%Wn zi+AqsnB09J_Q_kNyw!i#J=-1iKC3$Hajft8tlg_$uA7!_YP0(3y0Uar>(vj}mF=GO zHf!tZi|fpG&w7(p8rB`lTV`stdha^3bkplspRBpIOX_vj>eVaPiKUxbtX{hATbk*W ztA63dn`gbuTDp4Tx@&2sW~<jm=9ZXVyn1Dg*e<CTS(#zKW6pxQc^2WfV|<HEO;*p1 z)GanOUY)qEZ0D?}S*c;GV|t6Wp1i6O-W}6>CTqg#-bmjwS&3oQF=q?69=j?L9v$Ob zxb?_YfpF`XvjtlZUF8Uuj`1xpHCSC6dG>TxY}n&Xx29cX3TKXan{T@R>aVcNo22e% zRj*Es<UO4g9(FleH{W#6)irCvQcbm1-(72#YP$RCtFXzNr0!+~hBa@xbtkK6wQZzs zo~iokgKNKSn|0fzPW_Sf#Cx4@W^%lD`QnpOuCz<|q_Lol@X5I+=62qg$??u5Pd&+c z;;qgLeko;2n}k;yPrT82z^~<;OPsn<y3#t~iN+JJb#CxWDOFk}+-WRmA?!K##O%%m zeoso2^3;;7CSK~C;J4(g%MG8Dvo3DxN>+lV!klwCUbtNHc~Y!2Px$2=j^{3B>WeG| zO@u9tC!Xn?F_Yt&i=KLt<wPUlm2)_rx~QouSx!9BIbkNp6PIH?E@xa0`8+99>J!eK z!|~WfOns5X#3P*weoqRN+JrUda6EKjQ&+MOG!Xu2B&aX^(nwHG_@>ds1Dy<hPx6)O zgkR3?nAZ8hHzi*wQmrXfDO_!l`NTb)4}6cDa#`ima?0hMno+7!nefWl9aB0l_^O<8 zS>ThBr&J_dX*6+1r^QT;J1+Os9(|i|zx{{ZlJAPua)*8k*z(`3?Re+>$%f^;bCG<} zbHy^brr#58x8JZ^@=b9I|IFHsH_oqYRK6*0;9vN8!u9qul^w60pV%z<s<?(fvbN)u z^CKIUbIw8XpPnhM;J2*pnB6|ZZpjzLCH$G61<d#(KTo*W-eKo**4as(>DL4k{+~4+ z&z-I05B;2Qwmrj+<*f5L8<o$BX>v_JC!B7Nuv>D*SxesO=Y*5(9(F396jS7weoipt z7yLZIfWNY)<FT`p{GlHcj<##qseDxI;J2*lc<9U}@APBB!FCQimNU-#Y>u3E-euEr z+IgFe$_K>;{-4zy_nm*qEqbb0!+*2dV_JJc<%y~7FKkubD^~Dt{4_y}f8nPIyW4## zJMKEal2dxBSi;}=NkD^tW_8CM=Xo|N?-c#yn7&Wg-o8WkNx9-a?w7GA=Cyv%b2;x+ zCcbF*1RL&yn<w09y%X6nxAlSElQP9^+$&=_-a4g;EA5_O#oZap@y6+e&XZEbVzEcN z1T48TV>w<sg^4fPHNk?rar1;Lt$QLlUOD-RKT1<v#;qC4@zUv*PRm)RYdR?<ii@}d zH&3|G+MuU$*2zx%(as6yS_|}2iWO&ZJ8qs}%zZMZV`giD-jgE5Y22EdC!A^x&`T*& zoW$K3!!fhfK`-Tu(-9q&Gfr~ik9JHr)@q=aQmEL)?HR-I$caxpX~%>^tpa)}1&U4F zjGHIubAQ}4L67_4rU|;-oG}~^oc@VD+CE`lYepo;eW!0?lTsBU#EMcCtGG`_bKG-U zqqF3c(+Zs@d5XbeN!usvYW0ZZxa+h?hvk&hGcl#@6V$moqdO+I9?*S~tC+|B)3)Qi zV-^3V=L&n+FBVU@+x$XK<-Fqu8I|t}<$Ouc6?U-iwC#B7n8oi@J>h2a3ArWb9FzE& zss*grD{W8AY2G2{a?UY||4`M0tIaFqRL(g*kWu-nkk8jtHQ{pe4$F?0j$ZsuRTIqE z6N@L9vP;@_yl}iCqw+;z0lR1Mg!9c6a!Wod%wfN2)A7vlg3OaMjz;`R&lF~`@3iT7 z>Uc_K$tQ&=>@#gTo;WJ;J3UjFz%E%VV93r{JmGk=h1`;l3O($RHXV-~h4`B)CLC^- zkX!OWp@m)2rsIL*0huSK9rwsAIqkSZCgrpv6F*bMg#FDwWS6{Gh~hg`K4EWjiY3Ri z<`~P4dyb#@n#u*V*;f_`XtD3KJ~5^FhU}7e3PF5M<r6g68;d6FY(63T<fP*xzDG|K z3fL>HJ8nDFu|Kk&aIf)=B*%M)FFYyb3cHw38VlGkpOif@xABG~$2*5S_9W{Gw;C_- zrIaabVqR%H;YQ;DzLs+iaqLFv3hS6B8c(>^xPdRFRACi!r?G$qv#0Ed*^LYMo|Gu$ zu_akexYRg-Z^>DQ8$2mz9o*QJtOQJ%Ib}IsI9%d+QminK`K1iUa|bi_MV104%$CLz z&NR-D<ap+w$DU+4!H9XK498OkHFhP-2`3sSNOC-JIL700#^DgplR||)=1dum#|~ob zi!3G_X;k2QQlQYrtSQ6s(1DFz$wI(@`KOV9KJ!Z>0X^oMMiUM+GVndgSEyrtDcv!x z@dIy4zCt8hQ>sEZ+amJ`dm10`9y#T(il^n2!#g&kRE09;mC_wk8ZYpwoN`#elai-U z#9V1KVMn8dB*z_xdu)%&81}P2sAky9zTr7T1;4|2#y4^e?--w0GT5+BsAeeVJ8+Ki zf!u+&j5p*Q-ZEa0JMf0_gq*`%<_=qi8_Wxe8P>BKJZFgEcR0tmMULS$;~Ke!SByt2 z87$Z*R59f9F??n4<3DhgafY13OU4Ou4zrmPiW#!`6236F@H?DkY>{Jl!MMb-;XLyP zn}&1D4{RFFGGDM^Fkvrv#$d#M;0$Ae+<~Wz5poVQnOE2_oMN6~!*G(h!-m0#ouQH; zna|-2V~b_OapnS>hGWbLHVsFa18f?OFgw^Z9A-AKX*k5JVAF7rS-^(Dfc?W$hCMP4 z)0rO>F+}qzd|+VUfAF5+58s0K3|nLv?lZoTb(qF{pok%y&*448Cq9Pv3~OW@rZO)m zVkl=fc*^jGZ^1i;B{B?m8C@(HG}sNw8H(6HJYjgmci<%B0oen$8F&1B{9S(E{}+Fc z&#V9N&-uK4+5d&V`)&Ro_}qV|{?5<#x%ChJJ^m)Y?f;6u>~HPU{wx0OxBB1lm;H_X zi$9OQ$`}88_>152f5u<-*Y;um7yjzE_}}ok|4RLypX{&f{r*3ECco^z#$WcA_P72t zpS8dCC;5x~qW=M(`!Cct{8K(_Z}<P<&;E1u1^<#i%g_4n@VVdk|A{~CGwT!nJ^my= z?Z3w7{!{e<|B^q+Px{~Shka(f!@uM+_DB9GpRt$w|L{luv3i4l$sgsr{(Jmke`L@1 zKk-NZp?ZOT$sgpK{xf{;*Z=?FQ@`H-2cP<N|8x9de_;Rb-^1_y`|2}(vfsD=_HW`- z`G|joPvxurpZLvw&wkCH#i#67{CWINKKNha_x@e=9zWUd+AsRUe9HdWKgI9;>i;`_ zw@<D=@b~ds`Mlph?zX?TtNOk%U4GB+3!D4z*1mYBeBSQE8|8BO@^6Xh@;iR-xZD2L zF6+DF?*5y#C*CbSXP5MyaW}u!?~1#}=hW_a=X}mC>ifZ6{a0&Oyi-1B_u!3kseJyo z#$ElFYj@mie`)9S-EmjH+3$qS{ieSq?zX?MyYfc4M1H|<kInt(Yb)L@E|#D3`^KI2 zXLc9fJU(M*^gS_6e#Y+|ciNxYoqDslNPf!i8F$*B*eQK?Op~ARTVgZ6;ct%3{l{x9 z-YqVa@A(~Zr~Q$g(D%k2{fBEM-YqVWZ}}~8r~QH5fj5s&+wFO?__W=QH_4~%n7%Xa z=-*%a<L%;n`KWIPxA*U@O}WWFtv2Rn`#rl)-x{~`YyV!ciC^pYj@!ql)ZTczI8Q$4 zo8$I=jo%HM`ghizc>DOI-J@?0Q{)SNSKMyDZCm&LVRiq#>Nh*t-`jq<ll)z7*Y^{} z{5IcD>^(lW`o>Q7ceZ)&6RZ1gRbRN5{7r7t_Z7wcH>wZZYd&Wi_ulZi+`8`*iu<os zZ@8EIRc_Vyj$(d`?;d-P&#qo@@9`J8ymyIJ{g<jI+*^Fs_Qsv$v$k&U6|4A7zjN$m ze_?y+&g0K=^S-~>!~WdX?ES(@ev|JO#r<chXY6EuW~=u;v9jOj`-(m6Pi@uSD^~WO zsGhKs{fX_dJI-fp58ZkEQLgWM#vb;^wqoxWR`egKR=D^0gIwEpjXmrSZP`HW3WM)I zium=vzbN9@`+lRS|3Edvy~pq6>b}3&-9D}Q!`<Zfa*^*EpUQ>5TUg$|r~1L&!>4Rl z-Dy5$`|h3LQ@OJ5D|WX}slISm`IPN~JIU|lioRDA_3x;**vWp!_TIaPX8rdof1F!f zE?52Rpf$hk=Nog|-`RXR!+hSR=y_qfT-mcm>;Bu7H_k0CliTun#@zNdHm}Yom&tAT zyui5sdgYm!?XPW~oLO8dx8`%i-1b*CkIpckvk7|sF->m8XN$S*vnywuTU;Wy<a35G zzuD&q<Nk}49p{|S+BiLDwCXqc{9{i0a~rGY2QB;0R%V=IK5KLCjB>GD+OtN>{?nBa z=N6x_(R%J^*?+Rq<D7DlT*@;>%YMVp0>=FYpDX6HKemy2e$b--Xr;zE<wCiR&lYpq zAKGv|ceLm~SjlmY`HaoJGlx&x>^jqY+Gg7s<pQ~e&p&3j-?#boY+<Tg&F34l-KSM1 z%sf7|^2J%@e7TCx8;tt3J})rp-(Bf5v;D5kt7nR-awVTTjQBM^&zRkQ$7bFc<vcmR zXN>0k+behMef(W^-}@J}$LE!Q*ynuSy6pbK-+eak4}9*sQ+}tieQx=KeUHD%ZhOC? zmi?`D+I_{}eOB)~YT4gdzu5Emt8DSThrjqN-)GdazqSs$zwlR|#ruZOeOJo&RI<Oa z_PhV^ne4Ln8nx^%t#9pVK5Kn#Px2SpMehSX_gyG&*r$Bf+V1|tpMB@b3-%>{mYwz9 z;d7ty`x7<oGs_e9J^my+?Y+k5zEkA^`;tG&PI})_!#=azVPEnY>mz%V&sfXdfB2*C zSh>Nz<d3pl?>%bRA6fI=PyEq$s9a!Q@(0<b_Y9x=^xuE@)Tj6U!KXgm_Z&6s53K*) zd-%O?UwK9)`+e(g_a;7-jks6%RJQ8<iE8$H)@$}GK4raP&*OKp!S@os_w6e8sARuu zy=V{fDeGtV6u<YWzwfASpIm-m@8h?!d9Q!Ow!gQky1p@8cF*ezoBQsTzF4Px-s-~| z<#O5bYl-QyJ6`XIZGUT(b=`4y-_6n!>lUB0O1jRto6qWXMeOl8r90L+pR<a(esEXc z)zTH~l+Rf`SfgAjn}4lwSKsB*9g*!Xt-P)~?&>ppov^vj^tD86`wOcpYm`f57rge^ z+;_gTV%_3m**ULo#I!%Ny0GT)87rgfiD|MkUhjx$e`<AV&Eg{2DX(Y5v_G*@y6%`J zJK?p&W<JB$9Gm-&ms+e_TqxV~IwGe1k(JQ(#vOf!OC{DVE|6_`EfLfH!0N!7$EU6K ztXX{8YR8)7(^gE^8F%#UFa5E0alUNSwS(LH_LinZvQI0GiEO`T_32vUc0TRbD>m_I zz1|Uhd`jt!wTts)gRVJl@6&kQu&Hln>4~+EPg*^?_Ao`Z;B`fG`)$j*^AD^0?iIhW zWPfk@Wlr*UnO)CM6!Y0UKVf@(Zt)FE_IH+f=M$^@ZWUjcm;6m;)AJR@eK(2^%xgYp z8F$|Bxy-uf6N>w;6>pfA{8eVv^NwOZi{~D;$7dHWnD_XLOy0S~s=iCb6Xq>GYk6Z% z@>xr_^NLk`rq4NS*<V;*n)CRx%)I9>Y}lV$nw?)*$!GH1qPXu&@eE7$XO?>B6D#|S zp0BWBe`=|AUa_+8MDYYm_9vFd<~X0RJT&L=N149o88+;XEyd0+tmr#ZtT6BK2bs3# z8aC_?E!oa1R`3}-|53!J|NKP}pWgEuMSTZ~8Rk8HFH`sYg?0P1;tz9^-^)avYkVpb zer{oT-=5+Ja}S@gTs5cpl;yi~hEHY6p0BWOpHh5buJS3%1#^<$$rL@WDC*l$Y+=cM z$MW8}hh}~E3xD)2E|;l3cF>y7_VEqb_IDPadYI2!6df;2mnl2eXx(?a@J8R_GMO!p zXUMj{v3S*^Tqd*O@dD$%>xE||+h1Ee=~-MVv*vMxZ2K#VM?K8vEP{@IOp{sh*h02_ zcHxY^#U(OJ9%mTynLUm$?z>pn(dT^D!s$4pRiDY@A2RLFEv$|owCp=un9;|4*5X`` za<NR>u|~_j(}fXzi_chS9e1?sJ6Y(_r(7hHa*WZk&+xH;ai78C3YqrD7E;F#TJ#+) z)aX+#l<9bEA=CcQg6p`WMc=_fjy~oy7W;Y*pSIZ5(|p=uTaR*qOvB?J((U&xejQtw zDpT|LhP3;%!UW0VQwv}8D(A~oJl<f`r}cP&QQz)DAIbK+7O##erplB&?l9uhcsxV8 z{f@=F9_2h4zhjK%ecKCn)IR<$z3={uzsKg~f2ealZ(g>4;qP9X`v*Sv-pRl7vu$qv zgSyAxq_^E)@t5tbdD?!(-@R7%JN~l0F@I6>_^WjBzK6efE$?UiWqWNNwtwNTUW@w; zpL?(5@A=90%G_`N!)MaV?rZ#Idue{FrunS-wVLEF(u?i~eD1xF-%zJ~*4%FY!=Jt9 z@(b#cKTFTL@9??T`2LAMZ8P%|>K=cRo_1g3bMLAAfV$*Q(v$9Y{9&7!?@*U~#{5W) z@)>iv{SSZi9?LhVOa3U`b>HI;+aq(n{fR$%59JHgC4Z1^y3g>rSO5NpPrZ8gAAIW7 zz0dK7?Sc8feGk9)?#s{k$#&oT+rEiUr6cwgK9#Pzf8saWJ@Yj+i%*%asCoQOI(T2= z_ugIk9zWUcnlGwhK4t!FpW^pk_4^&a+a~89sD1oaI`8(6yKV2ys<v-Tm)>*x!sgz) zxi9jR&zpV7Q7)G*-<Fszz2o+dyKQgHvbH<!?!B3NB5(0Iv!v~eyLqi{SKK`|CwE7l z^EtDq?FV=DUd>&Rr+m)rL5^~%bpE!+UA>occie1yY38-vaaXU|?S##}rne>Tw!JXB zlA~NAz2LUT=HBzU6?uz`rRUtfai{H>*@c|PXUvSYC#FfyxV_^}+f%bsIg5*=r`(=# zr|pTE(ssu*=?S+bHuD<Z=GfeOJl7&`aiMh2?T9;VkIaO&H}2>?oGX#HxInt)w#1#b z2WAIy9-lVble74=*^Zp#(`HQD8F%#V&;60RIA1zy+rjO<dvjB6vQ5j4x!HEl?9;Z! z?Y!EzS8U?dy1nD}u_?JXau?@G2W@lQ-m7uDVN>tU+!MKvPntd2_Ao`d;C99Bw%ew4 z>mOG4-phWolkL6fmzd=5QoF97DCV`feq!&jx!E^%vb{6STc23ndn@}wT=F-mP1jcx z_uj}p5Z8RpG;Y1&bE$RLClvQy%ia)|{8ehz^^Rg*i|ZbHkIl|r5cl|tRNlJ8s@_Z4 z6XF)1HN6p&eAd)$y<!!w>2;31Y%feN#XSBjHShY1J#5cS&DJlh<Tbf&QQUhbd&W+- zXQq1V6Dxa-uCLg`_S95uy<%nWiR=kG*`Amli*Y_<dMM`cN2$K+8GG0sn~JSpSkZeV zTOsc82dTE}8hh9tnzF4|tl%}c{-cOj|N4s}UcKu#ih2)ZGsHc9FI9K_#qPFg*&kw) z-%CZVYkVpdzHVW8@1E=jv4>BYu8L_sW%_QN;Zv!y>nnD*P079xt9;6IK}_;HsiNx@ zMZG(+Eq1cqF}=6$p;_<!%pZP>%cZK99kk}Py?kSC+dGp_KFsG$ik26qOO-8awC=r~ zdBbmUnbelcGv>CvF?r>qTqd>Q@&e=D>zQX}w!Joa;<LC^YR%<{xoxjZ9{DhzGYMM$ zF->a4WsA9OvomM-EiRE-ayi48*X(kHaqq><4nOC!CQi#4t$Ix^|CrPE+{9}6LCfB= znHhe}XHCxeC>KkmEo-#wJ)IfhxA=^S)^bP7-jkUge#%8sDa#lwdkrrO821`nu9(yI z*hFgiL5tp_nHqk|g;E`tE#|a6G~rtAXwiExlf#esjLANq!>3Jl`81z4+2*5MAk}dB z$LzNICcl;~OqHs+d}FrTw9JH=$EIe!@Kw&2s<^zts8{Rq0;AsDnLaby?wY(>rkE;K za=F8ZSL5=G*==`B=J_b+N%<{fH1FM>xnu6P>RInI_bz{H+56qZcKO?R%c`U1UH&j< zTJ^2Dm*323tG@L%bKCN(^W3U$y~*6P{HmqyH<KHeU(ESdb!*P$82`z|QLi)CEMGfs zSyj}W%Ma#EtGYG&a)7_J?b)-L%a%`_=T;Rp`|^!B+n#N?c-h52xH#%X=7Qx*E#H1N zIe*#8|FKQ(XOpv+FU%=>w&l#_GjrN1Z#~VNwtTAP+D|5@F01)Zw$c4$Vzk_Gp4l@K z!{x1(Z$FwGzbxgSY?J%Z<mhD~e`lMwA50Ek=JHpz$^Bq*@bZB<-=3Q2FE0fJdbA(6 z4ey67`!E0Tdu{D|I<sc^+qu)8n&>Q#we)?zWzXdgew&M;re0n#r>y+el*@1YR$Kd? z$}Cy#YRP*lvv~Q*x!;~_*>QQ!9JcaXw=?q=|LuEb9rZq=+U>Ed@A-_~i(mFlOE<Au z{Istu-NbtF!@e@>S#LA8F22}jW<BdoMyXr3EN_{K)#AN<X6Yu^FFxtHW+nAHWA);d zePZb*7K@kmeM>XBa?#Jd*m%~<jHQbw_FYReF<ZP=GPlI!;>9aHVpdWwGBVwM%bYFV za_*vq`)wKDViS|ab0u|)O^g>O_LW)AdYX~ywpymQXv@iqD(>Afy=O8eEbf)`J(H2> zRxNY3aLcia67JD5zJ*(kToiD(mN{Fn<<LbAcWD{l0uzJ9wUTE~XT-WaHo7(KB9l9_ z%-ejE{TF|^T{e=spHaOyRg(8~M!4H$Y2AF2Jr~#Xgr%BjExy}pmTI#5;w!hwMpAb( z0^OR8Zr#Z!T5Kz+n`ffF_+alhvst%|>*hcF-E%MfO(olV<1aSJ-z9gQKk=Ez=KP7; zV{_AQRI<G@&YPe3yXRK=1-s;LlAF%2_}p_N{eWHbIpetbhR-F}ouBZz=UVy(yX3Eu ztIl_P=CL^MQG0B5`U1PhUnKM9CI0HUls>_3@mb>=Hpyp=-R3L);xRqXQOowi_>#@z z&yw@bzo=n*ZfrJx;ZGiu^A?|b&ZN(%WP4_;H$U-bkJ0%RHEd6f)#fYy>^YG>p_1*1 z@i80cGscH(9)FbVJD*X*_Sjf#{=y$UN75DS9)FN*JFij0_RyGZzTyuagY!Q?>&0Gt z;?X;Q<5SOpbOyV}?<MQbzo>4Tmj1yu`MqT1yvC=J;qw-L@7a_7!1nMd<5f1zr;Ojt zGkhvpc78>5+m!SRw#uiB7uY1flPo%4@u_D=x<w`19piiR9&YQopZY^@ak*smw1c~O zY){{aZF^_*Nr(BoQPK3mbjh-5jk|kpr{2(8Tqe2Y^o-cHH%6~?l*=SHoL;cG=X&ay z$hOx;PjnWSO0GE_5!?34=#dWdIisNIAJZgPoVJK<o1HpCZ*hs_lG7QRdCX2nZ0@<3 z+M(xs*2rl(<E|c)(?4R`o*P+BKe)5!Y-)xc^I4;FI?Bb8Y10~a_MA?Q&|7@QNNc*| z&YqL09(u|}k}1;|clH>b7TDZlaJnL<?Xi*6^n*Kkj;3npDHlq1oVJK*duYTp-El|H z!Bh@C<}*h7bPk_3+NINc+Gv}Oa)D&S=^xQ;_l<r{TbL?YbNWWK+qBe#$YWDeU+605 zOIDoTu&GDu^ny)2yHkB4+wK~@nx>d4S#r8#6OYE}8PRQbjOOVm=SliaW8B`eJ#~ld z<L?stj=!)yHZS>uob!3Zvi^nDJ?*_K76*OP&~vHi@Ng1Q>=H<6OUs#5;w<E0ARxik z)*h<%yog=c!7+M?p{Kx64T0t<hNmQ)dlZ$RpmP%QXNeHs>e-g!y65ZO&n*qT@r6m~ z`+*BL%+kg6#pZ9&y_oR$#;pk&ewPvwG}NwLvwU)Q<E?eh%Q%m2`KQR^^>BG?OrdtK z!!4to{oCsI>wSAvs@K+%+^FjKVRP$3o4s3)Y`LA%(=%oB!oB-`ss8-fwPViO*2(;j zBJaH2^zPCf<w~x+t<e_SPT!m+b*g$&$J$AO%5!`dbU#h|t!(L`Gs|rD1D10OCAVEu zOLNw*SZl<Qc>3DT&+gN51)s`44cqpg**bl9PEoz)zKzj>L3_h><#t_JaH+R1*X_gJ zxP=DxjXv#NymLF=Z5FrDE7#i{dHzq_k#!sNI?r12OJ{E9QByOjXJ6fF8oK4d;jn+( z&m;=}e<La(w(V3>dRd)I=r68C+-b@;B($zrxv=MOvj2JWk#n|k^2vSQ1aG>SzA$T% z^%1Do=%}A~{rWWTRV+2Uy?pZ8>!&K|Jzm>+=TqTd=k9A>D_kQbdY5#pvP|FFZ87&+ zNy@Yb8!~-WTdp2jxL|8e>(iu?-s`J(@LD`RyjFYZ>eNoQe!+r^*B>lpVCP-Eeb4u= z9oLmUB^wxbgx1Q%{Qjgr;}>J%ld7`gx0iovHUE+2$Pw$AFfma{cJ;c*omGlF-Um#w zkL-@=Kj?dY!}qlfoZEHhW=uHfwB?l0-LnqOUpD_rc=y}L$Jk@mRndcCmKxrTT{#&` zQ!)Y<uj737d*2Sz4L5$p>6+R0b>B7Iee_-Hmk@>rp?B(hK5eNu_gIk8=0g36jhXjO zl}`TZVtaq9fbY+#LaBHAf=?AaUG#I-NtgOdUZtz=$T0AVay%^g;4APW`K;PnRgU<Q zhsVB$yQTOBct2I;-x=+@b!wpSf{Cems|3uGQ&=}G+G>zv!dt-o%q^fbd)Fk*%X%{o zO|tQ;eHzB89J4QQgXA5q$1HD!wX;m3x`H}emT2DJ89k}umOz*{YwWsspY;CZn6?)| zN2V-USkZGJ%5mP(t!lHjJd|qs{UBQN+^Sor3`OdnbY4=LntS4>P|zk0Z4a|sT)*3L z7pPP-d3njCb~GtmcXY1VvGzgy+69K8A1iz<UMZ~l{Xo{J@9C^-0i4l)_;fxb?p|xP zV?nq_M1a=1>ym4{E)><=K6P`i`^r<BengjBy<9mp+<RNp!YS9-wS_E0;#$pqvmOjv z$9RO<^5&Kg$L?CCEoYKn73O*+(erRlQHy)ek^gncuNCez-Yl&UUv^@X{FkCDXBi$e z-Z}iM$-hsT&AwvG%@Y%EO>nlHWqT}nrq!l~_1g1O@?We}_SZT7vtmixgH2BsusxOP zYHxYWXBqWcL#3>RUCMG<>vpRS(_3$Tu<h@=_V<u<%nD`86(Z_(YAY6PDSg1o^mSs| z4Tkb5I~9)`ycRfi*uAyVa!2l3=lc()9-hRzEK4oZ`r5e}Ym3s4U29AAnRb04`=0cs zL?N4iy^q6=K8lcX@`~_I3|25L3RM-UNRlbIBfe#mrpb&+iO)YVwXJ5zoOEmceH{kw z28I{mpI<F~;&}AJW2b}PC&W(6UGjcqgr1J?#+=sDb&49(WNx~j-}hbnd*mjof~)+A zAK%Yi`*6kw?tM!+wHIubz8Cd}<$s-$al53dvAt91lw{6OSyBI@l}EEyGivv0$IdP; z+T-?Hcjd1?I;(E@nZKE~w8bgcdDXls{d13)?{Z0hxqaZ~_4QW*mm~@9-Y0fu>i$og zFQrV}`6AgMuU>g~nD?0jO5I%7Z@Tj)Ki$F*`81We?n+$hO{ZiPL8d*zOZhL()m`|* z;a0QyFTvXmB5!UvZ||8?qw`nRy}!|OokQ(=$%DJU#+GXSdsZYoshD%=d!{384aZzO z7G9mtc|xg&C2J9PSL1^#3eQC}HyPEq<naIBa_DPrvi$PHQ!VyATJXiEqiw|>9gUJ@ z8Eq$yKCExPaHsu^+O)olM+C1ab4l$v($QdT*1b}H(wp7RsSQEGswQ*HHultQwD_Re zR?==0{6X*ri(KYj<x>kbJy_Y|y=6CZ$4}F1$yU=YTW@;H!14D^XUV^`BMTj_XfQR+ zu=<seY`gjF{C(xqJn#H%>tay7VDI_Fnz5#GVXG*=FJsV8!T4UgDv{@}8J^Y1vRlQw z`={FK{&KMT&Cj~~b^8BLKFw#kI_|5zdCl|s?XfrYZJWzO{#(Y}t=Obs!z;4x&G#5J z?)Ptw8Q;0>bT6H+IB(*<$U}<X`d;bt=a)aapw9YuVZGKmOTG0sY_4bs-rB9!r=zT3 zz3}0R{$KweJ>010c5!Zw@!K_}xef;#ZpGZVR;H*DAgpd@kbAB2La^_vLy?OG6l@v% zLIM^hpZg`*(i)s)9+>?)H=vU1joP_cJGhsx$<4YRcWy!6>`CFXSFU|~s`A$RD>wXn zUR;@aW7ehJ>K`Yc(DFAo4OF}^@s?=6R`!?v0>e#wN7Qz<?I^TJ-!$8s)oD)Fnbw*` zKAoO5dO4@w#{Ec+>YQTqf10Dr9#)<WYZn@9IkJFnp{lz%Z>RUV$5YL?3SVwo@yTCY zrmLq%^%P5mg6jkuRh|ROzZPr^urTDZC{p0t^Rlmev1a+}ylv6uZ%SXM?Wug-QMkRY zx9s&5rr_PBmqinz*;CK1oygd*u~al`W$p9gfGxodEH>tfQeGXm7U{Z9ebiZVgsqW5 zSl+mLW|q<`m)TwcNgvo<XRXk*%uxQ+t|E3u<zEViz{lmU7cxoJhNvlqqzW!E`o49` z)C2PiW<81U6?Hu&?LWzl{kvYy+GoZOb(k#wcgdRP$mJ*VD2FBpZDj9e^uE9&#d}2c zQXtcODLJJuYo?EhQ`x^hxWS{tz9w<TYwu6R-9}Gi_IfDr^KD=cVy`)zGHsUQ!kLSF z99(-HgN2S5B!)k}x7_K}HI6k5&JDVs1mY}?_{%K1QTA4|V4h*w-Sn2pV$KsY!u-Dc zI?VmRh1V!>%T=eqFL%2aF?23k(=6;7opD!V)hUgt@ckNI9)~n6Sx<Ri+NLcTR;=L> z*SBqM+6yz~*p(GkVYfAMOI`jv;&?QDN$B>CH_m%b*e|oz%4_j$o|4#)uR8st9$kMB z`!vANQ#-b?Y(g=+Nb#1ds`s|e+L-NW_<f?1?r!Vtw>|h3buzD}-de?G9rapk_SH8T z$Jf2G*wh`x6>*4Z>AEW3BX10rKi~0+W2v3@0y(z8SW(ucc1eNj3syECx@WFovQ_o+ z`zigGPrGh9F0!ubc~HN!_0E&~lJ8o)aZOfteciof^2&AE;c|Y{jkm9R&+>BJQg#-- zS8Id**InlBx^DjR=Cr=A>A#X*9*|mnrSrA3g}-R+Q#be7CG$VtxcAY!_7UUm4-@YB zTFJZAS~owQx_W85g!P(Ve_p<7Qjqxn)Q0`l3b|F@wVSr3+uB}Pw_Q^8+Ma;DZmU<^ zyCj{ta<Qbz$(o%lyN?v4b3OdK!)2{e+p90PRtLnM3p&ra%*oK%vT^H0)rnJ2`|dGy zEWPHj(){|W$t9Oe7gd@3@Jg{-l`Y0G&5B>keyu4-s(iyP7R&OB4Gw$(uNpV#vo_6{ zJSE<D)j889g&3|YMy%#9BbaNyJo0_=&`49k{G0G!!Ha(%H=l1m@|~ypiXYPt|3^Lz z|JG{rSKMIhGjD#+@;v128n2}FyVu`yT>jp#BS}Ah>SV6I%gXl4wJ-YhTe;=T`FZN* z>%CRA_cMNoFuOFK>GrO;^t|xL6=9!MrElgiT;>yA_N?~up4ijV)oqjNX3Ok5mbrP- z`x(st7e6n1cH)#k%dWhaXSc+;&MjUU?rLknP#?A2f4<sWvv=3r9ZU0^R&fQIOJ98% zr2oF~q>;j_m>+o`UsMzy$>CY`zjM0#m6!3UPG7m!&7Si8vT0;sf30Fpfhl8Q)$Q}! zwUnm4ylQXTC^c!b)N=OE#k(dIzb=$Eu6?%EQ{N)?xo}<1`Jb1U+lGtwTgdV4d%oxe z=cU8@Gd(_d6%>iY^7#g-U0QxiGxVZy!`u@;g|=EhowRM~=HCjH$?IZ0ljJ!)T7TOg zwq5i|rg&DuXU=CkRz&CLwA=o!xc2zx%YVgRi_RYYaxH!8u1#rDtK!qHCf9Wf+t*s& z=zjL)iI4pD`FW4NNUh-ea?QPYU6B3(|K%E`f~$^)E}v|-bcOZAxk38JDlN`^G1Sp5 zt@u;2cEz75FT)L&&QqLQa@BH`v0<QWoa#=eySx*oRVju{41Hh8yh>T9bm7~{yGs)j zz4xx(n>Q(Iy^LPzy~8E8S>F5BoqzduyWY$d$ER4Oy3{6}_iNvB`lWke|A();FTMCS zoBirJ_K^G2SXbZc|Jv#O&fG>)xAdQ6^<Km0lj8zk9WAx}adY;U*`Ia3>b_#yT)#?A zAcE19v9Ww*vr6FowO1N#LtbSZSmLyjTk+M)?+Y`I1Pi^t@IS0IyZC`g!piSzuWF|L z;(5ft*dct~YU#iJ=TAMYyS{3&O}M#7@Wksc_O%CIdfxr^(&*ev;S0+-?}bhX%lL9< zzTF?o*e!G0z4mH+s@yr1*`lXncHRVsuBG#K&OKq|f8(6uB!O8^1T$o}=3bs)a5Z3A zM8k$i%ft#-@s1-`wJLvX%)Jt>++}VS^~7!a^Wycs0?$t-+AT_+d(n9<t7!j4p6Rw7 zS=T2C6=nU^^|*DU)yd(&(%#(NO^b^{9#3ntx&L^lgv_S02RuLD7x%2Rj@av3!?#<e zd(Kwf&MBuq-ulB;&wA5u?xUmcU*+r*{xrjT{etC*%u_aZM*UpmaH4C1A-BftsZ7Dg zHMCsK?CJ~dJo}QKCU-2k`gG3oHBL(p`6_->@955Xdc<1kTeL+?SkH#8{?DhfudWh! znRTOKo6_s-nT;!DzuP~zF|`oZnXvKwuAAv!e_z{Tto4gULs@Q$*^-!fI*dL^?H_s5 zt1X|}&GFsVk`*0tD#q9}yC*+x;{umXfl9f<bB-Q9{ea^Dqm%aDr*AAhwW_ZO>%V=j zwfm5a|EBp9Hrf7f2)TLwy#2PTL8k(Dy7wn8nQ^jWtBTCSwWn$`uT7|tKOOhnYzoiq zw#35ngYD+Y@4jE?n4NgR<eF98V~=o#XU0B~^9nb<Jo5h1ksuuz+i$m{X85hX=5^`l z<kC-<Lo9b!n9jA4k~*RglJfRcl5(RWZ_1h0TOs=j553j7l2~0oTS(V5V;Zx1lhyh3 z=`P_DL#+ybb!Hu2kT8A5G0FT3@(Bke4-`Cax)`x<{Z~1?7KdjB@AWsaX6U;yo$wA{ z!m+6H&0HStRof02#>k!ebbD(5)F;M*%^M<m!wze)2HZ-@&@PXd`yynq?q;u}Y4ry8 zuYMKDo_DUm^G;ZT;F)RXj+Qv<|64k9>ITUdPyQKN7-}w@yYYUamDlfMQ_k|N>8<-I zzU<S2*MS=TtLMqRPImaU&t6>TX6w~S;s5e_t~e-0*T3Nt@p+Jy@-^b+nj`Clm#nIa zl%IUI|5}ZxESEV~y{EsEn4OO6tcYVS)$tL>VxAn`7ZS02OQX%nQ)_#zq^d8M%w3ad zW99D49~j~4cjUv8Lw7hUMK`}xmI?f{HA~V))2t-bPj!i!NT>SjNdLZG^>r*&9cBi* zuje;<ZM`E9bK38bhUUXl*X>N@*OpJ4@+JS#q<scUR)-|>q(5IZIYo%u*+#?sPQ%tT zp_dZkKKEU>PCa?+?8X&&nPDb>O*|@%1?O+OFCJpG)wM)^&GlOGrH>D&^}XD(Mnl;1 zr(o_CvFxN-D>aO!9lgA+EbwyBLXCx4Gi@_fjx6&R{rIrC#olal*qqRcr3H%1B%XCM zhWu`uaKa$T>B5#gl_`RDQZu;KR%n|Y%3`$C)$Nj6yJmgG?Zoph3X+~E6o+=%l{(J* zE4-z{?s9?7r52&-Q)a#RUGFiIePWKuju%#E7SEBn-oL2W@1Oci#^iPF5sx-Lus)e0 zv})1!OVd6JMPIU?Wg^6*zWBC>#TK{71N@4?fu^Dbi;^3i7Um`z=tX5J3aXeqSv@aP z)m-msw9@jh4CR~OD~&EZeDk!YtM|r?o`cMW61#J2Un<NzzJ<Y!U%BhhT~?uho}Ro@ z3{P&l@VS?BImvR*bTO5#<G=h)b>R`SJJV+VHjgMuczp11j`1ZS?u;cp{vwWx4W!GW z<CFp=kIZX3vmv3g&2Ij-ZU*TeDc)WuHnjgw*z(7OwfB8WSX8RVCr_VOEdpu#j5aND zx2Tg!Qk`Vmro8jI;D^MyMq&#M@&YD0A3V*%;!&Y_gGp<0=%L(8f=3rzdTYaYR6o_x zea+=1SEgkvbwo!Vvg&*1urz>2If*6JWo?w;g-2bQ6OM?mYV->1TfiHkki1Yeim`Ox z%Za+)W(hqj>{=%Hz7GGR5})O6%3AuN_-tFB$@VwF+cNZ2m0H7PWtYaTNZU1w$3WFE z)4(PE+MS~>gI@Vd6dSGA|Db-Xz`JlNL&cga3nL~~G;>PtHu{maf`RjRhew*oO`dqc zceWgXJ^n#uGiEm56PfkgCRiiXu<AzVf|Am!N=Fw39yp`2%0Rtj;u^asmH=;N77+#z z5Q_GR;x<oD-Yvnv0K!}hoD4bnCHZ=Jc{zE-p&_gc%umYJq-$)||C_+)*n5<rEB~XX zn}EPt-%E_a3?KX%59o86>=sas(VFVDG^jH~YiiSW%UOFDeM_&I+kQ<<!T%y#Y)a<- z++LGMeMT2!7f8)`ry{vF_guo;srJuU8dwrplKEVpZ8KW$Y0Q6Hz$mq_uiipi{}YeJ z;z?0_L2ISgKX~-v*WPCv8)i6YO=!B8zJp7viq+9#ZBw)Ni{Bsl!}oWzHT*pJ;n&iF z4`2I_zkb7(!gpZFaUQ0F$^{LvEKD;Wc0cTXa9l)a>D0%EUY$y6nrtLG+y2@aM~7m~ z9ojOJS28@y&bJT`d*|iXoqc+h*7DY=hYe?T`6>vVea<iMvn=j&rsm$JX>t44ezDq} z8M(44+32e8`o`<GnASg>7(VNbsLq40zhA%k*4TH_+I^<J<yxI}Yh@R+t#14n#qHb^ zF4eEKUV&@<e1@%ZSt)zkwns^>-x7T``l0Bat83!+NAq5MEuO;O&zbVQ<+S=8hlgBT z-~D$q9D1r~^F>OnYU#sDR`$Jh+YLI;7ufB&R#(B>Sh4iui|~LGufKnhTz8yd%MCZF zM_bj7WLGR^{phv(;vVL>gVJXkuD^Fveo$Jr_^8=>Q}gu;dDm}oTEAf7b$z+6n12r) zl;g5p_OzLA=nB8Z+fxvCP+H>to;q^_rK-7i52(gv&x~`{h|3Jz)8xCS&fnpda$L6S zp0<!Zm$p@~Zmsxh)_wiv+s_?)t_fA}idHNYtz=DKe_y;icEX-(5*56?doIaUux758 zkC=M-kY{P}@wUJ%`Oj)5b?2!rZ=K9^U{2tf)kRTh^Op6$IO^s1+Ejk)9<Ak~Cyy9} zTdb6we7x(YA^U`mB;ga=EOU;fEJ}V_6cuWr$*88&R~hQ3J@27mvx`A!L|9BjY-EUq zX8yMc*$Zyn$XVfOv5?!ua*I@4W{`tb0>}CXQ_i0=KlGZNnJJjD^EulYMaGKrOe(X( zHO$v<Ghe^eV*SH8;ZbwLKb(85{&~;2yqJ)ktM7#L-xSk$_{dAI<JF@;?*%!ve5p%L zmF?CIH-2cUomk7k5Iu#LFHcU@@a)tlA2hTVn8<o@N>94_aGR5<O5oXS_Z2or=BBCe zS$Q;0ym{c-&+YLw-)?rCQI0jUHIs_VRkz7;y8OU|=fEu%Q_ifKEWfzyXq`FVoMxzg z5nea#dVty2DE5bw+k+(Mw7Gt6VCzsjr0uI6W5vJOFPm`>Q+Es_Yh%p%2j_nFO!*-A zE2QGDg@%!2L(zw3#myZiDzjZYD(2pu6A-D?Ds03%p*k+NHZHq9?y$r9EspCG7G8gU z;q@Cf=c(E{(P0t=iBH#sJl(dSAjoc+z)6l1bLQogtkcz%5n(u^DZ5nADD~sT`4$T| zu{=-anwT|j8UN20;c1)sj~@~h<vTUUKS-!wGUee7!^#t$$4@<a^va2mz4bXC=gXMr zh_D?uST^;%YdIyPVR579q(^$A)@v?S84*S`pX19-UNSVR1&8c8>HM#IzG34h7cDNm zQ>@-g7TMf1Og?=~+v4Td?8l{Lvmaion0t3sQPhs9PA6w+J1VU5nBdMEsj{G~-TA1? zHi;M8w6py7d~@qKc6e$@lt_s{&taa~;@c%x{jAL0$T7XM-H@;MY-VsnG~c^r?>$t$ z=r^jkESsn}U)G>=jnspsCi|v7HkJ{~J7KaZ{m<dZhp*mTOF6|FysRK-SwVQ{njIU8 zVs)QvE0FQAoan2>x941*Z;8)6YhT%-OFOr%S+}Dog2`uw@Lj(o)#<L<+ow);+P))X z#imJ4>(}Wm@LaKB!3FURYu2rCSs-u0tT~-4G%U&>;bhV$hW_bl7TZ!DaWGA&d+g`N z`y%wq1Z&CEOVKX^?wr(M-`lY-rQ%e^lsM=6hBm2JJoOjki_bH<wdDKx>D>O3M;Cm* zP|ocyd3M3|^WVAsC2KE9zj)ocyeI2|_Y3K^<vn>9tY2uWwJ)<xQgpd|+_Iu-N@JYd zKgM#o*oE6W^Z9IStsL^D^$*Q;cYfjf=(f6_zDR!-+gG=b79H_&s+YWvs6RN@8T571 zdgXU>G~TY#PAprL?b=_^>CyS^K@qRN`mUK#r<U^Cq;6@x=W^f3#x}}XUnbsQ%a>yx zd7I<qVx9I*k>mX6-K969?qN5Zzvix_s<!n~Hi=i7_kEgsc&>Z;UWYv_?`))&g!c+R zJh%An?qk9a&MkJTy19etPGv}2oNv4y(}meDZ?oQrKH_U}srra{!{y&clBMb@r>vgd zxn6CaT<XH>o$L8*Y_*n_cjn8^lbgEW`^DWmKChS<r?T!K-(TCTgOe|D?P}S7z{hoZ z`#jschtBpNGLG@jee#h<;Z~!&NmGVOf2P1i-k3bkE8;eKPFGyzL=9hY$*G%WamnRJ zT;a0uvRc$1Q}vK@@s_xU7HJZ;3%Bm;-m!R5wu^qjP1bg~PZh0;wmq0Qaq+wd6E6wm zJ_+LX?_W13&bvSRhv?$dOU=)R3+`X>;@brdn`wbA#sw!^gM=&3gsfaBXZmr;qH1S% zTdsqb7aM*F``FvG+<o(xsE@f#%iY_*SnXoDQ~AaHy@dP$zx87MW*<&3j@mnWpZA9^ zFZ%kT^%%<KWEYC}zL%dTr@GL*S6^YC+}T%OAH8Pw?@xO9_t9@=|Nd<kxQ~f*%#(Y2 zQTo_y>;Alj_jKjBKc4Qi+Io5JjPlFV&#K9FJ@AWTf8Ei)CRsnS{qpqE7cYK>tC^eJ zeR%Wl;is!sWkeQ!Z29PT=UTSi+S%6y&S{@*3lBK=dV679y17nJbZmIUu9r9aQd?&~ z+`wY++^p*FBGK4L#xvmz{|n4i*$We|g-)NkM*Hraz~Ak=A2Er|nR+MGynM~#`W<3L zQJN37>?(>5i(IqD##i3<-@`Q#v7skFy;T0jzx?Xd$A^kkyR-cA&;L36bjPZglaF4l zGI}0-=5y5L&r+u<mmalU|KMKb-wzj7GFGj<%K3XwTX{xJT&7@8ecZvVpV!X2u7B?1 zXRF<@p(i&bf8v;aR@?Wi_Ks&?Z=0+)UU6OEL|^67+)CDyd+JhmwZvq^JLunUy18l6 z?n8x%FCT?+imwmM+vBwHV^;LztzuUzc#rP6lrkfH!^LclXCJ(n4fi%ZSbCj*%NHx= zgL~@S_h@N8GVSUJU!fS68@Q(}V9%v_6|4s<{w@+<zgho;jzeAL+`9$FGGcz+;i`!) zor0!rB9ehYF0q1Jk7iGiTp#eUCp_Ba@z!r{5)V0&WfG?-|54IW?#bNK79E!v6L-*c zy{Sh#m-1aPEiTEuZIk0N&G$4ZEWOTu<zayJonwcyZEZ?aGTFka<~GL0?f-FjwU9#G z;--pLr$f_Pd^v9mnaSkX-Ds)Unpw5h@S~L3N3Yu@D>U;KKiaA#C-srnfWKqrBH{H4 zV(a72JSzS6afV|`Le!(5ejk@Uo%?<nOVq8(Nrt-BKcWum_v>X^J_~y?)BWMO#Tr}A zJe+;p`?OWO=8vZrnWAnLu-w?<^2OA7TcytteaXG0SH6@6A5P}CS-H!`?9p`Ye#<={ zI$m~8`^3R6^7mk}OyCyw{-O_GGNw#R*{7Oc!G7na+}emm<`p5$UDF-}`CLyHis|=C zv3+!)xb?WNmQ7IL*9caBiP~4aCH>YCy$i%IJ3ioZtNjx6i~n81m#f+@C-2tj_sFr; zOZ;lV>MyZ(!SzckcQgmGS84BMdgqrc`~A<I$}JwJ?b%nqP@kT>?uFX))QX^s+OfaQ zHZ7VPGihO!iPE&6yAH0u`sqY-$!Aw3-{ZRbk`=m+tbh7x1@r#0(~tSmBwjszbWvcx z&91A~N6m%(C3tVYQa3o9!P5IxC$0a}i>Y4}BEL-bcDI?o;`+qXJAF@o;5fFb{B-%G z*?b3*C5-mQ?90x1IrXtN+x~<t->!uVmK$_A700rrNgOTZ?pO4={=v6deW_T<)WZ)P z9^0`7=+8cG`)84eXY@}I<E5UzT7na&E&9DQ^T)h{mltz>@%pHKr&46q_x8;P=DMrD z$o-;or?RB+p4>aea=Fk2<{jw==DIJgYX2cxE*E;~_2Kme&oA;<P5p7{=jGMU0{!R5 znfF(G`ZB9>N%8XXvy10w?#<m-{^iTG$~A|Vi=TZgTQ~RJynDv|Wj{)-u6jSqZh!vr z(M#FS7RQ5b%gwy7@ZfPBz0$WEIp_I**=uHVOtvTN<vQmBF8({GJa%a=$b8<(_fW`R z*!SrqJ`vuhLFbqC6>(;CJ$n!oAhPzLs{A}Zk!z814x+lHnI2vD9$exVn|n}Id7gjB zwcRgF-_6TdGyQyZfx7GUwmAL%q-!NS{c-2M)Ky*yS{8nKI&WR&6fb?r`?fZ=Tu%9t z@pd-0Rj*ASOLr`H_kLmfSi57nd+dw74__bQde!?yqa$8!@2k0A?lvxWPk(Xt;cHg^ z{;mt%hp+oDi1X=h{PFbSx_h$we9pYQ`fwueejBbO-!HWnxW8oWJ(QfV>`TM4Dv{4G zf4%hmJfl5+ir&jRsR_%bG_5OdoOy}uR*QGyGLc5VhXMxGTRheav>%%5p1rqt4_CR| z*3v6QA~j#S3KdJLHdf>WFE{UYH=i7JGFf1PRinFn#i19gBP|$aB$pij@@glKP4bpi z;^!Cd=nfRE`YyxtE=gqd^mE(WwfC0pv#EHw^>gf$W#Z?Q#p{l)m>Opp?_rZ{a$Wkl zbZ2_cx>@(k`(uBoE)J+-tv&dm=b}i}^BPsxR~&Nn6Rrr@Fg30`?5<vMXa#5Q)4=|y zrsG~ViBsD4{i-`C+v9X0@_16&B7fQ4dmf+b)Dx=R?NaR8U9d8v^WTF_z02n9YH@Zg zFYwIh&MVT?>{NSb#Cu*{>#Fp#YBt~erN?#is}9=qJ)ABat9$FDs*3U6*nPztG9~2p zAGqLsRJ_Z1Z>){nqv`IPFG?R9zq9%ERqErZ*q*%U_pJLLw%*g;=Pz>M_p$x#Rm^g+ zM!Gj^)@Lj-t|)0<&e0$A;Y&uyY0u{kyCqZ?Brgtrmt@k~H*sIfr;?N}9c}T3rxq(O z`TRi7ZL3w-ub@Ygi(_OpYjr>N1S-jj-(`H4RI+;U(tOo<hQFMSyB`-?{&?wo<+`I8 z)A}rInBFBVIBqxbg0g(wQOExJAEJ9}Ztu8kcy{qjE7|KdlMY@EJo{L(?x^v7^GPp$ zS-4G~W?eJ&!F2c4QAxkVj211oX>xpekz2Fsa7^7p@ppzjM!LMU8y`$}PtMSMF3sZK zf9gWfxo{52=j<L9<_*^Uex*|$t!`Cc8m~W3{DACIo-faS?pz$=wSM094}DR8G(Ejd zw>Nkn*V*U!;q+quEk2*QcTB!0WTp1ebm3#6cncd_t|d=r3m=dbZ!dg)ktgca2hk@> z^&j%J9ruptcV6(}2aCT1YqjEB&T@k;$M?Mcjy-JppU=EJ@l2Y1|B{Swck}z+C05&h zo;s-CAC|fDMYsF6pHhl{YdQrkPddN7%U1Wh&7q5zgU&yet~+Vd-@#NW@`a-#ev03P z-Om*Z+%sAC*4QzXPbs@_`#Ix-zMz%&tkVz4E*1Ln@8^@gApR=uTDEr@xeKmeP=3JI za$Il93n96aGZv}uh*_{$xc$+&#U4@XELrbVn&f0Y^UcsbEyMgSLB#9*(&WTTL1&8Z z9MqT=r)1~6E_Km+1<&W!EmOYOHpR;=U6{HgUobJs=~vLgWvcw~Mn9fj6t#-`=z8Sx z;y8Dmea+VWQCm~K*s6%v3ZHbpuX}Dw$(O5#d``RF*ZJ}EqM|OREN8Ce3m%CJK^J;Y zIMg>-_vc->bZP63&nwt;wfDA~>el|4c5r>Lwk%`q*AF(X3l`5cKXCUYqwM<{#&?hA zyW9V<np%3~v+|v}TrX!lH+tvSTyo_z(~ilD3`$HsA9W0Nu1rx5&i=5&ZQ-<s7X^iK z4=tAP`*P*6v`wPOHS3q_)pZMZh>G<dTq>z{tLeDerWag#=RbT|F>zXh-{W<S-exf- zlf2DyOeUUovzdFY=HZ6Rf@hOw>fLHQZ5~%L>9j@s7v|~32VUGvooi^-c-l5)3)A%4 zCnZO|NLF|SuIh_@$50+r;^nRvZ)~$vV`^XYzKja5i(*z;Kkprw92C8N{{A0ZbiPQm z$IFE-e7bPG;yk0S*Ef!s^Z84heI@%yu;6(hmsQ>_jysi8n)`z1bX)gZz4SO5`rzDR zv63~9R0^I4azq8~5-B&TT9Ul%_KwLH1+1h#h917Wcxzwa9nNyYS5EHk@jiw&QMay$ z#55&7{MfPjxKc%2rApIt&i=rV$`++lkHy;+K5DnP>m7RW{*#|%?2}LZlDSWeE=bls zDH4#{dmwe8$*jiH&Ng#1`s0khZ*;q?C%*FH!&IetekpSDA5VAwd!bvba3^<`w`uN| z38&rjP4><yx!V2g^od@#2S!5v{bre+&q4*eE+3fN({<rv$9t)HMpjPls{3*(PHAw+ zPW-X#q2}VP$pZJyZBnPS^f}br{?HP*=AP2N{)$sCIAv%4I8}N1VpntVicdczj!#t( z5)>4a^qjPeIf+M*%j<c<SD^zAK|)I`dL&vn9K9r!oK=-3E#b30ePhMqmj)LmZAq7_ zs=en>@-tgCe#7Fnl6&GVw$*1Ws`kucw>^IDfby?oKfS{iO3QA)=Lx;O%w>`Lorix# z{%){5%dn8wXVQg<ADwmt`zPl&r2n1g+`RUj_6AXv{~UfCrx*7`Pbj~1NpM<a)Rw^X zrjssn#aVUMJ&)VB@M?Q?UTX1gCb@ryd2afx&~bCz*%CIx|C@oU+&rmM@pqn{469&I z-LrakfKq9lH)}&-*WbFBmm7EOXm{-USjXPJ@?h`RQ)h$IOmB5<R@C{vh`B3wrsNwd zznq(<X?B7lYg@Wy-yOKi!BO@l-s-%iU{z2Ii|g$BHfxWt<QYA>F|W_!^L8)if*A%z zxkr|-y?sGZ$M%+p_01J0Gvj<sCaM%9&-C(I`!ZZjm$!ei+A5Bl2Q6*><P>lokmB7W zbmFCbg`K-Yxz65WN0;4UcJ;Y<!YUz>t9_qSO-d!>1kTu3LYI>)65H>m-GA+VmG$%e z7R8%SCtq!~*&!F+$iXuq_{fKwm)UJ^Wjh-P9-3&P{dc}Y=VwoMGpVC{9)Ag1pkez) zd&UG$<C8DfP0ToID}Lv5hun%AW=GRD%iNBxs1tK5lbKw%^^wg_f1$&XeVr%2tQ2#$ zIY0TI*@btP5)Y|OovA7P;QWIH*%6Y7->dI@e*eocZPu3^|DJS|tlg%^x8CT-HMu2{ ztL021|J>4dxV7bOX!Dtehdh?cH6C62!KFba@z#P>s^0Tf#br&Jvq|}Uci}yqGYhur zewe8u626SR;qalk>vyGeaCe+Nv}J<*CplZuV|#bU{@&ZF;<JJ0h<%T~-kvpfTMSul zy}ZD!A6F@UF8YIco`HA#uS?UnrF47h9ogF?@K|f*5oSyKJssbCW8Q6yKD|I<ZIZ&f zeI?puYx<VWYX7tF29J(^sD*x9Hs_5P-OjnU_+=h78_502SeoKx?EHei^1Ss^;R08i z)N{`ZQh0@?U(lC5aDQ8&f#Y8uzo@jy#cMP@gP(3$QkYm(AisICeR|Ha_cPB_@=cdH zzB%=GN%(;ZvF1;o*03)4p?*9iB|OBm%-mLkNpO?X{grEre{hxPb}n`Zw`y#@CE4Qn zlDY14&fDY3N|Twyw+87wjgWYFOL6{xQHOWG<)?`BGXCLx9MJY%VfvEoIy<@l@K?+_ zwXU+UOU2Nozba+TiIn#>*Xpko-TfM~{p>?~<Hawllz6XgVZ6VrMl<BYUsDmbm04XJ ziIE;r4|;z{Nnf;?GW(;I;t{{!iO()XY9F3`BcrST-g<SpGf(R`D9(2`43Y?LVr|Z< zS`cU37dBs7RP?#GsoUAhOWKo`T&-dd{_`tCr_XuuO)b+kSLU^BH&}Z9UiDNa*8k^1 z7O+pTohBP#@Z~|fl;L}cB|&rA*Bs1k{cLgA(<(E$^sxo&6>r_bip{C{5mh<HcdQ>> zSrxGHhY*Kgo@UuOZJoD1A=8fro;@A@|J46gn_1bit+t#t;XanxctvbkeU;mTIX_H4 zy8CE;Y@g6m_WPb0gXwIc2|^AsQd4te84YHNhFc1TPqcd3!7$^M?Uekqg1N1;Ds5LV zJ?olzgyZkA3E30Y39PS57IK-if}?U`mhyv>nLLk@I3&bN@|;`eAK5+MNy)V;Gsv?s z=d+Hs-r27XJ8mkun-@xCO#YD`ex-!>Rf$d(-@%#!mWDXt>qfgTO;~j5;EvuVUD5k{ zzD543mbDA~S^LG&;>awsnHCLi)gJYKamb1GEATWpUUXgh?nnLgQ)Vq^t9)hc5u$w6 z#BZ-c)s--|cx$<x@2eJXI&bh{`*rQatNWd`x$2H=(v3U!@x<$W)?Akxb{8(0|IK;M z$u_CAR$*UPHf2oriafSJJT*P#;yW{*+~es{k>{_+njX1k`NoiC=RI{xk-O!4TkpA9 zKGk1;N4Nc*-juBqTA7?5=g!Z*wC~JufwK7RQe9O{z02J-^(-Wr-h@nKGJVk%#wx7% z#XDPerNWk@>Z%M%y1ePu7pJ9b7qskX+WDxYRr_J?R`yz!Yo(3Tv@EhKMZ-UD$gVKn z9iDZUGoiGEVQt=XpQpDyMXqH9gkQQmb)CdaySE>`%jc<II^F&z=l`C|w*pY+I(P&; z*u{An7(kel0Xo-Fk(82*I@NJ7B{zfN)dT^BumygRw!aQ%KfjPL`FKU<kx#Q^j(H0o zt?-L$(Bt{F#!mY6Ti(rgw+6oX&$mb0U-$g!EFXDS7f-?3!_!3e$b95pb4Mslw%StB zu<qWj|NocF+qyFH;<iqf*Nm?jpD-$L?TLt4GQA=*;z3N|#6Ig#{WBusFV}P?E%*|% zWcyS0p87{hcmFPvFkj+(s=8p}zk3tR>@DN?3#PMGoA&$h_FT+AU0x6_u72Z#%$@JE z;$r`oy!d{2x<$L5m%^vH^LKSlKen>|OZF!fzDwJAl+OQLdAsR=e68_MUcO7t*X1lD z>W+lg9)EC4S-dcG{nDC_?Z4U*4>#|4|GMwoac9T-c6&}(e)-#!-9P8(-)Ae<?fNE> z#M-!5cJHYRc_rt?c~&_%-rvJt*)I3#;5!8w1rxzTFMWDbEq4A2Uw?w}cqCg{{-y`# zuRjj%mv;^OUbg3=YxhUC)34^uPw2il{qpULyW|7Hla3qYiSc{>i~c@mams9-*!UJH z9iKx6Jiey{Oe0!a_HBQ?u)M-b>IbvVS6(OaM1fc4wsU1S99!7D_t*E}g_|W~k8C$- zdngoncJk}LRW)^wr$2lX5)@RonD4my({T32ozv_Z?d`U%Ui6zWuxaldK6lp*ZXa1| zZKljy(Di%K%3G^md@0*Achi$V#%nhFM6@=|+J5@tR*Cyp_g>Vhj?Ofhc6|PW_3u9Z zt$LBbdq?BT+Cyn?FWWWd=M)609AuTywtuQ~vWVqS`{d}2vn6{EocXA8{QvP!{i>Gx zyf(SyavUyj7HxaD;;~Dn*-Xyqrh<P`^5$%K;kc*&h_G0-{9e1>npsbM4nNQA+GWAj z+oE%M%6+l9i97HANoh)mXZ?BBTOrY+ZOsRk-&!lChbak`rbltj^--GWp(426e{qJ+ z<@#lJ|407X+pC{^cJk}qy|Ol46@Ndb-g)^nLq$d9SI&{66L?}DYHa$JS=^_~#NKG} zV6|S}f$-XV-XB`bb+42Y_9*T*e6XBbXGO#6DsLW{?rA0`^SO3pF>z*m2%dg&!m{lX z?i>HmWa7INeqqJNgIlT>Cx_0v`G9YKYQcnmUEz{ZC-OOVykumT>R@bc6z9%it<Yj* ziIKT*s6k#{Ogn_7aX(X4jjh~0K1P|V?y?8Y#B$A@Bjw(%WX_;_z?Z>HJ<I39nG<J< zWSIBooh}Ly?_IF&Px;=pcOF};+P&pKTMcL4t3|vIN_c-to0p1<-I%bwFjzcr!J?N^ zpG@<ju8J%@o8Zw?QJ6V#<C-H0>o4oryO#=S*X&wyRhp~VzbGVs;lX3ZQO3zvzg*kv z#l;o=b-JYPt!;O^AFMj3#Cl!MHp2L2k6!4sR!=(?|I&?(5*+tl74R^yC+OXKWw>E7 zdz^g5>f`#t9Os1Yz53*-bXex$TD#eDN*eC>UKuSt(R2UNTD#BAKRm@V7u2xddu8Gn zdv^6xf%A>?zt}S8FpIx5k6`%oO-woc)?c&mx0@H0uT`HPn;8Fh!n}1a>=&pl@7@<9 zu{I@a*CPE!Ma@LNa<<tjZf<*GF81%KsMx8$%-Z_&OtaWu9Md-*&2hCq<#Hx0Y}e-E zrKcmJwAa3f-Z;VRTVh1J_!-gK_Q}j|RPIJvUVe8f{iSZ&&WDF1F6JvZCg?;qCu*&k zvPsmI+tvBzqBZX{lSB_4>8xXQN`2(8qJY!kROzNg{&N?coBZYL!P$EvF8-d>FCN{r zF3W~F`=!3h@`>-e{yjPPC6?bLq|ehgvRtxPPHD{x+bG#JH-39vnYA!a^0m%l1D152 zZ&~x^%#r!qXE-S&zVyL?jf`o+w(K69Y0N7xZrq%b`C_%W-<Md|s)r$lyuVkTnq<to z|IBnhrPfb>n*SIpoUeSj#qpnHfd1T|@@2JAeV&=c%O1x66O=vn<e;USa)JBv$1fvh z-&yze(9sLsHbU9wFWgcpn9$K}yCvT(;luOS3!At0oy|JU@xA*(!(HKJ9Jz`<JA_W9 zJy^Ea`G&TET+2gkp2Huu#!9CpOO#Dm`26S3qF17pVtXF{DBHg){Ys-_tS<xCeYf?M zMSBB|A3WK(t9zOAk;GMt#HTtf)B3hgXsX|hs7Ze;#7v`im|Wi1XQhAr@=eC$vUB^} z`&3kx{d0V@V0JU_p}n#C%SF5{G&Jw(c={-4aT}-F?4?|LEhabau|EFb?%a5V=m18~ zUZzR6<||BF&?C6lNBQ1d6J_6g-CO;Fd-qstHVMa^oqP1P_31rDKDRbbDs0kTs?ZTG zdF!`>=2M1Mj1%2?_+BmIlaM{?;J#Nu{6{n+->XIOUaA2~3A-%#E4DUB3jB`zn3(u* zV^he*Ku4h;_4}u2EqG&CoBsYN^W&psVH^oJ5Bl_rES+*rXTPrX>6J4dhOLbZOXDql z@FL&|+XB`doClt{_)5+8NS?^<t7-b#TT^mcrEA~O4Ku`R_AQIvHh*sHO!3}KD=za* z$2Lk`?y&LNU~Un6RbtylQ&HdRV!CO+XSh1<U7yu;Da~Mqq;LAf1AAZp+O_eF&7-+j z#r$GJ&06>T2|n$rc2jIxy0P`<+Kw$d)@dpKJ+Wxf$KAgQm$nwZIK9Z?`>|_bA<dpV zPZ`^s)8DUI=M|U5y}e-7xjP{tz1c<4(ScLiH#awHo<6fVGVC`0#7Te5@4L-S-z>A* zL|^7~2KV)_5Lc(ZHS4T)n{REsX+H0+_}u&E>(;(FHQhq2H#D;CyU^5GCTxXEc9>5Q zlIGdJ*(bVC=hQ->z$EU4LZ5H4)>~S=FlMUU6Xm}6#z&8=H6ceA>RW%>EYrM8^UEVn znGT`-qP88;%`q#CHcoTm>+R|A5b&I&BFn_bVR%BtD2q?1VL|{8TcL!DqRbHko(Td; zJc=y>P8|mX7*$-9)-o?Bv)Qrigs#?-Idcq{-gGEk|NHH2ml%KG(Uts;+P^n;i|^_^ z&%%EsRQ=1AHj^H&lgD07U1QO4Bkb7~{$tbh&fc{?bne{I?E+!iv041_=8t$}Rc6X{ zPW<Z2clEvY!~*fBK67Tcf3Lol=IXYgwqyD=*(PrDCz&D_KJxxswEX>y^l3ZpNs7*B zG_byz@YH$#?w35Br|(+ksO)#!_RQth2IjJRf_B|~h3Y0t)LVoM-<K@Bdm<!CaqGMq zhh6rcxC*PE%07I1MElb3iPt-#wciVNz5Vjr&bRXTp*bgeoZL1Y=?p%8dtQ)4GylFd zQIe;QY>8jzEAjNmmcN$@9e<~J?)}fv7sU7AMrm1x&Xd#%*KfK-o6~kE`7f{KPkkWI zaei;T@eB5r;`{eSzA!)B`8}WQZ(~8;@7Yat4i?+rm75)XV3GGx?{9HSlKP2G&P*xC z<MU5ha=XvAe0z8*_u{!Tem%T(>Y|$7t8={x77oU1Co?7=G-R8t(a0B<G|$C-d3nK> zmNPm>?KdocAfU6J?O{s(a@$`=Ov<E1CQ0W`Fk4}^M0b_e^EgG}mavr-_9tUF?kUc1 zTOV~=gZ+nKp=GF%W%BC@6ZS9pC7F3PlczOq@dSU4jL=R2?JjkmvXFYO+*sBVPCm)u za^j)&N=Bi#3xe+`B;U9w;IwV)ACG6B*aF`k3ieGhkh*Br{>=DrF0)grw_N1W3w-(0 z`<R(yZy#a5*i^Aj^J2@A0Ot0&hc*PoFI`r!KXLgT>3Q?s9`rb48K<>qLBY$M3aw|e zlzvEri>+|tbriLG)_pYM;oKRSjmu>W`;%;h__RYdE-ZIATrx$eUT%7q`~1}&tyy2} z7+-Pbe+zH1biKf4b8PYIwG+4|U%4Bmy-fG0(#PaEtxxZ9n5fP_{^W7XroI&p{Wa=e zgZh3Z8HW97ULa!7@9nx|N54a8(HwE{!lTETXI&0;JYK=7Keglf!HcVU4Cm-Lth%w| zALr^-T{52+DJAaGG+lG_vAoLs8DU4i&YUWru#?63fCTT>4Hi$eF1?rCa{KK|!N#wZ z%VgIYcE-KB@gmmfT<RmI{bznQ#>|Uty?FGI>T22R@|UZdlqBM({l3C{X;&nFXQPn( zRRe#kMvlK?rdfJ63;0XdON1pH7iJR3Xy1LNy#0{#fzk+V_cagZC0*K7-gQ{xZ3#E? z>PN1!H$-|ljLjZMUoHFB%O3S7<A+8S`|$^}Vt=1zI;OvhXF5a9sx7AH&c5D!<woJ* zp4f>s0bieFuW*03Qu#bzmc;GD=cb)r)AKVa>+hCLpRWnzc{uu3Eq~{KX#2T_zjIpS z)s~#@aMcujy1DZEpQ(%NOsuTROWwWVi2C&F_~+X@J(KLLyLeSEWuz9DnaL_mc<=x4 zDbwSdq4#<?9hkXAJ9n>2b2Ew&oxZU5IP0_T!5I@B>fHGq7K^?3@sH;{&lfMx2(A4l zC7#-z$<Mb<(k%1Sp1A1T)=8T0V!S6kI=6K(%gjj+91d)jiF1<+xU;Ot^G72~N^RJ? zKTnNiPW;N*`SW<Xg~4mqZ*wnQkM29c@>Fwv`Ipo336_p(@-j95SZ2ubzhpO`H$n4T zZSawc$3x$rU$o6?`TiY@r#Z9EaUI&NX{{BtUgYvGiF*fE%rH2#Y+`}YVqN?79lQsQ zF)b`|jptCk%mwR4aWH_Ej2%3gwQjRFBg2ylHU{{Tv6R%ZJj9Z*p1rIyR6MJet$g+_ zXU6(ft9Mo}b3Y@^_D)bSLUZM+;JH&Hr%pAEE#6&Tx%<|>t9Q<3l}_^8Zl#k32kT5f zJAD3e)@J_l-AZq&?alw6y>s@DdA~>hCVpv?8HJk?7hPRn_jmRF|M~Z){r#c8|JQB# z{knho>wlNq|NFRo>Hn|t|GvuCf1UsT-TeJe4b}GR{`<OqKX2FnFK_Mt{qVQ{_jv!G zAM^ixnSH(fv3cF&zl+LG)&G55|MTPf|B|8a#r8(NG0p2KpSt3{N$yRq{r}@GMjwq! zJsst+|MS<~^D~lP>L(}MiU>Qn<>UH$npKM<a{p8YUc7yDW$t9D@a=1^z89`~Jx%x2 z=?~UfuZ8x`E*H6$Z}QK!)w}6#&64}9yMG2qf6Ys}HRImd{h_-x1EuSil?6|_Wwx%c z%6#9wWvP$Veq_h`ZrFc6ENJDu`?pUmzn^hmUMoiG&3op*dN=O>UUllyqgk`!y-a?1 zUi`gsd+E_z|9s<ihL-Z~J2BPU<bP&d<Y)cjr$J}yr-fhpvpQy{&*%Klr)Jf!O}^&) z-`wh1$>sl_4lnxdb-HwR$;<vtOXH_Zd&GNHcj>C$`LE2D%zE*1`_%j4A%B_b7iD{X zpYUhFi`c(*FJ{|c>*c@qciOsl(?vr?xB9L+^&x8867Bk6*WhK<A9O?e>lVNM$-Ff) z@<Oxguh<LC?=u(k{q_&FNX=^Y58+AG-0yIaJyo<<I{Zp#2y6A43saXiKibvh@Yi>% z>*I<GFMFG|JN~a*Q}MW^?)rzvi{^){P>u<^xM;_cO?&*@Z(A(cs<GZ>X;zx+RH4_W zRtNm?3~cUNb?eitWj|+|Y6g65^S!!Gt0`b#@cUKG<@Z;6ge+NQHf6;$Q*Axxo9?cz zuS_pb3|)12*3~J?fAQFd|Gls#HC6T0)H{pZtiSNZpPyFZUANuwVtdu|(yRM5)~{Tt zq3yo%R^qB%`vYq~I~Uqi{C|G%x66lr=chbwFWUPxe#PJA?0K8x|Ju&lANnPVM}B3! zq|~0+FI9$zvwyWdF8!<Yp{;IWMezQL3UB_f^_F$+;<bC$#%l>&*I(8BRUr7o_Ae1Z z&*g-?^j9`4Zx6W~ba28IC+(W0{#L1fcG@isO3&w+zv{rH)`TZdx_%w`xANAeEi&(4 zP3J0zb#xV7(0%{0ZS0yqa`Rtq-t_7BE}@!r_gQy;F<sra(4r#sV4=p^XBxb5tfxvu z*M3-9_fvJ>wdZxOBL2*LHQ7kyrEpN|FXN-9wG>J=Dz(}3$4S<iS3Lc(_d)0b{g7@W zb?sGOPD-*Ue!aH#Lu*y0%<=qJ(^=}Ga~JpLExi@UI!h}sG-e@N$vw@^EZy*j$)#s{ zgZa*esE3DK6<r+o%9qnFFu!T9VQ8Z1KeybKw_?}DOGv#I?^FBb`oQ|{qleCaA3c^8 z_1HRZ-hI!xi+;_?=;Ig35j-6KO1!Opb-cwtul*c<w$`mY_&cll)-0}?^>Ot9>F3_F zua~J8*u(WF@q^Y!_k*k3?fK$r|9F0o;&<C^<@_-}q||<j^0Ka95%sIBHXdF7@8|Jb z^?%#tn=Msl%(#Ey@#<YJ9D7#s1$Ce53Nn2WcY055*Y&_Jb!n?t$^Vyp8y|dDqwRlL zLD2rC@fELHL@V6)pLmcHl(={KFPqw%t1kb&;1b^%vU8o~td#*xt0U&VT4#T)Oy2d? z8J>0NrH=Xk=Wb(hy*f2U{ECa%mBsz3zqG`s{14xom}ma$#EOHT<-fQF&i{B&BdhP( zuce~*!Y@u=;wHe|=gP_77v6cm{g>+_^<PJsuf$ynKfK`9`!B3|qP4wUYC9}mz4#w; zyVfMYk!AYHkT3RG-fF*Q=%p<BFCCj7_htVj>1Sn&kM~O5{vRkWCtGW<W%lCMy1?MZ zu6-i)%ea>E$iEivbNl7`K=`lH$CY&-Kg`_M@YMDH^(uyCi`HM=?5y_7{$iQ@{MV6+ z;(z}y(w+IDb=KL>>-IX9{*UXOz2|j)tm(hwbG(ns?)VpbZt40%r@q=hjrnCIv*Ta; zot`D?Q`Ud()~Vlj>GEm+&)rP+r{6lpAHOR1s;K(H=Bf?t@y}v@Wvz?(zj@!hWg=To z-#@Qy_{TA>^D+NtFQ)n>)@sEn`>)r|DOdS#es}uQA5QOeCyTK>IA7{B^U8vEyC2Om zYBMi&E;_zEBtN=wf33PHWBtnbt7m>sEn~HGe(;NXZrh8^kMzGvuYK`z@fORdSK?E* zy^u|v)Ry3GxM$kcBI|nP8O_~S-Bx`l{(GqT=4PJ<+rM-l$$u@*zkl(p8GZ&$3{tQE zD3(h7b@D$s^RmZzlT^F9@K)&*xs{h+*k^k`GfCPt;pHx`+%uuki_F&_s6RFT>HnR9 zf}cK5KJcS{`O#euoYto0&Gr2wZ*}_O-bK%UZF=^@asLXDu(!%nce2c`ax|>Y^D2#L z=26oKWxd|@|M}YoQ6KpiW*^;O6Zpkb<EZENxAwJRUkWuYa<2MaADTDSvyACsJoh@| z|Ifo+=Zh|Jc$xJ-@V&tt4%V-K{;!(%{`BeDQ?I8;{lDMTed+(YDB&e;jj#U)`>y4? zw0Oaf`n~aA%Ct<KUSGEhstk-wd%SJ^%3qr@gA)E}=Vr__+;Z8odzaGIAoW1Ad@uK( zyKb4ywq?D&s{2*;)>ljmS8-fg=8*Gw)~j<R??gEE^PO`1Co<*#>DvcGU+rI|#<DU* z;on}P(0{M&r~Ui!I-Y&{YJQ{Xs~6o_<dD9-_w<#HYTJs-K1&UM&04$JDL4H2T&v5$ z`4;!Q_6k;5e|38__lmUs4v|&nc5%V^tG+&azuJ83{$F4FfAlU|WM2Q{s{Ox?lWXID zuUV1k>05Yt*P~6Fq^>glT58l5z2scM^R4H*?ewlBS9meErJFq1;mh18ZZe_5lkI5X z^ee3IChj^?8}$7{!<AYam9G|Z6RVi|J#9PHr`$7Y3tw{X!n@K%%2BJ%y>no0`)2YW z!<V^no5_TX&sL%$?)*XGFZ^B!-SxQZ93J%jV(XR1FMM8cmMDEyv68Af$#440b?(jc zz30xo;HmE2thf4j!4x*0;~57E=ddxUXDAd-;^|73U&;MOy|mMK$-9RPD}P&fyegDY z`pUvLxk|`gBX;i1<sNe%np_q9b!MLvbK7c@2Rq!D8(*7D*x|@_bYuG!)^n3~9kC4h zUNG-UZH&TK3%yBIOw&DVJ5R4)xz&5Y^go+su6R7-i{rcp+KKnr?e%|I33zo!uQ;-L z$u6@M%H~gX`U(tq{y#A9-dAXuWFeHActbq(p@m4QpoLWGL<`Z23Vm_%yJVScm1Tr% zm1N|0xm(oqbaj~YZ4~TdIp%ILX+}cflVi_raj+j&^WOfv_qt@DSli4%vA)PavBsN$ zVx2n$c^}=7dnhnN_~C>IfrlRqEDt?Q_G(e?TPfJbvdq<D(hRwxD`Dowev>4gxm!rM zwd~q^K=AMj4erA;G`NrN(C9vJM5Fu2yAHNOot^>?ovs28oz8-a2&so33{?&Z9^b&> z&g85t<Jq&tqG<Dhc^vItJvw*inRCzS++;APcT+)5$0iP){!JchdNKr#|Kn&s(8$q# z<RC|T`(Z&o`Q1t~g)-d*Dg{c1CLVdoUl;Zz_1Z$Qg~v374sYHbGw0#Q==)-|sm~2d z>ThQzR6JVO_;}@Qg%5XfCsaJlX^Gmh=k23cr`|si+B@ftbH4ibi^oqse)0YZ=a=G7 zD!(S#n4gND^mnrK<FzM~XP6(_y@NOF=}WVMErrr7aTD1s^Ha8$noW8<aq~o`w{vcL zmlPE7%g(*yuC5-g9ii^OVD@D7i(lXR%!-ftS-VEBZSKuI_5u=RGnx*V1y8s!OWz>$ zxL9z)jT|l}X<x^ro9w(@b{?Etvs~9|Mal<BTx%6+G*xs>+Pd&XLRhOvo2a7egA|X6 z7V~&F6c&mGpPs)^{u$>yp<Q)9d@nxNT)3#v)cZ;QJPEb^>C1We@BhubC~hWo_{eve z8Htm)i+f5E8x{LbT>1HL-CQo4(tyr%zE=--Hvg)4xS()L>5(;JdVH(IbW_T&giWar zzxZUgMB6Td!v<W_Q?}1@kv+i^c3Nar=*xrRhjZ9dXE=w=U8dz+me{sY@65*It*qay zlpfD`y@2VkY)I0y<|>I73+&h|Gh~`&O&SIHRt4QE->>)U%z~-DtD~<>GtUkC?Ojs; zef1)L?!@H3s-1c7>mt8++67;pY4!Qb^FVgaC1oov_LUo(Ctg~yW>G}_lKL4}B>VQx z-uXJ^g<(lmKMT*?%malJ*Lgh<;#JYReBiLCQB+^<61@#muUtLVe#Lf;LaDsIr)}T2 z_kmSRvL3dbeXUiUc3k1yule_R746=jyhZNtmqX{SH6)7hTBKceNZ{jD`E~h#bND7v zner219CuVpJFAzxduX@vw}ksU^FGZb^A3ar`6u0~ju$aC`kMCYgGu+o?X3o16g@mQ z_{MW5z6js&|J9>SSzC`<Pg(cvqu-9h%(iW=CJ7N9%zdmT0yF%XJ1b2-7)<Y(w%B|n z_ZOwo&Us7TEo5K$Tf*m6p^oZTmT42Jgu1!E3e}1{n_zYL$DzIpRXqCl)EEzYOBL){ z)aa01B`?JPLf585X>n-syIe2v9lo!!vQ$g?-=6$cyG8k{h1}$?Djz0XnOyOutYS*Y zYyQ1gf4uy|=PR*GV2R3&Wvg2aN~)h<Uc~lOrPR?@`rY+UTBT=o)*rg_ox5RIl9s{$ zzy4hl_?x=l?<_FB(z<<DYG^LcbKRDQM{X}%UDS4@;IAxq(hjk_B&ouft#3RZ%xd+B z*)G6uu5;wLwl_=Rn=KPqs`h(NR>^DO?|JoamQ+lw`V_XSQ_MAW_4)p}ZeMiaq{s=? z7jYt9s$1n!939tPUaV2#6TE}PmU~-v;A*d<E5*NP-O#(>ePQ;kb$dKF#8wJ_S-4F* zKd5P+>%!s}?VplLKM83$*E>Cxa9F{_eE9Dt=gO1(PYrpLO4|-DIcL~%g|%(cE*I&b z?;liZ7IK%!`+M5<r9bpub}I1lVvU-#rJRR%eh4@@L1Nx*fy2h$wKD0tE)RNIJ?3l^ z;9stD<hUny=i^1KDjzO6O{x%-bIn>8wD`qK>!0c?J~S_NtFm0RUprxqhVzVvN2_N_ zn~C%-OrF?nTvAljcg|1m!qJnezbtpQOCI~cQ`k3s-8Jq#MTwo))}6AoDa(Dkcy0D; zi(5MjnBK-(bn@}tF>0Hsw<e6MFYfAgFL7(j!$RuMBVIjWIz1;QX{UD0)_H}ATUQk( zcJ9=BlQ@t2_l)<43Vq5SvWV>}5bD;LvoCkSu}>A^X%c>4v&4=Y96TwhW@UL?MO}Qx zn<qlSbM71t3IA;2wqwHq5AkUdPY=nt?cUIDs3&tgLfkK54!64DnZ~OT^PU~z*}hWJ zraL*}{SzMRxpR`IPv(A8R@7;GMQ7$i8R?jagvH$6IYo*5vtw@@7ZdMGUd^q(;cV;V z8~@_!%2pTN^WA6r{>l9(rw=8}-DrL0i@WC0)Tg4MM{oR|*E=;KzM?%d?Cz#*61xvY zI?1g`-tn`o^tRBO4f)ZFw(b4uX0hyy?@6yW`W@znqmm>KdNo;3n>r&sRoC$T4)diE zk)Ez=&$axLC_iIzq5R&JJv$d9bTb&}m`u7T(~&r})nLswfj(0)r484dRIWvKw9A%U zkm=4)*f4`l$UEafq9$+6nWc_0r!yxU;F40=IEf`IZnqC}n|8|l7dzjqYc;sDS)lK? zn9_#>PAYOy9qsNV7qU|Qn70}%Z;YCL*+KVo=7Yy-ypMLcHnZqmROqyBU7#YNt)6+H zV8aG~CV|76+=&*siZbasE)UkUdd%4@!2kT^0!zM&4i5}?Rr)R*I2<VT!@{lEqVKXo zqp*obQe&aHBJ-7qoep^mkDYSe<o_k&<u^ND3APB1;||<{5-Ey8&ALYp3q1Z8HLc*w zl17JeDUUA;8r$PcK3ts5R`_M1BU5eW1eg8aKfgC*QTUPK&^v9R*%5;?O;;}Fq&xGR z@8Syd%!)ss>#_Ecu19_Du{Mb~(K)%l*6q9%e7%V~lR;va#P%OW3T@ZK4t+SWW8p+) znb|$J`z;r3vg4k3BSBH_n)LR{%`J<K?@BfLnM`=$!OSn4@nEqn?-i~2Y@Az`Itt}_ zEa-b;wW;xy#X8mBF6SA&by^ZPwyyYc$caTPs^bU~_eX>Id{L_2CIS(@%$=boA2v*4 z)9PN>cq%Dl!T}>Gm5o~jrm!TZ%JE8siG1^9NU}&!<oT`JlDxT<<IPrqt)Dgt@b7-P zz_RbM!vhIkm17d}>8Xvo;x0x1uyAU&;Jd8Q=xpNgNP+W8#7$S`t+!NvyYx?;D0ax; zijzfKM8|;y?uil!igL#;Iu^fK(0HIs$|GlSWBXc@fb2x6BOCkKID3{l3N7y8-^(OW zvue59=@jXt8A*<>GW@nGwq>$tdA`lw#cOzGi^A6Rk!D8>e!so2Xv>~0TOtd6UEk^_ z&qz+?nY^YadC^o(pAANxAH$v{PMG>rA}zI$r{4NMGuzpwYEk7w>N&qYU-sI<x4bU% zl29&}XXn3+twOoFOH}7c%`ev!y2C5^x9r6;XV<Iur%b<=cJ0sG`|5?=Chr99MSnBC zZTK)O)qe9_K|AK6`8WB@?Q%~!Yu(+f*thT@kH+u9cRAdG66uOU$+|}lJ9tm7>DiVe zd|ZRuGhs=qis7Lj3R()g*0=AO{C}>w?sL0yYh8|if4fE1s<rt2mF0V<zVK<D_Tp3B zi*1WPS{A7Ovaa;z(YG)Tk{1b2n$^1H$d~WN^}8l2{+zEEzDoRz#*N&4OI6dvLgxLN zvr{NocZq6RaA}%-)4C%v>iM0OA!ncZUa?D$^zioK{9c{rQp$gS?wZ`d)!n;3PChKH z8Mcc3wu+R24-fkUHp89`0&VNW4i)O`S>P$y$NA+S>#@rYNiw`PJxd$=4NW?dO?ZVQ zT$@$g+OD^AnXJ>v3kqyqeC+rK&5%IR!=^#$7EZ5Z+C5_*2(0v$QTg)fSZ`s&i%+kn zy_&$!^}JQ{fWZVd8RiUy3-j58{`TlkSkf?S>8hp^-^4}dshOr;)lIsQuE<k;xcezj zXX0dD!<;ROeZ13R3*T%MXuK<?wDGi)&6_O(^4dBoeQ(7k9T4O8Oezjc?EfDy`Q68_ zN7C%CypW4eSIm0r^(EFJX-BRz&-R>-$IDW~vyNseW}VDb%sQK?m~}Bz(XK4QLxx{h zrE#~|q$6_|T{!x!{ZrD^JNFi(w7s6PW?JbKor0+oLb+;MCrRoB8y#2m65Am#PonAl z{}m_BUv79P!)xKz`o3dH!vW4J?#{_39}2qI?EEe(^ckxJ>@Atb#v`72ps=5f>3gQa z#|Abzxr+ymCrcgqs8Z9Gy1(31d(I0GWjyEdvwh{J2iqiW-4Hnbx1ep-U$1LC-U3XQ zbzPEXwN}i?QnXtZ;UP0wSEbQYY|@b<1!{ACd0pGP-MIdK=*2Cm+JCR7>Tj<9I5kMZ ztTsbSM%uaSK-&bRjsFgxNwrwIWle5m@X}ZN|FSJLzN1*$w|j|RLH`xicl$4HcyV~m zxsu82>I5c9T&tCsWbtl~{G=-@cz3J3OEX@$WlHAtW^<1NA11KL^k*o1n87AwerDTC z`$xNTw)y@Sy{~MX_1C=W`<ZPo_rIx@C|kMC`1Y|)xz$;0Qy6*9XB_xAhmA=;L*e74 z9O>}gdsDXcu9mekSo|z2{QKcaR_2E$&6<B^+sB;SaWffH8Ju66dmgyh#>SMJsc`X~ zud>|2vg&&|vjeR6WV@}MZ@T%sO`g-+Cw@0nKVHb0ePY|%y^;ZJ26GIh=Q7H$XDAd* zU=wOT^LW{OvFf`yvqP-+<htp8eVM<_ch%fGT)S1|X6H`6xlPuL`4q#}z6FfO1En}* z7B?O#+?+e_RxZ1-i+{bcs!pMe;q7ND=i2ak3!Oi+t@7r!xdzOq7}_SAJg{(OZoF(V z;YR+pv)7k*-xP?KH#g4uyJN9<qUQs*3TM+fXSe;lxoxf~^C^a@Ar~7S+wg`wa%1l7 zPP_f+*Ble)p4GB(+}<+l&ulw-)o)!}tk7C}gKyI3x4oSt8NjxpWeS^2c*cS<Z|2VI zwA(^i+jGwf2xqm2ADT34+nK<b0oFT3$~?E2-kx@1+uL0rPunbHJnm8a?Ic5EpveS@ z+;fvXx8A;%*5SGJaCc(o;<-1sEwQsaq}TZ^?e?Ub+j<Q_e%NU8K*F85@uSIv86VQ> z+Lq|w<?r$Fj^AnBBGT8V=(-@-W1>ZVO4QEP-;S7T&P^0rS?r@$+9<e0&+w{6R7`r5 ze$V3rrOQgsS@6ZAN2MR0bYSL&C9_h`DA-KT^flgP!7Z`uvcu9hCIT}&nL8uXZhv`L zm9@=Re*NXNE590K4bueH_1{>z!TZsQo7?Uf&dz0<Vx3W(almjo8`I|u1;hI}v(Igj zo1J@k<~2{_tht%8#<!Oluf3Z%eOJb;+|#L1wPzZNW`?;-6_hM$bV!%-C@}=dx2N4M zS!Q~-Cn`Nk{mizPKCe?ECRwCz)197KYRY^nHR^80fs1q5m~1l?E?x#%FmDFPf=rMF zb2+`4E}xORF!P#ma@mueKC7oo2IyUW<;&d1XCm;zo4K<NWOnH_klB;ZtSDVps`o}{ zhCrF;9;4gSPH*`&oAIg9T1Jxx5+2NrjwTZ%a<=)VN41|_QM%0Z97vD&A-%?piPK$X z^Y{LJX0%o@&0(icwg#_7+eL>23tp9FV3)XpT%v2X_}a{COE-8Y6x~=V=9hN6Xl9tX z^2-xUZQ(c7-$*q)R^`3YHHA&+e7yOii!-mKg7mC40_o}6pt3<n$Fsx)<f3^qK`vTu z5^$PVisMaHH%nGzD#%UYpn%C<Cb{+8mUV5hOx=g{I=P=!oKB7EKjTq6^P02Nil?@` zELJm?JLp}0^}`L6F!IHF=Xl27UAH%1OXj+BSJc%Ok<zCoMr-d(T|2o@U#mRvwa+V= zSdZ8R)+@bBOpEFk8n2ywtWdz}@~mtb_Jzi4qZ6ken6ttAQCHy>7ro0_xg~{S23wXl zdL7ABxG;?^>z5HI?hYOc^?l)!Jq_%z)>tO)LwcR9$25d@`eg40MMac1bDt@+4U%^I z%gTy#JyG+!{~i`{-@id+!=;?rcCz_1j_a1&tutXhHFtt`#(|A<*s>liYCIydIoIm) zs~oqr#%op6u2@}uC0oXMhGTb#Qo7vsm}Og!@MuiW)Lq7STuF*UXG!A`Cb056kn)va z<zi)=KAgK%s%C={-siIoMKiD2NUeA?pN+{oQ(@zIP(s=@Bk;9Pc8_G~hluSPyyyN% zobDpOId`W|_Ii-hZ#gsf-8BgaH<j{uV*>K4Bgot+uoX)aJ$2_Fnsmegth^nhJj$K9 zFV{rih8J_EE-2Am1*g@t*&r(tZm4chdoxKQ1(c|B%|MBIcE*8?6WN%gGZZ%71G(kb zERe!Qpm3~eiDi0xSa0fynV(biF3-wgn{s-K+ogtN7T%CHALh<Pkm;reK*@74D5ZYY zcq6n!rflWqLpFIkeX>`893$n#+;`9<KwDbM<BNfjZY}4I%#^5KTo<&L6nx>-WIEsL z<L&=Hy4OeB{5QXW(ULn)?$5t+wROsst4>p{T>X+Nqr1|0ZLZsL@41<}CYQ8U8m~Q? z=$YUeF=<EYoKB<ZnP1IzS#XDZzu2%?otK5}l0(wAn`Uz}@0y)6Txq<P85B&n<fpNG zYg6sC24#e6I>rlPmYGgwlQGUvFqq3GbQzSIU#|mcdTR*E1MfC0(bG@#d^GRowwPt6 zS3z;>yO8m?h7^a-qQ)aGn{%sX+%><w?D$PD<1E_?+uBqcbrU@oO*U*kaVKJS0^1bn zoX4354Exxak~0+ykAcFoZN_8Y^eAaip5JQwMkqqQY~{)8InjETs}j~|ZFbP*=@l&& zD>$>PF)HAqL(;owHyf+VQkkISQwnl_l=QSKqSiW|J>YWU88}z|G<gu=z&us)vP05D zkkfh1L8&4XtXy^)OIeHg6p2Jo4C{hp*gIoEkhK)YnMI99ia?1|DyMCQ@!GkGoyp-5 zLJ`u_RKCpsB~5KmnRv}hs$k8cMz1rO3LBrT{iD5BE|0k<|J{p=+b-^qdVO%4<`Rw^ zK24#&z1n4YOV-wQU%Fc9|4QbyXRK=f61|NVGbYNV{Ou8YX}IlfM;QM`Sw+``bdQNI zvbSiM?>i<r@k!ftg`n_C(Usghilv<k-MYVAxRt%dqkm1a+m@;XSuRbc+fH3kza@1~ z^{z^EQ`zZgI_FBLn9nOFWzSgOP`B<c4Y%^Qc=Rt{>b9lILYAYFg|AJd)7h=NC^>VB zpl6;&uVQK6#wF_}X$DP~$lv0jAF)ev<IAt7j263A?cAiYghPfecWK)E@1MWVh+geu zpmb6<RBe*gag`wP89uLsf_ubXrh26-o9*?olnb&DlhnPGJ>{%o{}HCet~*6fg(y$D z!;~tuve-wxv{7=2p5f=UXUq?5m9zP*_V>@KQxel|Djt5h-Kd^1gd=&!qXj(SvQr*U zY37P459pNUd-ZVHUPqbqHvtdU$a>A$?9BiC?S<p4);*6G$*L8c<eR)Br&Tw3M`}CI z_O*YP|6fto-E5Ro8qk-^r?ug(qiWd==Vv>T+nLJW2CR*EbK!8U^_Pza_#Rhev~#}M zd|1Uy{LmNS6<0FuWVZ7xzOV6OzfRA?g2eY}nui71pIW?Hz;s+D<k61iDvQMY2~owj z79NnZo^m6*UC!+0!v4Qy62}>>eI6{3RVzHfbv45#vz;e)?ce3@j~AN1o$_!)bCFD8 zz|`lKD~@b5U#qzK&pkfYvfB$!{d{}jaGdp*jpz9u-^g$0l-Y1tg>T=?pU!6(uCZ7c zpIr61{DwQrd6}}m8+!VU>N5f&?=D#s^8Zdz_b#E@-EZE!Hc7NdR+L$;>+)bqtH+!g z+t1sjf2#c0_n%WbNV12wP^SKJ(-ohD+g^_}dcU0ADqPa~OYOF&bWr;GwN6(hx2;w2 zyX<kF{kCKHiudiZn-u$+%~T4GZPV{${u1_U+SNMgq#3!+GU+dCUq(m%&WT=`ImxYR z=kDWe5@ok1Nc}Wh@=RDdXs2-R7pbqycn$AtQk=?nW5NMj=}9}hmG^Gglk3c5p40JI zrZ(Wqtk1i0wL7y-F0DSe+U!Wd(KZXc+Y=6`N>AG1p}e=n+JC$E`los~1dg*tw=!kt zMtja#zWvhcb%)K47~E~M5WDpIlJ};S+t#XFoA`P4yuH&RI1VRqKb(=R$YiYRk|ZV> z^C9<<pxF_F<84<aO?lJtAo|$noM_K8%O}3;WHmdo;X+%8gqg~Q8GQlYj|oY=tgO+D zT{!Rf^qT_5i==~Ir%6wW@Km<#U%yGQ?W)<4jmO%qC~Q>hT$&%0-utfS>yw{LekV1z z7UXOZX!I3R+Bj2Xm;APfjwtP@jwto0jwt1*jw4)?UU*09b0<pVDastyaY<Ow>cOMf zxvz_Nn?ReR*dfCUPAqH@9WM2gUT{a)b0@yYR+OpLaapjv)#Hmw=f1MuEdp(UVuuWG zIk6mz=r|HF>BZ@57Tk#v`HC{aIxY!|T0MM}I`<{{ZWCzJ6g#xBN9OjgBGa>*dXJpF zZnPxway-lWtEr;<FD(8S@y)KlF7V~g_L=IYsij-rE6caN&J2u;jEg$G!A5$jr$tyq z#{n<yi4jv{vseB9T56v^RrGM<oAt(GL2a%#<&{)2cn)vw|DtB#tS|oT#mhrXwlWh} z`Y8YIZfp%nXl!0}dQEfHjOPpN)T}aOgk?+`@AAz$!m-JE)Be<(cUvWQ1zLRfo{=0o zBa!Fo^_Nr2coxfsoHa<fnz?FOx_H`)M~7t6R|p$4vtA3fopnrRy-di0-o>FpYh|w- zW_CYox6L|3=D4g$<3GMxM}EA~PfW|W=lrQUt)BC8!@=Z-RVnrFjLvT2pLV>6X)4S4 zzT=&5)^jfl{9y4$;@m{5!$03lcISzWRm?nK(84C;oT*^Y!zNUl`5;k7a&46H#9dK# zlXlJe;d%G)f;ax=PhZ=qP5x)GY(f=Nu%~TjCU}&(-D7TIY@&OHZJVh{fTc07Mc74$ z*t`sd!Y(#BzeyL1bW}?lQ<vy%Y`tQeqgE<E+rzf6cgeg1Y(f4>x!*d^M%w=Mp3$w_ zk|^%z)s$Nn)iJA!``dc?mC}bFzgf@y>@|=1X8+$tUnI6&YDnbfwJ^Kvkf6+~Vs_!c zVI!lczV;=01@o_{zMHtKefN@gkNH*}FPwcv*uvqJXO8w2?=OK7?yq>VxviaE8_FzX zJnSe{kh8q;K%JDwo5hXodsDW^toDpe5M8-?s>RCc2*+1;*Cy}U^<`oe({T^m&c!#? zIa9xW(NFxQC1F1^{*cYg+O(j)DJ-|=Y!onU+vH#5JNXLh*RXG$dnGOZde6AM$-nnh z)1(K}4YsGvKRJu-;L-1S6~}KbUlq4WpsoAn@>OjfJpQ>I4?CCIm2TWD(0EyF(X%4% zj|E4aYDzfdH@#ULd^^g6>Adb%)sH$g2|@jSZ)em_NOU{*TlLK1hnyx~IYMMOmrpt- z>{qBz^U%xwn20ggw(N=jy=PqJ-p2h!h=(VA+p%OfLxtbMqHKBx&wO`!9`M0?hB3#~ zhu3zuY8~fw3O#OlNB`jBb4eE7uXwI|%uTjm$^AyTw3BhkyM?h9xm+dk{hswQ{)e3H ze!FaQerT-y+hyC~o9U}tJ$R<)c08Q4)UNNTn9_!0PAX=R9qrL#j~+L+u87+(fkiLE zLnwSxf9z=?+b+M9`sJ(CzQ4?=vW${E+|(~KBeCe);Ycpz@n4B|PlSSF9v1f$BsNae z(=j~NYTHwmD4!f-(YH}=&H)SYFmD(3Yv<d_6WcQT;;zPbO1yf)^LFl>#m$qsOG=75 z{nmZ3T(*0|0Uq&bGhQB&OWV1j|E8YIaT#&Hgmv8NhW&?L-e$^LQ&yJPrWdk4t&pd7 z&YXqoCl`MyE^7Sew@y!R-3QBEyEZtOi%)y;?vPy7jt%|u^km$v#r+mc=T^UXyYXs- z?2AJ@%`$se9e>r$A3Nva(#gepii#Sqo%`#(rLgt9;f+?-wjCQ>qQ$>`_~Fd{?c<Tw z#}%&*aoQ9=RGC*lV`uC1UqTzE8GkP3S-pAtV{XmEv&@Y{g+tc9jGd7<n|t-trQF<a z3KBaP>%Dn6b#bf=w@gUF+-9#e<pKQCGFOhfvG*oVZdTiH({b{Rq~%#Jww<fnxM70P zmdew&rdB=Jx8?g5x$SpjqKiw)6C369bS_>Nv~Z7R7tMISK<KUP6=!z#uNN;mK7R3J z0cT0s1(jU_CdQ7(FPwIitP+l$xzsR5kuO=yIHokQFI!Ki;BKpG-i{6JvyYsdeNd)W zX06zZ*9(OH%3N`-Xa8z=!13{p*BzFP-h8u;q*`B%zfm60cKh49E6ra!xs7c~6Z_Kj zbUxf^RgJS?dcNcF0w!_UkVo^Ht9HC!koED^f<l{;3oLS$8AAQ{|7?4)deW@-`&VZN z-CnhB)vjgVcF+2BZ0Xed$6sl!uGd(9@KpbrwrOW)X&!c(-MBP6BGr6JR>YUU51P@p z65iEmo(#IJs~nNG{Iut^TY0U50o|{rJv7tbCLLtDW}BqZ?)W5-^3Q<<&YqH5tFm6N z(u(w~oGigtFLKAx@aitHRem{YW(gKfIR}EIa}>=USa{_e2$s$<HDBS`y5;^Ig{8~P zZn)+gC}_H&AbZI#iMj9b5w@2Twh2pf$S9i$tmNG$DZOPy%G1zP!yFSckJT%A`2?j6 zaum!wwm($Z$avf;skua&Pe7Vujk=k~_Qd%+9euTy_&#IPG~IeoE{VBsE6B8OLeeWz zrh+VZ*MCpDYV(unXOkiVCs!Reu>9MX?DuQ#flXhmJ5^tn%Y`kw|1)B0o%r!tv-rF3 zg}#5)rJbETBVw+$A<tRuLY~vwoIK~XJ$p`QS4zwb|757OUgY?TERntIy;ZO3uJ$^e z9C7uGfW)-fOvi<S1!m-N3BC3?c$hEv!^VRmk0S1`3B2fE<P<yg-?s+~pRq1F@XzVu z@nueieWGXY>sLj0Ywe7>qVsuPqSH6q<2&9|H-5bNT}XKIdt0AV`@S1m{<iDWJo|6Q zi|=02<}0;z*RRrDANYIS+RI0~UhR5x>Cz`L-B)!Nmw0BDUQWxJba-xm-SQW=C+tk^ zp4GE?&5msmu@B_09zObEhn!xY`qu(Zn-%TekAAuDkNsl2z58+57S9J^TRb17Z8>@P zZpP&P>Wj-P-nq{f+98<h^=RR~;0gIzfl)C>UwL)UUdgjqX1Vl=?0iMN)tZ0hVx{Y1 zzeFmo*31u#>(1jnS(P2NDyTcEB!7k43a2}Z_6XU&$cnBBzqmup_T}5GP>a1fE7maW z&0Qg7uCpSO`%C7EO>3R5Y?}FMXVI6XQYSXcUSFzsvC!sf;op^FpGuDNE_i*m<W7QC zoLtGB&1@-KSN3K5=P$Qj*UY-I?{$vz)fZn4dqa+wF0$%Nw_2xs)nVe>9c3ZMJ423d zU25gG#CjcXZ2q#xQNg}~j>l~mfJEM<G8b9jE!5ZXD7{lGz3Q03s>d!D3jL)8WYvvi zzb|3D=rHr`j^kGXrmpO(cG()R%=(=o$cVT=--(XLd6)OK`;^}?Samq{LZP#+N9i2_ z=~c%PRy|I+P}sb}*e}g0FX6=$ukSPJj@nAE{v&r@`d`{b^Pg)9|1I4V61~mz&)r4y zZ|nBXei3Qbw)X2r!!=nys$KU>W_Bl*?yccD{`%=-iy-~^2kuq<RN=dR`uW3vy)|}x z*G`KcZ>;($bR%9rUMTui@eK>J>&va=4u7foDY5M8>Eni5<LnwWzkc%cSvTE(>GZFk zeo6%D$IA%6dg`4}v$w{xXZ86+;Ts|IU%BukzkZq=5jFp=SI(OLvxnP$-Kbf!zF)Vm z^y@}dgFTM6Ii71WGt#ClTf1o0qHRl<ychXieeq}G=_^}yxUSvTe)+@puDUGq(CI5f zADr>vKYYl8|2Wg~<&md1T@pG|UX^vKZiVK-J#F^-ziz#{IDNC9{QtU(`H`}f+#7fD z+UsUb$iK3EUeb+w9TRN#{F*VLcFVFmg<BRrVYwAlB=lD5@0u5<ZFa8NqU53YN^7<E zetmuC{{gcmKK=E5&hmPhrqH9MXIEusHG98Z<t7#p7T9{V_sr^QzE|%elNOskJ(?PE z`Gim2CX=a4*5szho%(c4H+l;D>Lm~6RtX>O^58%G$?aLCPesxUiQJ&<zZt^ES7b~+ z@FHXKkrNq{+c#cRlYhL(x3H(vOw~a5)|4Y(PAopOQD>7<Y3Mw`+@u+jxsPUu<_gV_ z&7CwuIQNr*`K>3(aa%O|eqLP0BIYuCQiMch2+K6LuqArCx)=HKbSr;X+h}y@abnGq z<HxUf_{#(<*>d{yeNi!7IdSKQjF{j%TlTEmvt`S=Ez7p-S+;B!o3Zo_omc;-q`EPG zW(v;83=F)wWRuKgXYK=YHWqH2^?%t;gTs5+p1B@8Vz{QfsIAoR+{SAsS+7~_Jd&mU zeZ#4fwQEW~S%g_sG9}No={&gZ-P0TIZpHY<H_w@qxL|TIPeD;*ouA&t>nCl$6q@=c z%;xsaDNW>$j=6C>O}sC8GPnAMi>;F*?j1Pup=ifZ{ncJtS;;R%9wxjHefZ#o<U@fM zf)6LWxOZSq5s!PMZ)xyU`||ED1|_)(=T5e$w|%^*)>e2?txs{HVqr<=7mkv?FCJg| zzEsQ*dil}N@{;iJB_94v!pgRuJ{bw?yt!@nYph$NaaiK@Qm3DFE7oatCzecUO$?dR znw&DlHNj<yYf{~mqlYhOboVFn?$gMclD<+yH&2Xvnv}8AcEQU_Tw9}V)c&cwc${^G zQX8L1LdJi?t<ry=FY%YU)bem+Yk|!sfyQ<*rH==kZ0>9qkk{8y>2sX+O~v4X(<BLp zaz1zKD&f+Luksan{_3<WUf;^`Wut)U8r>t#?%W?QUUqu)B2kgEWcvh_T^HvnUzm2! zW$C_H-Ij&iu`^wyr7ALfndR3@O;YHaZsPGkl6O*tSJNwrcg-w3<qlf|{yV;iEV}V( zKZk9)qRew$m#2D9D%btj_|lXr%|Bh|$njL}&c|J?Dg{@aCRto=cq7x-Uh;fJVDa2_ zKE1P~#SRr-c4Enk>^PFk{juPLQ_Y)A6D-Q2J(#L>R66&TJm;`kVC~*JtF|OH>x#?* z?a832*XtP%lErFQ9XFiK#(8F;qmZ?;yv<UFSI-{$B(WtNJen<5_%Uf^_w}5l6~?t6 zXE`&s?R=8=<!E+Cs{zkefxd@gN(F5aZL>UjHsm}`T+;eOB2}@lXWImpV-X%gk6)a7 zIKw@EQQN}`?!*}hiZa5wE(w!bJ$$waOzn*7=wB%&bUgK!!ivO-lTMR%c+A=36TfHK z#od92KQP74lxlna@|23$h4ur7ouqz5xHMacT~=sRHStK&;k<J6wTL0RLG4E?PahMh zBOC5JNt8u#9IxdT+>x#*RIYpEuq^k-!i!FiB3$GQYd_vfR`h(M*1vRD#oPtui`~xZ z?J_dWDvRJaUdAmLk*z4Cu5;wDC-=v~Gfs~zvJ^SrY@VQ!c44k^!JKz8rdh`>OkEjh zJZqiLBZ=C&Dhn@WdG`!~<B3u#8=BcXWq4|@tFQ)%ufP1TWA?Ty_R8-PLn~HfKF}<f z!nBXcT|*%JK|#g8iwc3uk6mWu7QEpfx=emnc?X*uUr?#)*SK#H9S8DO8U0PzQ)}{J z!^{=l|04{J^KlE_@Cy<9<M%;Ns^W&{)uUhHwncOth+Ad!m*YyV$%hS7S9QPM8?#lQ zQ9C5{*TH$$GZ$PkUZuD2d(lBBmcGELFY}+rEo(fYDpj$=<E_i9yYsgRGzN#H{+c=O zd4@vaG&Z?&0oEVCybF)&IKZ{a=<khl!X_UI=CE<jSzh+&^<Dk#0*y;Ss^>~)K1dYi z6^d|stFo?q|1l?)u;8gL*~``~Z)`6$@kr3!Dr~yf;7gW^aNj+#Mc0;#DP7zyDA+J> zjak6NmnT;V?{#HjUNKjt^u+m<$5=k!Hs%(r$Wj#YUvc8gnd|ere9qU@W=uH1ouvLN z+*VR9qQh&6j*DuLOTt0_TDxV9?d~QX57@Q}FJs=Fs+h%^r^v*=^6rbDU-fuH>fD=I z@`9}&T5ZTX^6+}g;?=DjC5n7LACza?W<FTR&MWl7@2$&=16ENS?(E!x8UAnG{IAW; ze2{3*D^&6G<965hr;UHuZ?f43ZshS}K3p?HeoqUp&}n5E&zOt#F=^!mItA{E8!qHd zh<IXF5%I?CN5mtuBO9-zUbr86>#>=^oWidm1_$(9EmYRISxnmT;8pHlmminkSzIl> zlRLrUrCEi=YqK8~&&`e$-b=mUA9w$inL$qR*ARnjnT~=2nVtd;nXUp4nZAM*OC4L3 zJG<8<ubQv9|L$FBChI$FopbY=+hg;Z`|svC%T&j*{nh!+n8$p0(R_<4tr-RBE*2Kc z+$})s995RNS=jh=7N`^+EA{z$|EBJr&dUlL4&+Xl@!qUr#&feDGhUk=F+84nVLzY2 z>D+`H?_O!9b|iYXG$-b^IIEr$<eTc?ZlMz9YGJdcr$D9fUg?#u`pP-Q-=`l4+wPdu zeS3k}<Gkj6-#lj-=~%YE-8{~B+1lo<oA>EU;CqRO2^GI4-adHIZV_K&nIK>1HbFlA zTqPOLm?GKIzu6+TcmAqgxSKoS#WS;t7q85Iym(}G<l@cL3;UZs-nr`YH(BC*jQg4E z?zKBs>(|y^X?L}-sB*PnX>+kqVRN^z;pr++DZF)8HjDScyMV^Ryyhbj+YcU=*naSM z#&*TNz&vNB!%~*Ko;;<JU-GAaDShkozr|BNJpTCC%FFkrIv@R7d0Fq!!HZ^x7K&{a zEcDpYx8m^O)g4xoc09An|MGs@m$L8E4_Iw?T(nyI@+I^3T|0Q~takGjmJ~?~y?9}! zVtAzV-i!D-m9Mgv{d+i5ece947oXEQURSVw-^1o^VZr8V!NTTdapjBb!+`8n9otk2 zPl43mu6*-OztKIf*+u%g;pOrdZo7Ex+;;F5@{~(osd;RsVtAtT-i!O3yPjk%`?qsJ z_qu(4uRcF>YiWL%*3$eqti}03S&Q={zm~&??{c^csmDI={nxvM``tewi}z+8bBd*H zV#=gzVhW`n?RaITQgAlcBWc6qJ)CtMALcD?d+?m$z4fms=_Cu0hY2?XA3m@UdMIEa z^>BiP*uxJ6Hisr2Nj+XE{D<Wcb9p_dOqsMw*)CqWvYotkWxII`-xNv<-FR)LvhjQ> zM_uFf+ysl4ud<h&pM9_Ib#vJY8O=inFPa}xyr6$b@uK~qhlv?2%AKp%eRjLQ(B|no z{l?3A%||4+A3R*T{h)jK@zA!{)>CZnw6Rp};`RJeB>BbmT>3hNueRr&ulwBg`g58~ zOLJmMOY`H_<g3eMne>%qgw`v`$VIsynRq1fdgbExP5TPIPw%>%JK@CxI~6&%9qR;t z>1Eh|*L(Ehy_t%^fn1NI1*aFhUtCrsEirA^uc#NF(}G%>6N_4!lhaz96KYzVlge5S zAAZE)F7!O+dGEf<yUyH=XF7eCty46wxxEqO>de^p>lFKV^PHK4@3g6?{X6nUds|I& zoS$q#QQu!bJp(>HJ;Qq^Ykk12pB;X$`z<Yxhp3B7JbfZGdCr}~Eb8A2FI=p*YL4x< z*ZOtW=H8Y?Yy8By<@^@9-9J@TZTal#ZS4)K?!|Rp{ratHMo|9x{)=C~9Wz{Z?;cCq z)!Va=y!lnN)9d}Kx2ZRR^7W5@tg2@1yK-B5<NkYb{J&ql{kn1Gy?YjB*Ke;D%lrCG zt#I$YIKjhHtEwgS4%e(oS!n(~QBB-Avc*i=pJ^w<=R&z#6Mj{#T&8YsCjW26%73?i ze(7s6HfihaC^O7i(cYaLYa7Fq{rcgg2<Fe{<2c2S-^rMKU{1#5BWE%uw@Y4BlfS&! zx6r5COx3{h)|4YRF2w&d$^O;d^=i_tM-qOm`!wb%@?6gdifetnP+w)ar|Gm-x%laf zzt~rAyu%mjCtP(cq5IaOS+}O0{gS!<{G~wNv#TTJ@>cykapC^Il$}Y>b=K_J#i|w+ zF<H!XM^^Gy-2&q;28(!p@B1@->C~r3O;vY@X5}8cxMs(f>X?lOXMK7War1)A1<4hB ztLmKMOy5P7%`;o^;oXhZ8mrf7tv{AqEqZIgDvR3}=B&?J-F#1P&iX4m?q6H6FRpLx z*Kb@oE4POy74O|EXLj}W>*VCUd$qFHZ8vYc3kt$5`{Jg`%dFf!{b9l0y)x~u-ilg8 z<gY){SXCWb7m&ZcfA80C$2Km%caP=T_1m+Ltov0JTDM{Iy|^~ng<t1>lbZggbfJ1h zrt`Z=_IoB;{`kC3Z0X9EWns+4><eFe@@&4#)@HddJ)?!!P^Y)RK&QK)Ag7~%;|}*- z1s-dacWxFocX|qb>=1p}@wlu-xlvlMPercPXzv7PRjYUJ0yZB`{_b~W&Igr42S1t| zQv9HENb#f1p@$D$TMi#T&EYPyUP*>?OW%u)Dz|o=FKJx)PV35rJn4rC8PD%^y0C9Q zc$jJX!Q)Qb75iNCoSC}swy8{Ondc~Mv}E4gOZyJ`cXfGbduwUG_WF{q_U4z${xbzf zHHu@*PBBgI*>uEp@tcQDf!_-6X&f&!4G%Mp<+<Ktba<zKz?ZsRF{|q*ziiVqxN|1= z;*K3&ymgD$v{mIX|GoP|;@u3U!*~6qL~19fA1N?cVj#n%Dbw!U71iD@(s@_$=;4hM z9zCw`=zQ$r;rSrN!}HOUn;d=B%Upf+mOFDDo;l%Aq1h6S7=BHmyY{A{{CAzY`qwF{ z_US3AHl9;d?ev>3)hn<g|6L64wZ3O=Sp{Vrnlf*lyBu~;P`YTgq@qIL*7SZeMSq#K z&R$IGouXAgUa}Dqa`)}-dFbkqSRof=F|Spmak--FBTtWyA1s#qs1ONyRFT2<BC%g{ z#gj84k8Y%MalSEcRpEQ$HuKkdiM7RSv1t++Tr$i)jtll{dVDcr<!|;mc>ICClvwQy z;SiOK^r~5l_iAoAB%pjnsKks%mB-n)F?oh22ah>xr{J;&3+HS8kVtKbTIBpTt7_Kb z*_vApWhh?>`eMMdlqcDzF?o$9M~^XU=f`Ca5}P!C%*fz+I%A4;`<8&f)|1N?P5<Hc z+pF~VJToJeTJtxBi``u94VUcFx|5&sX1(RE8H`(;<QL1I`f%#TqMn7@H@Zr?#|I0{ zNaqsL_BnXiEBM34QyD=s^Ma%=UzW-BT__qR?bI!`=BJB&l!a<a{-@&wniY=<JkoaD zc(Hj=8|$W7dpzep)LFUuwb4p$8RgPW?IrIXO0E38!|zq$9fhwfd6TMywy(&0u*2cz zRns?SE1}D+)?JgmlE->3c+(L}uWtoylWONIcw*5PylK~z;7z;cng3exV(*qU%Pzb3 z7BM@VUzYuut!19ib;;DmuWpe^&4J%GT-5k|V~Y8_;Lk6Fll#wpJfN}t&@=x}OgaY- z*Q|MVLHDP1K=6yO#X1|#YIx_EpOPz+NR_w#%*>N^eqP&R%XyN5TMm8t!{B|<_WruG zb1U6uCeI2Kn~^s~HBBOw-?(>Ef27+^na!V{H71;zlgyEIY|iZaOA-#fNzr)a;&9yG zPVbjSeBkA$SO2uFy7_5mU|y@{PhNfJ_CE)%gjn9!DAqAJ#l+pa>4?(eH;Eeqzim{p ze~~b$W~TgvS<3MePs1W+#W&AVoSu5hH#obmZ~oKil5P^IeGlCt6J`WXlgON6+hcUf z&Q1EM#j$6n4$eyM3JhC*D*dJMb(6i%W~6fQ7#BycFm>Ze?lC%Uu~^68iiWq3!6`X4 zsZ{yNJw-B;iy2>h(QeCJcEI58<}ZPs+=gGcG+!-}46?9l6=~e3=(;H0<KqSUB|jtt zf*wgo1PMuq1Wl62F>6}fpBec1|AYyL4D6N^<nU=S&35WKa%{q*L}!nW8|{`H*{HWf zWrNugm5s-8S{%|ZFS=&1+L`O{stJcS8ZF^i!=Wj3+PSM;Qt{~VO%sHUUz#xKz@!P2 zjwEds6pHofjykNvrOCtX+%+r8sq4U>2}*|gODbka2i2V6)GRz>#{A{NRgsz!<5r7Z zvz?f1eH1(A&0ng2)c3RAQA3{}Qzl&P{GMsG)!>PQ+N51kp%bi*3j~Qv_`DKo_PBf4 zAt*d~;+4lQ{9kc?QU0p(E9mZmWoD<=xn;=*rN3}}C1dLuyTE*F^j4<DDV-aqyh-Fy zUCqs?dfc#UlCXs5Nza}iCbc_5H=Vi?Ry%9%s^FQk0-xPTpThc0Dz($r?dIXU#cz_s zpNY8yggw_)lk9soBW+4_VtQSJ>Wqo~q06=%)+o*~Kcy9Hd`c*~cT>Br+s)(8{xCHA z<TgIc==<rmQ`GDkdqkJz&xq8l>>i`zYKwI?9Mte$V}45Rnq;cHe{YdxUg|q5*3_vh z@0zhpU3vFpe$a%Kx+Wr}N(rBqzx2^vyuv4U#>VB(W@Ju@ewbHx__Tqw{A!=v{ejPR zq)%aeC!N~K?soIA^Wry;1M4n0E;Bn{U~)WW@g1)I&!@G5t0!^qSZQ@V{b@mP?YjFW zr)19eY&uZ9Sm)y*jp~ZbDYbiyPKjpB-OXp>JNI`mk9)C?`KheE|03fKs7B1~zq<3j z+4OMJtIzAK7wcTOr{P^<a7yl+)YqRD8B??iG_F7P4Ngv6`Y@wU)NQ9I-}CPUn#-Og zn~L>Gr1mX#i`0GJyXi>b;x`Xl0>2er(wP2uO5nN!FYg3gc&atWkj2!D?}@pgg!E}% z*Z$trof{_xUAgfh@y$xhX;M$aET-QVOpTb-fAxk%{uE0+iPXk!x5!760>2g9)cCz4 zX^M7%>3+e35s^6-vyvwU=Cx-3Of?N#_k4Q2#_?YN``T5;5%E2j_p8dT(K&YQ1%H$F z{`K0KH+Oh`sNQN?y7d0_m3OC3KU(mZ+dB2dm!<!_`dW`&xZNuGYlne*ytvql=ZA!3 zV{aU05dUU)q4lxElS7<5We-)_YBJvk_nqtO4fQj=(kj`ub3@d&T^kN?h=+AYiHBv! zh=<MW<34V9tW~(;Pb;%};nl)<TqT89)`~e_mnmI6^<t^}U7=W6_sh=a>|Zb5b$tBd z;R4PtB^OkxYFEyWoV)bZ{0G|_>rB4RJ+IsG@Y3^NziV1oNHyDEzhA;FEEZC6{*=VK z$=1ocPC0%_^9lcX;FN^irBI{Lrw&!d>sHsKr1JE?)Y`eKh+*%{3!!!!joOx%Omzyq zIscx|E3NsFl81|Td97Ua#y$VpORfHB$-{EHy!=CNPIudNY_Hjo4gEIFUpBsU&J&TD z_1El3LBkq<`5AIg+zu96W;pY-pZN79@TG5_h|HA3W=ke1ZnCTOsG2Cv>i%Q}uc3_c z>&wR%tM8n+{`Ku#XCCzvziL9`!;2R0SoeMjui+e}*WIgs?V2pDT2i|_x8osCWW3YW z>#cV*R=&2*cIJ70;@6~A`|{?qdp|b`G+z2oDw=0{ka>*H%!i-S53X&PAamF*DEzfb z&~poiS4{4nv5yp1R$I8dveT<~eOVZz^p!<#Qk9VSKBZlakGZx+Pi>vEd{yAf<Ca0s zExcbbxqHMu;#pa3;rq(YZ(@~<x~FZU@RE5)3Rg+9Yzw@*K&U-A#8fNU!YfpH<6Nuj zHg6WB$Xjb#_T8N_=YX7-U()IH`67ziud9209b`1$uMr}dC#ZEi*GY88XV-u4wn*9R z|E#BKd}nb=-|s0p9~J~$tKa%>!X!z#z)e=gnvoAY7q0og>8GZuvCZO?zUNbPJ}e5j zR{!_cq)C!-fky7H^*JLK_%2*CKkfRoNs_k$johof#9jnwyiQ;Cb$Lo#=#(=XXH8<g zCUWZ6$(U@-nTfKh#cP(QH1f7?s;j!}=9PBC<)qAP&Bz4rg=_w+lxnLQ=PXX?n>s~j z!{UHz^?JJ|Pm(MPG&-K=C3fR;YQ>gU3pY;>2wms4LgqJT=mUp??{>(&XV;8Ojhu3( zaK<FoZQ`e_Ufi5=#$etgOSOPa2aY&J_J8-Ba>iihB+F$1n+{w7@iV8KF_=5aGA&@! zfiocfOxDS4*B{qvsut@kOldsYx=HSRx5mswMb%=R1u2a`LHy4eGZXbxi_a`fX}k&I zZ`O$HE%yq0uAs^-qc!Dgg}ukgtWc+uSuX-M9oPplbMKTj(^96aIcBZO{YGnt)$7Z@ zEfyM`(UQ3N>xrEA3Z`PNbM_05|325G@g+YwfnBvYXL-t0U(r)ld-9j2wCPS+^DNg( zEF$bek*&&eu`Pl9Cn{S`8O{%26%$!eWud1M(&pN7YU7Lm)@dRusx<UeL)ubXPHmhM zz#1m9qDrDqIi&3}Ywa@jhn!BL7Lf~HDOR)ugo^pBkU7o~`XHonRr`HOr&J5?6*A8` zLLbyLu4=!>>Xf>}V};Cgj?f323jGbfN9M$K%BfY`Zw_wz&3CHsvLoxQ+PuY89Jbr@ zR=WpDAKxaED;u(4@zhs8)25g1uexXXW`T^pY{-MDQ(qO{y(KkO?*4h1+pAJ;Y+QS) zb8SffZ$G|M7j8OQu8UlJ>GMHb?W>;;m9nSqc(_1jyG+P~ZBt)WK3>;s6jK_|$Ihqq z;eyxKJ)cfEO3GPl91ms>eSP5km-UkA8NNHKJn!0HKmBaRzPWv}@s_$<ubwS<<Nde$ zq8PV#Xz>f}?e5o$uSD{5zp9jbc=79&;&<KbU*B|ncv0nN^<A~8D$li|#CH2!Ims)V zuS)jwys~_|<~aAON`Z$LzuJ^k{aG+?@41RU3+5T0t9s;U+plc1Yo5EGztW254aW0a z0?tRK+n!u7@3){_?D@U=vde2;ne2JJ?M2kO9p8=wFMhOPb*PQ0R-eUd&(>n)LyKSU zeD-CD+fm1Ko0Wlu=OX>HLbjA$n=B<dcka9^D{ja?y!dra`LW4TqHpJZUE<~%y<FW? z>l?^*;&VPOaXWgNqkrA=*2|NnM61Dk*L2&Jfgeo{Eq-13$m(hE^7Cfbw<?u>iz$2S zxy0?LSoms}tdN)@kon;tSM#l#5Ul>$IQ($%^H09hRZF$<%in60s>zpMon$pJey8rP zX=dwWm-;=vo7cVWX-l^I%E>zxuby_r^3KUr&$)-J?~28q)(qEKDg2}F_M+^ac{RZc z)G98{?>hR*>vZytoRrmSq1wOCf0bVryk(JRXld`Qy6TqZ#M{q*1)5D++#2=u_QI&& z_4EE8?U6}YdBJ?Q!Qo}+d)J*jKJ$UOTcm_p#IJVNhwIA&+A6m(L<;<`5l@Rq;O<L( z950cY7?ILF;pGo;YmLJ~(G4~o^((oJbvCme-~3U(f0MzQ4X!6j|7gojNt~+dAb4c| ztyalrx0-}+{<!WIDRJ$ll8Dv6Z^vp`CL3)r-Zx{4d#XTvw0PL-zxp}{Pv-ntyI6;3 zea=U3+5g_`sV|baFK|DSe{hJWIrm`Y%^&QpkrHgTs%~8V7{BG{`<FlTZ)6Dkt7K2z zku+1hxcPflOY_57sYN_(?@Fyxb|iM{nik|+X&lz|j@g-5ZGGtAg@@c$I}$GRZE%t6 zx!E`E@l>fzb7iJ1{48D)vy<`nA)(5=J!M;6<an;<m7Tb7S13Ab!?%Kfwt3S|6gzJX zZ)sk*U3Evof^Qq0+xAVn5p;OV{AQys+mB3@UQ(`GJ5PG?VI6fB0~hyOiib5$&wRr^ zvA-;!&2ZX|KRHj5m1TGy>m2-P_32~T34?<|(Ka15i<*siwjU8a?`CIt=%B$-p_mwV zyQ_SX%OaD!Stk0I2ee5}+aYxGM7o=WL|SB%_lpzhw-pb|c;}o{_~glV%HWDnxRLbF z2^{Q)rMwF~Wq;~fr`(Y2@>TuO>-{S0bo7;1=cUcs+%oJ!C39XFWd}@c-f3{yMf}-} zhliLh$3`U0;;x?YDntBu6}xD}^94fLvR4jEv47oo%kgo<(*>MsN-n5`MXdZ+lHS#9 z<g=|T^+U6)a%{xeWW6(nS6W%yc5XOQApXtZ&_%sIPd+V!gN~}ltXH%v^1Vu4(|pYE zn4_@7+XbFIzntu)&E2J^n_Qglv*O7UV<Ce(KXv{`ueF5i^2=0|QP**Ku%p#OX0rf) zG-#9B1&0SpyefJZ4jitO`eEVTY;o?ALZh*X$D=JDD+6;&H}86|!}Myszu@7y>)%Xy z_$~aWdPT>oC111I!z9;p7nc+yHh$C7xp=PCc1vlZd~S?I-!;8C2eiYtt9-n4ak<QP z8R=rvu$YLo`(kE1-pS2vQ=HgYt@q~PO77nkj}8^uls#mT+gTvQFI%fsaCzg#j)#rh z#&^oE=oTJ!w2dhbkiRZt(zlv#)`3`d-$kFVzqEdM|7ytp1^F|UWjxT;%?peQ6g|9Y zzH6n#vp+ueJ1)KKOsw6~nHamJGdXvQXM)cb&qeiHP9AQ^nB3oZahXM%%WNSDsa&t5 zh$n#$BP1gy&eC*qn|;N~=++TKt6M4tdbgGcP0pCy&UsNyUKlit)dd~K+HzvC(gw{< zN~YI+`ps5OjeBxWWt)4IO-}chA2+042JWm~qTbj$ah{6VAD!CUmmaO`TX_1qpjexo zU~%-;S(DgYswCLlsw~)Ct613Ft5n)ts%*Y=efjZ1@@3~@_a*9$?<USu+2tzB)psxO z>Wa!0*-P3N<}YbaE?VNA5VXWSDQStjuj52H`Nzt(g+1M0R1C~6O+GSXg|ni8)+#B} zpFF)^40`%*O+9i#qdV%hTVxGS|E42`I;SQ%?AP!X`n%un|IL(Bmo|MYU;4E4)&5fw zaka^gJm>d&{{LCP7_|J0e{Rpi*0o-J>1HY)F14xL`hTU#reuSnylk#UpP$(jhjeML zMcu4dk6gIhCQ%hpwaV@5qb%*@h~(9i7SHD8T(e!VbE(;r#F@N5Bl4UJ*KAnG5_T&< zXm#DJee(qrmWGC0eYH8P^pUwqU~R@6=}MKh-N#nWZI^gg>wEq9#mk%28{@>3F5Y&s z`La<!zF$Z1Na1NGp&hx3o_7{@M14C_aNkKnF5vEBPagf;j)zm1+V!=IEee|<ru6ZK zla0+r0eOF2mA-VbNe7C#Js%yt{`0Ypsb$^r(^*V!(#{n9>{<BQ{H65gR-HDpvJ)4M zMrpfeYYV@~NfnyA=8Utu_UDTSPd&=WPvzXQd6UYmTQg?yY`<9*eYS1)zHKG5=JBn+ zp?Ekod##N1TAzgWsVhZWrdC?yrrPC2M9N%WtJ9brHtk4g)72N7tlaaZuXolQD>!<} zA}?~L)OxdJeGhoGlXv8$%3NRL^Wc^L<?S<!eCmCDc`rVAR>3pf$XrD8Ou@(OztZ_; zGKU4#(=FaSk!hb3^I*YbZ<&H3{@-)&9Jf>NPu@OR-Qbh7c=+n?`7(D;7ak1V8+Fj9 zJam1SzVVzn$;&2lpD8NpwDfzIxNh?A8PA^-o+<ytB4$x3<Q;QYyWrl{y;-4~E`;ul zny6D0s$Y1{w9IBsX`+03j76WV-kbx5;(m`bZhm?-Z7XAcqT$U?Jn0d?djG{LYWFYP zfBS&Jf!qfYFU&Z2ilkN8cJs=$?clX*+r?Y>=I^ackAxY!+f>@Dt7j$8+{|Rk-cukg z@oN{a%;Gz2jTiHpk4S7kcsOGF!Q&R&75hHIM#QbEqY`#*W-^uaDUy~@v;MBI@z6=z zH6=yztLNDCUG<xHAVl4NQM^Sp&yF-h9ggRYk;e?|=O{dzG0Wxcgv8adML8Rt8_$+$ zY&^+lo3q7PUiyte-`BDk2du1plD2LAZt*PAJY;e8T3Mqt8=d>EmT7D_#itr}Yhk-+ z*^A_zvd1=_;S-L?YJX*v_tv&?=QZA|9kDs>uU_S@4OKM@dzLtB>dzT@sfA~@Y+^}^ zj1)3H;k`4h^w;4_TT__kALDsl=hgd@r!R5$WaBeMMSYxpdIlFys;XJ;Y(MGuF1c&+ zal@-8g=ai_;^|Xfs()PQ`m?M*=L(A2X8N5oymyi{&3dQR#JMr4FZ|XSm8geH?wY)s z=kCeL5iefFHS(@IdzB&n$rGOL*sI<-C5imAAuAmgFXvX@aI|&ujja60t^cxaDSnEY z_mGGEWQywP<Qoso4AzuNTbS+OWqN&=t+O<*x!n{xn>PC{TdB+)wo;Wjwr2|uL^jT1 z-nNsM=k{H;zTUj%1Bu%mANAaR@Nm}c2ak8%7C6qkU9oX?p0m*QIkvhR?ngGx;>+93 z%Tqq5dcuw8PvpK??d-SplRKWL?w>Gyvie5jnquo6zAGo}ldZhYC;6^+^1m=K#$=0E z3wYAsiWcA5?%c>;rt$FtpRLU%XZilO27T&fGY$k>`#f4DdhJNT89vFr=->YL9qgYk z;BkK=T0CcibK~SPjlz3;wlN!=<;C9`^m&)fIFM@X^XQcMOZ{JI59-t3to@~W@}kN4 z*>@W=W~A>*FR$8Gy=s$|_U1{KRVA;L#2;x~)4B3Qv68<_SXtcBa8CGfd1-x9&z!yE zs`h#IXY2R>D^(|_G=;nAy<_g2uB!L1J5*sug7A^%@6X>d+4KLORM)abt7Br}M{}_= zpa1**+8V(+z2MF%&b~;?qk-D#7D?7R_RG!A6r5|bowGGjK0U|cK&CWb;i)!dnGFvm z)aw?14R1UuZz!0!UT%lVDvOhyHJ<O^bk6Zf%$;Qreg8~I=saezzy6yYYTn3hn=-k7 zm-DnT@yBncO%=YgrKsy~*tvpBWf5J?W@icxx7o&QN|c|TYjHqSny>I`n{v$NhZ5Iy zpRafQ<LMU=e)?zj%6a>{r+vO2WLaOV^ISjl=+D_};~jr}d|vOr=~;6@Po4OxMS?zm zd(8?%whFO5>t<P8T)cF~q}7H#=dRlLoVr@$bLJ|O&xxx}J?F0~^_;$%)N}Uoj|~!2 zR}1!>$u==`uzKFjGPkIBsl>$9hCJu5+VGsdTElbpDihDit4=)Ut}5}Ix|&q-)Av*H z<(X=Bvd1?3+RpZ6gID__*5#&ZUpBnh{?eRNSYw~3hSA;Bg>q&8!{oL!smb4r%Rel4 zZDz!jq@}#o5s5N^7W`WS_?>yQ_Psa1=VaSsyyA!2{TlAC8xAaZWL-5|_sU_l=C1tv zy;}nK7xQTC3pc;vWb0$N;>WQ&Iow|jjxTs*J=I(1%Hg8su6%#JtpWU}>$KT5PadvU zn)Q6~c|8H1Uu*v?@d?#fnBM1fLD_xbi+PI;N=^sbl$;H$DLEO)WD_<|X0?{0)zc-N z9}Lxfd(`)DG~+w!P@Ps&|M$u~$@>xZrvo+SJ=fsTFB0L`FBXwsUo?ebeeo25{Gurw z`NdNt-oNQRY;fS7MUD5Ld-EjkbJuT3f3|S{-uhoJ(#4s+Y~@z`b)%JI?^~yyxKo#Z zP2Jx9YSZzwqpI)QTkTl-r(Bm@W2d@DuS{u2{2P~#%WiZ&%-uM-z5J-3ot(He>yLmp zB8zUTxB3b061P73;-lxq$b}UF5h`}`s>S4b`QOGJ^%L3KJy+tFh;`+Q=r>1>yKf9` zSa;M<@t*kh;1<23mPcR2RD?;)6OrrnSDDMzf9&~`{#ND7hc7$H%b(|}WwO!#!ufI0 z1@%Lxo#sodpYpxgXZ|yxbK*M%=4e|?s<3~Nv5^1ZL?`*K!>x8a?Oe4sGCE&A7B0A; zexTE7zJNGatx}B6m(IeKFG2$5=$>jj?>wEmmSdgBuYfz+UlMmjzj*x6Z()D`?N&R+ zJkeh*J0f2QKXhB@-}BmadDBCmK(m@pJHuW$7i@hIAm=B(^M^&~6QKtw6TLhBy6Oq` ziSImO@$L5h%&+n--8-r->HVIckh8l!<7@e&|MJ#X{yJEH`fu=3nALPk=Ak40lj2vO z4M-MNTj6Iv^_78>^AbK~Q<v8UG0toF9-BP5nz*Lzk5$jbfPnUkQe3YMUQI6Xj&;-R zeJgF9@JIb*KiB`I$-CwMds%POOI*ywVYZ?nX)jYn>-E}6f9~XQ&D!{F@)PrR<J}9- z#%o@aICJ>bnw~jZGuH{b-(RWRBrElZ{m#6tm0hzozMWi>KV#yP1<|@!4vVea@n}Z3 z$n1o5Nx^4=bN0!H=j;;>&ynX&ojtv*@Y=gWVz<KzP3xwIY++Hef4jx2+_z5QNK1|J zn&tj=kJ5HJ9(Aj4bv)|+Z28NZUGXM2a-H^hUcYQy7@4%x@#ykj%U@g(wvR9fJs<XG z)`1k+F5iEf{4aekVp&tSH_qhdGyhAgeOFES!*IJn##f}otxxTgfq}bl{D1jLi#y{l zNo46SvxuGjNu_OOnWxDggWW2#N;bp_xyqzWcj5f~+>__By=UG1-8!bpeI^GkH5x~| z%ca#S=|%jXv8Q+Hoq|W|K4&^l#;W-IFp5{b@pI{po?}<#Z~eJ&XX9FdBkW7%{vK>{ zzGJZQhrvZ%X}*o``IEY%kDg=@)ZKMgPeA5>^NrQBojBWzM4IZpozd8KleKMb*}=nl zyB{QPkL@Tppm)R~Ls%kz^};g6BNOa9stslx5H9(9=9qKI&ogH<eoozFK22F=f3VpH z<ITLS0f%<Tv?fgWt05x%hUu`&?gxpJVm}z()N`4UB%ai>ol`D<O<b9xi~sBgpGEGz zaq93hbL@F@v8*v+%34w8i~Hnt_J(WJ?9Z@{eB|!scB}u8!6Cg0i9GQdp3U5Q^l!1= z6MyT}a<EMCn8a*_I)jzlV-;Q`XRr0xaxFVL+jY@atJ}tlUu26*{JP1?|MzWEKVzAq z6aQ|3#k*Y-7UlD9nG>V->zd4Lg<scVb~_lHzoh1*vCzNo(D8M)#$7+l8WS61KWw<5 z_hUwyI1|riZpF6StsKg4oO<NU9KWnRSk{=(u-13dzONSUiWN!cdlv1FO}LRSZu4d% zw_VwdRu2BRPCc{D99abY-!dIEj^<4c{C?Sz>!4(Hn#lRLOozF5KX}*_`=RiVo{L4M zc+#9rYdVrRZL^;$o{Kd4<ib&PPfy^?wk;h3UJ^4G3;1kJcPqT8XArZATTbsbt9<wy zr-s#Kibs5Q3naG1b{O7O>v)mV6{|2KCwsDr)$AFI1$;K8yBVI=Gw9jGE$4QdRX+KR zQ^UqG#UmEG1rqnfb{HO1YxyG~{nn|2cUqj5)0Dkoa!IROyKRppYO7l$S#HqxJI1x! zVZ)_a!r<C8?Wx?gh@JgM!{ib=r?y9AJr$f5S=sYcOi%1=XqexITbBe*PO3bvCva!$ zGnb7gPDx&i+Sy(j_AYtvRQ4MwPZiCgD_eBK<Q{Kp?dInCzGlvYeM=RcE;{Xf%j6iJ z?I*&&X3oQ<Q>!adpW3a9tZaB4Cbww!RQDH|PYtX7D&FN?9<#TvQ0ca3bV$?Q`5o^2 zi>?Uq?MP|*+qXxv&oZQKG2hk0g6*#!Z*cA{II-|kY);<Pj)>lGJD&&~|D3wfzxKr> zPPrPTc`WBAd+&I6g;VX%xrw$%Yp-9Fe77@&zdUwRzqOv_f!ygbkEVI|6&zGOzGI@k zdidr~vt8GGd|WsE_NtR!_QzKWpD9iCH@v25+*5echN<ABo!ibQ49c-a{R?7>S|ar< zkG-jhj7gpQd=lrg=i9Zsr<E)}7hV?rO@uqf=rGUpH;EIypRL~K{j7Vx_cOx=?`I1e zyq^g=?$i0^q5iqO>4xUqQ}Z1s{SEs5C(OUh*EYs|xy4&6tHYAh-#lF7{jKnvD!aw| zNzQjlP73bYr6sob_WMuQcnsHmFImB|_tR!EU%Lyc!aPM!d@tT!X!NCUh1@QS5c$6{ zSuOwgOpi6((FznS;wd}H;#~B5!36J$nYY_p{XS@Y_X!p(<0+M$`*5rF*7oCiH;)TX zmwB+#oA2WpRriW_lalwGn0{2~w?@2J_Y>*qJ|E2XU!3f^DgU3Rx7f+MKiBGsoh)Cs z*mzI*NjbY6Df05MMGb!I7PY>3Gf6q7C{^xpQr-qlzP;Mz5}nHL&x9V;+I)Cn+l_gv zyQF(>%V-_Ec1NoEQONqjlQrD0PRvf~c213W8ep4Kw8GBJ>I%nenXI0zJ6G+H*?4oI z(42F*eNQeO(VXs6aA~6XQOWjG8}2Qvy73_3=Q88%@m;6I!)3EtwE0YrJ&g&?$?|_P z%_}Fj|M{v%x4s*;du>qPzHsvD2i37g2c6vSiMY#Zfl|uD4bEQ+Pb_4!coE<%Q?Nqt zoAnim-$kK<u72e!SS}aMHtJMt-<{I*v2Ty&GOLg_O}?v#Q`%o8Pjc=xxVZ3`#7FCo z??OUzzweLUo}csb#-)J!-}Ec~vzNYH-O=m2_Vq2ZM|b7dbk+;TCm)HfeiR>d=}5Bn zcAjXd`d1O**Ehy`KjxP`%KWi;-)3zV<6@DnNK3B6Z{BbE#jU#9=`p|kzd!Hy*vtGg zi&wRH6!7!LPqVdkvzHaAMsNSSac6D&G5Oo2KSb}=>(9$FJR)D0cUw%nPJP~qiCfx& zIM3JTJ2a)MEvd+IYEx%>BKvJ4C+E9a6CTaul$4X0Fzv&I7MAb69vi;~GaK0$D?Dyo z&sn)8+o|iiT1rK-!}^x(YAO}!PF>&CQhp>j>~HB;Q+e@)ojIt)Own0fxavigll)yD zi3f8kzX+uBN__Zwq=luwrJT~3vejM>6=XSFYd$6FZJ%{Dk<%G{<JW2$&iRX8Zd zO!2TNv)i8fSrgcm)s|RfIJF&T>+!Bga%x(xwq!@5Q`_wM%lbo7H`utA{N7v9=6~qq zlMA8tzV;taF6`#yw3<C((PU0ZyH~>J{8jnS`@VR*my>yqabm}IwkN{pq=kj{m?<9B z7B<>rvanrT?aRl5EsRxCrRs`Rk`w%s_WF8UINl;s>wDtD`4+ifvo9QH5N4CeI3&=_ zb3*;tK{XYLB&U5p%@q$D2v<quImz4lNIY0`@r2HiT;@+Fzs=<Q$zZ5>R9N_vW4aT6 zzt4-u3ptr>3=%tjvpo_1VJrNJ^<s-ixzCA$do6N#GcO$X6=vI!cu3$n?+Nt}*=i~~ zvYfiK)lzokIPp*SdGUA==TE2PLju~pa);DEJXTY&NORhEVa9~_`aUPNi>f`@%_;oJ zw}tcPy@L%kfj9D<n$D^%xsmPEW~=sP!|fKkvY7@4{Dj+XBs%Q7kYlcR&`kK#gHtU$ z(LOI8ws8JDlzWI_yRXEfYwx!nUA@PA$B&iUDmr5s^ImJm{(RfMd;YTW1;%&w?JEsV z4wij)lVv0Oj(l+rxf`rq%4LlYe=L7_%|UF|(eUSa|L(05xN{;<W8HHNp6hk`^H`>f ze3YFiKU-Srb-|;{CGv{iy1i?qrEYCmf4I^Aa_-VK|1Mox=A5iF|I+O(d-hwcozJjw z^P!pkmt(_ki|xDoyEQ-j)e+%4_E$O&ZO=S3_54xuqalBf%fGJIu`X;+t{2&FH23*| z;)9dyyTWT_<Vt7!IetE>cGBxh`<#n+m-X___~O2K&CKZ*SC7xNI?CxDc-v%aeonV- zUr|B-78!n-+(}<1x6gkr?_qJ};Y4fhrsMB)JY?tX*!<wOUAfp6KIVTf&NL-%S$wp` zS=Mdm<_`v!-abffxvhBM!=B5x4jgy(=5(K9bue+cU*U<B#xf<Z<oGN~<$uquYN)OE zVc)&*v)F-q_RGY1d8YQ?Tf8%AwvgZRZkF_7{#$MBPt|3$=D(5An*TvYYyKCRRqd<! zt{$KIhwCG6{Js+fn(<!>4CB8PD#r5^*v0b{>c#gIsKxgbYK7-Kv))}ZaY1(8@6PF~ zcUy)uz2lp@sNFgB#mfNOFGVZts-A~;Eb`xX;(~enmkavwUoP6m^IWiu=P@*h?=jGb z?=iI4E*d3OcXYy?CHqYt72e~yv~A}<{Tj!r-<47VFRFHbOX8}WJ$+B?@3v>l+;~oF zNACVs(^oltJKL7*`^#4DTewl~eevi2OP4;Ju2yqmb+q?yz8^Ep|8_5Mb19p<ufR&6 z<e$>=hLz0n#;kHR4@4>gO0+*Dyoh`7aG`r+d;dIEImRl{ik26#4+IzbH@f%id*`<N z#q)Db(>|!JzrRF|WjmLRMxJ<u!5z&H9}2b~IDC9BQ$NFZZW|{XjSn3kHXKksru;o1 zVaLmJO;bNCInexI<!=SKc{^omY|5=!Djt?`e7y8l;NjHUipR3UZ6{0o@r(O1yGr2B zKb!RUNtIIyR-b5nkT;S0=w2o9y0q??AC;O#57U>OXq`V>NxZJCE9S>fkid!se>Lxk zq#SxaVPR$T@iVOt>H_sd-gm`F+!Nnf@gv~LqsPe;y&L>oO}Pv=pHMyYO=-HsZ7w&C z!`GU8ew1uldZIO<cp~@FWlG|0y{>w4W+FR(L_|FiN{F54-LchGPw<+^&La`A7yikG ziKaZ7x?$6a)&$py+($ntiMOS?>dBRf@BDEi^odYH`b6&zS&(}1okt|1FZ{DRCYthS zy1~8^tqD#OxsM)E5^vLV)stH$w)4k~uqQ$ZRTI5CBwh6ckBRI&G9&cDKgKli6rm{w z%TKf>fc(5iNxbbR>r&p03l1nBI>gj3(Oq<SmVEuc@^_6#r_K#=eJ;J@jpS6Jyqb5H zIM-R1c4hm`eYh(2d34j0$BH{Xx^BB@^2N+ow!l)~QdfQdyy<h)PU=5ZO@I32`lm^o zRerXf-<-QlshdsjVa+~|f_I;Dm~*;R=ajBsSuU#us%r~gZ@%Lcc;}3`B;S+p<A;0y zOtD+{yqj^|({9Ce&$<QIJ?S>oyM45*SJ!@ufoZ(TjA{BoKIg?H(`v$A`aF7_WWPOo zx@AZH{eUw+&n-1Rb5@Son=RvK;JRzFudTPN|Gl%8Q+(fvaL#klSKH>F$~<ow(v)0g zYWuFR-K#)JT49n_-uEr<ZY+zt!+7f3o3Nb=&&C(3sW<N`Vrwok(m1Wu+!()PtycAi znZ5FhBcBv}<NhdlHfHXh>f<|Fy5pC8-g?(l=6TS)!dIt`OHP>X8Yv$6<-A_u621M` z$}=)=Z~VL7_v@p=18r(Cg;sy=@cG+&`?sC2*tt~A{zCcJ@0stfTzRr^uJ+BvHll$x zm&)ySyQ&8^MT>bA9(0nFi(JtzE_SNCTTE)f{?;~$grJXy`dWo}HU)GY6gy>b#L1Rt zYk>S=9g_nE+`NW|meg3^JnzKm7O8PGgF96sd7<2~D2;x7U6TV9+`NVdm(=_=z39ZL z7O8PGg*){{&O*7kS$q56&x?!9Xt*vW^{ChLsPYssuMg*(H19=g9A@YK`r)EeZHdwA zW9Ab2I{OrN&DdwN=Y7^fmb*Gr7VT~|`m!N_-&XgEyFNGXh1*WfUko+*+kZ!C9Q5E` z8j-t@CtK&r;VAB}8_zj0ui1V<K-x!Y+J=ixoMw?4N7J}dZzL_Od7iV-Zd+tV!&|Xa z-)E@xdfmue$g*2!O49aLqc<A^_^WlV9Jk}<-EiN@`HZ<{yvFh<jsBTBQ`o)5PAyj9 z{%Ua9srJmKfPLMYF9;Od`R+duu<)tt$D(fq0j=t%AF3u_=xUG9kJ32E%DvPge<9Cv zA1$UTZdHrqg(Ay!r#zhE`SVlJB`3~rks3#1xKn2&2kqlIvn`-0Qq0TnveVB@GtK$y zmPc#6w-fU+yy_&mY{nG-)yg_k^rOYR3@<uKszt15|0s59dL%cm!8Ir69z)IfVeZiy z{M<TIl9sd@`D_c|pRIG{xEnX`hJ#MdYs@w0hh2}<I2gsfG$MH+&uZUO%lC(hc^RB{ z(hQ5xI9xROQ)br8Rw13O0bQzMr#Aff{;MRYZbd=fw-vLGNY6GnKcn_kzo_5Id2d#K zc(d~mYnbbuy0{sO1$0gYYKT48;PJNeo&Pg<N?PIJtiW#;3-kna&P>)2d*aFSd9!(! z)}$Q*p>+oeGQV*wTogLbAZD7a=!z3p-Fc=<^TyO2D`+jWo7Bz|p4K1r>h=rS_$bz< zx4S0f&f9*IwP|hJ1i9qyScMyFxOk@qo&9}IOW@4))-@sAZ<y?hL2{d7A6$M?{_@;b zosR97q-ND;diidQK7KZ*c=q$4_UQNy{bSE}c5J>ZwVk{6Re}2MdjVfp$}E**-*o-1 zP8RdG`q|$MV?`scKVIOScR(>z?0Uu|-HO{q3WkBX%U1na{Nh;W>6SNK{x_Fa&0L(^ z{><!b?gy3KHA`Ns{PDy1>4%p~+jmSk)xT-AcUR2W-wP*hzYzSfQLQWA*xc;u_PpE6 z*0mVkf6~Qbygx8&Zb$B`y1dN$3uBXmoo6qzpT6PiZ6@)i-22Ofo8MfzwCkn*TJIb4 zRtvs4ak*k+`LisWhHWA%BXz&NQxb2oy?J}`B=4tN&zzhVwtCN0OT+jjGd}cIscB!| zbZPR|m)^P??|7Tlt^79W)77P3`jH=&&f9*=`<g_h_uiD!Z!h0<-B@V3Q)p^TSM=A^ zs=`ytx=u%Hf1ad%{dm|D)${B6bgy^Ctv5aW<NcLI)4ztue4l$u=WEvHo~vwWk?Wq> z9y<}8HSOa4rQrg>hBG()C^`L<XX~+P`P_3~ZTK0zRpQc<<JIn*kGITQ_=+WYzo)#| z_E)me*G>O#-PrQcwBlpr{kNglZ*2QHU1-^r2d)O8`rFH1J)3&vneOYA6&se!+r{?v zimcYl%GJx?ZJ4;d<W=94OB*yqSBEc~p2_UEQ)BO!(<VnIx(en^+3@7_m!>T`stY;n zmvq=~2zdQsrF(Mlvkfo27YDq&`tE4j!d2qCuFq;+<;~@~;hav$+^7{_(-yY4Zw-*% z7qZ-W<E?G)G|snI{Fr)6+(&$$+@pixr=;drT{ZuI=gPv3OLL2}1$FOlf2Q*D&(_l0 zLhr6Va4p!*rr%|4T48u+-NDm`*GlcFxl^*WnWMVW`cA=9m6}Oq5wXqU2Ukh$(FnWt z;OK@$Z2J7Cvp;;=_(r?*&$PW2?Rl3kXv{md_uo{jKf!;Of3yG4Bemhit)7@?hS8t- zYj*xGRu-#Ws%Mir|5%%Sx6y-HUm2#pc)r71ZO5mnhFew{y??J7qxV5!$Cs&wYlQp1 z$yQ7#ycl(G(~VsZ|NptO&skQlCbcK--Dl_7%Z`2V7Ja@}S3%~M$<@bq!nbYI4cRBO z<<EiT1!p$MFI@Uj-R^An%9n4#kG%<=@3(aN*3$i2s^8C^nd!db&;7Pz-xe{)T)Fml z)8YPJ`(^HS$+`EBc*wim&-JUH=|1at=4(%}gG%R@v;^zTe6VCI%gQ-l8iiTqSi;x7 zot~5EKkIelgo?{we%`uP79)6gF|WMr-u>H5_ulC5?x}vd>JgL6`SrPbH`Ux^u-&(9 zu888a^3QjRH$QtUbbL)WXFivm+N|hFdHZkPde}0>?$Q0P*|#kP`I5R^r>|ez{-9{7 z|NiSvPgix%m~-J~+)<Z*#_vVu&apb^{`$zYmm>XhtPWQ%fA?^1@b{0`R<c(-zT&*6 z?3G~L&M(u1<f4z~E)%vXdL_a?*Xl6y@^=qgg1>(}xRU+Hi!070Mc3?|(qFI9%e(gZ z<K{DVJL-Jz^+o2%+B~Y7zT?mKLkor0Y~kw;(M_E1t#;$}B+YL-QrhnJO$uC7w1Q`~ z?5g%WzN^O*+oc{%aON$%u+YuoK|u1G^SOO#g+EK0O0RmPHht8anKZ{cO(J`$_WWg1 zpQr9jn-cyw^H$ilMIA>gu9QF1nxf+*61?Adx<M)b#;LP{^Om}t+#2o^xPBt%^fs$f z@r|#um9wtI9MMa9e%&qP$lfclN2Id-e(5Du^Tx5<&ib(EMpU!-;b~HPY;x8eJbhd( z+<M9Gj^1^1w03t~Oxu!IA=tC(V0TgqZyb+u)`w39d)V~l51%<XqdK%)Q2NY5tuHy^ zhF5qto!+#iTO#S$g*)oEUDs@vTd=7+;`t=bYjwFi>sHNinPAOj!;v@B+v|~Hn97|k z--JuW3lI0G?d;#uy(8~j+sft}t}({S>q6GL#4w*(;I|{BTrhmU*|Yg=Uv9bEXMau? z4v)`1cS&zi|JG)Z)S9=mC0^<+nl5=bT`p5@`dam!o4R*Ane@}`d=yiqcp<2Tyl3m* zNwG%~id_YS@@Exa`>gj?>0H$L>TTT?lUH}e9?8sJ?^7<g{EUE==;_@ZlXpgT#~ukP zzO5BfDPDLe%2aXb?vBUTij`z0#U3%to-bCk)L-93{LvNFo31ij!#B#Pe)8LRVWqH7 z;VY5JbFB`mEPt1{DEPbK!IkV1@2@!b6u%NYwyR`c_l!K*?KAxh&aV8t;pj?ZpTbvi zYSyLlljl@5RQlN-F)g01_)>7)tm!qaaraz%HuA6gq?fd%^uv_o>q2gpAx-<PB~DzW z%2RNX<!`K!tNrvd2A2Yjx&-;AChl<lYH()ZFNs$H%shoF6xpn=a5T$g^)&80JMoRu zx7p#EtBejZPWLIiplU3$>D1KNBZ;3+1^>LpvuyV6j#Iv}XAH^(c~2@G*>K~ItI3Mp z9l6i+oGMQ2?r@Fzck(dv)7>3=w>%7)dW!$k_bcmM!%71`$lWSkxoMWojme_xcbDlJ z7O%Xg`EuFac0sw9)(o+_OSQe9UMk%<W!<kThjj0kudZCGJrmb{A!gOm*I$-Oad7ol zy>&ZxX}x{^SL^NlH=1=XCY`#ncHhoY@83EpF>7=WboF;giD%9hKDuzziGBZq_EtxI zob<gq`0dea<~7_|g~~JHyQPoriMk{4?AoJeiGI3yc4^lii6w;T<|(FKdnA^4?E1Rm z3GYmoTYp}aWA?s2vRV4z-l#ho@2)*MR<K;moPT?E;pf8DV%r(avkSQk7mID@Sij|` zRk64H!>Y%VIPYa`j|$qk%X{83UDuh;zkF`$=w1m;X|l8|irTSLZ{~x}y>D**`!!EY zJ*{Zl!F{i<aK6i!xM!96?bY*cE%s^SKG>0e=I7S9otMpiNoSjG^8K_Te@*eSP2P3s zkx4E7rL%25Nx$w~^DeEuezD!}DOM`o7bH&`P0Hf!&5izlYWptnH_w%#JJ#LczHw*Y zrrYyY=AI4e-xR9%$l&eF30IbWO8s~97Vk!%=R5hgZZF)XC_72NTlVFH{4=g*Q!71e zPu$yb)%N9qKX-oaxA^;1KKk!HCG%~*=L)Z#keCqMRg`ew-rra0cilIoDa@yYe(g$W zvisKN|5PaMwtLX3&yhz~2Jb0;#q)mdu6BOEyYA`XUp3y{e!gMTnGXk4t1Dhjs<kOT z$r!iuiNN{Tq84#I%VTf<lx2!Zx7JR3uxXX>o6=Vz)pM*4>v_jS*YWYK-}?O8svygC zwM*@$YQIdfs$6NC85uTpN15<em(Hm>l|J9{ID2xd<L<o|o=O)lyjtUubNi~1zj?>$ zb3r+`&l-h>)Er5<A6sqVSGdCG`*WR*xsO6Q_gBPRJsg)~>ZZLgST~PFUN>;oL${C1 z#LV07ZI!ul_;gg!=Z{Oowlnl+7jl1GA-0`^Uw32fRo&j)YRlJ=ow?I%LYt)>uSea{ z*md>Mu?u^}%=vAz3O`@mEVi9tZ+0Q~#r<O2Ihb`nE-dgqeB4oQ=7R1rW`(J%H@;;( z{YFb>(|6T3H`6!1{Uc+kd&gzE&xbog{-z=CWpl5t6HF@-yPa43isiQM#jsHQ`*vDI ziZ@o?z3&mb<VM-_z0)Fdc1Q2-R69BK<hwPhB_eiLZ<O`_bqPzpy*Ga5#$4yB#-~$M zxA|_>*=Cz$FMczr<Vu`_<~G|rd$*ff;)UIRTSAj=r%ziN^1|l(-*0B;l5R8q+|-fF zpJjehWv2Vo_BX!Ky}A6)pP&1Gl-oN;JH>XJ?WEwYqMT|If8V6r$={TwG%LtG*^$z; z6&%^~EJ~Y}`^|kcGdR8C-4)wC1+VPlcCB*Xcuv)LP5DVVvmGh&*)c^8zIv8N+@{Ms zZ1(Q^Xt6(bmTS)MOG2~5N}E3W&3&{hIK3iEdcsr9r>&~nbiJS6__kAZ+uA+N4e8Tv zY;)|*HIGP&ez@q^w%04GG~@Qf2I}wQX<2^j>W7erHxt&DZQZN&F=sM=gzevJK6CE9 zk4rjf{<r4S=J%JT>#BPC#GT(CygcpT>E%0G#CJ*^JRQ^fD0JPi4_4uyKd6O&{%97? zU0@#0UFg31^~6k1`PgatLOSQgHK#r6=F$G_%D?)PtNiNEM;Wv~9ToVUn>(RSAaDCO zj_FHM=f3Lv_H1s2sL@{!LAllXfn|So%HFU3`ytu8Hu?CkV?uH}Q<~au6+GJXi!anR zt}gz;r3O9K(i8u5?p+c2zqMofny{Mr>xI_*iQ_FwF|9tBRk@J=VAgd1Uqx3hImtJL z-Va~4JigN7?v;g0cjg|ycJgJ0dezf;uV&|p%}wYHUVh{C70GX*zXL<_eVDJaUlms= zo%rC<_Nli*UEf~4Slw#JQqEng@lNEI!JT#c7OMX=S;=Y@P<vhfeCLCNjlxG4CaJd_ zzNvmcWcin~H<bCNKFHX2%KxlkyH|lt{965oEzYVpW~?`xvf<o9p*7pTE_>tpAbQ)q zDSJ6<jKf9bSDq2)T=%?d&#LR6T$@(!R9gRHYR$w4MWwS=cU{#zxA(oy-Oy_LpOe;l zXX|U+*Z;EcMNEZGo8SFVCy|rSpYvsPnq7V1x?v}qewVIkg<;Otse5B<EsIW>oo}_P zVUCS`uQLDt-_;i4-*Z(3quRG!y8gMWF8pTH%ebfE|I2SayST}D(m}r4B>~q~-{-HG zP%ly_`7}Id#lB~2tp6PP{ls~?&j*eDJ^MTQU-xcXYbrW7p(l8`#Oo`P$1F?vo#*W8 z|LA9XfMvN{(xTvggOe+d&-k-<aiyl*?pf2P862Hzt2*P=70qRqrEM?$?mGJ~fA@Ir z=0A*f7GDMA=T^1&oqK%z#ha|SHuZIHA~|DYZW=eOTldD6r}U&<+s-EphhvL61obQr zc}$l{4l(sJdGaQ3UEclZX^YEpZ+D%Q+f|=Gv*t+8q%-}Qe6~4nkBhHqGnrg|_3xrJ z-Rot({<>$BKXrX%=bG6UepjDnnG>h~D%m=((tVoCj@r3aj`p_?uCC%NzQe~-e3wt; z^Bq2(&v*Ic#CFKm=#*TWv}k{Dy2OJkwmc=T?AR>7GBnSv>WK8SJ(ROtE;%iZIaPXY z_O`7XUmaMy_0>^<)y|ya+%^?!A|5yv?mE!?Fr~4*J(yL_E^mjncj3{u2MTV!eeiJ7 z?Ssczw>vj{&TAKVeuq!++%DOqIVX!V?w@G3zNek`q;&Qs=7YNBd?MR(KgNH$Ygk#d zC-UZwEuz8eZ!8Jlf6uh%Sr?0QZc<rzmd8F1gL_)bj>}Bc7wTDhQ*Dh>*!%}avnQE$ zx{8XM#!t+#TxDevzJA6&m77H=lTU~H#!pz&eY@s}<Gjf^P98ROSNFI6y|VQG9X|KX z?+=C4-*AX2S^KBpV9u*coN1P&T{HdWCbq@qPySnQP->RhqLtnA`DV<UZu9qH)7Hw9 znUiY#;y!(8=f3t-RsVm%!B=a(uh{fME|_!mC)cK_k=HkMsOprgVBwb0I$G0y%0PdA zfQa@d*S4oW7qzGUT-3kxXHtXe&!mo>Ka*OH{!Hp|E!+6#Fze+{uKQ|Fh-)r;(#<nD z(<&l*$xDUmpWFZ3sVrOQ`0aw+oBtDHcdz}?!8qUc#pE4({+rFs<`w_>@qhWvR;#1l zyH|hucKb`*(uYg#g;!3#drvcd*}usDfo-~18&fVynq98X`TE^STx<E#KGm3iPU$wk zeklD-{G_G5u=r$yNb^d@N{<A!?}e8v_>Qj1FFiK>)BDnA$y*<aC-fdvT60z6;n&w8 zmn7wP-(SV5vZ!J4Rwkji17F?R<m|FoRrWMU^XzAk<`ql4y!|D+9qVa3?PJM1&+E>3 za4G%eTy56r7ycGYtG`HlDiXVP&cg1g)h}|M+F9M~^8EPGm^<x7^5<^`*V}5(Y<$SD zId=y83p1I-WxU5{eB4>0#`4V9?rs9hWxMISTxU)-xZ1)J?Bij0r-f&-&x^!GoV7j% zUM`0B5_lf-JWE`2u%^c_qTwPNx8bRT8vgtZ63SDY{{Q&Azx!tLCYvj#CnQ`Ff9c+# z>S540Yf4g&P2&{Jqb|nbZT~(k&~@hj>+@pqdQRsrKf|nT1ZRHR7QnxkM=Py2`~K;@ z+DldMY)F(_U7!E#;Dy7JHn%g1y-MEK$~Gf?VNLJ03li6RjRNA@Zno(^O`Vatka1gd z5y!@}3wpk{>WX<8M9usZ6m`gL@zog_TYab5Xe^UTO-?f1{G%!&d7+(JbP<QaNvGr- zgQ5==wrUbzKe*WTLCC024-Vh7n5WLRrS+3y?!uaY=!}N#_h*<KN#^b?yrD7k{Q0|l z+)qpYIjx_g|ElKBip~13Y7R|eKkB?##BTlPirTIRR}Ncne>J@3#N4y-g1~oO_wEbv z^Q$a+3(sieABav`-}<TJ#0m2UrMFW*rb=W@(RolRowm@fEh3}gpqNxr|I-Y?Uy`NV z6?fSrOrC7rk$Oex$ySSmC(@syWVQtGx9eOv?(4meF;Bwe(c9bmQ-Yda-+vLSC1jgB z;pogqvs9DYc8k4QINLBmDel2KF{uUJt!*!I78<fisdiVMw36IssDIOaaf+e-O?GbH z3&$trcYME}p*^c3$Nk0XB@s*9q+;E9&NjN03uM1nsC_G{_+G;}ylr36oA@@ndox<O zKHO?ijGJk2%w_MgkRyEhU;7j?I3Ih9`52s=#D0`}Z|cg3SIZ-6Y;89G_*Id$ka1o_ zM#pn8spL(CCL1s8H?DD=-D^^#ncw;F*Awjw&huYSh9|sRJ3moN?EdXzACDAO9e0wv z_sV&8*V8Zaj$S{`%+34ZgwxL{IZw2wUGDtlu`sD|PSQf2?>d$h6*&v-mVu)1u#@wd zEf*xt_tYE>+Hq#Z?1))aQkET=+jh%oaYP-eR!%nj&9^1xpuq_zTb^wJ`#^!bP)sUm zM(eR32~&K0RvhMyH(c1a;R3_mUOk;<=j7OWkBX$4`0LDiJ~5EPaB-gzpL*JrOtDvq zQw#f&?%8<jnjBE#=G_=He}%^U^zxU+izMd0{#t1!IcI&ZRmVollM=I&zRg{6e&3Yv z^5#mNw(|SZ7Y^5KUSU@@!{C6KaNCWnLlXI49vK^|=3iKz;`sB^V*is&!abMHTM7q% z;@Z1&=8Q?^uX;}$nX>1kQ*F++3k=aZCP%VPUsUS3=FcoF{Iy{5o+QcG<SUjpU;7%o znxnHmS+_D^jj5O7v`dC!@%+xZSB{5p^KLjdDZk^Xm{jtliOnxAM)!sZ$5t&4e7<D< zKILV`N7Wt|ELxtoEr35-=gRRi?x(k-xp_BUc1q?k)KcHJ@OICs&Zz}^11;6Gt{;CP zoTND?S;kK%<#*4;haV5;n)9ho+b;X-k*<cT`Qw75tG6>xHYKhL<k<M??6v@TZXJ^Y zPTag3Z#X5dG1gMw*7~|<Qs>r!?SVT}{$HONWzgT*Yt_B>p5Nn&q|aNApRg2&++)5g z;l$kUQs)*Hyi1bt*O_bH`?&M$2|ML(kCczsEaJ}0{VsiOV?kY7VOm7emQ4{0^&&Dl zHvjsgx9cNgt*XG@9}5cYj;tv;zGAk8tZh%3z|yy?*IOwm*eup(OA?SV;(qXO181#_ zVPeB#wI`;g{2~jdM=p`r{?)27>2&dRC(d&(lcO&Por|2KdG7V{1Hzj>DeX<PHPrUs z93YnzrNM8gYjPy>^hKqb3%h&NpS?16t30Z?KO;oX@_G2z+=c@z({(EYVvM{L{XY0C zKJtj?{H6f;&AKKBWVm??Zx(Ig*!%U}i_Xh6M>W>ZuzmhY+4q9bHK|g+bz9eNf8tnp zRHJo9$a6_mvB|G$xSvj5)%wZvs+04ZZ8Extgdf{<uPj>~7-x9(-L`-x%c?ax8JzsR z(>&51-22t^qEow|x@S|Tc0q7&RYzv!#@1gENedaLMP+pCownGuB6&;84yNx1R&3sJ zZQJ=59~)N```dmKnz3MdV0vL{-u^(YLf&@`t-o%hE@a#l^@+Fe!XxR$vma`2YdqZ( zHz$X=UGgi3tF2q~rv(-%GX8J)=1aX*o3=w;?A7B9s_hK!IwnT~xqCl)#m{rOu{mb` zy`wv9)0T*f)+J8yzEZxwHK^qJbtc0p{jXQINt|r{>K&A%z;CdyUpVa(gSxN8qbZ!p zUoL+ZSzOwB@B8F{=gJpjTzxhNmHRr)npfrO>ay9Z+?Q$Ax?QU~V)S0AyT-WQU4H+E zP`LN^SrZZ$a@x)@`}}3&i7z}GZnP*)n_+NF)7HO`^}&+5caympp8JM(Ev;Inv?D6b ziT||ki{!bS%xer3@Bf-@aIE!BWE$gbv;RAN_f{A!u06g@_Vtmw=jG-vKOcP~rS6$n z`hK>w%aXrR^S|Ft;jfJ?YB0W;ZD}_1d+KzVh3Rz{J6A;MFZcWKu=~qS>)mlq@6XTW z6Y1WRes2w*$llG@7p%29-2TQ~Wvx}dT{>^gm*Q89zpTFs{GI!>`@<{l>2k>p%Jmx= zC0=A4P?-|{Aj84Xb$^M7!<lRPGRqBS?l_;`FC8hXG0&R+z=ty{t1DhzskJG5#VBX> zRiIf$SLe{?gMPNhGTr|kRWdj-F^(l)eBasp2P!?%uPlz9Y%;oE*yWn>{lrBkr4!Q6 zbQZRs^t*f9Y`I**?qL3nhgZ7aczGrHP0@>_+`DhDaDKCpvf$J@Z{-n9R?QdT3) z!f#@S%Xh2p6CZ9Aa=D)Qb#LYGisx6D_msX;{APJtJaK<;f8jYp4;P=!S0~1~oXnTm z93Z4GUoz*gN|D?gThaXT1v8#mxGJ5fdz+yom?vKpcJOfG@^_Crg82)stZcV<c12mH z{FR}fMTwyIypmTe%Vp9PcKYr=_13}gZXugXkJbH1rZ!f;yN8{YpKFd-e(rJP@^jA7 z%jFVR2KR3~v#{H{`?M_YjF^-;v*kUzPKOJBc6Ke4UES}^XP{7h`I=gL#K)b^eH)DS z=Y_aT`uyp&THd4JGbi86gv5M_erw@SwEkFO!xN_mQQMghzWL)BXAy9wNNi$KO`VR% z(Vvme*EF?%^}BnVZMj^+;$Z%bCs(>l%-GwPbu~GsY>Bw}lx-GK7CSq)T)5bvbYt&q z`7`SLMm?wZvE^R(zVTt^<;JAdn^{DXWtRuU=-z#yv#{OQ@9y!m<#Gw#!TcMqu5`cg z>`HRZf1PrXZsQ$?RfW%#Z|TeUH@9zb_qF?T?CU#scYgk)u2C3WxOa7dT-ojv`RbUW zhP`^0M`FS+D)pS1Z+%qvRPE21-Ff!v_Qe-pr$66bb?IgM6Zx2um!9kHNV@F^s+M>+ zsrF1!s$9Y{Z$87rs_qictjc;8{@iq<-0%GPm>lcnJ5%<(FHe<QG~N5z!=itj;?c*L ze?C^Z`62hDyn51pGt>Pql6GG;-k+1Sz0_cTPLi>ys(tas>9gkCmz=ydWcr`x?%E3y z;qQ13$8Ks*)Vp~+V7g2~hc{p0iK}{o({?_Qu>JH}_nW=@r{M0l=O6qD^DVRA{mI&A z({{@V_Y;p?OLM3@d-Pn|wABT2X}eS8i(`r!cIsI=m51*>I%E3$s5g<%o^SWw^!Ud7 z`+AR(J}&rECK%qa`{{Jw811+T@!Mux&v}^s^0=x{<euMOon^0Q&Q^O9+%<LI!s`p) z9C|wOX7=JXoqaYI=N1O_oVqv3^h#Oo?P(j#zn_RvyYYCEX4&qPwphKJhyA9%NpAP% z+i+L4{l+quGSQu%-hEM9?ziF6%HTBxuXs*-zdSvA)w$ExJX{s6{{>9nvuw|rFRrWo zW9x3-zT(|2d-}bvzUJTH)%9m&wAZixe9!iS_iBMfugk*}4=MeW-S=f}ncXR|+Xe@P zejYu-rI)yJs_>aDMO{zB&TTk!%683`BKg%hN0&t89_Go;xp6p3`rF2HZOk^C9}1M` zSR6`}?kjw6{L<9Av2yZ#bBTj*&o8MCP7OO$EG)FgK=G)zu+bi)h3(hXzI;5UA$T;p z*>I2XjP~zrp9>ErF!o9694TyewMg6`q5kycHrJVX<)?}l{ZLCyedV$2_Tx`2t4<{C zvV9n!>Gv#cuFrPs7^8z_(|tCcRyCF>J}K9?D@EQtrl{et-b{Ayo7T@(#mvm(w<>L7 z_M5AJ$8T<;yl?!9@UN%d%$RfIwo0wJ{q@drfjhcO<(W==6q@@W>|5=G82K)3R`=}7 zSN3hx6%#H$IQwXO<GZO`b1P<c&DD4&Cf}FO*ge;#N2lzQVVB411xGcbS<8bn3pf8v zUH#x*((zAPJJf$Giq1}Ue%;nKO=#NAb4ib!H|)94ew?Y*jz^oj)@F^?mya8FT~I$T z>&<DCu=!OVUrv4V+aj$0nA)0Rt1X&|dv7-;G;bEj{SmZv<ALUc%trR3&zShzbXn!( zwux2zxbbYA&e5{%%!m25GxN9I<+Cf>Da-Vx%(~^q<Fbwo*WU^xPrkfxX1nGm)#A&I z4;)Wky>@m_xQ)&Sg^G{|jvsd%XnyF~*xtUJRnBhSPHpdxcitZOaOmxWhf{AKJf6MX zxxqZIU10Cs=O#|}+nsy<o%?wC(g*D}%gsNQ3UbG6j{0Tz|DoReFRTA?$FgT-o;P=2 z7wTPO>|68vf5k@OgRa*<&9j<Xu#!!`>9lD@V9eTs-4CO9<K)&|fAI9N8*dzA-n9ou zzdM*#xbBE=7Qe43I$xn{_tD6ULV8yoxNg|brr*VET45-&=HThW3#Ind$gDf4ePE*0 z9w(W#2el7vl-iT@M%&JjN4;xvlIol_2fH7Hd}OrM(JK2?xa)@Iftg9m1=73cD$UU@ z>nhy0f_GBvq1!<l#SiWd`W2j}w_fPp^+#?W7K)j7^>5u_SfXUQqjE=(cb?s@E0dxd zrf+>B_9#g=Pf+j5qhseYc%OGYis7C4(eh1>ZXQc|R^eic*ly{=E2Hk%%vp2v?D5X1 zJ4`aGkLn&-8g)l0X5CTUV;`l?i5|WxW%T&+&8<;)INGjVD3?4sA?l6<+x17!5(9Me z?AWe75?he1o2U5e+9R=r{<?XFRaXsyt6oGpr^RvFW)&(+1awQgZjZVn;Re!Dr<-T@ z?CK-21V7z8#kA{>#1g}F^9<9j6|Ac{vqI5$f6L3QI|O}JAMH)b(#_)u&MN$Duv5%j zesWeJx4}lS?E=DCh1~}G#I{R3&awz+Ja%nDWWb>rQFl13u0L|SxL3@)&D!+LBgMSy z4@4iP@x~eE?a(`sw%@gE@0C?o|LfZQi8=IS+L!nyPu=?4uk6+4H$ST>+1=%6u<-8v zt-t(v-`+0ODb0yJ^k+kspiI}leOK$xtr7A&trq_;b@HP%IiF%XbE+)#XWQwWNNecc zbaHz1%_pZ<<s91~6nfgDFhZ#EMNz03=em<>M@w&}>`<I7mv?GefAl8FgzlWS2))^Y zVJFghrUpq$_IXTeUp(pQ;~6Qe7J8A2ejZ^hlP8Hj4rvLipB(k|k<wu;QGqpm|FT!_ zpX$JQ+Qex?sItgylS3O~mF3DZjvV*vWx26*qQGubp~QZ-q&K13jvL)dAEsB#cl(hR zrCjrPjbK}`)W-|DVV(W&q&P1)D=SuIsW|EP9(f_FJvAX>^|e!ntweuBtZ!nF4iQLN z%%zkQ<k)kzNObm5w!DG`LQReb?YI20o;l^ivFiek{CB(kyR4F4EK}x>Ej?oHYsGaT z(p}N&rN$xmy)lM%KeB2y*s@+${I6KQ^s(%dxqTY<UUe*7K5u%vrPU|HXm`f6ml{WW zWL;-0Tr6>U>*vD;4$5-BvX8ji_p-cLJyD?6L}=l5w~7quKgp~2`+MG=e{{X<^b6|O zBpu_M1HTwcIRD>aWPf$4fx{*awqsLYZtVNMGjNlqL6n*1u@h>CBW`{%@H4C3v%+sr z>7M+%6VD2iuP#oLbq!zXQzNV#Dc9yPt$*Pp(S#W(trB{Xf^HsRJrl1kj1SjOds=-p zP>bQ<-&Y#G4xHOfojwF9i>x;}^dU-F?q0@`<I%k=70V|IyuZp6WD~%6*jcoqVhNLc zf2hENPA(^#P{)>Zt&Wc|dXbxF>|GXGo#Vu@EUZ0X=7$UZ?t9E%b|g*dlW===;xLEo zPl<KP_asY19yylAc?ld9S^I`(vgxD5Np2PEFMBj_N^u&-DGMIUR5^BI!6o(A-ai6l znZL5guV!8{Z~Ohb0Y;qfPO2TPy_r&>Fk4RU)Utl}O_C2b=CoBP%@)i%k=B!bb;ss; zi-Jo#u78&NyYtEE^v~j(JU<4RNyeRA)_#4{%g4PrY!%wG74Mx)Yx%zEWVrmw9-g^N zjW!9{dF|_Yuq7wx&1B!Uznfk@Y{>a#p)s40?_^rX;Z2ecJ93U$XidH7EWWZQj`O%m zeE)+TIYDzK__j^n^zz}9oL@JzXEUBVk=C&}NVDe5lwa`;vnPo?nwH|aLpRd!UCaOc z)&FNjt&NVVTbqA(-gyxV@qgdL{x3+p_quTBu0`r|cF((B<S}o8=EEjl;g~InUEUAt zv%kix+|hZqta~3Lr{0VSkM?j@n!NfyJ#1~zjT7ck)17ZF+p*{d?+&f03k_on3Vq9j z>~F2QA>zMu@}-FWjT5K1UvqlAYO`K@jd#b#OMfohXy6P?UAR6!=kgSpibZceDXX1K zv-X*GW?TKiDX(tkYb35p`Ixcgmi^KE%ya9PiP+EG>0qWQc1rEA(#<a$BFt)aCZ1*R zPBnI^zu9vk(k!`T;wcZqSfQUWS3=D=cb!!0pOiXzVS7&13(eFs2F{yodM4_~Z&uMh zkaLpD(0!BAnW<|eJ}){Yp)r58P}R$h1>5^He!b{exW3QkOZUI|VH%6&>+^0etetId z{?YD==Bl3AJzXCwwpq!|zCHD{(Slj2k{=HQxQjHG9C0?4{aE4aUi0&cVEmfZcenps z->YlCCHEg|`X8^!TeTl<NfDg$NjrUw?E8uAsgoZx<OIE$?%P(o>1E>joL@JTXEXYp zNb9)1N%G;;oMRQ5Qzt%JqVh@Zr;{1yywhq&jc=w@Xw8;8S2gM7<IXjoL}SdHZ6=-N z;7>I^Cc3hRXSeFa^?lz{C%a2-@+=HDlYDn#S^MoxFCS0K`SjGq%=ylQvmDn`jgOhG z?2+s9R*IKhe@ZQ$m+9n^9SXB~o~K?uY<crbp_>`=oT+C8qE}svw3a`<#E8{GD^jt~ zBdq1{B+<tc{@X|W-F_wO?n38e@8DSHWOlpu<l+zhYySlX20cs&=-hexYWLZbAF>4W z7!G#4Dw@zBwC%sADvPy=)5c(Bp4}#o61ThkXt?0fuvdz6qrbACS*FUdMtjYv3nW&C zHSteu&Qh}T;>&D#w82fHFXPDJz}^p=mrrCkZYuPs#Z76>6_1|aEL91&l~W%izt}RX zgMaZ-sf9CE3bsW`eKd$xwtJSLav-L+WybP}9G^{vk{hEq`4?aH`rx=N!1u`Un@YU_ zI@dT33-wmaSSToe+C(T}r<>E70PYvb?xnl+92(B9VwYc|)v+Oz)o|O%cF(56%vX6M zojAUQfA2{RI%Fm*=yOGopT(BfaL&bv?U$`S6?(Zd`n~EX_my?EShrZ>xXo6(8vDgl zr}S@J9A#y9qVGjVzmcqA&ee(S%~qcZ9o!kez3w<KZq>CR+}-e7#@ECftFN~6Z@(L5 zWp}UaWru&QRnm=x%KW!WpCr%j`{CyAt~l?d#-U)@qZNy;9zQI%)~@D>?X^oE7k>9} z7vV2GV*c0aQ$f7D-Mg0>2V!MgcPyTI<#=B18gLb3Z7P)5?v|9puQhxAv~RLe$xr8N zbuZX(d)wJEo7Mk9IunH#?wKC-SKYEw_L@eS?X`a^gTxcNdoNiXUCQ|Sf5^@FtsTqP zOsX;0@Uu@^oV5M$&8$W9e;UQ|1?X43bn-u<yYqAXswkV1U%^t|9IIQrH=X=Gans3k z{+lT~v}Vh#JE_JWm12CL^d?VXxS8^u>1QQ=FEt9fGttG)Smsn&<slUdjoBjXDU%cT z=TzNLpKbT;R9b`WCds79Ic_&pXB(EKiDz~0P%V$v+SndF>FMKTDXcpbBNg>L!di+a zi9Sx+W>T;^?nt<Bh{Fck-QiQ3g!D369_(?`IG5S-aE+Ud%(agG%Tk;LUdoDoSt^G( zdyiPmTRSn;X`^rH!}K3B+&KEOS{`+{NrYt{In31iW5)W444+MelGeE?ow?}Ib2Cfz z$Ew9l{Lvu~k~ecPy$N&dIIY!D;K{nj{RC^K*v5m8a@c%0`5QK68Y$n=yls`<QT?(b zX<?s)*ozZ~Yh-`USgtJh;ibkA7g^UCYZpsw-sF8>^U={uuMYn{?xQRFUctD;#W2>L z=W@xD#8rJYJ{JQUPFispdb;nK|3c%K-dejozFUot%??rC<7z6D(C6lqbET+nLx{5B zv>z{@as};GHP_yKH7t?EnAZ(75pa2Raa=6tWtHxPtkNox?N6?qRy$~Qb4i5uY@Y0t z%ZIaWe%Tmc#=K^VuUw1w(gib=B6%jOo<6K{@{?iUCMKT=YXpo{v=6zR?Ao}{O5^C< zWkS<dHzY)sPElLXaxl_ozEG2+)Iy0Bf^CdaA20YS+x^N^aft72c@Z3I#<DPC)wCJ; z9U%`AH*(eJ1UWVsYjGI**m|`r)ao#F0oSlrq18D*?}@90v<J+ba>3o5<#36MVTe1A zbIFs$4Sh8{mjW9at+)&wv^C}Br4l8U3-TwMK1%L!W9qr)(Ge)sX%M7r=$0a$-?2mW z`fe%nE5dtDt>foh-J*H*gXf(|vvp>ROir1cxG1MeLT|R+vD0Y{g_|Uk*5tTJD9<)@ z^G;pzLbbETO<r|2i*oAZq?R0`J*)i~9!<L=eDll42s37zNoNK4Q;iQX-|Q*eZ*}Rl zWR+L@Q|leoll1TJjh*N#x8#&sKYQwA_Lfa2m7Q)rQCyhQwnJ~W;JTA(J*$HxZNE%7 zb)r1ATs3~5@@uWtBC#ox7jDj}dZ9Ag&hAts>koz5iub&iez+KGwVm;ocUZ^ENur6f zQjX5}wfswvePG^6+uqC3MMBT^%4M%!=&<c?mGo-MCEK6eyJ2uJTY6cA=<?mmM9jN) zX2vEsG<{WDawEa1t@kF|q$&9)_x7aDe$<*|{$OWF+bP|h>6?V(lb5@0@-2*&dfEH6 zLRoZ4k@vgYZQFep+{oNFIoR|;^0zyE2A6BomcNOcpJ2aebI!KI)tb%Q>JPTRVKNci z{$y8*d2Htn)$3bl1>KqST75Rl-_*%T4LL?UQ+)URIJvBU_f@Bk=%Vrz<0H8@dkVbG zl4B-$`Fso#`WaK=3~4im-b~q{G+R#3Thh2>`m84Y(377o25(~eGHH##UR7-;`IB82 z&DZoazL|Ds@0(XXZ5;1jcRXtBlgN8@;_zaHy>lF&L>KippV~1o|K!@0eaGKSyE8d! z+D+Y^%dHkwEK_cKZuRMdle=BqYmEbkv%asrGbuWD@1u+BT0$qe8kp~PS6w3%cT#P> zV#?%4y*WmEruy=~Pq}=&`sNekWjSp>G-eCVJDt{Z?&{Y)vPZc*pV)5lF4Oj$QR8z` z&DH$olevComFp+_-g}!e`MdSaC$j!#$~@E0N}OJ5WTZ3w)QRxpqLcLFWy4RY9o4#- z5}`X=?%IiE{aZIlCd|!gi_o7fXm%p4CpAdYR%bFuu`fum`DwMIVmF^ySKa)w!Pl%d zXZl$N>lEW7ek(=netCs8)J+myv_HkQLh<Vr3H`larpa8)KdJli(&~w#s-n}Xrp}1I z<NM(Dh5$wu?!%{wCNS99m^f{SQWnWJIkX{6S?*fqk>gCgEH@Si?(4nk(c&xBxiNAr z%TcAPt^5;pr4(<h670&AO1!a7kl)(mQSx#(rZ<;7I_^q!Zg5vNR9iJQA!5z04~8ZY z$}G+%hrU}%B}yz4l-rtp<Tyt!i^NL7eQz&#v|N<xG;~|r(yqFSTRtV()XBh4x#s;6 z!8T5*j|Lvfc50a_2U2=lW-JoBa6CwqC1P2V!0Awd#8$4PHB<P5`S?~(PZm0)eE3yV zgqmv1CBcKKvVm)^2p$fVt=zFpS^j$I5py9cuEJn<8;MJSJ;hPA6#=U)KN@b^y6eX@ z!Gnsjfil+w4-3jxTC7r*KVBm8U`L<RoC|?1ldZZ6S4n+Q>p1>6s`iL%f$rRhZ6z*- z{_Z@VOP?e*_SNWI32bn+;@TMDE;#Ll#<8Hac5-H!Umq&x&z#oBzxS!tqm8Y763<?p zIIJc6Gh*#xhU^lNq_tr|^0T+@6HVxLtJtw_BFA%6p~p?(H~*+?f3mD?s%yYrRdd}n zM>bs2-TCt7;~vhnhk1^!JANiBVeZ{YvzP8LNhtevBPD5h^is>N%l$J}-*{`Ve%6XA z@4Vn`);@Yyrfryf((GW|G(X4G-7ShY55=*uIEOkI#<21zhdfxgde+MRJS~n3&a8@6 zs}!8{MUT8#J7rGN{6`1Ud+oFoUaV&7s?$n%v7U*aIpjg|0xl+=Ajgh_S{(*1tcH0( zJD>3Uo;s^y#-bZFKLg(|=ui2g$d>xoc%w2;$Qy~hM%Fu9jxV3DQ~Og&xh#cM=eFvZ zAjX3}q5)?D7!Q|-R?Jw&Bp)0qkg$Tw$>-dwnGA9{nr4Tcjy?;XpY(gi`WuYTG|M`6 zcF898B^{p;yRkku{8hxE&ibjjO^>^L3w<_9_GxWvSDvI>H{<b!q|c^48#Vc~%nmai z{k9==)6sl^H^Dh}Wumi_`jd>`gyrz>R*9~OtzVw>xo+VNk=vrP6K5w?-_XDLi2Z7w zw%I}5qsw-zyuo8Ga{F-UNiq56{mX8!gp1C8)RkmBCnSe|x=M7-fxnBAgku77x;}TE zE%4iDJ7;Rn$CsasyL=0xHfs85njMxp`fbC9_{&e7cYd?5dOh`P?ti7KhfO-6NuOst zh`*dTWsmmRZbN@omf}zcLw8o5&7ltxr}4PlpSzMtE_!uC(k?EEWh)OHzOv?t{gyl- z*1Jn?ut<x}c5muByCH7l&wc)zTqUn-nH^L*x-4St4W891(LKwjcAaGwJDL`;?ncdI z{hLXDbV75QRJ(jPMr@S)^=Ed@XSeA&hIMILW(RqWKGR)yW6wd++1t0LbbmB<-N>n? zZFW@U=(FF}N52_3ZaVrya{Y}x-Wp~{BaWs?EWRP<=DkhAezC~xge6JAJpnmw54+wb ze%rtFy3@ZqS1xSS)k~f3)^f8c^#8On_m9a-7yVuv!g!ENG(abu@vxC-MZ~ZC%nce2 zpPUzXn>cNVP_9Y5%n^Uf<dC>|YsWj=cx9H)CQgRo$~?s;j}jL-xg7uhVZD}O#d^W6 za;e0M)q?!_B1&yXvU>&gT;e$D-D|MtLPxtbmtgbbnQk2OvRWQ>x=F}oA33bt+L8Dv zK$(T##Hlb|ndiI7qlfF9jx<!R6O{AOe(uCGOHnFOVvQhwvxrjLIfq^Wo(mkV^}PmP zE_bx=;}W!&`536V`A6mMd$agm^?MDzT<K_+;}W!gbS+ky<*td-#ZYCQSd&K!H`{0& zb$Gdisp<4huMjqo)BmP3{csCmm3#JA|E0mn_nXdM=ZNn#b=nx9%+qc1C~>2cOXc*8 zmV}jVKSGuWwuwr8G;mi;O31&!5ua@8w9!+UXSV61#BQe}2kxyBZ2Bm*P-2PTy{V>; z5<8rZ9FSWj*pw*sFxs#8gY9xRo1UN!i5rVeoebQRYtCNcIPB2-fipxgDdGGDj)OYA z0cWmp9QNw1h*&RRxZ!$cOG2+(Ma*JByT&yBJxh)pwr=fc{2Qjsl5cWod0MZ5&6SS! z=Ujr!zh}DrxUxpj&NREl|E$!<4+_<N>WVgx-L({6X!1v2oXNhT;r)xy2Mg!liSXfh zvrkI##bUv(ds2xnmJ0IAKjAVk+)%Aymz1#oQjJDjc1!!xC@ubMQKhJ)Wo{hHvRjg7 zxk)U`I&xT~wWHBCQkiA4iIbtDGS6d^M~O?EjvRQmPSEatcFXziQV&1xb^B2Ra=CS8 z%Y((2RwX6yPrGiY##UC|Tk&F<fZ>MSSuG2?-88JSTNX}sv#AO3(qQ|>-uq#Ox3bN% zOB{!lK}^M@g#Fhz-j_-}WR6y@Idy?U{sxyP+cvi!2d;6*-vEos-8<DBRI+R1Cnnur zcO*mudcqhFYlwc}Q#aqG&i0L?SAgd_$5De`1D>lK@ry+!@#ORh@Lb|J>d<SzbD^XC zAD1BW?)h#Uzp`2u^}9(_Wgl_YZ|yL9w^Fd_^{38{F52_2aU9m{t*}@rV7S3QqvgR4 zH;ul`mWM0cY-9pEByO~uIu&?;N8Sn?l;yr@yYRfJl~TO1TCmGjD)GizLH^w$N^IZ! zdIjEG;5b^=Yanx_qrLr;g5cRd4y-KSLmdkJS$WDs4}1?a640%QW@XtP;!qgO%3~h# z;9(Ds%j39U#)F}v0WpD$hf_r>cBn94TqhptP~gZa@;vlFfgh{fxl;~jroMmL;p8)Q z_R~z)GgG2N4j4GI${joH;4@h`#K9ntRb+C=0fR`^n#6zCzsODgQe0V@6g2z98m5CR zyB!Sg>3wj$tydv&`qFdz6vdsp4AtBfC8jP8=s6Quabe!#3W>bXBUh|-+!b$3UL5e| zT%bhRQw_Oo(V~W~`KJRpte$JgSw)H(>eeqQYklC{=k(Fe{bAz1P{GZ|Z_Bhk^6fkH z!OH#PhfAwEI8!HYU3T)CR`n{6V2O9nmwYTZzpBIXnPZ>R2Ltzo6;l>}kWh91_~FQ^ z4$haEeNG>A+!t2NTm0dNw)?}}+|VO$zG}HER?J--aOZ5G#Jy)4d%`0{4X^G!A6W7J zY@mkSGYy-(ZQmw5k@Ej`XXc_0OeGt+Sz_NZxti}j@SVBrpfi8;#!1;uw#6b%pSO9b zUGLy~roq!*B*Ndmwrj=zi88GZGWrfBZ<J|$Skd?BVN0ms<%cI_S|5b;9eR95ruCsu z-=XVytCf~rD7NG}*eKKb$f@s8fuZ|D#m?NlBEAJT^#o!za*Kq&WjbuS`@zFqz5-kK zCyI0A-D2&EE^B<aE%rg;VqbyPr=)i~7+f-&aU{$5l%7G)Hg37Ln=Biz@ND2_`TLg1 z)qeMZ3)l53UL*@2`E~iWo&e7_ZjsGznGWmhevr7#SHSx`&u#~UyLtgWo4I*{-!`=y znmMkq3Vy?MG-dYzgOhp{GctrH3Ea~AkZ?_}LgM*Sf9sR)Irp5JSK>MEiB@8EnZE5t z&TT3E3#Pmk3R~TfFqKOqY*j;IE0>K<cw>L67RQDVR>f&66r9RMk5sI?(vr0Kk%M=I zo2bKw5LOZYkOR$fkl{`KP=N=HTuyhw9b2lkIts%zEe|IxeX^#-+KbDrAey!2P!Qu` zP0@-S3z_7vhYCEH#pM(e>e!;K)lnF!xwF03>*QV`Jx$Fc+qZ=rm@X-5FekjRy;$o* zVHhjpxfKd7;!DMU-&k;i@tSs7M`@RA;@YI+5r;2HKdoQ8{{0Ox=S}9_EjOF4{{Oap z?Z4z?<+a&=jOV|ft<A=7w3_Yc>Qx6n7@A7Wmh#%6weM2A8OOR%-mcf7%@2caewg%_ zXO&cq+gd3R=hbY7H(c@P+x%>Km*a+QSphn0q<Ff87VCQUT{b<bV92p6oVQEvZH4xf z-acO*+hASGOG`|;pLjU0W;?oJ)j@+l>UED!xgGzOv~u616}nFsm--d?@O0^gHZP1W zdU52%jeRX~E2qg+Im~h`deIo#oK$c%Va5y@D?=-_^Rr@pzqH@}YkytF-H=0<rhTes zIeqEB#<b=CKi<^&d;ajLFZS}QFa2lI@%+!daoN-NQGeC{#UH<x`*Y8)T`RK$_e|93 z+CJ&%2fs}=d#3Bi%d2P~a6ifQaZ_iwM?~pad-JR2(>5%g%fwN(sv&7Qmqgj>1Bc~A zf81Ez#PB;r;E{v%zB3_?KliNreX>F1xbKU^>72}Se!a|(`peFEdz!)i*l+d+n@;`T z!yW$bx$TZm``eFB{a>rI^3VC{Gfw?ioVNA%^sxVb&p$nW+{(;Eb^qau8<+ZCo^QP^ z^U$06fAiP=JE`?)C*$7#Kh4+vYY#YTDrfxhS^dA<)&KR~#ZLYXoAg=MbLHdnZ?8PL zt##5jQssTT=eg$0G@06J)t#E%Pnfk&R$BP<E`GmC#XNOljgzV+e@5-&7uMP!wev$g z&p8L3xA@iPRTM0CGCge4=LJS4efzdfx--S~)61z(Y;<^f7U!=7YmHK^yfQKWz}Ln3 z5TmkGEALF){lfizglFC<rJqyk{j7Ba=TE*Qlz+l%jbhi5$(xnC_inqH_&m*h+X<zg zOT3Eq1)BBSt_PdlIrT}+<n*!|sZ*aU)0xD-PUX39$IZm&;ge=>^03=CX-@u@Gkm)j zfRz?beNwYJrR+v4SZV)SmFKf%Hy_r6IHE+=^8UIRvSo!}&o0(F`P1*nn+@LJkdTk` zJZCPM)*c%Lc3{Vv!=H5b6uz;HY&Uh8SW;1z@-d|GX5#d8u=6%}6<wM*Q?|?%Y>@M& zrq6PzDseMK?+CbFS{NC$e(}xgVX2<Hfhw|-Ri9etJYKPS^O81=N#{;XVv{{<w@uAE zt3xSszE0+ii*Mqy!BTvuCb`L;-M3W_EVW-J^UlRL;jbZ5Khotca)#D?e(~%7`5V#4 zJvYge&6B^o?s{3|rus=ajYlV?p02*PyUSzE?#=hISG?2Q`)*xb=v8LB8H>xe?Av?! zogtqw2wav<OG`_e*Lz~o8J-h=l9y@M-H&&1b8}%+dA4uvk2K8-ma&ud6T0JH9!N@J z{%;W$sJP=~dd5<Q=v6<QSe~y6^fcU9S$EVsr_R){>vgD4x1iV$QT;=YvRIhISKZKX zi+B{ihogIypij)&q|FkE)8aoWomuC$p<r#-+N749bw|55Y>+y5Y-!ekgFmwl9Bs`~ zXzjK%`~8i{H*RPBOKzc<-;>tP)jFt>_mMN!H0I0+FFVgKopEttTYn`B-Qhi=6MFcM z!<iu8H4k4mZt^I+IbWH1`X5JO@xPAT;(r{ax9|Ux_sC!SV9?d=Y$o}WZ~vKHQgB)R ztft%TSN+dK7UzC+FD|?<e^%G+_LKf+VvBRXxO+dGXCJjnB6lyCjLla1$ll9a6KK5f z&*TK9|9mq0pYC>6n||!!HF?#2*CR4~FP|%Yn-vvx$#?E+DXHR@HM4w;vs|uyan-v& zZ~y)HlN@PR{@8q6tgt%&+%}UGsZB>)(yx8+i!?~#x+&w$vN@|_xz5qZx@lQQpIiBD z_*DGz+i9Cl&no7F5_=nyR^3ZT*m5r+sj*C5V_N3U#-&ktNeib%M=W`2mX@)z`DN6* zq*>FJ8~iL@$awX6RQ9Xa#hz!xq{BM}&jcEE?et1Zm^W$WjP)sEVj44hS9(25m^f)? zM9k;IN9J?)nEzD`3Yzbkw6aM+XZ6q1N8(R-2>lK1NNC^+<Oxw^ZVowdBtdkOfy>15 zuBTi{39U^tB33Ghg=u#5Ugdg}(A%^_Vx@xSm&ucJg!1ht3pbh1_v}_bo%M1bm*AKG zF^wAURwhaASnZ^iw|YWrde@%dJz)aO>qU+n@n+rl!O1blCR~8MU*yP9XI9UL2h;66 zYQhy>it$Vhi(j`-*sV^l`;uaBqUnCGKPDWP=3e@_Yu2=1vz&abrk{Rh@TfR3M7wg& z@r&)<i=#Nj*^dgE?)Uf;af*AVPja$V`##eeGnoPtu{|e#mI%9b9+zKyFTpmd^@fLf zS+MGqBTlNy7OPT3j!!vrpitGg(CMVkoRGInM<Yay4E$PsdcqaCg+oppa}g~v@NA9o z30o+#tYdAH;=jgtyXoFZ4HiAWEDk<nlU;se-BY%Gc@IwOH;T`Gq8a&&>*|@>Up~h< z%}$!dJrudU<<0@U$Y)$j&&*vXm=J7sJ1RQ(yQ@(8l?e~lNCnLi=HGN}m(6;ef7h02 zOL-N<&bc0zDI|S_Z&4R#+)IPkEu}XONXwdi3~Sfj^E+m5yhyZ3b#B7ywpllpEfm|9 zy`ooE>Q%z@wp|u0Z!Q)o)9O|}9WKFKIwN61MZm8Dp}kw?ELc7*_{)VN=DS<(xQOd+ zzYw~;TBN!3#)0<pCO3|_%WnG+*PhFBHTU*G0ok&P?(M-Z{_ZSzuzlO>Df(ALZhd;8 z=C?I!_N+-YVRpOya=dw-UgDi|ZslZG6`8YB-Bgx#d|o0b>G>!os*%HQNouCpWS>VJ zZ9+CvE<BTpv)fY~t#mSXrqGv_p}}2sQdtZ3x6P6W(7qNmv5Gx8-g9Y~fup_Vv(IYl z9oRgD^%I*8TKO2dwBKyhxU1iHS$C_%vL$=AxMyk{bm3iEq51OHsVfI$c})w$opo)l z1W5NE;dJc$q?xBX&x`GV()X;Q8Jkw^nI*ICut>Rztvjo9#hSxv^ImLdU2c{0c$&p! z&4)4j4onkyoz*(;=<KB_x1Yb-7|Ue6YpY7+>7;in{-!=sPmBnTzkEvl>z|r(A?w9D zEW5K>zj~gSbfm|F|KJ%9{-bL=`WtTsMlQP{oocwj{#4Q<k10nFUDW9AO%4=e=U$|v zx6Cy%XojBLwVi!ib9s+yzMT`baBAfi3nx{>Fq!MMa}wrE3-0+JGwI+hzt$49_{&o= zb3CsnH&xu*lyP#YEw@^oo=$MF?CV#>IpX_t-W`pJEKct$ySc3DOz?qaId{cpD3vkD zJ#iPb4taK(tN-DnH1(@qMRCh=wj6L;+4y(W*=<*zUye^&wx;68`mB#<CW-R@57nDz zdFfGR_~g@1UT->k*3|P%Z-Ky>{(^ur-31$%1LpjjCYuu=vveWLYSSr6Q`@8>^p@}G zh~(9qbZvpVv8T?pfF@NbuZ<zjygI9=P7`15`|{hLy<bi%%dluG$*f7a!e<t?!I@+A z)tzTH{hYq*%_f#^(<zVcre|d(oJhZv_S*E%vRU6U*G&9slhYME{p{7P8x%8kSp55G zagamvyjgmbQEOFMLEn_Le)CX2cg>{gDW<chKGHe!_~$H}e!D2az0POFGtV9Gcy3cH z`?J#e+Tv9LuYK%=&$&$v5V}0IC!uXp;F%C3=FL;i9LZ7LY~X$}=S-*(`{yZVj@GDp zCpNA+apT9LF1N`MD^ggxr}QLsO_Hqm9xZBo(O0s6ZT-|UZsMxNA3S&3ipx*cY31J^ zDl)T?bJbZ%&pkn^nF4#lR5Oznt!mQo2~w1v9D3qlfM}6nXlu|6HES))tn+TCeyQC1 z6Ly^Qp4aycc87m8uiSL6Ypwk)sp#9YK4tn$EZzK-_hsMQ9||UsUdBJKmFP`B^85ZO zO-KGn`>;hKwx(Ac^b0!=W&|(4<+s-Krw!lrQ{T3)6h8e?G4lWMfXuj(fX2^9J1;Wc z+_I(V^=947FVn7t2CLg;KGnWiyGhC0eCcbWoHx$%&#OplTz+(2{o9F854H=undW<5 z>ayw1TRF#Tf|rSArv@J{%QSP_koEfaOd+8!!OmU(Hf`L<v3FVr<EE<YtlYfo56)(6 zKl$nH!=SG@Q$zWUO++8(2Oi1SI(}a#I^WRQY<{NZ7Lg*~Gn+lb9M1&jFiNK`z5j-H z!_m%MZnG<O`XAqJSjzf3b!PTSu^ZE}vt~ye<(o1!?y7@<NB#ndHRcj8^j;@NS#7^| z<#ErOhSO=;-Mei=uKA|iT(o!PiQQMdeqGPGw|eTjO<te9_N-fI*r{jb^TE@GKj^54 zeCdq??5f}RuKnF~?M-4>|NE(hd+$~)KeEQ#@6lR5;XM}<yRKX1JeXP$9l$wV>SONG zg(WI`1oZDr;aU5&LNCr<*KymQv!XX1y=_>tbgInGoLs5%S^u;?8uuR5{?|PJukWMj zQo)tk?RLSc$EvShlCu@`-(DEpX*ccNr1H`=3zwNqUwJq&@_tCkmTON7U9PPcFPyi& zO0MJi=bAYW_Du`EGdXR4P34ET_g8BkY-2NBdSlT-wlWiss?}jW6TTL&ZLG`Nvu5#= z>l}OJ5@XgD{GA_Y7AdLC&XSzc9F)EL(aDC3o9=C9*}JVIB&=4I`Scr)%11c~X>V&= z)|7uvD2#7AUHMXOjY{9iTW+nwGS`c`gro8vESWajLiP3T=-X3g{d2gv;QFmTe-^Fk zf?u08_z&*$;6HlPqrb6uqMY#arPWtrg4gu#+q9WE_0`rk?n^&zE}Ipz>;mWBr5}s8 zR&Ha^&s#Ws%T5FK*>y=XObXfd_AOZcWrIeL+3TwTUH2ZP>bULw_;XRti*r{+ZX8M6 zs3Yc{W4Xdfg!`y>i0c#42dX#iieBAq*u226XhW#?|4lmU*VILx`B^&Y@r~EdH}n4d zGTk#~Vy{jp^TE)YGh*%5R{QdDu3N2mlsEGK#P?SYEwk{QDRySkRI%gt`0h{9wY5w& zOkb5$rE0m$Z<@MWBzte~rpI>oHRI)qmtB8panL04QSKhz>*a^8Sb5I7{pnur;ov8N zmWyZTWCtJp`B!M;qo1v^^PZ>}in-T#ngsU!n7Wr!%f%qBRpZ#o35^@IQj&VOA|te? zvAZADE`5EZNhkJ=SJKKG23|c0GbaV=gc~tyPdRhMOLg-GuN>bu4XM2gORm;Utq6E- z+#7fF6IYC;82{{LS3hzeULD$z;5xUUIiayWOszAO%V|T*tU2ZP&&L*~#=Ee559xTc zk4v&*fs<O^iV3amS}Bh=a#>a^a?+c(DuC;J*3+jh8{-0-*sf`GbS~veN^EPov18?l z#^z|QM~}8OEh%vK`f=vN&L)990RmmzTuBdFnr2vN_8pXa_<3p693ge~)<>$(9^F(m zi|i^8nA2IXp);tZ*?000O^=fYpOrjMy_kJl>Xk7s@4a@@0-HmQ1%`(l3q9w2uj>1h zu{-KbV9lI_-U_qT4`S~>QvcMIzFDvPb<cv<2VPTJA7xEBdcgGNy=l5@CVM}sYH5Cu z*wUO7GWT9$!V(Kv4XZt?JC#kQB<yGl;<+5a?0odz-UI93n50A*{Va>nKG$n=X1!Hn zhsB{vgZRnE6AClFNbFB)ahx>oj<w<3BMzcU5(>BHwBK-wu(=qL#PWVh&!fGQB!4VO zQM1#U**e`TZE<&zyi7@`k@(#yI<4<kDJ_1nzRPX$i-jpHwo`i+O`jzBBWmmGD@UY0 z7A_ImT;Ost=S`3i`|&AfjuxtVKb%ydo-|SN#qwLW&0oD%sqkKtT{+3*VtA;d*sm26 zdi^JDyzk5xw{k-Fb*(9fq%)r`xqNo*+k)6VU(QPY-;lRXIj^I<*C0wVIPbN=!CKj6 zKcd=sZ6;5%JiPX6g;sCW&U>F-qEzpFop=0E?JBuXeh=+}RHCg4KLs>;r|*#K_`c)E zhV6PW>e33e;?3_gw_P}<rYsv(5FQpeHQQDEsC78k)73UROL(Int({)_>gj2l?J26k z`_2>x^WMzRo@cFBa%Rhx(^ocad^D>f+J9kkvG1~_>vX3-Up{NyD_)k`BgNP2m|gSt z9(2&(d(g#y??EU2y$9XOBPC6)Kau)*Y1wQkp^{MNE<MvjH*Yu{7t0a2ezo;d>Fnp5 z!UQZf?v1e799Z^2`1b6S+$-ftzZX}i&*>Mgxlm;G>6BFA(<9%cKOWike&zJuM=P`M z8|z1#UOC|R@krUiWNou<^=({NH(%2~y8If~y~x+%MGhZc7C+2UWB<p!>4uK=tW)XR zuAk~-|3CAs?xtU>=iR)g;=iXNt$OvEqMGz=+fSOG+pUq$*#CA@+|I3Y&2{f6`rp|d z*qL6lddrH_%a?BV_&hf=H#e<du}Qqlw50Xn$I`0z`dxcsb0SKm&nx@P;?|OEyU+YN zkwuD^oD1wOITz|(N`8>D<oFTEOw*K4k=wQ}Us@e)7hdOe&7S4l)cna|!9Qbua%TFb zM84Me`n>%sTa$AY^HImW2M;jrJ$R(<W<ZQ-O4P07`CDX)w*G9(S*Lf_|MmN+(~^H~ z`6tJ#dSmTE5%DA0^>dbKyRLY;hGpv<(FM~sf4W>0R`cj+p!|0)|C%?O0(Kc}3~%ca zSNpa?K-)kx>9fm6uMEM;wWYh)Yz@=%StGiB_H?<TFG}wxxaDO(T>V+4EKB2{9&hT* zYXQu=Q)4Ua{-#FXsy_H2EppxI83~j5f^)7UGE0}-I8r6MZG&HX?wcuBr<QMRyU$wZ z9d`03-^^)lccv_h-g&3Uc~;)fqSJC8b;>7hnsGhEaPPN5ce9)+`rF@3J=;<<JzDQH zpYr{u)4Vs$jQv@3+Vx{+4&UC>y6#T|yQfE=yZ#}ZS2XeC<~`ZBYt=vM?mtvDq2$7m zMXe%c=UPO}R<($5FL&<h4OTpQ@Z^L^hwe2Ty3es+Z-VQ;Q?5KY9J4guwJeg15DfA; z!=owotnJc9L-i#VGem-7_V6s7>~y{@t2Jfz?9IA!v!%OVpNxvhU$gC;k#_9tXQ#Kd z_ulufQE!QUo&9me##`S`RrPJnuuJ!Rw6RUd=3+qCa;a0(&PioGs!ZN`vrY5<Z1F?q z*y03DV%j;|UK$(?kTv_T%(2;*=i<?A1`+MKJeLyLnWb0n4d$GFe^#+yD@Wfdfun|^ zMg=jgK63&Txz$5EWT$C8c~FvEx-!Ud&Bez(JRg?3o;$^OaN@C7rXuzpj~bf<zGSPb zi~JQma)5!=&@jMJhbK$@tjX)2PpXq2G|9}^p}{Vuce1hfbkTzcT{1g%Xt8U?Om4~% zn*6jOVab*^KVRp|nV;Z%9VgQAXkn8?#S#UzUD`zn3+LNh7U7p#a^OI=rNKupKAk;N zn5Fff95`5PS@6+^FR0>{z`IAU_ln=+nYPu+Zr^voV=YzhOU<2cwN~Fh##Dbc=BMW8 z=(nrBn|W0{uUWWO%z104z@2MqPF<Z}a>M7QPVw!1n!^+B+_bj$;lfQDC;b<E8`5JM z;<@eT>o2DY{XI6leS9>h|B{+hLC|cE%=_-!xyz@Y?PbmX)s)Q3<x&vSs^Pa{LgQqu zlt)vzA}v-pnfa}r(44LH<k1?gr3IJ2Z<?X>=zT)Qiqs9Ay0bn8cAVPzJ?3S5+p8d( zj#roOaV|D=a@LZ*anU%8t9$vI4}KP6mN{$P#WUAjnk|3N+c>1<-np7f5_>ZLu6A47 z_+aZjhmUR+c3o_B|DLWj_?^@fa=NO|U_$Y`sb3jxmf41Ay)N&a)bx>O&6@k(i7VGU z=eldszGjWRNAlEDRg+Ad_idWD)=<P>?KLmU{4Z=>(>HBWJG$xJEj=6lys!7ZOw3<< za_L3iYdu|0Cms>J|9hg-gtI?WHr(mF8|K{9eMqVK@Sg8}8{Rpck4$5+O{vt~q!M=G z)d~*IX{!~F2AP^{h~V|r33TR;KmF=Rvxx1~>dXbxC(V4ZHbv~0#?0PCuV)F(M)UQq zX-9Is4(U<b7<Q85nug@jELGzTUMGFli2Uw;Bpox!VvQ3^dq~Hl1zeIA3!K!xt)9?Y ztCf<xp3Cxv@|r~N6VWYY7XzBs9dbJFK6%Ef!z`CeW+d(6lb*5guv*%y4Xu&0{w{j4 zY$4mdUwZYeD?8<8?GUTVTG1OP^=iTPwp}k)FVyszc=3|%A<sA00-Ac0#6y<+Q8}h3 z`s8|Y`6|VOe5OlotZQT2wsK)->Z;n0w?5nOs?J!yP=wp$$^j={(~TYyar@Wke|&WI zO!SquN3Sc*xV`P`^g6>2s!g2IcEb6P(<U1`xx5u|zds32yZP+XW=&<O#WpN|TUULs zwb>dZ;Zu9wZ*72c*VC+wgv{LqfuRY-(eB&s)>bhetlQi8sNr71g9Q^D?{EF8n&q(} zf>%>)<-*2Pr)63jcHD3O!WQ<riutJE-h&4&mi>`^>&m>}v~zw!+~ok<=WjCE!hZWX zS5Im^{&GUfW9NvX51KkVBP9InT9@)R##Aidna-N~XU(@?8&Z;dA~qS=>D-(lGfl>a z(_3#@>r#=l5Y>NE^Xo1q_-;MoC6vA3>Rz2Jk>iJiv|n#Xc@z+_X~U|hE3PLG9^7#H zXyb+{hyI!T=W}#@G`~D)@~aYs?e~uF?dP7==-!m}xN(8y4*d+hcbrjN<<2uduKJR7 z;>7DOO$%o3(996a<CxX^y6KqMC&jbBudQAn7$auFJe}q2Ay>!E8$&P1tl`T1Bb}`6 z+?w#gX@;VNua3Bh^l6r}2ZJ1oH@aL1ijcYVBRyW6OX^@#0Gsc;gRV^zllCo`IYT`| z>>1arUdyIuN!u1IHPD_S*`1zNed5G^j@|ATc;1MaY?~!yBE6gC>_I<A??iWx$MgH| zs%5aOcAmMda+x`oTbFKATGHkPH*bhs`XQcV-eUIXL$A-;Z!=XVChZltdBn<b^Tx0X zF>3_3h~%}J{7bxXbK%=vYnG&Q&1!zxH0{uf3CHXe>;f<7_z0M=KW5Qw-FWcb%=(z> zxD&^^vs`baCB#M;Z8X!djF6ocvxduCa}7`4rQg$jd}?!;TBzIkvuR?|%mtD&#AK58 zobS{ZtPxwrZ71E$I=|bsY1*Nt3CHx!_=QZm-ZiByn!VuW3#|;jT`eZ}-k;-mI<r2q zdes8S9}+oL_nsd~=d|N~&pN-iyy@A4-UT}=bTc&faAp2+p5xDY_E5BAv4PQ+{d=nA z6f-n=_%rut&%3L4BVo_F9n%w*Na$p+RdLMfWNb=XxPQUT7wQ>&zqn>~+ciyddLN+u zeb*c9Qb*<Yn|@zuH)USVGQV?kQ`*Bl3vTXE$l%lCn$_*yH0{vET?xzf>Q#H6II;Up zc2nAeWeaBRP|Xm_<DS*~yXo13#sxcf=wxW-@Miw$el(l4msfP*+|O-Y&P@}O(q2S7 zx-TlPpP{D4HLJDOsfv$}V^(+b!ZSN;jQyS5?3VFxnezXe`!TR7EwN|8O$qf3J+-Us z=5roNzY{b1<UiS-KeerX>Yu3#HcstbVEIEMW6kl^{5^a%pKdDa2=3uM`ediH)V4q$ zj&&>*>wm1%Q<*tKrtd`C`3)(_IT1w{^dsch<hLDTtyWy8`q5xUY%_oK-qsUrZrrmv zJr6!h{*btO`h(3EgD=RGh?|JdZ`rftgWZyknFpjDl`FI|MEY5KW!V<K{iK+`WWmOJ zAs1wN1Wd%+oo_$zO(<FsQ&F2QUc<JG!%kS5b$;vIrnJZF7Fh03&d|%_h~m25+`jIi zZtO0W<2KFpMn8QtjO3;LIc9a|Io%Q2)n;P1r<OxhLH^!7?pdAXO=*wUF4$OTza(-^ z;T{2#rsoIsnzKFc2=<74XE}So)X}&w_QDONunReNL`=lDJJ0;swjy0oS$@$w?pdwv zP0t=|T(Gl3DMQnSH}{6Lom$JPPJYqLEN72|IBqs@y&&TwWFju?EG4aFpnpZO@~}Jq zK@UT}3p_odCc?=qXAfmKZZ`C~5Yr>FMTD(oi%52~Eo<+B=?gaUg<RnIB4{F9%X-$y z-f{Cq`wFLy`E?Q^(>U1LlRgRE6_Jtp;b^NObNRr94Jk<x5t|HbbZ%Nm`Q1A@ZNrp9 z&k~YNChPo{X6-$j-^4p5+fzrpCr48!Bj=)eM$T3B46$n*vwE*KJxiFnU}uD8hUOZM z%$kNMwG5VGXY1#>ap~M{T|W;79(=O+8k3{(#()bq76p2oKgQ!&Y!G}QXol#eAAi#N z76|%qzU?qSyi-X+^BL!?#-B}TNsS94BQ!G1#5iX)A8mS;)VW~k29qh7?;lvm8hu*) zc+vvN9U2*GdK^;GXE<i{7B@{hG;_kS^AF|<nKVsa=u>_Am~7L;M=c8^E%Y+fp0&*4 zi8qrIuHoCp8O3$mSxP>;oJ;DUp5x*lQQ$I1xSHkcp)kkI8~rcHyb(7MXLpjazgQk| zfupa5>z4E+eQvj|<bx;sPlorlv&`>oZc0mDzhG&B*^-Y(Z<{zKFJ8akri8?scfNIg z>#H|(KjY1=IdQD~ZPteVXJ=E3ES7b?OgwpTae<3Cf0IzYSovY0*MFOI&(Cg<<zH7` z;k;9{FY`faL{j&T4Ji*xBa*y#Y&aq&k*L`!cyR6$-6tsq@p~HQ@Lk(|-R>8g@Z+m> zdNP*}^=(K=j*ckWpsBO-hUm1Q8?sY=WHvD#^!c!v<?vylqZ>A)BqT%_73$B~(<+{L z((QVI3;X)RdgA(rgSgH&O*&olUqeUGhi6+!epH2N&SD9fGpzGP_&B}Q`dXB4-H@Lq z^M==3?_0}Kk$rq-jPKVR?oN38@bVtTgTW_irkR`QL`sNFyRpYa$Ff4m@7~eP8>Srk z{K|ZrkuK{${khpIR(hAOdwV78@n!L>Q+<M>Yaf@Z)LaN?`W_#WcZ)Ny?xFbmk{J)y zYURHF{4n3-%8}sEOM5&fSxmW@&?G$b)ZS%6bB;*rrq?!33!ZbSh*^E>og;O++Y16t z=gM3tx^`#louhWT{)zqTDm2%<SQKpbFUwN8d+VG>E2c?XEPJZfm%Xz!J1Xz-u4&dg z7CzO>%c|tsUUD@1!6v<36OZKTs_$6*RHS_CoddSI=7sU6b!{#bNr!K}bI@70{G-R| z;EFZcal+F}E}m7HmtlD@Sa*5FvZrkGvUhfhN98?SI_-AF(x*8Z*G2nVyRC8_H}P3p ztUs)G?xn$O?R2gF4IMj*Yj>?&7A)kw*q6oIXusbdn?pygJu{49F;3p0k#?j&a)#XD z)TAh$>8&dbW6YKvDX^R&bNFde5KlOl@M7(bq{5P*9F>^@hg}Wq+Pafsc&0Z-8pfy{ zJ5~@GA$K@6*_CH{^Hjqay|AMNOE*~UYU0u9^6Tn#%}(0EaqU<^;F|7-MjPzfP9JHL z(Bqa)-jSoz{V?hEZV5f{*~vSCZV1IXs)@O&A9dTj)-Z<UcG3=wZO02FZwMYvO-kpP z-WqEdW45pFVbKQbT>-i}9cDLY$mbRr=-+yhl($8*)pF)3?^=~*YraQ#Olf@(y!+JM z$6Ve*`pUK}`bxGU-<53HcBL({QIm67mi(}8$?;=%J^GuiC(4<9?)kFeX8P`qRSSMd zOy!aOInSl)hL6dm!)c2r$}#gR+p4j-g^EkRKju=E)6@6GLPGRqp`p>G$%i^tEIxFg zeMM|~@|_PWd^ZZ_MJ#Dg%2;yzzyy!}&Vv)>xSN%1&E~nk+uh7K@#T&e@-ICX#)pK? zsF0s3Yc}g^?=2DO)4yD*G^*UIc)oOfnejsM<;Dxjmy#D(FFEeGeTCAN4|=QKZ~SO> z>d^z=DMydK*6`+9ziPcQN7SrMy)&)eom4k`((NI9@PWR_;oaw_xU84ZGvoTFcgyvn zv0D^d)scdkFXRrVE{x`x-n-5)hR^QU4R>+*q#Z#O|979@S|lMdSJ!OO-tKz}(wFZY zD+t`v`OxTs>aJ2r35i@ILxo!@3+uO>blaaXxq073HSyX-zM5bDJz6ik|H5pm>uMjh z`g$Kc6`%V4N@k8HPe#{c<rng&-7o4-JMVBm!cN#f>1}(f-Ns9sFKSOa?|44KPTV%> zXV8m#B8zWn#2vXATh>z6y*aY1r>ysB^5Ptw`Hj~Lqvkh0FU(P!cXVUq3!&w2a(FtE ziw!LL&K_N0u}y^S*ap*$q4(b>-H0gttG7#P){Vg1`qTPlw{ENvnQv5B!^U>Rt6$eK z>F13*=F`p}dk|q~Ht*OiezQf#K5aBK6<&NxW7pA>a`NGibEfsL*;td4)B7~pd#c6S z^A6uF%!F+p-O%~clbkGDBs07DUSUqolHO$Rh40^MO@6ejr1|;h%DvuS^%v{##bxT= zbNziiP^?LO|7snL6tVZ8{(ko0KWO}R*&gnn{)=?f=4EX^a-GL>PX8ByIjhgPh0asy zbDPc4=Q3Nv&t*1`OrP0I3z6JS1qQcL9y@F~dGKGx<nHW?%S7I}T;n==^F&L8eyRB_ zmjeA;E`|2DQXV*NIeFx8#^hG_i)zySi+%a>+-Jw!=`vedV7w`eXHKWj36Do^TRI<9 zZRvcJwB_W1s~M9!wJ)l1Phae7rsq0aCZ@w|=MA}BuSEBhwKFVaXHJ-TWLt*t!D|`9 zN7rRcZd||ESFOx_w$7VQvzs@hbBi_@Z_?47)Ai+sh2+b^4f>ZJKdM-w-kN-sbM~Rb z%eg#t`kpFBeA#_prB3v%cT$>-^1+@8x2~5Td)Ss8HJ&v?>U>6`m(AkdlOKEd(vBPN zx*>Fa#-V2srXCv&!kThQ?ueZC*kCwsVv@7X;>NEZd(^HSHI9mqKA(~7X|uT5^ka`+ z*m2`!8??fBr6L5h9nB`K>q%FM5Ipa((PrMo1Dh(`guNe2>h|=dZ!uJwck$qg3O8}( z$CAMkQmff~&-71KkS=XMZY;#poxVgu_<Tk}j?LoE!jC;-$BrA{njvuhMMBb=PumRi zr=34`C&G@sIO%7MPuElL#B1-h-iv(Iy`R08U2y)t+NWFRIHo;V%r&!Oxs%wge_@R| zcS4UAeQ;`xu?b%&GVkx+lH(lpBA+)-60`|2>axCi{af3N#_6Xj)bunTM@syR7ES)! zIccdu#K9kzXVgyVS#UjH`~3%QW3vxhWli?Am2n$||7e~m<~lZih0S`8$@Y<zpWZ*6 z`ElNcIm>Qv|6B63QZ?DzRyCQMPjz!az{#99!A9)<Q_mbVR`pI?*z~b|Zn?JPK{Hq0 zD*uXiA#O_|R;A?JTb!b1ra80qtXEoc_aw_3t5R|*SEl5Iu1xrIaQ{lLW6yqdIenhT zXS1}&!_fcajS`QOJ~6>Y+~!lx9Me@T{@`*l#wKKw2%n}zeaXi)lLY4k8+CDer9D_Q zY2&_tlR7#<M$*Sc?sC+B__$-zPKhNx#;w9#6@O-)2=;O-h`N|7I)729+Y*b#DQxGo zW_A{Pr6sOk^!}-TWL&_p;=+)VK|7Wt{K-76-8J`n+`7VO$%&6vO_JQPEJbadwxso> zDQAxPsumY|AN*lFt9(jN!t_ajYr>6~rKg-Z;-<QJgMZ-8Kbq&xo~p=syDmjDC)D8I zzW!V<w+;J$xi%YkC-oaC9W7He-r#)F=S_$aH@gVyVR@a{<vq_IWUCf$j6V3|pX|i* zCO=neeOoo5vsNo5aX;5hiv>=6e5)pOJ8Mljl=!nR&`x~ciWHW+PivQdUv^y1EA7Fm zJw<!W?Z1T_+guPSso#39tD?s5cKAu3FTqCKf2W=~#-Lhk5O6Z4B-p@CRBllUi}O=q zk#mzO7OYUQ6O~)$bLBvRs<ENhNu8cBBkANRXO7yY+6C`epHQ>wcfd)Gc_)rbpPN*% zzQ?1``Qoe}CEwRgnpv^b=klR!)y)@O0}X1tetSylxAJox`~H4I&CxTj-!I{cys_BH ztZ(&%=47oS&$zUv91{E)SH1Ofgj{d<IYv>V0;kp+G48E7cLEjJ`9m~X-9O!_Ufp#2 zQ%23B`uJ9#Jpqc``k^O|6^j-X__xN~30)|ncUo}%)%#Q4Z~opksdrTd+q%^gT32hO zJnrJMys_R%Z`z6guI{Hhzc0IY`RT<UXR7yc&785?N$lB*3B5P9o+M1;+9{#!UQ=b` z+REb-rYJl)<isHt(M^Vqtuj5~isFw$G+JjC9a(-kezm}C-_-)qnxXqm#a-4YuA0!v zt(Ed{n#@Pf_iUABKjwU&S2O3svOT9mE^1s`IiYc@R!UMYS7gLmC$q4X6Pl-LJxOZi zTDsxS_NnW0o~S(Uoow;9^_oM$v+h&vkC(QotaG#ASm$b?@y*pD!n?Cz#ty-U8w!jM zB|Z*oIehRfM|-!mV4ujlSKM<St+C^rb!0CG`@z2)>_?k9+8f&i`GotGWW?m$Epp~` z6<FMmd|0s2{LsWha<vPkEtdNq@<=LgX@2mUgZ=0_j`qg4f_%cam1M-qTr6^OdJ8rf z+8%oN$e~5KwfUEmd12iAmYGKua<CtK$iaSeB1e1UVnIIP<4Q7OeIV023M?#E>Kky2 ze_@jr|H{Tb{R^A;^sj9q>q6$ApD6j`$K)e3GK3Glc=b8dD_Cf9(hd%_;{_X6M)FK= z6f}%cTXwV{64VGwcH^1eJku~nFYRc-QUmK<O&V^l>POucTN=i&6esV{cy_cva)$ij z)Felq>8-7XF=lPY3M?hW4nIw*;1Q3Oa}A%+IPs$A#);XUJmLp0N$7Q@8pg0qJ6<p| zLhx{EVk(dLY@6c+mJzatpC$$JgmYyt(mrwI%8Mm8G`5?ybtlB~h#&nWp~ozpw8JN- z=i#Oenr)|#J(JL5k6y%m_0Wxs$-RXa)wa3yneCh*kn5HBNZj6|X1nbFhQ!=;%XSuL z7gxW}7dt$=ZqAQ=ELYNR1@i<u3p>xQoAqNM$LE*JN@V42OJ{t&YjviIPu9$E(Mx@y z4+XNtTTDO9_sq!>3~lPwz3*LPy++M2N=>+D(h|pwj*Fxs^aFj@OkN>&%_~HDwd$&7 zQ=zLzBRXdtnlWM4>c^^vPK$(krmPTotg@={VV&vW{r67E*UBgba<+MeT&qx7)p$_o z>Jf#`ti%N_TMZo+S-sF-wNoX`Bcy4n(A1=<E~Xn?7R`##3)Iz_yuwV(JA~c)uhwNF z-90tSJwuvS3QbMg<6>&yx@gu6oj_fmNh{3Myh7N8U8YWbFV^X`A#_nt&g2z2j+%kK zIn!3;Jk$uxeKTbRU)k0vyVvb{#*}~UtmQSuYfFuF*Lv2(zP!%jv0?SfJE6Z4%{t!} zIc!+_@^|NZ7DjKK&bqTka~ITao)D0(A8&nBx4>b;;+N{3@+}V8yJyu{P0#a4THLnj zR>m*TTDL9h^bVIjU9vzhM$n||bW_@ckjwnS^>J5g{=Uy+RlITe%k<9u69l)lgef*P zcYV?Lrq$6{%axS0zbV3Efr43|W=Hd4u1AkLnwAtqICcH~D$S}`v06doy~vRRub0{T z|Cu@eL;nQ+3^A9c#<NqCmn*3i?y=ex7ShBmH1*LU7t;dIGmJ+S4B2yR1YCueAAKQq zu47T}=0z_a%+c6oq1dH4hu>shTg)%-e}(VQ=~iCbx>Dd^n&_e%OPq52q#bI~j@5ns z86|XgwrIzK*<68NLKT^7nQZ+zH}an4`4W;kH^FVe<CQNwd!{ot6&5)dhVk+AOw5~| zv@68iz<1SV)9#S%2Cl1a&(OIl>oe(<o|@NJ5w(zIOX~J&#$LX#bDnH`cj=6TiBj7) z&Z}R@C#;k4EAUY@Q(oS>Y`;fKCke*z^OP`7JHl(KvSVeN$o8uj4w$CaX~b#FY<%vO z_Gsp$$cj}dX7e;=HXrwT_Gs#)r3Im7GUa)>Ntd@rYq=D-w`#mwHKDOuE9KEXuE>f7 zPG)keCN#S{2|xLLy3#p!8S7`}$vpPYm`~d9E}fyh{EhoAyUw_?SzZ^Soi(enRy4|; zwfFv$+OX+o;?i)xq|(WK!fP%Sb%{phB`iG<ef#OnJ3YGRU;o&!-{mytv@FY`M!M!3 zVxIW=W=5^NZ0R&L++zKen^r!C+84M*)aTTEdi(YkyQ#{L6>TE(uUv4LeqhG+Q^&8} z%8BNlpSzyZBv)Q3VzraV>yQrJ_sqgN{2LioC+-nf7LE8=aAm8}{7juK)1Jre{;B@6 zN$KGAx2KGkubOcrt<!6R=c1sTDJyd3DdzPgP1lgRq1mNY*0HGd>!OV0-5ORm)VlPx zbtQ2{AKID!ZQW1rkS61|r_{4+vV_7@j1H#G^eOaIlis0mQ|V}AXV!x`E~bTXi=uWY z1m@0}w1RJ)cZm3P6)oxdTT}b_*KOK%++mkm{EElzcjZh~ZfG~kURpZC{K|y0Y+A0< zPp18FQl9)&`;qQhp_vK$eU{x&pUnG)_w-JcXw}Clsa&ro&n~i1zrneEzUZ4Ho97F@ znR45oyX@ZDrv+Xcr0>+lZIGV#*Qt1C_k*gr`MNc}jyLA4xzyUq@!BM`qjYB17mYlv zj>c%Nq(|GDB6ciPFq@~<(QM82=+WG!B_HCPx|EN*u_o?a&=impCcwOz$@I9#vWvG* zIj!_F*jtpRwm{v`pO532ckrSanpb(xOn9ZX%%hb1@{(P>D}(MH%vt#^sV~GoF>k@e z)C{%L_1Dw5J@*7EHeC-2Ik9Q$8EsLeikp8{AMsy(qWZE`{bSK+rLEgcpI)wExqB^U zUTKRB&!)uU>Qq1VgWFnV&pxfwIa2&}?uKB|ncvHO;~z8_742*2UUg>s)-a>}TaEry z%k6vasUzUpq`JssV}uB=4%e1FNtsordqGpaVSF0%PBKQ<u<|KfGc=xhzHE|(#F{>> zmoewtlzoC+R4kTscytM>YbgcEgt;s^+950=$SV`*V$vn3&aQPmI{LHnf*V(kuU0&0 zVY)<ObsJmTiiMqqSs962n5x=uXqc)<tZWlezH;F}fvJh153epyuHJl&XB%!z%oi|e z`r34C*@H&I>z996zS?RuGojaKS%mIn-Zfk%75;i@c}ryk)=XgTdRml_Fmr=H+cdUW zO4qHfFO%N1v*h_NpWiBduM7?v$}Y25cbLtOL-MO0i+W)wAIG{Aj7L)~4GJ_3W>hGg z&R=s&_3F(e_f^4froCeO7Q$Dwe&I*?tw)(l%ev<XoCy@@+R2rau&-&xjHL=<&$doE zzFpzr`nFKTrgd5_7kyiKO2QR|=W89#;fQ>pczXBs!ZRTPO_E$rhOv%3IspR0+@ePg zDczJaJmj^0IhWv<AjPhGS}6<qxn{mt?IiXq^c~NKTgukU_N=Zf*S78PSKFaJS@YfL z6lQ*{w|lkBpH8aKxXLN#S=x0x=<WgGb?G<WY_~gqy)g6EO#Nk9h5IL#N+#}o+07LF z;Hh!%j_W^8AMu~EquB0lhc<7Hq12`ngH;pXrbVnh`ob-2SJRuN#oH%t?J@XtV(zi| zDs=)%XM1ZHPo;-dOVv&Mv9asZRKvcHPm4}WJSuVWTUYt^o?M>kqVb;B9&HN<tI>?q zTpjHc`E%oT_3y2ll-8^{#+m9`5!ow#s_S%|m3ix)$w85K1x|JSIF+?MAk6O7)T@OH z*KlaB=A86a^mJBIveHNPqk&7}*L|71p#Gh|(El^PXCC=*D!)l^>U|Mq?ftwxtNxf7 z?)r55(2P_0(#hKUWqVftDKp&n$-0&EsZIco#%Ytz&Z+m;>|Xn4S;ddkpW7R!Pra`e z7g85p5%)9qapcqO&C93W*P9noxBSD8(?Mc9JUaQOJRSw!OcL0$>d&$l!5<}F#Qw}( z6#jI3>%6J=&8kA`tY5_ae7h+6X*t*W(~$;-D|BY(@T~fy_9Nt6ljp~cpKc#$Kb0^1 zUVFdpo|S*Lecblx_QBnsmg(^5P2bzQ@9BKLwkh?yB%=P!Jhb7Cxp4i7`cKu-%hI$N zn=)_atNvRd;*r#<@3P^J_@ans+#4&W>Zc@i>Zc@b)K5vCsQ)Bkq5hMkM*S&={$w4y zkr3{>#`WyyR*q-CoJ5>!6~k8U6IdM<-x0b-|H!Iq;z?6qb8me0wKeEP)Y%!0lA%X# z-F!VQt7AcTe_+qYR-T@3tv)@UT6KE9wC41DXqDml-WtR6xi#oT@YxxiGeeI=-4}@O zNZ6q7Vt7J4sl8V}C8<F_W#NAPBe!PiKUol8`dI8(7_(VgI5Xd~5N5rwP-e|FXa3oI zT>PMS(pKM_R{76nKA-<I;xF&o#<hZ9*t(`xF-K3Yc`TkI5GXmTLhq9xU(+=X_M_7U z`IvXctJO&reUR3@&6T@z+NDhk1yU6=euq9vuksf9AGxIc!T#<q0e`+vlw<zyyyW<i z{XJhIejNAc@BMFf>G6a8onLnR(7H7FP`zxZsL0#JlM?UVsuz8!_(NaWR^-3ylH&*J z<z5<Iw7it8DE)F{qDz&`1&@Aip_iVDlA)q(RxYZCtv=P;UvmEN-nB~OA4vUu*D9Ys zyC=$V|F^l6{5W6Pmak6uW#LEjiStD2q(Vj2tlU%&x2=<Rui~f^dAab%X^;L!dABN` zKRTC^A3mQbCtW&Gj(vZJmJ|2fMLK5x6i+>RVBfcC#}EHSI+}l$@Avx?lX<donaZ^q z<y)>BzDd{5{oy)AyEC^ihvoO<8yb8^HcDDZ98Y@`ATzu5aAA(wx1$>^Z%7}1mgFxJ z&9yuEis;c@5}g|#zI|<B))ZTqBVzsd2G5(0WaEvteP<7SvM`f={OE>EPj7Oup<17A z>qWy?rw;s*=-l`*KSW0Q;0X(}F2TYawzlINXG(}2PfN^@ncb^cn8U|*eB(|Dk>k^x z?n{)WCOP*UxxsK#y{~scxs0^ydJ8jV+s8M2zH}!ibDy>_lP-RILx!g#aF>|bv4Wd7 zL=HbqDCU{ot!o&gne+G9ccJ^7JAYi?RHr#v_K(+u*FRdf?4NX!W&gjrN4pho{{D5< ze~Fdj+6g`1r>+*8nbUMXS#HWtJ=Ma!u3Q{>A6^RzO*kUVs<>mRf=Iu}kps@Gh94aq zb?$@<NPibOaxj*)u+ZHxXos%8OxNm98aXO^mnpF97Hvsd-z0Hkxq{j^?T%JvuB68c znk+1qDCqHNdvLiwX-$5x%!W&PSDzNgK||JsHx?_f`Dt`?PUcE_IHl=^#aacva~d7p zo4F<(vaEbKqe7?u(6O%1Tuud!jv9Je9gW^xNso3kMf`|z%!vsTU=M#{o%L|3%#8{~ zcD{M18@tnsCLFpfR(DsEVb%IGUW<;Ko6J~XeYk$*5zjyJRz4s0zcx6!|6(HZ|J8i6 zf5eyEIQ0L&G4HmEuDjFu{<+JReOzB+(fZ#rc$LWAlG|yrPyVkt%(DM_Vpsjzn}yfn z%l;nozfx)ZVSna|&j0?-x_|adWj+3XF@XL5_O@L=_M4cr{tvd$k6Zd@$@h|vM|R$K zFnBBd=hCuU6OOFmU_bbVgZ=0nj`qfnf_%cv$}(clTrG0W^cPq}2s|v<XmDuaA*I@d z!9G{j5B4=5736DD73Aw$D#*ut`irEgfor~jVPyUW1JC>ohJpD729Eg!hR*pOiO<aI zmKkpF{KCIw&V%3f*F{wJKltUlxAB4h7q%|@E7ClFHr`8k)c=Kz`~FqwoIgA7J$N8p z)!be0p6~H+eunp~N7c7jZZv-M|H{^6e?eN~-^_an5A?TGnoPJ*Bz*s35%>LTMba0F z*z1=))%zE6I{3%50>R7Yf3El_?`pyE&-vk;q7xI3qz8&MonNdYVj3vMoHpg?k$Cq= zoh$B<IZGDn@JTJw(UV%Nqq(J9qrU6Ef|6j_l9WX{Ec?4R1=I+p8eWi@7|pzrqn*?I zP~ySPf*Tu@W%$-`w0H0CFIe*7_Is6mQ%_ziTX){XB*p6Ua>4kgPC^Hb_fPp6bN;Z< z!Pj@nh3liHZu--9U%koggURvh;i_wmPe&M^kAGgmz3bV}oM<Pj=iIqlUrhUd`G|b+ znfH#~j|$I;t5i&R{QdmYCY{zA-(2DP(_V2(!e7pQP8FNH-(z|7<(;3(CcRK*XW9Gt zPn$a1CeJUc{;0i(JQun#q3TC-&fTg1H@hs7_`>xh@tnNcj>*oC{3~{BU3SmdhJ%ac zdvX1|AIAPG)<4_i9VftP7dj_5^tpSW%8v(kS&q4EJNSL-eU|s9Tu;sR5z7DT*kt~6 zk9gPbB7Fh19notVd*15_{mbm%cvOnx6pOm+%=$Txrue8?#OfU9vA=o3{;I*Yv+`;Z z&$&PM7xePCaH{<{^k=tMHgjUr(|sEb|JdX(BO%lB%=s)MJ52$#885g$=ik@mY~d8! zT6uMr=Dg=AZLN-t2^-@dc&_2$VtIWk^HcHsGapWhKNH-w{d+rS)z3qFGJdliV)<K> z7`IHm_T@*Tx33=W@v;A5p?~?F-MRe_uc`~Au~*H$?w;bs@2qNlJ<<Q*YyO%bsc7!F z`UjVdbl?88OOAhdyz@P~hxfu--{9z3=I8!qc@#MCYVxgG*yx;<@u;Bjez<nyQD^h@ z%UJkLr#zb2CRMR!q1wDBKj)sZi;53kadOK8kAv1<R#z=s%IALA^g>Ag@rP0wtMboo zSr*y<XPtnT)%3h4{JZz+v#gr7Vbxy&pBvS0z9kzZ2Ccp2e=td{an;*P%Uafiu2OV0 zH#NBs%j^3kgIlCfv?}zsO#~yOS3$J1=DDmDji05m9wlwa^4ytKy_I#<w2IK%n{`8& z*JRyq%U%$))x0^>`&4N4>Ib}sQf>eIdHQghL(@KYeg3T<t*^Q!MO)k#yAk%Z@pQA% z+^30Ng-QY!YWoA<<$pew(YE)c&!*zsWfSsGZF%Il^yqYkdX~ovH8wr=6_~y6Krhq& zx3(JB9(gWZuqvx|ne99=r=1$F)>edR9u!*f{z_2N&l2Hz;Z_q3o_%p$7}Ldg>f-5B zj^R#`b56Gxotc00$ZDrdp0BI7R>WF5d4HOo!y{nQwXZ2{!SV$&U+86s**!ZvtM_}; zvj=k*?5v2Kb0uVJ%r4t|E#{NXKdjmC#B=FCcb4p_-yK<!T_z@NQP@-@ExM-Of6dVo z34g1#*8l(aFlNf@`kW2_JXic{XMcUa-BMO;&3~h(85tY?{Zy8m-u%_S>#My=#DCYV zV$IF2o)v%Zb6sP8__f~c0?!>$6XEYHXAjjnZvGf@K_*7rL_ECd>-p*>-y{UinXLZb z*m~(qN4(@i<At?PR|W+t+_?Si?Gf|SP2V>@wN-3QD0g@$ykxFoYl6GO!^unDDz+v> zJ3JI#x>m6@!Q0{C<fUg7TN5VNtN*CUOAb)D@u2^4ty#XGhvE$BfC{7CPFx4iHXQn1 zQ{|yJLonb+++7Zh8u@kYB2C$ht^Qlqw}~`qGq%?Md{*S4xZ_DU&wJ)Z#nuN-4iBrX zRF??&@M+Ze_qT{N6*IP8|9EqPQ-Ruo50@-tgKjjbE%@-sQZR_4k6k3r?zj`z!HW%t z#Fbw=a~-_S*jj)8g@cEpg=j#9WqGE22*<9UqLZf=_fI$^wu7;C`saoTP8aMKd??!Y z_WrJur+@l6JbYSXzC_>-x5l11@7qP1*g=X8PjLF6vEV~dolFqNJQk6-bK9M`4jTVg zJhAz+jfTLU58O7!`prxSwf7&`{K-mVL+jS~2a=W2A~z1*(f{yDPFCcG#&hwC)cyQi zEUW)iU$+dOe&k9`fZ`0XAdO}1BFx68ygy$0t?f2tdh62ga31~^%{JCUY;Dt)ue`T- z>mOA{PaZ*&rh`px7o#um@Cca*Gqav`n!oMRiWj^pQ!fh}pH`RoV{~xMr!%{?svn=o zS?OoszUXsu>ZTC&8R{2*8XCs064sgUVvnQVlvga>DpvXPx|doV6zV)D{W@r+-v*yW zpW7^5R&BmD<;9+vd{bYs96plu@Tg^snsARm@W*4vCkD+;nBwxh_DV+Qx$M-Qko3PB zX2{<A**p0p%VXD>>UNH5!agFYagCExj1D>mKC?ZkH}gyy=b|&(`P+TeZYZDH^Xl8F zl&0FBLB|jCe@}ZREg{0eHnm#dJ+HCn7ycGbwkhgOk@`;`J<9Q}EP5xLy>X|F<@c2} zMf+CTSn|KxdLtrEfZfqq*KA7qtkfX?4RIGVu5r$4+}f0uG;u*>gl>je80W0!sZGz4 zTz^jSjQsrT^08$;3)ji&wRY=7Pe1nQlhSgXPn=&j6<o@3+H0~#G@@N0L!_Ja>;Wl9 zV?&LYU#*}$U&~m(A71*N@mf)V`W|N1ZOq@BtOH_}-sLrGxuo^hZ-Zh;SmyTTNX^$< zZ^)kUlHAbtp|qf%BcEe8OK;Nh1(G)uGt{<m&uYyDuhrOCI%&bq9b!2gDi;LwSWZt| z|M$`kDLwal0_$2Pr|~{4ib&>tIB7v(jED*Iah9`3ZWg?pE`6x8;AObfq3#cSAKD7` z?9tNO_hECf;_0$o#ck&8H=hcZGd;Sxe^Kv!m!%8Vyp9a8Q`ab9TR!c42J3?DN90s} zug_*EeKW@^DNcHSwCl;pN1vDee8xBLedehz-9P7Po-JQ#FMBi0%84mo<7T{FxIl&D z$;MA7p4HoPzHTn}DzVd&)ryn<{My&jZi(D9MmsZkO@85n<x^y)o?Ih3b@lzp(wi** zZCcLw^zz)$iEAxgCN7$<A@w3!?DNcN$3AT-&8c=wdAwgEs7KJ1`SGpkW!HskgKst# z9dNU?Tp*#t&enFKv2$TjLSn@4ONmbz>jnA31<cBW1iB`2B_)LX4vPNd@pFOP{e31E zrng2uZHo}vlf7%kg@64*|Cg+kjb6X~U*V(qW?Pj-CP&+zJho4N|KuNG!uwZ56m4b| z-GAlH^c#!1<HNo!d6wh7Y{J>nyo0%p*++HjR?f_nTwJ0ylXn*HSKqle7M}er&zb10 zz`AgTq}q}>w{%z)XRK8aDgI$_%=7s#t1yS9b8XM@Uz*@mpmg49(ITmRY5NrapFJX9 zr7`(PS5`wps_IG}-Ths<ouM`BLPPehev$p-)fyqSh_n7D!w+43{bF@xy}}EY>`zN& z*Gv)H?{d)klit(V_0y7HzB+nGKr`;zgF?HOAmynRpMSgvQn|O|z_#X}e{9kNl3lx7 zr%uv69ci{oU*HN;@bT73sgVc21Z-L|<IR)+rX${En-+XZQU7Q>#ntrv-W`=m0SYq& z1TuskH!~gVVT!Jt&Jt#(GjVl|?z>=)b*!S(&h$=jD%c#f>|wR+H7$;VyS$u}VvqmI zYDlP5^((Ad=d+-2VUSSjq0`Ix=U1^@)xQ|M;gi$r&>w-1suQQ`>b=UEwP7MZx8pa} zs*qcOmFoh|o!)tYt*i8^?iBGupD%@O__W97H2ddAE0^xc;d<KoVNcDY?m3Gh)_gRc z`s)iz(`ClS(5ovKI23FOdVDjecmLWeX@QCr!XXhx>z%m{u0A#K{r>cn%`U1pf<kM{ zdvm6)V7aZuyKushZ&?iq?c2ZaG1!xuAawgju1;uULUrHsO<Eg%dUzxjPn_UXXs|@W zLL|sXhEG$huSG=K-MOndS@G!6j}s;x>U`iA@P;EQ;^BNAO_t?OTt{C{NP6I7kjQM^ z+|(KCc<|75$Aib-EqIXNA5gH-Tw}?G^U|K$O#8!sxMq7ORopwZ+hzZhBesspJG3)I z%301H$aOTn`1SOLw1u-5+_ca=Qu-nALQIXo7Lj}H<-Lz0Zyd||Fn59A9#NAn^QN>1 zr4_<#^V(E}{SQrQjZQpyZ1ILC4-zAaJ}T%eEx141dTV-ilgxa-t?5S%m7jZR&e8SS z>gn_B{oSPf5vsnA%4;^x-}CSImQRak{7*|LS^fN@o$2*gm3t1&jhYs0@j-QV$A|ok z4ZWYwZTn%`eJko(>YRUDnFQwqDt2wwN_lW+{Y@dSwxUck=7--q&-}37+nkWr((HR! zNro-$?_GUi`#`Hr_hM`JcWw}{>DUx-r+<^gj_<SQtJS@zJ-p-$^G}K2+ogOA+}b0* zzBZWs`M<7oF7u4%z4bh=|Ez!7@}Q|HKqgp#d3xR1Y5SNyewvuVw&ri|B;j+{S6V); z**i;mj{k4o*XvGacg#{V)3m>!xI#dNS5u_jnM-=5Q&(rRVry@RVr%JZ#n!K{>y~cQ zz0YZFz2V}{HCMK-&dl`O>|8e8MfHYu;7td$K;Ac#SEzj}Pmqmw)xCFb$BN(x<p_-o z5pI^V2WlLR4gD|ZoZ-p1Iqlm|Ccd(i;Li)fj>n(5H}UKVtxe^-RgPNJ|M@lR*RL3% z&sJ@wO+TBCebUWf6XTlI=?Z3=O)L3wHPQC`r%Qb~1?x0&6u0XrY&d%7(*lPLN)tTV zcuy~O=CVHG%ysm}grtNR4?{z{B@q&0cPx&cT9A+s8ep)|N+V*1fJhDxKNp`H3#+(t zvlG{1CZ_0~FYg5eIM{yi^Q);CYAq4y;nNgJcIs-}sCe|qjtNPLH3g4Fnwy#$4>}$^ zGGReNVn)CQLmdqZ2|1CN9u6+e68<MW_dU%O6JAa@7FU08>E_ZKkII*9uFQFNp!&h2 z3wpCp|8ai)%`{i`=8j+cCePVm8Lw^^<Lx129nx|3Z)nG(VD)>FrvsiZD{*EF4+-S8 z2~rfk9dhDO*wK9(cdl-dxUp10&93B;^RgC^;(BMni-&SuT~<qcT&A?BH=65F!t$ma zKUOGc-kCi4n#!&}&2t27ritfiXEV<Z=}1`26<G3{JySYEcYEXNRV&!$t(efstCf<t zH)*}5oK{EUQ?8^(bN6UPd*?owrL?G(y{luXSwXDe#bdRsg&QIrV@g7=q;vUO|8%RZ zo6f%V=8K>E7O#-{p|FDQbDT&}!Hn-sE?W!Y0)DnW7Z#fOXorhwfy1I%GgJe0d&ENO z9`2g&vh;;^An%unE7YpIL%3rPuPT3jtEAJ*(07s446Q(4pNT8P)VNoDztZ8dR6;+H z*Jr{CH8rmg?#V1u@4ql`UbIQG-Nn=(deN*I%7MCPL__KpA4%%;+UT-KDncvJS7-7H zF*XjZ{hOC{yQscU3)I}@9l~s@vZ`~Q&{ZdW$EW7iU#72MnSaP#F<6t$YY!WnXNYjK z>Z(@7rc>`3q_hG#+dM<M9tvGOz|onNw8UkrfmguKTpe~*t%Hi4r^1qit{xEV%zCuK zWov;?K&5<X&?2EZlU9f<S6$U;EOhlqWM|gHISW3`dp*fTb%#!%<~Q#U=4h2wouWcl z51lQr{`^iLR`gp{dFQ%mD@3wYRyA%Fx_ZQ_GwWft<I}x&@;bdXxGxHNGj&DITJ1pJ zo{1~g=(Q9*sJ+kI=~WQBC@5y~3bu7#A;RG*t6EPtovJ?KEj0DPBA2B*6asnYOkbfk z&ohKu{_m^zT&BJEZg#wt-8cV7U;I=4yk)O9p54FiK~?cKyUP<2Q?lFVtJDRk?VCJv z>pj_NuWzqj*|}9JD{=0mD)TGZ8VA*Qm)=;jP;Fb*iq>4OQ@1Z(m-5;W?X3ANV?}4J zR952tNuQ>bMmTdm%hWik!kfBTl6R{?sOQfaHr~#hY1tY_Wq3^u!kur<@o?5X6CPyO zrhh4*>8RAHXJ%5To>@qpdS)e+mAG@#C&T}X+BS(UXbakNC4kxA^vV%s-mM=3Jb%hO z>}(UVxg5~-Tq^6qytY{t3m1yTX==_7-g7;m>AI9xVTd!Y%;f;#<EB>*ajHHop0HWU ztH94$^IWz@v|-kYPGzaAhm*RhzBkUl7|?WC%B#@JnRm{W0O9v0R}Mw1K3#m3nOAkk z`h_B^O|Bg9;x*mq?5w*cAjodo*((7}l2TrVvCh0cmji?+n_fAjsQOg-t{$)Ijg<>U zR-0ZqP{wPz(bZWuC*%L)Bi~b}luKt{xo{-TbW4E)>(7a`0ldCC0nXgqKmRtQo><m> zbhYl@zt<;wX*t?=dVLI>)YQl?bk$`$U;Q+XDOYnC<I8{eJ&Jx>pf}fF{KJv@i(2#Z z-rU*8`kHmE=xM7z3Lo!oI%a<<EI2;=c>d!z*8{mY*iJGYO|UfhaKqkFkFoCOugM|m zKQvBGF82-;KPk2+y8GOT<PD`OWF#z9+130`HMXuUN_gBTV{t?4{JL)2q6tpl`!)$J z{Go7{CH1vKrq`4Qulx2LZRc0n7~#mVOoQWS3ag=kr{j$&fsQ(7f&`?CMUEVdVJ$55 zaSXE1)0gRzElNn}m6>rvhh41iRAaAl(SrvYWOi7nuxq}VzKx6d{nF$7(j`l+r1O^U z>NO9#dr*1hyGK(){1@&|Vc+y;TTkbtXS1K0r}TW$pIEEVxem02*HI%(gG2g*=#e8@ ztQ$8(Ip(Yh6kyL5J#y5F)iZJBo&pWF6OD~}MG23D_v^;7i2XX1eB*^OJ73kw#%{Ty z2~Ot?R;<p{-SYI(i`V-t8=HUKQZXwLaB1rOH*urNCd;WVsxwprHPgI9u6cTf2p6la zYOPE<reW5(sL^uK3ALm}8HrsQw`OQ{@h$6I)ctbNj6*z%-*@iPH!!#px+MC?O!Y53 z*BR?i`}7x_pSgbZ!}qs59!2fgI*r58+*PKFyUQX+bD4tLxzZ1@i*+VH%c-5Uc2h{l zgH2q4F+qyV$3ssX;gr-mx45d6Tkrg*YtbT{zdDqx+gvXmI9gEqEK+V)*EzL4?;h;F zdtCT-suWj+hROubM@uz?_6WFcyCLq%eBR~akzmI&YKHM5oMIhHM}-1SHbjW{>Tq?p z{^Ch%?_Lrx|LCC)4=!JeiVyZkUiE*Sa$V|lUxVeGQU^=w7rE+oZe8TD!B0f<TKA$x z-bEQni#4Kds66RNYFi+ALp@{7RIXXAlbg~WPg!7Tp_`$1jw6aI{cu#aP&7+#LUhIR zA9wk;|Ct&g!kO2lbd)#Hq##zrcaFF#claM}N&h7`^qyQg;OA(((ffkV9q~(>3;i$1 z+z~YqFK5why)9c~Znnk$IoI6JZ#TWE?~B}A^LYPr^`6L=dp_M47ks7`_i96Ty40Dh z&uh~j@5zdLy=ZpDy2E;LC7*nmY+`Obzx{7#qNu=~kNg^T2Nr!;{$8c!!L+6To3JHm z4?3G>>{#h>_7Ly?npIvJ?@oO`b|AKrNBH{_!-(6HnYXQ(!pvU&<aWWw06FDW_n-q( zhl4E>RCRQ9>n6lcohD{~X}t!=(P-B!wtJc#ou|95=<J#J&i*yiywl&?zUq9EP>VZ} z98sakZWed4u{rxw?v4uG{)vZX_wCzk@iy8(MIi6!g}(bfe-gcCU0Wu7vu0-fqMZ^6 zpPytkob_GJ$UNJ-vBbMp=<fbCEF5~D*k!vIyCz?4XcS)S@yFBf!p&;=<5QDtZr}cJ zE~(4LVZ&;v1wVF48En`s^1*D+;wcT=AI`nXaCA-9fdfAJ7hLwdmH8m_fr*8EHDj0a zO?%%zg@zYq$|kjYpSgW$U69bdX>Q@nB8&Gusea1ZRb6^%vF_1FHZN5lv2yr@GK)N4 z#TaI?v|;<&c}rRL<i>vTy1>0*Wxi>`gEirE;udWFZZxI%XvNV-djfnmM$9o^&DiC5 z)TQg_&GjIctOB`Y>Q#o>Rw2x6&#J25uv%5W@2Y%oF=k^8d$nd2V^iW)2J4(XF%^v4 zw&@7wAGY=4tdM>e%^UD#JsZ!LZ%ndXs?}G$&vFaItY*_#7tYKkH-+h5*|RA^AGQ@= zKHVt3z#@!S!s5}?HR3Pc?A?{&Zy2{RrC&T)XWE;MSq+aeOb-ZYvhLx1udn(bx1!qA zVdEkxgAI4IBlQFBe3+?UKjlYUcC6ano$D5ERGaI4{pqV$x0b%%=du04<M1_)xi%gv z;Z~O4_p9=eyWOL`Q*Ewai^@1>zHN)Cy5R%)t!zz=Rm|BgRn3jl{|99oeEbmquk?|) zowMHTr+vF}^p5}Au-7yF*7DaM|E=Ed`)BI0Yp2v$XHIkb$8~r8KednE7jFgxugLtg z{KvP|_49sgJs0l#srSyUNx6bSwSTYf_xPiBD9ZQ8)cx<Wif8_E+s2X7C${I$tMJbG z(>!Ch?cBL+>Ar2c_hSxEyV3JG{ouz(tM_~T(Rs3RO8(2n!kGWBj(5Iq_q`d!b$;J3 z&qwTvH|FH&U0fc&F*U1W+NSSE_P_j9@_Sc~x8n5NMW#D_oXxH;R69O@L&cl*#`{-J z$US#usr1b*^Y?p8W%{?Ai}`hWTS?*mj~`aYtJmojUfXIWYIOEbt6I+Sj}Nrt7f;%C z>$-_*p?zQPgE$%K*-MUYl-%*yUaNMSPwh0zn|k-cq$4~H4(ryh{mJ9Y8s}s#dQ-z> z>$Yc?cxUz<RoegYs=koDSZ>X#32z^Wel4n8>cO`&Gk&Axz85-Xi(|xsMfW5x3^@GQ z|D$|-sGfC_TK(74NBmu~i+zt@o8{+wQ|j7DzphOJK0TWPd;+41Ue2lt6ZGuq-z3n} zy(yq)>ie3V$3MLPBT;!e<K(mGO*g~RBy`QUY+Id~=q_a3^QpWq)H!;Gn|s~Su$gx8 zZBt*rsOQOMY5qS^cY1S_kb0ed2aCGv#79#!q%3s1)XsG*YTdk_|9OY#@(JF|k6F$h zsc_tE;CLa&N5q7EGRxVcQ|d*p1-<y0-!wOLUqEoi>FIn6ew8T3h9@{5>$t!eT{2a= z{^&LP5(}~1eT_>Wy^+gebxn`Hdf~ABn=8+6?l{!;=vIkdr}zHp!cC{SoC;kXd168Z zgs+PnIaJEJabvur%$py3^LtjG|9ok^_w@42<x-ni+oU$Jwo7ed?U0&v<MZ$NYIPB< zyt%ritv=h9&RM$G%4dUryXLn4|895YhZS;1pKcM^w&3UAa-sX5v~x<ot#=l3+`hr( zqN&bC&8i5akD7D#wDu>SJl4M9$%ER6qK|qyOFx)xvCr`pGrFj9uSK=#dSd6J&<LXs z20Al$NKVta!{u$3*RIN5erQtn?nKSj>V<z3yH8CxnJ{_c2A2;CI)QgMyxHVhRfYQx zPij?9JbCQ$h9?gqBZ@xS=q&x9w8dVnuf-?r(|x0F^Ad|>GLxRwznFJ<_0-6jQ_a=q zzI(Uw%S`*(cYQbY_rAOLj?HpP*rI91+_LR5lTJ?!vu-o~aIgCP-tW^s+^f##aAs&x zwDAyV*?D5sJGand;(>pY+bZsTKfR_yUs-wI38S;Wbc!Tqx#}I>bRxMz>}Su3MGX@p zMU1q%mE6(;&&<&Al9=?;|G-6`fC<SlVwOGUl|s!@CLTYc^J(T3SH{VkHB!99T)&)L zG(#_P$_3NQ^Cu+FTwvh7U8m^9JXby2O(zzoKYJr6Zltp8x%g6*g~!ERxpzdZ)zX^% z>c!XTu2XZkR!iRxD-A47&fR+}@%y%j_VD@QXD3C@PW~A$c6H9Ib00PSX0LZ!-&MD| zyfW!)*5mB2cJ>jq2ZC4g|GfB0d0yn+S!HYO5?_l?`(m|b!_x4j^*wLDy!x>*?7h*s zSGLEZ_nv22%|9dKtK#G}c1fGA9`D)qt7YZ3FT2)kSsJcecR6Qyxc0-6)#Wo2zseb} zy_Y`iD*Ksjza|_ElfQU-np}VAQPH5V-)pwLY%n#G-E?i4^0k{KXN+e1{WxW`eCL;~ zGHcfTVw+udBjd8(?3`Q4n|*)hY<$_U)=bvu{4(WbH%rcx%=Vj+cygt?{po|N-ptCp z%(pq`R_Zq2>N6WN=kGL=HMqLW`PuD~BTTdVA~P;?cI#MveVK0=F}1Ec_f}%3?{1x~ znOis9+Hzj^^qGaHj?Fe(>s2$mZ%5)~!|S<L4{wP-o5RMl;fwdw`S&Bvt@XON+U#x7 z(Pg%Jx3`>Eoz3^-)-q?kTU)f`a;={2@l}`E{KO<>f$H*AEcenaw`;Y3zrE!&<Lqx1 z8JF$abF3b0@NJjb__AZMne684%Y^%)i=+%sOuDRbob&Wf<@U*DZ;MVXv-P{Z<-Fu< zK8x(jiqCVb9<}-&-?QaqOZc=o$9T3qF*$ef>3W@83s?K@F4>&f|IX~~<-^OE=SA<5 zZU3@KcI_#f*?ljQFLT!ZKQ-ra%f@MQ)GU8Ro||j&WqaoI<J0og<;rtzJ-43Cw<G(q z;`bb@NBew_+iZB*(jG5jYkls;vRBWns>Jqxp3atX|IF%js}|>{ZH|w;AK|`VziZz8 zWg8Z5d(`{Ot@PZ#_&<g1_FAUBq3^F>e68Pg@0;F-Y2}w*N&IlV`1yL%>yYrjo7-M_ zsj}C8{B9kfa{2p_*AkN~RsP3i#=8FM3zKy=uFRY|_tmGWh-IB?ZDs$tUaVfyRla6L z?dE+OlUKQ=gr-K<xzz>U+PJIg>XBdNtEEGiyQf~d5)gkmB!0S_sp{pnwqMJhO<8~X zjPBX3yjs$&r>7<FJ!(}m^<`P-*^P&n$(G&Pa$0Zpw;Q>a?W%LE5?1=QpV|7dqtZ;) z`2I3sv52Bqn+_IjxOG%_OJ=*P+1pJwmf23bvE{tXY`%!J%ZjISt&&=OkFVMCvL$rd zoT{8HGHc2TSLfbJ?DgG!=d_KN&PBQ1cAGQi-!+rnaD18bw_7Dg>}L1f%)iXZZfE@b zO8R6~?JV)~3q^TbyKiS+)@#qb^|-_Lx6Ia;4asJ*MR%4d`$cRL+Hli|&v{!!&XK6@ z-kWI~IdAXRsA7)1>6dlY@@M45tTorBo_h5q+2U*I)R#^{|DVmyxx%!n{#s0Icxdd^ zFZ-f)yo&gG)BeSWaxW$;Gn19ST@Ssoo4Dchtw$|eYY(khv~s0Y?B46OVOtVJYyWxZ z+OjR4Cfgi1dHKPLH6{|K6L{TXeVPNsFC{2#JGIQt{r#iwXS;ix<(ms%W*f-;<vF%| z_CXdN1BtCyO(nJ_YXAG<JNxC0Pnzpxn%CY-?6|s{XKHP*@9E<w+C=MR7T);3Mm3@0 zqx%&vrh9KrT-&dqRdp*x_I_<xNbJ^+H8*!G-I0?M{BpU%s~vwM1Al@}CG>m!eBLZ6 zt8IUbk3V}P70y?5BjnG{xsMk3o&B00awT+cc<|LfWxrmP=IH!uaSzN;%W?kbzNzcb zr}Ap&UmxeL@3I$-koi~@mauo>$D_i`uX%K<e(&A#^QYO;`HQ2oEB1bwzgFHLCV0-< zMKg|_GLOi$*tc=l&W($f>8Y+c^=#vZ!l{!?#00cAuhsjIbE7MVEy}L^vgY3xNyWj= zrKO>LFP}EcOcUk5d)+Z~>sHaJXHDOSZ8o`m(8=M~`WpW1SND=UKc=sXJANf}f9U;~ z;DuU0YHk<n<b}`C61Xk;eA9;5LOJfP^uE6Q(lh_xu6VU;myB&!`XQyq7cM8nR7v)@ zzdzq)*1FPjdWW4=$&25S7r(7#eI}t6(4Id3)s?3U9c<+|uRP!Az&ww`<Vkg-+aHAs zGnO;?{`eTY_ORaHFY8wJ9%BB>l2!j<vP0}V?kkU59ctsaOdd>iaNfnC+x<f8!i;}R zzB5+FC-&!6tyuLao%2fKwmPQyj8;4nD-KU^wCa&qb9jcLm5;=#gI}~))-QByudJV# zTl?$ri~A+lRvr#*+!Z6Z;&^J~uNa|>1J{{&cWPYd;AZNz)W6VDJa;SK?lV`d*SNin z{L7McWuD-Q)0vG`bA(o$cWh*PBa(4KuhH#|SjHK-MzuFrt=|~BzuzFW_4gOy6@k0s z?HkYM)ZSq^b1CtJkF4973yEivWz}L{|KYd(b64m`+(Y#yzFIx5_QRVBES0$X7jODt zsn*@_coT=EVs{7grVdNh?v~5*#d8ybCf12A>@%J(KDC?mPh4_n?Jv`r%yW(1_gtSL zSNQJpqE%ZOE}zf2e*Nkyq0f61?iXum&yu>o`thOXN0}GBT>C};)zce+Mm}6u9tNE3 z-X5^^)4y^?>yqgkyv;eQOQvq{7w264Gd^Sftz+CFZ|||LGJDGuT3y<>N_UsT)pIcm z4Aog@UHH6TyuV@D_FMa!K5r_%nEtNYC2{{MueV%rQ@AUepFefd`nfX3L-f3rc<4-5 z#n7v+YkzL6xgc$$D<5)_|7r889rt#=c<B)sQ2Ni(*569}>Yk-m|2>Q4%Jo)NdNW7a z_nupP&b9i`q8V*{qQ0e~?>}rPz9`%(6n{77JhQX#r)^Ge3*Slehb!KB9rMNWr_$|^ zyLUpO@6Y*P=bh_&d{){!si2E1_4ZHwwa#Mh%X)2XsjhF!TlFv2{;j;J(9Zd^IYw<7 z|EC!tb|=z5J$J3%^kx6rr~7ZcyZ<t$h;MuExu;>z7xnJA#cpo8DrWV|&uJ$Ob@!yI zf6M(?s<p%9r>^eK#EpE~*5YSx@XCJnUmV3ZC)Q@BxOThcQQ_i^UdzAiRLy+(qs%q8 zc-PYPXHBmfuKzM8_uR_lPwJ|xHhzjVJs<u*b5+_Dv!Io)zC<m_s>+PJHRbhH!?nd1 z)imE<`FZ8Qy5y{tnL*Lt{;XJ^{6t-K+0MzkZr`if94Gtv&ErK}3l=`E7JYf$NxweG z#CBQyo6NtT4pv645b_mU<u$og>q^SQ;vg|b^^}Fzm?K?ewEwO1P5!lamW;dUvx2Z> zy>QcKAA670tjpASu<V#qUZ&2YvXABom-DN))jeO8T>5b3xhdydZ+}?Su<ZNg_d(lg zBsKn~ML(~<|1e(qan$}Ni<#6*|MkoMn`^k?1-GMCS<>@G>lZJZ9RK?8f?Kb=zTWS< zIyL|Ll`ymGW+kg~SEV@>8eY2clDG7j$z5rC!3~FZ`FE+cn9WIEenPga^Ul*l$A!M% zP(JqijcDZoyOlHbv{m11bUI{rT2*F4$RWjSRhf;UhYWA4%-OKFqDnKtG&E%C%=`I4 zeC&3bv*Nr$`dsB_&pGV0xHvMQMDBC%x%7D#S<n2vae;Mu^Rj!DGDe#7537k599`n1 zHglGs;l(9MYBNF({pjyjTl4H#*REw>CN7w@{J8k~EZ0RF{!68A<V)7QmfCZ)s%Klo z{6H~jg9}N{Rb_fn!QDBZfAe1bqIECR%>0_^lAkN9SNAR7ud~bd{@R>%HQP5OyE^yX zJ6h@@UH(G3X!<^-?=RGg=KoXTmr(dLLGGyZ*K5-2^FL0yRdxF7&m9@^m&!Kx>el65 zE1TUbyyA34W0jA<it{dwY(83bH8-l1zg~-ey)nGq?stjAhWK_x_7aK0fOf<1FKVhh z|F^uYcHa8_iCxAYh2wAc=SACcd#JweJSMa6`i#^3^|2*Z>h-(w|AhxebX{3kT|YN9 zi~V=D)t|LYuUBMwZ{1jL%Uhn4wQgn2^S?HBpQ;M;^VaB^{CsjhW#(az-j%0fle223 zZE%{+pK<2lf@3k~{`qE?ufFH;zo&gwrF#7wN50M7fx)S{$*jrtrxut?Om>~xb8eB= zue3l@<;^dA-BK<_^PFF_U2>YMDbLwO|EDWPe&K7j&yw}jeD%fcmap93O&afFx86Nz zxZ=kJ5lfywD@?oBY8=n%wOn&)#wr1mWv7^XSKe|+W;|^owkaf8@Up4c=7?m@?oF}9 zk`s8n?VXnxwzEnV&s=ZVUn%u@=IV_NmQvg^*KX{Hl<J<ja$}3+&2^Pu&bL)suFE=; z_*Z}Pnbf(*Y_4UUN$)+z6qc=%GWD2JSe8y&>oK8e>Bm?2@6R#+BXfFF`!7Ck(`TDp zlI1R&JlpJ%%*bsjw#gw`@Uy9yv41jW@#a`=iK%a+CM5fPyWnuvU#fWKQp5h4QlDoo z+}Q9^iaT=6#*W8Q-ImKXwk*E6u651%GTk$UD%BY;3L=vA+D)Dn8vGU#e7$MA<C$AJ zUJ;WW^H&^IE?#FY^DRBj=<m-*xl+Y9RvAv$mHK>Rjp2M>DefC9HcsG`>Xul#afY3g z_lvo2XPw@cGh^1*s?0MBmmiC%$~d!l_pzE?nK}zrA9LE3q4Ov-ZONX6X?v$jc~?x_ zJ7Ja4>3M!<tG;h)UlsXYy?3Y0<r&BOr9M}#+Snj(BKB$TF{ODKI?py96Ov2a{p@q- zw(P8H)iZ2E&Q|f8JS&P%mOF3y%s8~Vw?R{id*+&r9iCF%GgoYE;k?Nxxxrd`S=!!@ zQo&RAAB#DbbtbvzSdCk@&Vu#FoZPZ>l3I=>wPouhMr_Mg+`TC=>zec`!*)ffV#zgz z{f;-McifoiYG}PlBe9w-^qIsAS3YJV{m7MRdxhoGW*$DtZ`{7?%lUb}S6@ax`TY3* zbjPf-r{`%u@ep&BIjgkwLrUPGkNnZ)Dxq6j*B)PgD@jV4>pUl4`ozNvb&4!!xXSI` zd?GzujP=c#MHAjeifq*FPMY?2)!MhcyjhcvPD`3lw<x_>v*5;;)z|JfX*9^JHae1+ z+O}iO34#8nl8-i<Iy1>l*|+2Lv7iSV7mBRVTrI+~MxRyjwU^_OTR{Swmx-vX(PR8- z>9=>^GtB~vzlnvnFZ*}JoD*o*mV8uX>TI*`q`>@aNuh$hUyjC}o5Iw;dFg@YF`66} z@mx-PQ=2-vmnuAa9W<ff<>CEz7dmUNSob)!aGKQWd(Yhp4^&^>^CR?iY;5e+KgWLa ziu(H6{11K2tbSDM{<;;bSFg+U`rmWje^WsAGMy`jG`Y7{opRD$xQU}urMn|<ewR|( z%jIj|KNsoz;$QONZTqIeotXx5+j)+qcOPU~V=!aFR5sy_rxKFFw#UA`vSMc9#pP@H z{euJIzo(szo-3vO>AZ({-K}5yRtITG=U)vIU$@RG*;khPR-L!+%W3y-&%gi8Lg%mT znp@@E#_8*~@t<8@Z({o0@h!Wh+BRE>tW>_q|H5BSZZ(^hyp2!x*sBYv>-etPMYY>~ zE|u8e(5}c`DzVX{-LSiK#s-(yOHZftR{C6=v1);dn1OGy;$>4YL+9kI?dvzTL`qJx z`xmv!uswC=xu^2n`+jBfT9sT>j@|X@!s6L{wYy#$ENJI*u6kv#XgXhV)oX)={nxyw zKb86%vCwe-R4ML=r5h*INp)A;`*vr`)T4VYL>xLj>50|Lr>8D%U!q>QBJIllyuHuk z4$B<=KiyB(jpIt{5(is1&JfZ4OuQ1x7baLT^;&3Nm=Vn6Yq7RGVrsk97l{SV^Bb*r zB$gbWaL}qpV$tCl3#~$)R*5Y$za%MZHRtR%<9h8_(G`a|8+XMBuQ*=X`0I{9#)0ij zygM~7bVM`t?$o`|VqMi9d+z0h$9wo{^<Ee}Sj6YN?^@y!RoP=P*Afp+4SG>C;a>Fp zmlq!9W!ir>I3CVadP5-~^cL5Z<jD@TX51zT-44#%xI*5tvPf-?UBGGmg>Uzr%L|X! z%Koysu<+K#7X~T4e4(Z54$mmH@`;$=`f~5qsCK^HoBp1;AKlLSs=#G|o;&NSLZ1b8 z$62KcmY0~FyF4M2sdt9ng&C4ezB3|s$%))+ytOv{U~)fS?YCD33G?}!zr8ki)WDa_ z_sZa5fM$uk$NROBOMCqn9)5GF?pb2rN~Y2Xt$^vNOrh2Rjlb3iWSr1ybXy~~;+Ea3 zxdk&9JNNIj`eONkotN#*b!BI<7Y0cS`Gm#N6RWb<TwZwiSI+cL+uh_Ft-gq^@V0NP z`XavKJVPTJk4VM|g+@0Xv5YeUjcPnst>1i_%4ci#;==QUZ-<s_KW1QSw4|L+x$2d{ zvgv%nRnwi`H-EYIVx4pQ!=-=E9F`Ggd1c_bK+l`yRbk))yLfJsCz~9U*KwFUTXm0f zx}en;iIvXtW0!_2v@$OKdSZg1Rgc8#!z~{pXWWyzUh<;A_V&_0YYXES@bR;}`V_E0 zuAk-A=ZFQ0_FN{9W;$Hmvby}x(>6ZaeODKrf0wiA^20jsex98R4tKmSnNhG_<d^&s z<?3!e*=^IxH2I5jgjO6@Y}_RyyyAFa<F7da8Amc3kIlI_`}HQ@cDdW7FE%eXS*yu& zbG5tMo70C%Qs!M=c=%_I^JnGa@9RrnZE#<pr_Qo!l4`(K2TqfeRSwG2I84%ht>5am zqvZNRcYfJbQmV5Lm85*Tr0iVv+F(&XpYX4#hZO9M(qCOj49lGU$>6wDqtzPG6{oEl ztJa9GI3Lc`EAh?Ts#o%#c~o(%*sIzP5lfuscQ4KKO*~O#Ym}5KwoJL$=iAlG6D{>_ zU-)z4jaERr`_j^)dAn@HRvh<d`dX=Xp`)Ft_vJG4>#2MAY}H;|cphXH`Lba4T6ecI znyra-DW%sJI`?1N@+7g(mWkIw71SB>-LYc-41ayuRli-^4gYR7*n2Cy^u-3f-=BW2 z-s`sD)ir??r)wHlMLRFBlV*|H5V$~aHjC6|?**LJU)Sx_xv=nflI$;?i-{+^WZl+W zN<8x_NaAVxX*1bXsz%(GUl+Ny^G)~qJ+nQlR$#^9z{XuNf-8<EHvW<k$~aQjc<jyP z*{?rs<+JUZcCSG5i{-Mz6F&cXDEQnfagP7%dpbVGKb&74Gil|!KmD9y<qLz&Tl_0u z8HFy83;uGwsB+Q%X~#P+`7OQhJVn#z(_8t_s&gv$|5YxXT+Ai=Y>J+8<q9tK#$9iO zR~#4oyG3tv>56BkbAm5_^Z(=gaq;UfjlO-cx%1!Wrt(>PpO2WqG=JJR<JMP`UIeIy zw9ECEzWChB$1L~4;K@9`(8|?^XWX{(*>Uw-=Yy~po19<oJTBJzGv`{wfq>rDJy+tC zAGID!nwPEfFtTlF@{481WcHmc(X(&wITN$)&jzW5&eI=SeUVt^JU`EhM`97ATv~E? zMx&LF#DtS6R*TkW+lEV<^#1g@)W<tx#l{JoQr!~kH_q57<t-6=DZ{*$UD5r&j?en* z7bnF!%2~;1-V_yCa9FQ#*Bg-)$NBzl(Q7Y#adH2XdFP$yGuCTZX4<{xo9rF0<sYlY zc_lgNWwxl^#RHeFYsD;fwE7|$!^M5RtnI~x^bAd%obKbVE~Lk3>f{8Qy||E`tf_OQ z*V_Bp!7ndk`t(#EYyTIS7_O@AJn!j^h*^CiRy~&%p0<#!in+9K7JI2gL2$cbd8x$5 zkaok_r873{escD-$gVS&tKJ!|dvf-|l%GA<BMz|iww}2hr<^qLSW;M)PGWA`((hO0 zdM)3aFIi`}*Qne3{i#D9^A|Z!54HLdvDVqNu4F~p|4ES+{LXH#4U#tSC8xbKNX&bt zwZ!};<J#wP>ZZ?%y^<NzO~o#4PO0;bbcydh`sRAXp`J-D6+b^7S*qWy-otdpaNUwJ z%?k}DnR<7G1uYlNIMh3d=jqDxT(WIFmu8C^t8rge{9L+i?N7tc2ETv(<o?s_pj;=C zamfE>+S2eReSBB<u0A~D_fmsexmk}NO_wU!5pb)~=FG;uCTlCD3VaqQy0c0Z1}xy5 z?NuC6@$I&2J7a9AgpqH%VRY$?4Z7TytN+!QF}@VFneOZGk89ltGy8g0a}JXyp)W;q zDvPXL7H}$W5?9;1uK4RQwlmigPcX?I)47^>Xj4!`g<SRCE$emrJ?2k))4*l&z`e1} zMmXaPcca=KoyZrTLe}nC%Bf*vId_S3Y4&S_q*;8)%U%cg>PF7!YnXeJ#bg<$MOf9! zPbLp`I5_)pm^|C!AbjqH?}ryFo#)$G@w`}lxP#Fu#B>9nFx%8ahl<b3F6GRq6^Xp{ z$A<TY&V>oOOuaYtF0{y23ESwszL36<k6G-cLDEXT<Y_Mr5-Y`)DKGf_-kA4nf5X22 z&dVA9vPfNuUtq}0GRxpYeTMG`i(lbVUtTVBXZvy`(M4bO*q3XGPV<8zD(rqPDiPAL znO_rUr4u#JpHKg7@afMd1(|pyH7|4oGKKDz-y55izv6JiK`S0fa6RoKF|G9_#}Ccf z4JD!#(|jH3M0flSx8mKQeqq9IrrrvL3oY$Sl^1*{DeSp-qv8G66BBM*_3T`HxaGZ9 z;=_rL`#~X8R1-C;!RE}zEn9w8uA0WyxN5260zrFDlZQL+>CJby;;C43c!IiB&(5WX zTjVzx{FgD9-B7|(GvC*tj)g}{_CTf72A>6rr&*;oIxXPT_SP?ZIP*F8-i?g<r7OJn zWRI0xJU{coRuQpzeRi5}v$-yZF4(fPQffoU0!3+7sm-1XIA?!Vt5|bs;rT3Cwlx<M zk3`8HTXQ+_&@UYy_XCe}n4~VVyg6lNU%xS(%OquvL-H|hlf<TbEd9DxUv92C+>mS4 zb7S4%8M0PBHzK*X&rg@1s;1DY#dz6!_Wb3H#w=1sVGFXvwJx;WtP-|SdleAcWEC=X z0iW`+7Y1po_=K0GCR#D=JFTW*&m@*Qd%iqlH>=bpmj#B>EVB&ueyP*7@twbTyZ2kx z5(xwUcEQi35}O^`Il2G4e>}H)gXEmA;gQb_c5j)gdD_sqozJ`U#U`J2xznX9%<fw8 zM65hKA=IiTV(sA>rdB=?tG`}K?K@`kEbC1Aykl3E`6OrgFWcDBD%BmiWMj)w$!UFO zN~MY;CcTWQ%avN=+^%R<BDuu5-_Yud<m$r>g;qS0>koHuTJ_9aak#}ZN<X$O>rC>L zV>NDBKA}scxFy$Z>`0Uf-n#o($kVt(T(_T}lq#MPbZMu}>B6XXzVwn8MPco7&r4nu z$F(zxmq-)^whJCFktp_T=bXMZZkNy18OKd}fA(C9IB;Ex+j8B;4q>To%at2jf+eTb z=f@=Tg&WU3ecxER<i&=-cD>mpFE&QD+eMd1YzS>vyj`*)tVnj%)R^`x)$3I+KlL87 zS(ka{`L1J3c^NuSqI+HMT!}cN*Q;6+dWef(=34fd#B$H)pLC83%37Vdvheif|0^B) zeXYLSTywZV*NW%ns>2<*Ry{Y@9d42RZ+zQ(x^2{X+izKC(&ryz`j)Npq~VwnUzX0Z z3CD!^(j)#wMl3t~(sr}<<(+?QizC|k+)G{*g|y2ZFL_ZM)1DQ*^zek6Ry{KoAD*$( z%4f#%ua{D{AG7(EaVCB7F{W>sIw|{)De-0KJX>%~h;QnKL&~#$N)<;0T-rH}-9)?e z#Rji-z15{JHoCRjWtU29@M~9mT`KW$Dqm>en!_!7qx56Nvd$z=JXRx?t&`As%xPMd zPSUhvNz<}*5?$M#mh(y#@0k5E=HI-Zj_rKqB`-cL=Chsm^1^d<SvH&Ni6^*a-S%8b zJfkhER-#!sUB6ZD`<8jtcdpMk&)myub0y-0a<8k+wTLsqy{a|whq(B+?wYn`=LetF z(I<cJ)a~D*H|2{3zpUP?3s1Ab^~!lpS+<yqi6=s3-D(yeo{??kvt!-YJ5M(qv+>J1 z^L)xNCckW*Cq2iM&SmL5n{!O)TzW*+`8yY89Ns?j+|&0nvsJ6F+m!5B=R957>dTL? zc02P@i30C-#qFgMg`Vw(_g@-3%sVM(-KuB4bzb$IOEb>r_OkX|k2sO~)>LU-hR(B9 z$AtE2Cbk}L_-^v7VE>Zh%4x~#`DDMnypXz|&zA4Sh35<SnE75BJlVjf-1oxZ*$O`4 zzRbNPl?x2}+oe8NF4@>{T#CDL(Z-JVreei0$(+?jI(yU0vd=86URM0G`dJg-)tqI{ zrn6sOc-+TV+cxFSoJt9UkaoqxB@%`)?S{%FGYmGR^en&dwB=f{>Fi9OvuRSnn`a)o zlC#VZ&W+^E{+W>HIs5Z@bIDjxo-lN6=L;@<VdUE`ce(V1v2#14ajC?m*mgmG?{#Hz z$NsE1+>#!3KK59K?RSaQhVu)hxFyzr+ZQudY@FdK<vn9g;?M72jdK0o&wLIJ!+A6B zJb6De&Z^|)TIYT{t1mBCA8x3#;(56qRDtwJt~lJ{7<E22F2nYF#Y)5Z;Zoc$7R}XP zvig|Pu1uY0>~Cv0ZU3de)}M0yis|zItMh6$FWMxq(Cp`a)97~Y{kea)Djs}hk$0Kz zcuv$?-`rct+kJn(+4{1<)=YNO>1E1gw@S{`&GxfMIJxq4<>`ZYea&WX3r;MH<-5J* zxZUh;J2Ngb`sY|Z+34$Tv+3oGcC)!34onK}FP_%CSLS=}t%vh`ci-8X+5bN0*7H7J z_B-2NPKY*>Exf!edEeHTEz;BG{F<{>=FRgRd6)UF=iGWKJ^R~^tjl)cIaUug`L@Sw zdfBmFH<HsLd86WTU9(41jvkw{<wlF}{@lNp6L<Yxuxi(~M}K96Pam`J`IY-x{-DvZ zCo^L0P9*yMRG7Z=X|v6<sr;X2#@lHmIR0EPq4jCAlGs%Ku9=~B8i_GCS3fe^`KI&A zDT8e_6AsV#<fym!G<#)_zWkHTJ8A+B^nG$vynUM8HAh=sWb@XVfJ4(Zg&$4!x+%PJ zYijXNg?8Vk%`s-v_&?nUusiX5^(RNh*QeQAd$i<5Hm<7)ICJZhBWL!hIMMklQ~j5O zEx-D-Ste{Me^F$l-HBw^p9=GzK5b^2HkF?%GRjUP$@69mn~%1%u+iQepCdh+3=NG> zA5-!9k^5SHzerM6an8@)v(_>{d|%I>B3#?|_8UWc?l*?%Yriq{n|@=MU;3?~Ve7Ys z30J>0bcB9un6ds1Bd7G5nPoTgZ5yN3n%!c)GADz-;ARg0hlqUs50)AH96QqZIes3K z6DYhYCs2G;ZbHG$S(#hYH_vle>oENdBVYV&<`}(O%r<&Am}}16W@b8fliBIqEoP;2 zH<*+BZZiw{MH;`|e7%Zk>#8|h*e&L4VBaCLoxMV4Gy4yjt?Vo^8`)cAwz0d&Y<i}d z(0{f4&9+}1v0?I?FTWBl`}6F6*$;8I_z3SJo*efnhZo%cvzzb#rkIevi*GrDua;LI ziaEAT{Y-L9^`V+$+tqavoT?8wsclo&NeZeyl;pNmU1wpu^}4C2AC%4US!+{!Tlm?9 z<+h1>dv6OrySU#r(Qfi>VX+OHY!el=ZwZTS++&+)c>0#`v<+Kiub)cxu=ZPaZJp{{ z&f<tW^9-iDzUBNJabupreAc&|+%xXa+b|*SEob+P>+?3u*!h;zd&cFy?5D<CWYvRL z-+%M1#qvy+|BTaTzO_`I$?%_X{>!(Pm4E%J4>>IZxy{RZleEDa*+9j^w*^HF7s&=1 zD&H2IYVg2(+TVz`>|f{3DvtSAx>KUvd;hi^H*Q1AbJO^XEMo0WEPb7SimlQ{w_a_& z{AqSp8*O=!Pr*MIbUc6BoD?^O->V|>+o~NkvEN*>mez#Yoml97vt@PYr}j_jpI(Ih z^Rc^onw|BFhP=q7%{2i>%AZQh6>qHxIAor>zU%nWP3l3}kG6ht<hy>Fediqw`6nN9 ze=5wM{j`}WZz@06&R9E*M}9vQwr<vwpHi^>P3Xs+0pDD*zU~gUJMpmYr^0mhr_DBU z)A&DChS+I5DEzr#g8I{DrG5IdPd9u{O?L8|*4t?jYNqzg=jM!-;{E@o%l<ne5<Guh zA%9(Re?a(G^Z%<3+i!J!vLjUQ$iv%3ih9oV(P~E)`|lK(zwfCN)32%AoG;_`RFWKa zPMFd7)Jcf#@3ndL)2maBmWORRm>TE4Hre@9>x#W`I|cd^pE}jFP3Pv62-H(aN!dA} zL;UF{q0cK~JPtiJdVK$J-pt7>OkaEM6li~cx^;!tqL_|VZ%(zY*tIUEW7Vrutt)1M z7%xt>9<k98U$knc(a-Nc*PZda^6JZ~n2uGCPw{AMH7eB=UzD|@NO4t@w)mn~+lv%e zRcVV0U0i+Uj9|fXpFHPv(>ptM1ga@LOPkrza(n;J$9(lu`d6$iy}x3`s#R-qSLEe9 z`91%CGhhAk4s-Djljm>$_td#HJNwnW%&c`8@2*UFyirF+?&P7T3w3PdP8@n(w=pAQ zP5!#|Yu5Wlm#&X62@4Oqz9;(bjIP~F7dhtYOTP~OI9G4h=SO}UGghrzy(%MX&A-cE zc=v3xXS=Di>T-_O#{c1K>uoo>ESaDAJ2a3l`0|B~i$2MI`?pHtsqfrbQd3s0uJ5;1 zeaIU3pKp72^p!tpslKtkn=gm_U4BQXWp-Dweg5AysajdmQrh=Z-EYU=^|^UIYgN|j zpWmLZt>@ad?cLl{x5`(&-tqBs{iTQUA_0G+O{cz;$o%!7_fUS{w;u<d$W+yRP?!A| zQD(_DbLruP%_Wja)8w4bUP_-4$;M-TdV*k@q~Rr<WVe~Ahcc4C#Z*bob<bb?`DJnm zV{NbAlKB^1znJfu!RWSJtoy&#?k|t8CG#9#D!*GU+av1V<LlS|uMV`jW8ghK|Lmp5 z%OZc>F*@CFJ593axX#tGdpgPQW~CldO-_qFCTaZI@ZO7>carBN3vRw}S|<^EBy@52 z&tory)^*M;zmz!j@xDkan;+}f%KKbN?MoMX{bBOaT{hbz`o+aw8-HNl%o~xvQ87L; z=LlPO@6C*joU@Po*2%vbm2+;>aplclDs!eW`kyg+QoXt7$3dOsd9zXv^{dVFbD8by z>5;xjciEq(%NOkxd%fX&QtY?rHOCpdzglK&WNg<ld$QrEo6N==GZu@@E;uvCWBS^( zmmAOO)NY%ddVp^;&&|wfg1gTcrEQN?TQfQJ*X*B`eY2My=CrJ@oSD4qsMVPb5z}Xi zy*50ZRGSu^b3mq>cV_lR!OuEoX-!AfbPUulJzWx6b;jI#erKAb!ATuwwb`jhL^k)# z%$mk|*~e(^Y_VBO4=V-#`&4{6tGhHJd81yo?zP7&_FQ_pc9p$uSm*+=)mZ`Ep`j~| zuClt4B+Pb7+u`APHXXC{o5`J#zjRDbH>9RXZn~qR95y5MjMrwL2-VYH#7|tE=k4e8 zF#Iz6>7Us@zkV?kTm7oEJM`;{qpP~EB-N@#<Q#D6=AD_cQE;-ZSz6aoH6K&;ptt^K zv0<ZS+ap)~UFo^3__E37k{NlY;_LEu{f|Er7WzSK^{Jrl(9)GhR~@>N6q=f~ah1u| zh|t+lIcI#kL$AK9H&nZn+GcrL&i}0YD%;hO=veK}<kVl@ZCS}_)3V~#p6lxuf0=MR z>Ymk?EfLe>#9kYoN(xQP+PKPSYeeX*s5Ps6b;CrLi_PBPE@!YZG&-Vq+Eu=5I<;}L zQV(cv=6RWv7vK`Ux#wltG|s!eMssI>o3-@T^qHTg&!62Fy=K(|-LR=k#a<VlN~-mX z&N(32&1;#xQSiBr*|R-I)nW|PgK`tk2E9!<8<d-HHt22q*`VC`vq5j;&Kjl7j$E~O zwa(@Eo->6Pb!7KV`#gR6s)p?mp$DVaXnE^~U0o`+nk%?Fbn42Zt1ewhQcjCnqvfX? z7P|bI%dRyB-dmeZy|*@+dT*^a_1;=*>b<qv^z@9MX)C|2Q=56tclFtzx2Ju6o<8pz zt#d7L_0e5#CVnreeEsomy>ayX-D1)O=aZb@Mduu0?(VJ3*vQ%cZ?@sYEbi=cn~qy; z{t}r#jWK>^>It^ZJvTC@8O}aql(<>va{RM1A0zyn{*}8{DuF_D&z6YxaIx2yo}NE@ zZSm@(ze+aWXm}?ked%zLa#d80kAAoBi@(p0x$LURKey>Pf7;8>S9F-`OioWoPm?S> zu9G}(cIqMS$v)4Q*)3C9Qhb^9a>t4DzRPv4J=}71*PM+J{hP&J7oSUFJ{O&H!m+z| zN5)3O_~@KNs>Rb{`DQLXp1ApoWzsZ8_p?S%W<<Kln4g{@oHnx{XxdBt1<!WmOydiG z^5<!4-)*tg-<ri<8@ca0|M^<-x}(3&Y`)R(Q%ri(fh1+IsGKuO-M$ez_ouBa-I;Z6 z({b~(m&IpwnAgotJ;A%V=f^dj<h)s_hjc-1R0X>+2%MLqx=SO{H|o9Cz4lnU`|Hhw zjf~Q|W+{`8y5(%UF+*2u_J*r-Jf^3nz1(<5r#5Ux>H)9KJTr5r39de4l(sceZOz2g zU*4N7kIh)>Y!A-oJC0iQY>1dX5u7S(-J)|G;=6ezvo{Jp)-g-lb5za8K>gCv{gG8X z2Hx`<(<BW}=s2^@PCX*9xkoZ<8s}k9K3AKy^soxpZE2Odr=#27KaGvPx9d;BMmc}o zYtP-gc`MR3D%wZp94YSZtxVj=ssHr*`k>cFH|M1GYo@(4zN*8#Y-Z|-lFdCc5~mp& zpEXLHrt_Hp($1Gt=d^@al}tSD9rx@-_QtHZ%@L)Wqt@(-)(yM6PHgqN@a|CaHAh!j zUrV~Go4xVXys57{uk0!@_3qD0dwKb;4)eSj`f(oqpC=0LJp;<=YF`XbmgMcq%L=eE zpF8dSu{|NIdycN+x|b9hnYD41-qwiF8BsY0G`mBWt~|Qx)|I5t!mN#}tV}U7kkON- z$W?b&N3J@%8k&Lp!laEim+VjmrOGc8-e28Q8j-e9@3ii<<kq9V)@-@a5Gp3U=~j~R zwTPTEQQf`~>i0LVEd6<IPHO+|w3o%_b(r7HPCdcAxu+synqmJLqlX(oB~n$e(50O( zwZXy4*IjxebEDpFoomV4kN$eI@kYa5G3ia`la#+j=bT~e_MMUDx8yY2<}WugrrFIt zW0bHmvMtB(bjR8>$<1eVgtz@Se`);qrTWXF9s{fPiJv@!rzcN}wDK|Yp01hp((tNI zZQJbB10|bzW+qM(G(KyTHZ4-^j9J?G)F~G$d(5on8@h=bTzlbkOfvRJ#p3Rn2_>Au zyn1uno=MC-9OHcH)8q?B{^v}|x}QEROFtP8{yg9JX7SAQGi#35EdCssP{PRFtCuqI zqN|SCt{JLs(+k4>lyuLo+p2Ip$I!U)rNMK1@8UAYXAxN&SIKRP2<4Alv#MPu?5eZa z>Q{>0p<gE)T~&1=DbzM)BPa9O&XSqg)8sy%xs=`%$);m)dO~ZO<i-;^$zrop4+%~7 zQC~Fu|49wmxWDSo|2_o=$_JNyE)I<EE`6E4QSPtqwe*IgY<o6ru}qop^hU=)F=^u? zNy2TjHov)aRL6GLtfl_un|WU3O;fZzW3*^_<gqVCr(5oA@|nIz=J1(Ii96=(-*d+B zev<6Dh&87pyT4kbZ?t=^Yxbb&Xj{yd8y)L)&7Ms<sup9WekrvjvZ}|#dwyV=q`@s6 z=VLQck3?+lkxZY)`508FubZ*-a40ykWxGp%oJ)#*7q#ZNZTHum`5PJeb<CbDJnCk% z>BfxXVzWOSnd31%|IDSwvm$@Rn4fOAoF@5cZKT^BlhZSz(`FV#PkR~8UG&D#yZvj? zzfVTT%eqT%ByQBR*1eWI{phbZ+io<Jib-#}o}~OOGUrTPx35Kl-;&eeX)iY()TuR_ zm3lyJGtbSOX@b#bjM7#|s^u7;EXlLVn8w!*F3iiHa;fIaE{k4sny35gjLeO8n{~_* zwjFIdv++j9PBCfY^GU+bPP1lY#q!PG{HE}TPHo?;)B}c_c`Wm$396qldbT7|ZO)|B zUss!CxSyyz*`ILG30wwEJ!-XPTf}rzvDX`~CDmSw%sEii&HM9IlCoJu&Kb9E-y11@ zOHM0o{t}Th&CdIbQNpaqHXY;B9Z%CFHy_dw4x5!?WzK8v-7dH6&(r728pU24oJfjg zi(YeFp!=(2)<(v|I%Z3DA9d^5aAU?qvDpTv=6Fn>m-h1FX`R}t*{Kfln|WSlPZRum z#%S68NHv}x{+BkdC=oqlc<Y7Cu@maPv3)DkUT(Oe6RS6K>G9OfUv?%<V+=oQ^hA1d z&yKWdhS$#;J!~_q)=Ta^mptd<&mQw#4V-S`Mi*ZwsY%A3Nm=YYBdOq}$(OYkE$^79 z=-PAIns~Rnr@j1iL&tXBjHTzlr%8Tjj%>3rJ>4OH*67*HNVPvHXXc&OUHo}RZi!ua zkKP08#oRw{y-<258GEL7v3G^Su9DKzAMd=7;p_H1ZN2rlv3L94w3nOC>)3vqz4Sce zW*&=-X^QP<jFL7-9+NRV-Lm-Do1Hm^R{d+;o^L+;f@zy{><PZb-8V8z40reFC2l{s zwD_Fi;$n+DkEptHI}@hyiJ!gnv@PPs^QEh*j+7UD3KF$VTbU)dnMX2j+Nwu}-k~36 zEL~OpuUoTPPrYa6SBuONyX8H454K!vonyGGW3!uh@wpd5=eqU&vMpUzd}QDA{cfiu z3^Oa2zmnNpG9zu8-sQ8GlG`GGoiRDx5Sb=vbX!OH*^JaPF`Ip6q@S6$s<_ARs-@fV zS2Bx(OZzUav^xGmiA{PgM3`;*Im^$z=MozgGk;n>e>q=r>EZsgm!Hn)*wz_&&wrjK z`Qea`bKI=dBifroQX3-GN=(zvFYUfqY4gK)^5@Eo62|@>y(b$ly4o1-n(@9@?;-oe zrT2TzT=abt|L^s}5^;CGdru`2rtvYKy_7m3vdYKEd;Z@v$$|qq&U~{{k0@^LvCNsq z>E3g*_+?^=oNe#9<<l>+elgoM!Ol(m;`J9vza(Rw>YKfv&spsDyx`1)Eq~?|-_w!R zo3Zq?>*g;%Zt2wO%}hOzx|wHZ(lo*FvqsOPH~Z{JJ2UTe;o{F0i6wUGy?PI(Tx^|V zwyVR~O?>nD7eePc_5L19?tAU_yujHf>fY0c#A$rmXD_8rjjUQ@<~`puO>)CE9p`H^ zQ;$?_?upEp#wp!%v-sz=7dHEhtmeDAiEp^^!fBdB?2(|w-H~Y}oTqv9<`$bt%{{E< zeCbp1xxCFKH<G96RiC}|cvD2!lcnc1HuFg2PE$-iW0bTe@>q}Y>6VX4vJ<?fpFMV_ zD#y@j{#rNj4QF3CZIg~Y!ne5lW@ZWJZkOqGlMRovY<&N7eX&s>$c-~nr}1q*dnvUq zvg(Yf_x#E<NrU@3&SEoCk2r1aiA<Ts+1-1ycxFn8+~nSK>0K9DeN1;v$aE7oy!#@_ zO)B<~PxI+|*84AHrb$hjHorKo!$0-YrH4x+ckMCq?$>*E{maX&X^eNz7%kZy>Gs9o z^o)6FGe7M8Vfiv-myU7TwZ+pf{``|*lYSt0G561lFO=p<#-8zaoBkoD<fXYt@fWe* z6aLRqt39&hX>8xZw3h~FbYk0PFFnq&`OD12X^h9u7(Lk$={CpU^o+@AGYd}5u${io z?fJ#?FKT{C#~xr<%q^KwBG}xcm$u=eDv#lgl5>{1C4A{To~O@ShM&3ga8=~4n&fG6 z*UwyfzAKXLj=|{(`DcwDEsH#M$LMs+?PG6t&M~#>FLrxgeD4L5o<!^k*TvmGZoNp- zlZ-tScyZ}{n=^&SU&!neo;<DG^4hGWhpjf3MCMP+x}G@APWp^d!s5ub9HY}6XVWA% zAJq}wCbju_(e)QL?<D7*H(SgdkyxUr-K&>0_2SVrX1iKULAm5~@43X?;9SxWX(eOg zJ>5C&W#J8-+P)d74&@(jZ?BZRbXG@s*Q`_@@y$LJs{dl=hwOS|kajJ3`Nf}ajCM8L zbrauo{Dsmtsn|1ii@k6BPp{w4TzP%tvgF6-rL<SAk}8h*ztc~4-PXS+_bAPJyr)Gr z&+A6&4gD{{t3$mi9Q#)@ech>aq2V+W?@pBfQ%7!-XNw$!^-?lIU5j1&yyw5Z^6=C1 zrLpHO%WPM9QxJVfuUzHL$GI)F@4O;>9fbH^sz2=bC)6jY@UW%P>3-}wj)Qr9jzVP; zI*HR2g}zB>CC=X`#3!NmaDt#vpM>Va8G%B661rbvdxI5koHlej#Fwo4=2GY(Ir}=b zg!$=0d_O!7DV|rA`RH`WP+xV;vP0*;?E77#Y;ybjMb|@o{;k2k_3kdo=zk~l?WN+w zhIvAKFI69Q+!N}1sr;}d@lYxM4mB2bOEHfdi3?j|#XN5$Z)~ZZ=3$Yrvc-9tr$y4v zmgHyN7KuwQe4p^zsbEI()E3z=?;EMTEw<OZZlup`VZP>Vk+Q2r`55=!&K(*TX5_QZ zD){{Q+J3F51y@UXbYrjF(_XX4nPt_oeeqMatad2=GHJtM{-vuk7<YdW@Ox|Iu|STQ z^_8*10>;0rtETZbx_uE{v8$0~)&*<l%RbLu=moUr&GdPa*(Yy2^F*@gVvie78(M75 zydrWVRAfF(ZE?QlZILv$CHb0H#MC!SOI_twyE?qs9pxI%{XAgx3oXvwYo=^C&c*p# zXL`W_BhJ;ky$%U_tH^AQIK<iAvah;C@YlMqi>-$&uW0RZIHdPk^^KwbA-iH#83Xr2 ziknqs480E-8mrDRaDK7-ytZP*+C|=~ZwkT=>7}c@DU3Q~w_HW0An1^yx{6F;%pt?$ zDsu`#UQ{3O1}St`c~cN^DC@LlVt=#Hx0@;t8~zIM-BeH9`gBsk897e%H)6l!J~?rO zt$v}$Y5iu(hFk4kH<EX^)Nb>%NLbzCyv@TRY4e5I9nYD1e|j$9Jb&w-S$X5C&@Jg7 zi!R*RCN8?-R=1eH*xHb{uBvYe{0`}*w+64?yTT!~S3h7YSe7f~tt;!QZ;O~hs{<QX z?agRgHZx@E;p0}NFMbwgPXBCj{Bu0ljfd)-yKN?JIPUuLj$ON|Oo7WGMR!%1LZ3s1 z$5rPPIK9}ts;?zsYuCIf8xCu7?w&Jk!*NN@-*cuG9I)hUpEJGSh_T|`EKyq(SM?g* zFR^dMTZ>nOewkFjx3w#7+J;+ayd&;13x!2HaIU^PvE}M9$3ueVDr>w7I9E%>yx8q; z4hkhi=GYi{$j)1J%_?=ycAe=3N4PkTubEPCNNeGH#@N<`tzGM;Z8$8&xqHpj4acoG zf3KOoVbv^EnN5y|1f^AFHhUi8^lsVLULx3ZRcn{)A--tUH=BG9Wr<GRaGsBo{mqnu z6MCHPZ>DWnrO@K%w&&MUzvMVBlZQw3yTz_Q`y*Bw|MJ$wO|G`O0jA2+^ro+kzS1mI zW}%Qc{qLcbv3^`v9=ABu_Hmm$nBj0WOX)&~GE=Xm&V?3d$ysSe$0ZxBO8$0V(tDoS zw|u70la03yd*66k%~^eC!iMw7oa{D}3Qjn4y4y@BI8)21en<G%yGyPwc1Oj$=XxH{ z{kmKzZ1S2G+dS_Z&!@F8=XqH?iRN_AnNV;>iBnxi<k!28UL0ZFubDWl&rIKN+J>|G z%+w9%WjNW-Oe;8{!|8rzO2HW(PIVvgU++E#ffSl>TJucba9V`3+GpB^^D3O|K2r)# zuyDHfOfNWN!KvOO`b+ME6G)*1r*+S?4W~6Yt9zzwIM2b!?lZOEL>#C4n~4Qy@;KGs z2>p`#6a!M|$7%g$!iLjjoYgs#H=GaSWY3vca3YP<J!ewE8MlR#K}!gp&2$j1`{R1@ z(X4kd;{PALFZpr*(~5=57Vg^gO;)V_*LkZyi_I?m*c|ipwrHuTujp3O{HvkihVL)e zUwQaGwItd1vbE(ish-1g{G+X}Bwc#;WQJ~Hd*u9oh3)p23i{;hGz;6`yT1Dr@kFlJ zZrYCXA`@3P-VS>$QaF8P&}-W?j~nUV)tUHa=(x6jnH*=dXn#vGn}@~1-^XXZ4vdYC zjSLQrKD(v=e_{Ln_#CNwb^BNDT(mP-`0{3U(<|3kt-kTcF8=Q$`LZ9ok1i{4>64W2 zK9smjCnhab`p-J4*w8!wmy|rQT&n#3p88T>@6yC4cTFX>cBEf=C*pQbmeYF9zcnQ+ zA_aXb=X>8t>^c#f*Kz0Zz7w@_9X1aVZ%aG6-bwYm9X-+YMsl;r+s`hC7&oiP7zG~^ zG*($NO^H+8=eJF<?Jc)^i_d$z&-+~U#P*!r6|+y*(%t4=w)(X1e~iegys53HFXuFK zE5APuQWI_UX<q8AX|E2Q_<b@#W?p*SN!^}3;zDH=GbI^W=RDS)Xq_Xz^A=avo#fRg zYPWURBy7Iovz2|)hU0adzu!zQI1tC#{$}EaTbW)KY13PT%hJ}n-#&4Bie%-x*AurJ zRtMZZ5j|b9GVk??+Y==dbPqjTqZ7lICLJSDdA}~q>eHS}6V3l_zO-!VE`v|YBzq2D zIrHU#sM|dePHUfYXDV6eBu_aZ>(+HAwdI7ZTF0IA))UOryw8@*R7vdb6#6Eq_psrk z5TB&_!;XzYeUi!#TQ1&webMaGv|5nK*Ol`#zb)7{al4uEr#;suZvSB%XrVKy^>h!& z>-mmpPkt^{&aYdRxl*rZPbJ%91M|;bb%{^TPTb)9{S1f31DWM6?>=lj5v$jA=W)}C z+H>7D59VC)ncgb&ZHHpw{MSN!JJcRd$XAgm3_WBRt}>^<vKkcXH_x2-9N)jk_-6Ah zw|leBocJ8=esAd+hHODT^VEk$+P5DZI<b4QWToTF6T4?;My=vjen0P^#hvqef&wHe z`BmP0FfeA~vN&!w(VFLn(<8lFmv@C9^;O>$$2?(t{y!*V*=gmKU*-B$*SxafWUtYG zI6+=TrqJV%p|#4K4YpwWckb7btvvX8qPbS^8unb}{L-{1tZS9?kEkyykkI3vo;Z`q zUZZEv!kMye5muktQozwJootY`_3WOY2#LzeDsK$_PQD}<aKKWm<4)qj6R~2Qcak@r zsIBU@dElC+KJ9td$q7;K4TBHaZB~&nh(4rPtRiC=e#r2%${YiGunRt)xo1{m`>F2K zo7}_OCT`a<{&eWt#P7*z+_#lv=AAn9v^~d-TlxL&esA}<5UWq~AWo>xG2rVrS}!8% zcF&p9+UA7KU5y7aw#Lef56D(^+*!K&gzc`*JIhy}VBVFYmosnoo#|2U3;hq-{arel z<;&y(k9bb^FB1#Sc)wH?u1a-_yJGe!F4ZmWOVtzKlc2;OmgW|BW!tT^W!uCSo>GWh zVWn}q|Imru0iSN2b>)8(_Q%PL?{xjeUwga5g70r!xNX^@bwN`%=lZKh$0Xj+ox0)h zq<E3|e_?ZyKeP*#OQ;l0Z&dm&p-^OM<g%{qSi6&P>^zUP-*<d@&h$-EbFNB4ON(=x zw?)#7mgF`si^LP{GqbOny{Y^4`OMa=74_?PZCv$l?=G2II}^+Hdozvq)y=tfIzgr? z?c8&b-fp>>ZQE_KUh5^c=goY5`PYivl_#!R2<<$bG_hJoXy<vSiR?NPX1xS8&tg^H zeAY6KiIUs8cS^wtZccZb=><OVFI9!7rLGUXy<^7wy<x78ljdDq=^OK`XT5ycSwo5T zn=?P}bbXu@w(OxU{~<T;8;Neuer>Vikk&71FH|a*)Gq2bRQf(s^HYQD%+(h^Ddg<B zQq`X+^lhfr!v;+uzL`1?J3NK@W@<ca;k=oB)l5$><r(+(jF>s*R_(?0aaG5z_b)mp z)pK~}nV)+W6&6}ezB%o9$a0l81)t7)ulIkQyoy<={Dywf^jf9w7ISv~Tid_#Th~X% z>LqEr=1kadypZ#^%;bUtft>9!6AO+cavqnNRB-6vo2^;W;<q<lUzHJ)V{X+x7ZhFr zTTUm)l%=10F5cVy-iY_S{nHa4eGc*2r>*m6e7-}!sJ&XLe5ZC%|9aPV#qLiSw=YfG zWixfdaekq1KjRKD`m4x%iZ~?LuOjm~;1DPOrt7P6WA2=aTfL~T@bU|peP`!<{F*#R zn(c9cZSlSZ88I=ZO>F-2C>=K!D%&w@ryc8@#kbF^zPk|mL@(Cm-A66sc#$3Fy(g~j zElZnqu6Vk3&}&;g?;Fn-wJ^JFtG%?Bqy5XI0vCVI<6kBeIDMa=zV7Pl-m<i_{9VV6 z`nx^<ptIR%Z{3Na+X+|ltc&+;$cXtf;bhKf<{9r*-+WLhu8opEa7S?Gtaz7qM!Lp& zQSt|TM0cJSnaJ*QZpq%KjAxQTC4tJD&jE)R`Bh|!;tvUaSCJ_WKg4+-k^;VbKC@Lz zN?f@2T%uFjlD%~-M)wjzzD~D{4>8d@mS%MOQ$<Qoi>zDvI{(*?Cps#XOK22LXH@$B zLceH!of7{GZPUxCQ(A1Ryl<qpv@o}MTcpfrQEv0HNb6`3W=jX9u@{p;Y3z~HvwwAO zW%$zA5<*K$kGE~NoUqf-I?QBW=wiRE@lW`EyS&@<{qX+l5A(%89_xCR^)01ITKj(P znpJse-`m&9ug-dS)wk>2uMJ<*Eu^yUu9|i1)v0H%R^9rt(WkUeaK6;i!ycPMuHVwJ zb(_8P{0#qS`{zqja@TGA<$v?%!~1VP?BBj{)~<3Z(+!UgZ&UZ&y3WeE^z81dy;pmC zbL#J&-LoS6Pwlq7eqD>~vv+TZ_O5h3K5d@9_|C)6u8VKEmiy|;m4Mjr(Eoh1<KjDR ztunv&Dk6UAIm55PyjDDy7v7p!`)gM-*S_f3naRn4%el`aI=?)<#OJKHeCCD3OYS!p z$L_my?#0HqWV_cUVjIGe6|+sm4E?I_&iE)59KEOimYnAGZG8-;#@F_S#)ig+pMBCF z^}p+K_T0E1U4Cc3mg@ZbF~9uB@h06l3dc28mrH!vSW{MNx_Y(5pI@2fowe(it@`;^ z#yRI!;);@Y&z3FgE4}*usYKdFzQelLQd^Ez^=yfl-zX++5OpfNyLS7wF2kVd!Rzz7 zZbcod`?KEa&*iYS%l0mNcI;JGU+c<sEB6I2jyiu#bZ?z+tZ#9z)w@!!<sz|f*Obo4 z+PG?(=BBNprCEFd->ZXNb03PuByHqdZdX-1dHM31)w|BEU6b+Pi~Ij&hwb$r@rw4I zeRU(myfiCgMSjTN=V#p4t1mb-C*<d|=$9AMyU*X6w6w&_QoX4Cvr_p^g`)m$rSCtZ zo-j_gtFl!z+w-?B_tq6Fz4|NP4(#8y(V#YLZ|wa$H?EwS+W+Fi_NdU{Vp;1r`#I}% zXDR#FsU)=LcNGMeuC3bpXH&^qjd<2OMPJvSKJ?HnP<Xbq_N!S|*G#sX6h;Pi&x+sv z;`XBHd58JTRo@i7UR;0i;ruNR{CHafZ(oS`yDVxC_vtUI{BNaQ>w6z|bx-tUzLi(4 zN+N=JR=?J|w_@$O<df>Tm2ZCJ)h<uV+dprm^}gFv);XTFye2Lm)xa13@<Qri^|j4A zzc%f?xpLj6Z+8#5?z&tybGFu1kNnKT%lxCB-<z`R@V>sY^S9LH?0Wfk*`JNkc0aGn z{)?Pva($Pmua<M`)U}&7?pn2M=cl)?PL)@DIJy7r2mLpOS&Dz&Exwkt!bB_f*!yjz z@s?Xd_D9}auxct#jrHHUD{Qh>IXSN%WY0*R?jT#n9irR7^!0{z!2DV!-W&QCCNMMg zT4-FD;mqV~v8Mb+>SVcB&n%Pz+7~mGTB-%~KW6%Bsd%BGIyb(g+Sgf+)8tW$L-ILJ zlZTJ=xAIlzT$}x1<xfZZ#^)a#7U+Fvef4pxL#-Z%$%8!(&gZyH9&K_+UdLtfP<roS zyXY@`zb)6jRR18mj^oPHbq=<2TvwhiabWi2G<mYcLHQhq$usLlwL2HBWj2JqFPQLn zTV2zEhtnKl^SG`&j)v^>k!x%_^J>lIj+;!qGnFs2?3}xmuRZ6owal{_%jYYU%+L>* z&dT&PV#WT5BW1N>oi`UAZka2;^<4?~hGf^bv%mJrhDL5)QT%#Qv_Y@Ew|PMRwQKv2 zKRV~iZo0+yZPvB;pqdNWll^{uufMvB!%Y0z%If{U?5+RYW?GT|!{Mrr=&R6IuV-KV zAAZf;Y?=PeO}jq6I{p8~!}})}=C9(d&MnNDe*eF{>_6w7H@1|l4vcQF+BNI&>sPP0 zY%c%3_wvL4S?)hxi&y-7`v2m?cv1Pl$om_UU1P6G&z)rzX0no*Tie98?*Du1KiP`w zmg(76E^m9bYSyxvg!A{ehiT6|@N1g!*I$44zxkl<<Z%2|pRVBE&Fe!$|K_FE#ug{< zjan_8eTAc9{UXD)0>A$J`~U94d*8;hUuCnkR^QKBlb2Pscw1a3TkUDL)r}Wc?z)iq z>+e7Nk{{-6FT6tMUoBY^wsq1g)4%oeB{h?t>=xZ>$+pG(N@7B_^VKK6QmvhB-__pS zm3qNBd)2GZEw6snR!=xJiL2^E>N6uT)|EyPt~P%*ZM{%08yGzG@uBxB>&ztfXX|e8 zuIRhFso;h-_w?FLA8zP#&u`wuVWG0^+S64hu8Qav%`a98pW1!Gxv$eEsr^K9S(i=X z_4iTcqHFi8)QdG#kui2W#3{_W=32X_P}vN%#OW`EzRmc!`;0@-=gwK%?yXz5VpZOn zwe{Y*&Z$aQCVkm`ZS7t~rQ<t_6#3Xs?dgkpa>``|>w2G-^Ib-QjC(h44^vZ0$(dQ~ zaz;y7(0Heh%b_3lx8_c|rF3cLmR+m*Z1-o^ihaIyb>+&1A7nQ7y>;3(J6rk+OK=5a z=-m2XJ(WjEJ15NWe(EHoH=Q%^=<lgTihS2kweE<~7k^Z^IHu$L+9E~9?WbE??r4e& z74DDmIMZCD$mxEnWyRb>7mF16UY~AVkz2S^puhL2Q;peFZq6GqdMYX2J12Ck-Je~{ zb4e&DMC)n9%)Q%h<;dQyo4&IC6MrjrcJ{9|i=NKso4)@{Nc+*oxwBs14@eFDrx;rG z$!cB7>y||yd|q7DzddhJ#=@yDWiBk}GTnDltp8}>s#UAkpFUpn*1NcURsFKK^{aNR zdii&k*SV;uAK$7or+>=zS-nnr+I7GGH>3LNey64_((1}yQ`0<q+Gmq6bMvq(SC+O! z$8US9#Z@SK|J99{(DyBO%w}|0vk7m$ognn>#Ids*uP4ZSlk7QN*Zk2U!C;k$(Ts*z zHsPWh2}*quK4$`(JuTFvP5tH1$DRFh|K-=r%9g4_uVVe`X6}4@<?fX$E7&$mon5{q zIXb2)XSteR`t+ww{`XyTE7w&o^JzJ}P(S+qqKxj0H8tB8d0xG;weQxuzmAu#zPvKw z)ztb6Q8$*wuJ04AKjC9px&B;i;7>o<)Bi90Dog7=YrkgQikx*T*C*eUH}ch=)GFT` z6{U74(Q_up^rNXtHeypdKg^6%Q%Z20*)ic~{fV5QZlziG^H-$ZlQ(+&<nF0wr^>ah z!%Uvc$S&b4?m3sb?PBE_Bdhr{-NX$Jzi<-!r?`1(pz>0!yA8g&nn!NmW1siwzj#@k zf0;<6T%2ppk#kvT>Ghkf4f%K1A3hV3Hm!EbEd$xhCEN41Cse*t5A!+FvAovVLpNo@ zcQ$Q-L(+=OpA=MVI8-K>pJ4oSPkHxppZmKiU!|OX{Bz%$lF;p1{-vR&$N9II@P7R8 zQi4&t#%P)0{TIjOu64#Fu03ITt>aF@))TclLX{2au5ynwC%Q*ye3IaHnU}E7gjY)5 z`{>IQTmL6q!Ar7u%)D<LSL3|Q<a$Wa%**1C7U%IB3J+OcxAyTb{cCkxFYfiJ{R_V} z)?RpGaco!M*WHzte%EcXR|h1XUGb~-u+^7>&;?xKEUOA57qCrddG&aX1M{4<Wj@KJ zqEc&CImrjFHaIXvi)Z6vF6Ernha{|58ywuq_2!E~xesd)mt9-`=E=|U_dA$=<Ghlv z-l6u5U`E5;t9lta>zta!S6?{J9V(IFE!t*rAaU(a#Wx%JZcKYNZy|pv@5^_`P6hUg z2S3`fDm!;~enqZF-O<f?GbYI0yE^9|W5v%E$4;kI?|!%Ja#~^QF(Xg0>*;&4*Z$D; z<X*RQ@hkKB-nUEbV`qJAo6569Yro;$i$4|Op6h<wVz#tje8szIi9gQmS~5LodC_cB z{gpZI7d>T&lf1fP*Dm|N`-(mqK3$ufviQU08OP3<OYpbHbX{B8_sgWNL_*$OR_&Nz zyXS}I3$1S=?Ox3_ea-8YINdw*O_^r1t=`k*_1>&Eo(C!V?Fwm`tta|;nz!nXw*gyf z_B{^bDv$MgJkMM5i|xAryJNdT8q{O7j#y0ZGPt)i$){i?i?Hme?;pC8WA+_VxgI7p zIrdaSm-j`}Lra-_idPC;j@3HEGri03@=~EQMJo;*&Y2!G<8hEwaqOvtdEP&FJe=`U z;XrA&<HXfp5+_`oDqsK6@>cI+?b5IHDP>EOm3#SR)ef*XdG3Cexc9Ke8sS|NB>DAs zOgW@9{Zhrfr9AVBHKUkzhqR=}XdS%VmzcSxG{<H6RY|?28!jw05!*54V9oSThUb?u zohe-@P#mLmC}(<?;pwG9XG+dYJ}7nE?WO(CBF$D&y`>xPEajQDb4q`Ctk!`b_xrVL z%5q$uca_UXvqn6<(cveWZ*9i)ao=H=it~v{dtMrF#>vE5`YxG&t0ueTaqZ17jGv_b z?|5dCJvB`1<o)Iud2?rS%{KEqzQ<ehOo?W1q+Y7Q?WHwm3N@{s#cCa~ncih^KZ-|u z)x&1POVfUC=M{_EzN`4?zT~e{43<9C?n-Xm)h*7q-u_ttmwAlWWAW)mJ6;Ca<?Re< zxUDDpsL$JV$M1+zFX?^%O8jpb&A()qy?6eqPj|bHE{^qk$h-V<#oelRi#{D{YR~TH zjc|C_8OSVlLBhO%vH2sj_y@y-Uba&4H5F&AmPY97?y=fs*#B~s{rdl1r9~Mvvq~0C zy*1T;ik;=Ek8$<=uYU&kY^u|ln%=4wZ7x`qCA;;R!okyvwN1Wka9J>A9(PD`vjgus z?kmSl8`*YfT@VOok$SkvAvs1Uqp3Sos&a=yKx;D7RSWfi=FLoB3*8nl`f-~a(QI_H z(7Yg_&NAyk#nfYR=eR=>H<kWYUM@A6CF{cyhgu(zj0R37Uc<Nrg2y;bjtMjQ7A&^v zI^rC^HD^(QzsBmq@C9t;EUzAKb6~zBl+iJpsrN&-L-HSkT_5$_-EQB$JlphTROaqz zshaoG?DiF(X1IOF=t$W1o)2rIkNr5NE1Xm4<rQYWbLpY*yp<o9MoZN^oMvZNewtza z&eRV7yGDo9^CTZ9Z}-`7Q&Y3??=4-?x-#$H`FAcoaNqu=@QN<8OyOyP_Pa)h6u0*j zUeFbOQ{c5rLbkxW^>5zFjpucFzxCzC{QG+PT~zSepNjm|Y#X?C|J2{7Ui&Ej>EqB< zALcoj-V<MOP^&R$oAL#QY!<1cwGPT_gfn`+GWl*;=%rcu&tU<VJXc6^rvtAT$Ccww zjcgTO3l!&xWwfNTNIi~hRI6C$rFpkDZUI*}%c_q}4!m(9EBcQz@qU=(;Cx0rqh&g) zm$yfwTE$kcmn?r~If%y9@A;@NB-y)h5tpz|{hYroRln+;N>=Q7IHlyso|n_O@^>yh zb~`WgLtpf-h*#4X@0FewIDN<HklXg2j}xQSZakS%awoUUyS3kS=KO{aonKdYs_m}! zka)Anuk!!pYj%4UuiU(Nad8EIY3cJ9zvR}HZZR_r411JV`E!%AeMm&$KJmbIxog}Z ziOyS@dZ&hFe25eMRk4(ddCjUr0;^XW9Ga!ovvC`j@S4>J_Ft%6%k^?gSgVxu>I)AR zYkk?cl8ZTK-5~+_a7A5{f7}1BJ;YO1a?x*Ad?MH9H@(5n5_3d@`eJTICFiwDo>^%i zzgb)7z#1-Y!_9}3&aBuV@m=njj-$N3yLDPjtLB>rw=d=0j7!|ou+AXLJX}I|x^_?4 zNl~}%$L+uO&zi8Yq`l^_;NPUTx|{EATzW{P?31tQzGo*MX!q6BoD6Kxx8V9{=`LvZ zSmW4o8Py*xinlm-TP#}2?=DqsvFNC%yWO`!kpz2pMZN-&hwRmSyQ6Q}%B<dBdHcLb zU-*n;o%_v0rth88+sto1=SY@w`;~u}u04J@!9kRdJLGYP18*PKmE-+Pyaj7Nx<p+N z$hQkxDtNDI$-lnvU0h#3Y~vNVSGnZ>)W<Rv5nnwHZwP*rsO`>m+v3uXKILDAg-?>L z-5JXYM3U6q1<MLWlFi*Yzis%au_moGqa(g($%cOA-oG-EG7nB1=a*r%I1$+4EaPf% zCa_0YM%CifvBewPL>E21)Gwp?=g7ok=Vc^i9-lZ~*vB;IfyR+uK_1tN+LpV)&E^sJ zYu6;J9H?@0%1KZ;#NKn{$ApP2(r=lglUK&Jq`g#j6EQPfv~i|jbHC)rkDSVkcBv{y z(tBKf%$X<=Z!qaWX0-s@`&+J;?AIGE+Au+|m$gUYO!|@IHf~I3QdN$~xGDK0sT|XB z6FRen{bBOV*cPE~$sP@#beCi)Wwv0+kA|%i8750|8qOB<`X#ZjrC-qN+Z#ugh&*vl zjnBy~o9x^)9w)bKvUStAoZPa>#?475v1OCBo5tqkmQ7aG0*YZbT%Ei=N-X@+C)m5S zM`BLWk>i<eOmh-djzqdC%}G`{R_P`bvw>YOacQi|r-F%st=>HuF=<C0a4FlZGjh5f z<>nNVu5yUiEon}Q%0b_10mFNnyN}4_87?ZAE!b<_BXKA7$Z=aYrZ0cbswo~b5_-t# zmeiB1a`4Bm>*+?59@Oq$dE_ul^<tMqC1ojpqa=e)LHX*)vyA(aRE}Klak+8GqbFa& z^TS)^ul7@xE;%vNc8{UcBd+|u74|{*PS~!Q(t4<R(}jw7F}Bqy4<DOGvP39%3uLDX zCeGDKS~K0XiT6=Y#vawY3HP2&W?gz@(}FD#VpF6}H(g4O4CvC~_L`jPxN?(@#@>mp zP3MnD8dgN#Y0$gIow{&?gP7Fk)I<Y+F}r7{ln%&5UJwlw6HGg)bj&4EWrpIpj{fo^ zyf04K$BJ=XPFZLeD#jL^@-TUm4wKI$*ADem!Ng@cNj9q7QHMH>g%VcoVANHfH?j55 z=1mtW{KVMKpFVV4DUzkaQA{yzifhZ!O&uR6=?LivrmGy;{fKRgT4kgd*ZLDqk3%CR z)`;Ei+u-xWa9X#`!7jnC)yr7l|BpWJ*&b+Ny69nEl<xlHU8((M%U<gGZe2Dlig)?i zD=U|77ENE%yVB=%^I41RgV&a=i}L1Q*OPPRh}ABu|6Qw<rSsARyyidHktVu&>z(%x z=S)2;7-W%Ox@5Lh(ZQ_A$FAME+tMww%z5_}j_NkPeA!P8?WaRm6fEU3T^F|S;8v|K zg?qU)-M1zLzpnjW`sKkr54OuQf26t`Hd?m)rt|&9VgVArGY;#d)X$wA^#4nyqs^X6 z77I0lDyAy;Ubir+n5!(GUm)_px6f(M(ZH7NmR%qD+&QQ9Z8^T!U}|rB+Yi0leO|hc zJ(4Zm+58KhJT7`Xce4oVjzfVRt7Tkw91HAuEu*?4@V`%7pGIWL<AqmG=;v5RwcmN- z@mS2AZM)^C!uG`s<(6E9{fjwjbDr@o+njv*IFB3CnG}^HI&Mm5(pvlu^r+m(lfNM~ z{mr`zI&U|aFY>%~vg4SZ8&8?>qhx+%#&1SKN%G2q-;9MGGbnS`ZD3C{IH%`ydQEeW zhD?&nV*_P2cZrW5ZIv18QdExk_qhC+IZ@)cglEAWxt9KqWr9(Xa}!)1swhh>moT(A z+tF{_!(wsTqocUT#p1k24|k8sjU0ZZ11!5abko9&oNfvzPhm53`pK_s7iR1v$*(-+ zm4TBbpYoJD22PQ^wjBb(Z<V(E`_Ulil_0V3Osk+*q{PCQ)`<+-5)0q72zq%-EUak} z^m2LQ=u&Y>PpI<89Klw7iHkob2{x~n`1sLOnQ@ze&?9L#rJB<oJ!^YZZlv)m9aGuu z@#dkmn@HcS^bHZoddgF@3?C)iDKm;02qh^h3(iYYId-{6rDEBijyNwNpKZJ6R8(BM zrx94uC)oR3(lFvkN58lP=LaQaMKNQcL_TH1X$F%XJe|n9`rfZ%7P(_xF%N_O*0V<4 zIecZ}q6KgK#H22#CK@=4*`=LQI-nC7uur?2L;s}Gv9d^&8;a*Tj#(5k@7ninO6wuL z$c#7BS`W%a{<xvt&G0)#FloPzlFS6x9{H4s4;EM`vpqh_`y#2<LyW8a=&Twafy#zX zrR6DcPXyIEZH}c(RG$&_He=r5yE$jfi~~~~+}+Gn%8v${%H{R02x`5}bajVvK=W=f z-^s_mSWmkjz5lUE=AVmM^Cum97L_jkE^=nzM6Z7-y0<o$d`RyT>5KGT^728U_F}C| z2Zd#pO)k82Z`<;=;8LSKyO&<O@-KYOI`c?@UgNKO0~JoiX&ya%bK>91ZAUZZ&P7g5 zi8p$?`u>yLnvPDt45jdjM-%_qW)=SWd98e_sz})hr{vu_Jo~1%_P<XNd{7+Oazl%I z*PByH$ILf*Y*<=%_;A%7?#D+Y7Yg6Hp5$BN^xI2p%c%*i2gNsi*yt-ZCHO>B5O-ui zn<BTDNs8moHVfqvC*gFJvvx;jT~cuoo6>!vDM%>thlLimS3rtmWtWad>_pa7-AxOg zxXux@GVI>3W@Xqt$M1|%{|5Ip_Q&!js^6G;w<tS*`GV<wEPK~(otC)Qx&2$!jTa}| z!andV{@8d}BIm=U^4+V{tUoT%U#I*3a|5eL7*C8t^34ORJokPV|4LMMZ#?&9&bH6} z%+nVvJ!Y@5aN}e7DGPf3_rFwr`}S>V?$^Xy^Y4TuzG4VVKE-e?;nVy}&6^GeZQpaR zFE1t}bYW1Tqkxs@bJoP5LcgW=B{sX}-($QacdeSavbB`Cs&^}MRkP{6dcJ?TYu6TM zy#G5_HrICU)_Z(1(uX6(>*PMiH`n{GIs0Xs>Gm!Bl_y^3X6NQDS3S08pW~*W8SjIn z{6nX_TK^$)dQio&r6%*<O1p4R2>EJezV++3FPE~K#l-e6(T_J=Rbc$L$<yz4RJ8Gp z+t)5$o?X{lc_yFjzxT3RHghzXTPJ^Ab?Zy*%aU&;WtA4DY|ZS?r!2dA_;qcwRO6xS z+U6G_LF{{vP8YJB<7Y1s{E#dC*YaI<=`UwZD3egT)*kPd{_2+12bu6y`>y_2_3Hks zpZgs5pD#0A`R&~ATeDW^>UdfIv6j9h^7!aJ$NCrZ8=A_u@g3z3z2jVAwSJaW3+tY& zso}QMuP@xR>Ul_E)Ymur9QRBAc`Z|ZdF8poI&LCqw^aVNF)4F#2Y;H~v?QH7O22<2 z=h~^cPNH`cT^{EBS~;iZ&(@_&S1%2d@h%Cpcx}M-{8f9{^7hZE3kvq^`)}R-b4l~s zoo^e%_C%d?-|w|NGpg#v|J}XqiCJ3<tSW<lvHku2z`o$l?Rz&(mdJ`9|Ik&{mRMBz z=Sj#_>n~jS%J=gpSG0%RTBv?HKEvMEx<vo)GP&ih^?Dost=M8Od`kA_%=iDMO9n<H z3E#@rH(az~NB)I+5$jExZ~T31vFNF#bI8?*K9OIiHkO)B-~GSn@07rxR&7<;xM_Q} z0$Q`bDmUwuUO(;d&`qjj`-_FT-z#riisTpBr^np;H8tTws^FFqlj<9{L-|F%O>aE* z&S=3MMgBu;=K6o)y5#WivC)MaF>GwJyA-n1KX0g7uwf;S$vsWx=Ixso#HPNjnG!mI zb;~Y&=HAn(2?Z-THkHlO)jDyjavhJ!JbmV7*T{g=tM|<aoxmz3e(J!3n&<iK|Fh-3 zTq^w$^KjFt=OUpgQ_pKLH(zf{{ILG>g6)SdSmjlx?f$&CL~XSSw}{{L#$zju3@jp9 zZm#G{yF8zj%l(wYV+TnIpEFYDn(p!SOWm7pKIi>5;mY2hdDd}kQq`vpJb12jLh9d& z6$;rE$&wj5y3Ea2D-UN*Ft0J%%>PW;Zqn5mtX%D<9UccrO33Imt$CPzbH^r!hgFgn zf;RH-EKA`{cf9xEd*$Ju9sEyBpDD+Mvq}9vbs!;MFok!2NXP`!J$rae!lpDH46i)= zw1c1L_x6MPs}`(W`TgLwDDG{`=GJVm=nG%|^?Bo=Sw;(5BdcnjGRkVsh{~B-`ef#o zoi+g#yLd8ghOn{8FOxmle2>pn_NU(FqYG<Iv)5W|SwFS$;MX-Te=V%tT@ZQMyGv`+ zGWDsA$G#XD%$R06SN{3ku5)p>jiTRt-5uF`G;V(|n-uq^M6v4HI>8j)``XM_bH%o% z^2TfQ9=x^YVcXt)YWlCzdHv6KFKaxs#puF}7&f-;pY(o49=<Oon!>w3l1(aqYU9C2 zdmfrB@LVjIFZ*rv!T)#uZvS5`@&C*J0B>d%5e5bZ4hErUpQyP?-%JlMFfiCKGB9v5 za5CiNm*neZ<|P+}hOja)KPg+2p4)%0>yQIa+j~3LcS$FGUWV+Aa?uZqv7LUQ*VJO} zAq%Y^U;K8zI_m4eWPe|N(%vnPX02M_aCOt?tGBMsi!N(?bE)jRboJCHQHNXiPMFHh z`tnYV-#)vOy^c>C5|SGG-dbP29wP55edFD!M;mUeKh3+sW%+_YU-b;NRm=ZxUmj4E zd1T_>jUn?7s&wo$lRPKVFZkQ~-how{_ty)!y%1%da!@tkaQrXp-^!*=2i<qw+R$r# z<NZ244{3SdPdR2sjvH4o!Tier_V0|C`Omd^85lsA1LohNltj39HMZ*iO;~Nto5>K> zu|PWDLSM&ifw)Hv@wPmkJOu}w7hgH7@hVXxQ)a`I_S?k<Z?AfBhpsb~NpwGRwCT~k z4dxF27Hrt=dFAVYdUMW))&Xa7l<uuAd1^8(M7Ha~*6^)AmoqMAoW+>PGU;;S{H9j{ zY*r?rMMkH-tiHAF{@jNO&tlvIml!lYDcj2=n;(5x^jxl1=aqu{TCHzl@+WDYyt$#= zp-%hUSG7;k6FvBj?o%mh;%c>14Z6L-{^aH5vwCuT7K&WWFep%ojBB!69Pq+;l3bUq z*Q$hybJthjZ2SF9p#1ve4mshSxi{AT*!I`y_RnS9wO*>~H*;?oE9?*D3RuY!^2w5O z;gYtb`wh!im-KJ%UhvH=<#^(JrBHum%}bt~OK$Q_h;6s^X!77)kmc#36!fy^yY9(z zZGSs6Lzq^sP<Un`^ZVQfy+?09PFQk%@)W-X=U4PiS)ed+!K)X=LMLCmjTC54{uibt zdHe13g){OloBfRxU8A&^sc>1hRq`aMqj9dwKmT~iqH;Y^-)ZG4f#w~>a{Om6%zF56 zhoOLnZ}VRD{ZqNxuK8xO7~gv~T`uZR@83ARr1KNJ7F;-?v6!ncZDVdiTYSKJBMy&S ziS|lAS#@8g=|p`kXwA3j<Ta{`mTGNH-|WE~I^(`Tx4`ro8;@nX&q>CmD4q^~`b_iH zzK5ngY4M`d&MoqP5wcw@^meXB@weQgr|0~PzIwXl!EOuI>hLF9Uw>#6R<DxFJ@xk) zZ{exGdehk^t=@L_gE;%A)zi)gI4uiynlw!;@!`#$q@TSxt7X5Q5i@SNQm|rK%bw`+ ze|KX)-(5A!mgmW~!r1n>KT{pKWDavVZJi+>bbCi{dhtia;35mDr!S6*UnsO_I?;P9 z@Ko#`28*TByiWAGFHSvlJ6qE^yZfbMnaSL35B2K2e2?dbl$PtJZmViku$|}Uep~OK zk)yQfig^K&QM?mkmv7FD@)0chTwpaNZV#uW_JL5HkA~fnQJJ}h&QGp=%rUF_$a3Q1 z^AO(^0>|PbCM@*vi|*cc`|ULiv;LYttn8BSw=Mpsdvp7(fV%SM5vAKZz7*WhX4rJ( z*WEi0kNpZgck}VzEytaA|7I$By<y4=mnSV(V)`5YgvngBc;jmkEh<uUIMIHW*6zaV zYCEi#zWZ3TrpbQdlY$%51Nf>%HEuuqCEQgsl`n5%mdB*q8~m4g`B{}PzP!1?yj0rj z^>x#^T+gZmpFO;hc_(_iy@Ivuw{K<Kuk3F1Ke2cd7*jv#eplVxaQ^q)eT+LEPe{qT zd^}z)<;$d+`+iJ)DpRf*?rB||IfIvBQo9aM{>=h|FI8sU)9ec!+cw@`H|s^MB;WR( zIa9iC=vzL$bhE(ZtZFiwfn?NXjz^MNdIwWiImzUmUUmJjR(Wc)fK27xs;1L-s@_LO zS}ngaJ5cBN{nR+k6Vi|VG|C-|*YfE5>9uX)+UV}`>L06H*K}8H_E~)1^U2Xm`*d{k z_Heu`v^n)bWz)vT*Zw}AAa3H}dwI*b=8qW@?(AOC!~Sy7zw42w`y#BO<L=oldT{r5 z`WMTMCFjbHI@&StuP*W2|7YH(XP@lX{Av%+x%+ls5@)JQ?fvJwwbw^aNG>=s`9W>= z<?`>m{s$&L`KaJFe=^tNggtKeR?N{oVQ$l2k<TTpmGYBM(f3rPNU86xJ5^trJny;7 zMqMnAuGsRbg7y9MCm$m{O>T*=-_c&aKjCY|nr59X505%$Nx7X?*jwvco?g@bM(<~= zo!`HkyTdh4mrJ+`9E;xc_95&0Z%#serg5jZKdpN3?r!gX-t~%Gz2gMF7uY23arLWu zY36ry@4Cz@MSH^bJfC<!*mdR{qd4W=4TX${lSB4x60+Rq`tw+MNN8^4gvYifxwrRy z2>W>ZgTjln%^xFD(ys2yeIEM9$gagr^bsGwQ{G+MKX-Mni3nc#ak_cU;pz(oviwO$ zTWSRI9@|E#*wk?J&sU6pbeMgE(4miV3i?flYxgi{7pESX&he3X&6#T)KNVy|4tsI( z{Xcl|2Oq!uqsN>3#T_o4d%T%n-r>aTjM^W9lWte=TTj~C$@ktd%Sx^#q*ZK=)O|<O zuEeK@+cPFqxBXyLIU7CM;?MEWjhplLI$b^Yo@;`*;{LT;&7&tT-7m0tnbwtiF<%20 zJJfWnk+Dl|_0c)o=NQnO_Wah7kJ`6HvlcxIy*tVBdHeo=1KaKkwD0#gvF&V2(&Bli z-=1nQTJ&t5@RRkzMw3?*>-q_Eo-%oU=ZAMo&V7R|k$0lfFV30#c)j4J9A}+VKeSr{ zvS#`G(-$!E)o`7qHo1Gh-He-yUi2)wT`;%r@<m_gPomt1t6QX2zssyEZ&H5wc=M!_ z>$R>Z@co|{dBeWl{M|WcA-?~L*1T?$6(1jAx%Hz@|H{faZ@K!uPgr>DZcxK!-lo+n zl5)6|mdscvD9GwL%Y^L{hXBi?45vQL-HbvF4-I%0EATW+a4-oj7AS5LX!21|a&F}4 zy_B@QqU6TQ8=p7K*<#(-<yfD(?(ahZjTFnE^}jL&c{o-ZFfMhi-P9tg@_&l{ice{g zR~j1l><-$h{lCz8l+i7w=+t6W6NZ_MkA+VqJw2eEf8!)0!`(P$)e|i*Gcx{uKONb7 zZso?4TN;kNlW9EORNz#|cs{K7PTf3<f-8O3_A$iov1wRQZ=7Dmy6q6BvdPA;uMdk) zo4!BD^y2^PrCR5!7@~Qmwpj0$^6`u}dYfF981BcfIr*@8V>(C4O^)Ko)9p(h-k!T~ zWB3++rbmwP^WMum?_hm5<<KPkKWF~LUEDiup=|e#lS-Sp%Vz{FUYW^de8rsYTjrDh zOG`OFyWU<UeboJhLEH24FDn{uiMI*%Gn~2hbBSf{@xuQPIexFQmPw!0InPgO?bYoD zc3Pi;7f$|l%EQ${{=^++rGs+z5gN6bGeh5O_dB#@!W@nr;SM+3zF*!KG;vXMdt>S9 z5A!>xOz~i3yLI;zYhLTj1<i+zW|dadF-`9AYW>R=GO_m6cU9d=1J3=GcA_o*ytCs4 zJ=r>hF7usel+-zyAE3JC=97@FXGz-*$!q&w_sR2I+o73#r@vXjPw~8!WlU`JRTt@r zYr^mJe9`2yi%=EPRNLN}rO?0D!9B?G_*C2IB)JkkZHusHe<bg|-upXz^R6{Z<i4)_ zzEZP4!Nqw3*US8hC&4-<S9`77B@*Tuv3}b8EM3>ROiN_>8s@aN?_X8RuS~mPtGD8T zl>W(;XNq2aySr)P-?LT0JGWfazBk=>cF^frk-Fs_3Z*r_uH85J^4u|C+MJE+OHK=9 zn2U70zG-0S*>Y{_E#=RVLi5X(ZdTsdB&k){!=?9ZSx{a?<lOM^g=(``u9?mzTsZ3= z^L@Ejhc+%vzo|7X?V-5UuKDg)A2t`excLgDo0i7-2>-t*@avPcMyl=GO|LyW{`P70 z8O>?FopVW>L15+4!{2?EUyEp4E4ty})NQ6;rc|pP-xa<1bj7*r2fyEn%HXJB<60A& zbW+({Q`X3pD_qgRX!qxRYH=<i|2EHEbfNMB{|uR>96#<Bxid3tKXK^YgC~MBj@Cyk zIINvhu`P4^?*i!`pK{mUObOu1y5eBAv@?{A{pK-yo^N+}?dP4{cFE-K0*)U0Dd+c| zPzdy2<NE9M-A(Nmmgq+W=iKNC66CnU`mi$b>&do<I*)nkQnnrwOJ+>v{r5!pad&;m z)as@CjgKCjKj)?7!!MWB7i>;byAbk%<K;gGGv$nuFBNX}DSwYD>oIPhxcBHA?aw0D zzvmrV_B%M@kC4aLIWZ}fclzWxzCAu2V;Ccny3Ssp>_cH$t?aj_-~QcGICfFBab><& zzgAjP<5h?G8~ByuWUtNIVCwkDB|>TD$AATIvrJ{av@r37*s|SwqPW;Wu<7q-p`s1E zKE`&6L0#wXJ~+^zV7ILAyM|K9WR@_kh2B=qhGEawuzWjOvFXc=Db+`pK2;9pbKBmT z8}-lr&!e3$^aRA%y;SzvPXE>HaFJoQ9@9F-3gv0D*IYPZ?XKuQt<B&FV>gRi{X<9Q zi24a@YM9x*z2;V_R<cQLYgTo<csoINPDeuU-mb0&RWIX|mR~`wPG^^9e{G3$&d{49 z@F!B5m-(*Ii76bfl6;=Dr*>4PoXb$oh&J(1y=8HyI;2(mwB8G8Emij&)%wBnTXyg@ zNrol<_&fK(t^=vBbQXm#U&NYo?v5U7Bu}&3{8PFz%VmC@+kJg`@}iOi<<sE}&L>*u zy)WR*{vT?%!ANbVnPTw=j#|%3Klkr$v7yriy{~zE>e<Yd{>*E)-N%}gga1CP35%MU z^CWq)jD+}t%`Pm~kFHn!?KZ8+j1VbSUVCef(4<KAuP633no0-nTA#b?>gDXROV!7O zW1ii5bo%4(0}azxDxHcs+jrtVuaNV0sp;JnB`cpzTq~4pdT_$SLz_4c&+V;$$(SWm z^}&TjbuOp)4pF8$&+r@O#tjQ^ENAqJWwAVWbVh{a(-~i;EqLUAXZel^=S{;^5`wQP zE&o4zmXYlV`OJqqe7*7_geCI!2`qGCl;)GTpjxZgx{G_3!sc%ZCl;8lnX||!Nqvv< zo<liNjIf>+q$hd9W6k~bObiSjJPZuH(4JL%QA(m-R&jnFynFR_N}&I31CiGIKSjf* zsx`J;-ZEv%x86XB$qRb6EuJjUb?3%XxxTqK{T4X=`F>Mxjp7=SOn#dlyDeGg%KO)^ z_pjN{_$^raf{zw+{LzqABGPKEYq`J2eg4&W=2=(l`JUQ6f0%pE#kI^z+{|e&k^U&} zwv`Iwn_@m@_6&&t-%v+ShSOT%4^~BO@aNJI@_H~u)yt`0OlRRQ(doCoZCXBYij3Zh zOWk?d;%g4LI0;(3Ucfke+u{{lS?3oY<9yThcE#n+gg0S_i#OPsf7@jpX#VCvX8w~| zSyS&;-w1gA>W8vbW7|{dyJ@GVS(I%*Bj^2=-T3K=l#E3ITQ0Lr<vw`C)J4}Mk!_!U zQDV`CxvlGVPQJL|O@YE?5$;DmQ|!BD1e{&x+Qa&+_^gS-A(MFpQo=bOrkuV#LrYb3 zL443rtL;y-;+p=bJdR#d!~bIWG^SU^GlOFNXP=d}p7r|I%f$B&*2HhuEzVR~q^8cp z-TaT?-Nyf1Z_-NUE|u(;{(4|uX{}+-lV!WwPyJpuSta||q6k5m9j)_wujZeAds*?k z==Dv(?<Se$wJfZRWOx2tq2q4(y!gkljlTY8lli%Sb=pj4me4zv^z(G;G+UEHzu10R ze2uHpJM*US!BSJ(ifKEiJ6iJyTBSBM{XJ-De)rwd32N6QeQ!(&EMi?TLr`x0!d2W~ zW-RJVWc`{Qa<!3{*ZXDKsg`?14iT!y{yu!RIBcrh>ZKn)dSz~jlA81W|J#q^dg?Q7 zi{DXu+afl_SzdOLqSX3k_nyoC>kq2;Rn2}c6#Lq0&d%NMa<{3Lt<AUhR}X2N(==1F ztJD7CHKn=lK5vNK6;yk4v&5-4FW0V@n(h=a)$Y+}u0Qcd)r-p??q<{AI<z77s<$TB z+JBqsO5^MnZE1>q{d;}jth1$m?p?p=K1=IG)b(=j%U6#b&PPq+ucFgpB={K^0#q0o z;DZO{DQSq*9eaDzVhf>~`|AxkR37htxy|>;zBevsT;6=oPvtZaZ)|K1VcmPVcvrt; zNa|FhQs)Q%_x=C({C@r4=M(>)jtakJ{#Wzu=Y#vh&fPe*@6;_(z3nq=FQ;c`|N5J1 z9DZTvt$nAL@4h&3lGoaO&k~EZeE8(m>hI)zsgHW!X7}XFa-*Y%EjU@OiM1@MnX!8( z_s??kALmu}SZhUb{9I@AT`uG+)4loYN?2L*dggqeW0e0O=EQ!%Z~V_rt~mF*{Z-12 z_kWvz8~uA7Rz1^W^=qB2JD*Juo8KoHp7W_LWB&Hz|1a*nC&zRATeY>I%(c&NKi0?G z-+uh}#J%_B{=0vx|NU3~D)T${f)M!~@&^O=KB!}H|JwXpQ0A)eJI8{Y_#Mm#cbKav zm%C4&W-7Y(pv2azs<RiFb@$ZHx$|c7nMJbqivxcy*`Iyr6O&nY_PmgPz4z`!f8>~3 z`9z<o&&l-FYTLgJ{Bss$%n#|^e`ftBbMYp5=XqY-EYs?|HvPXOyKrIG${zw>FMRWK z<&ikB|F7W3Nej7_t(SQF+(^ss(H^btm44pOSH!)%pY7TvoU(4oL}RW+rDgjhmx_sq z2XK_I?7vuFa&qaS=T)XJJTzp?o^;0;c*#`6_U$e2zO3QWaQAQ0#nhA&rZT2;mDbCC zKHZ+UT1dHd`O*9PZ#Ri|Z)JEQ<Q%?bmuSyI)qNRTEcve+7W`L!wAAqLe9`p_r*$6K z)M>Aj)NS~_oA3F$Plnr*k7OV7{(FAzf_>{nr|zxLZw_QGG-{uDy7#{Tm;S#EAJrw7 zXT1HK6ezYY^dQgCex~x{?PgpBHT&2MBt6`oX~`%bkLJqnI^NhlW8#E^#qLc`@6Nf_ zo!Y}Iu=rT;#)+$sBnvRd)|AcLm=w^{ZK2hqTy9}enbPpprn>vs^nWR(smvQX0#iyD z1Xe!SshoJ2_56W*JmTBCA}pWxofa>ZaGSE^U)Q5mesY5ExPI++lxV&D=Oo{|*>kL| zm^vyqG#~tS<fQVcgei?l@4C&J)Asj&+Ftb}<;A@_M$ZoJI4XEwPon%&+?3n7^Nw!1 z*>$t+be+-T+YDxLDKTGaHCZ1r_iy&UFMZX-b;a+G1*>~^w}0QPeX1xsQQtt!N%2hJ z`XJ+yPWjt+&&j%1%fEjTShCnm@7fxv4c%P2+noQ;`kZy1_13%mi*fF$)1vMAmb)pM z-CsLpzTK__+j+KH*VnEtIvd&k;#At5mbixVbFAGMbT_guT5`x>_IztOvp<n5cRY*W z`ri68&*JZo-ACTW1z0#f{JT5Ctf5bQA#=`=ilS24lJy15dpb5YWNtkiWjQmWOzyI$ z^$hPIg%|86Bu=qSZS((PEwo6}?!Mm{*RL<HG6~LPnP@TRDBHG4dL?_zZ~T(&cs6bS z*|R(Tw9WbS$lJ-pE>Z46a+>Mt>bmqdEN`zLG3Lu#ZD}BU$+AGEl*ee@N_Cl+M~+{u zdQdy9IpC|DePFxJ;{HEtjusZLPu{SxamDwHzJr|mEZ^*Z`pkK*lFfI%H*5P3y6)97 z?5|eHo%KNS2)n%GrLg6q->0qNd42rki-+@%-+kTP94xtuqkMC}W7}5md)Ln!M`$I? z*=KhCefA-ZTZyua3>EVE=4GA@Gy0P<+`cIW*}Qm}(>JyEPwVqFx0gq^Pd8uPD>CnQ ztKCg@vrmG0AxU%k_ayYo8C}s4wry)KG)!kb?6sxfiv5=Nwh@oj*u+$%teYCx98@-1 z?Agb(+GOfif9F*WuHt5o6@OW8zfqd9#&Fq!n;j<Gu6e9Bne+VGRsV$*=e|_VtKn^! zzwpZmi}bqQEz$a=N^|BPS3dl^(&o~g`R>b_|9}1w<?Ll@P;+Z>aAU+Xwu+Dy2{RO9 ztt8U47qpxSxzH%ovUa7a?vf+7?0K9mdMvFs@VYyd2d{KgUEGzwV#(BxyzvpTmS087 zbLS)%pLnsyZI}6hH4UGf_bB(w-K0Bvhw1*Sf6lD$i8E6Wxpa=L<&o8`hS1(+W(jt^ z`{vbb+<4=unt@()v-iwdza%fOT2%LK%j)zK4rV*o%qp3&)^rDZU#NRsoR6Dwu!vFD zj7Jlm{TB)Bc*~Z5Y4USk>3}0S``vWUz7gayOzYpQc>Yac8q=9`KAvkUG`m)tE@-*= z#d@lP`r~7_m;V;u(f3yEuGbr{93`oUPTvS|?Z8zRPI;|adr|f8lT!i4xBMJ`o|(5} z!()>dU$Q#xXI*`&cax{U)GT*q^q%Jz(*j+k?fSpCSccx-cRqPr<2AdVdw0g3h!#Jw zdv4}$;d@)7I}SQ#?>Rhy>nhvyjfIc8`+K<p=NOfL=R0`!vc_RM{!a_i{KcFd4ofL- z_$*l9`K)$j;70BfQ;q8xe`e0uFL2m%M*rzsXZvON=jR{$&-K&p;OaR~h4(Cf_>E;} zm|Oi#<4YSNt%IHxbNc?CQMQQhgZW>+<#TUDtDo3AcV^&<o}{QXF5QoAeQ(IV_I%3? zhTUdIi}b>KPnD+D+P)M1^40i6v+lE#NgFPI3r=R?PA<}0IrTgDj;WvP7=LC~fGn@* zKb@9Vl<u&4&ST*{%M(GC+VQ)`=Y8BAY~f~l=U&sF|BH_~EL^d}|H7s-3ihGW#=_0l zuBC|_bozf@b?c`(#_dJ-^cC!R--{<%aK2Yx%xt%Q>RaJ0r!4IbR!gP%Pgwo5_&w8( z7ya92)vn>4FKie3jd{<jW9{)D*d%MYo-n$3+c<u1=7@4%!7Af?@?|WeXtkjGi$IZ9 znR{)m*M+0f1mAHi2sv1D$oj$u=|%6;7X@#bBrC~&Y}q>dXxZ7R%lT?O4hFI=`h8`N z;rG+7%h$f!70Q_wEqr=*|CO`bLw-msi(FCj+G*)*sn^$I(`GT~i`+f>TJMT`yn&zf z+l%@_PeWRNx`tH5vi{?Ib$Ol57XA`0{gflB6?Y%c?!Ic1rRMzNs{O<T<<`P^xvL_( ztygpwr`DaSh&`{gu4i#+hgIXYhfJ#N#U9l)E`NFR_|GML?)|*(uJki~=iirC8at(# zKj_$Fwwck>=x@#ek+7D|k0LMGLSFxnwJw&MepXZV>?+g059Z!JIm5PHL3R0agA*Gn z`FchApMTIuE@(4%<@hk|Z`X#a?mDLf<!c*eTHb4%EYd0?pLyU=d|_vO+v0B`_mYb9 z-{{LeJ~r>LjrOD;(~`rVO8<YhZ299&hs(c7_n2mhIK)nwVSc@AgS74`<6?V<YtPr1 z<-Gi{S3Ws{Gw>QmUBjo@X-1h>x7<8Zo>7wR5u(xj?q<c}`8vm>?fDMg2o_?#(qXtl zV`~QUEurJ5PU{>vTKq=SUipoJCBuBRyf(pCDQOa`wc`42{T5LFn)tfk>dQjzqCmxS z4E#^4jz>;e8~Nla+cCLK%KX17p5NW^_-lUQ*E5Qj7tFQH*)_$u-Dt^diSPP#O@aHf zUMMlAl+5D!d^bUK*33tj7R}H!s;!!tbY<BzO{cq28*6r^FZ3<&PnTEYm72Ne($ap- zeG|+Uz1e-MbG>Q1k5-7==G80O!=l8MU9>_B&rQ<v?J-{FE%^9O=5^*QVQs!I6OT7( zr70!Gcx@4MSmvk5*rPbtqj%LIj;q<K<v+A?b+cocZM?QzJU_wA_seF{3r>D_grZMN z6?gk8w*M-5z-Fv;`k2JaGZ$aH*Y0SGYF_qCu&w{xzSR#x1aB;`TY9YIbHrhH>0_In z?H0uz{&(~I%w(;vzeP7Xy{$XCCTe^9#ptuM{&vM}kISv!6C>4MSHt3Z|A9~SoVi@D z%^HfUR2pKM>zF;3yEEM=eQD89S*0S-%KPHxoM7fRB3~B;-Q4TGJmkgJ{~FWzM86p? z@s0kQ!z!Y@%eeTAu34*$)|G<~1f5u|B<wD&aAZHs^YYR`gXmi&2G7K1JMmq9#Ss1b z$3lm0zf-oeOy$L+D*HDIeZ5<sGq2u#Lf`fK8(S)5o?6$0C;U7XHT&`I=wusyi{{?e z?+>#NNqw3$J#kfk)U3sJ%#3BnX6EZJ{Bi7b%i5RS|0HZwP8c)3VeQ@LoFm)zB!+j9 zKuWHFf5(2qckb()7q=fTIVpT|LUJL8V-{b#!3jf)7PqebiaJ#{Zhzs`?^iS}K7KEF zbJ?5tzKzK$irbD=Zu%%KR2s9F+i2@Y-6NOHiZZ8di{vQS;&o}6-;4v(GZQu5g?Vn6 z{C-lW!DMBzG*x%`gp^a3VZonkJd4cwu3wzNqv~aGK%?>Eg-o4&A2U?6+|3tvp1E_j zV$#P-<4ppml?!T&*Ik?7^i0@m)%j<OxxTRrbZRR!cuwSEdXeM6DR?hnGk0{h&q67# zpqyhn?3Sr)H8`leu7y*{)oMn<=BN+@$7{15CGRq6xG1%GUhj+-$=_l-j+6=<SmMFS zSdz6x;J`I0XTGT`8Q<p~|1D{_+TC$+QjbZqSB$9f4iU{{wuMrqXC7qpYK3sfF6lQH z-6fGA7=GBmxXQ%fOi;s5!LZBbi?YtXcx*lQnCB(+0;!%?E|&I3mCydxRI<G@KWQ`L zKkqGnf>YLNwn`n4RyUR?G+4giDZir7bw`HDN~$auB6XuU60&<WLk`b15V3feVtV1B zK>9y*<*Fb5PWFjS`(Ali?NYJy)!>UI%%O+l&%We2>)5br?)=|UyWM~KT}*u+p}ryi zjm$Uk05c6|vBfSQPHg=7DllS`Pv`0UooDlpPWG3c&7)s`ezxSBT<t|4GH?FAm1BE) zQ%|9P4&&VYYfk!3>+_sjK6U5HzjHq(<|Wn4|FY@()}1T6tVGS?^P9h^d#_!=`_@0` zT183oMz<-?C+@2VpELVlj7nu*#l3|F<{#!Ae!s!g@7g_{KkLs1lm|B2y8gKlt+nUs zmQug4@VW1|R(jnt;}6?A>8j`R>fE?VT4&zxDfxTmNQ8Urx4mY@clGv$aC~&zaJ#^7 z;u`n&HS5p%ZIWdDr~7e^{<5n4)7^4Yq`H}A=sx-#n{{T+%b*AP8k1~J{i)>Z+)#gf z^&+<W0+GSalP?sn=X+POi6!`lBeNh+377i(w*5Mj?N%R9>~nNiyY!4PM`y>~RWl}j zUvh8vkKYzKQ$?C*$h~}gdjCx!-JqcJljhV1xpzJHc^Oi)ZE}9N!lxy1feCAhYTVbb zTuwE)w!ZjTRm;U&bKc*4qkh&w|GVekvWxuL@e9_zFJ@T(WWuMpR(UV?EI!b}$YfEp zj`jY1)phlOPgi$Z<=)}**Y!L;_lmw4*9+x)f|_B^7f;iBd1Br4??*OUmM`{p{bbuS zlS}1n#g5$n3KjoD?Kf3>F5mPi?>~EhHzSh>Gh)@jiiCof(M${sk?af%><qjNAi&7L zz|hbLVx=S(=vCzAgobcJRv>^@A)uSU7j)&O7b62hCKCe#H;M_JObiS;`6c=B#U-Ul zdS!S`X_E_h?8(T$u$YN~fe*zLRu&XfGE(q6r#Yd?Uzd@AL4y%t5XdVHjm@l3g9;Lh z5_1XqtIxCWQ6y+}1|tK52-HOogPyWM4ayA2s4Vs`DA6lQEyzi%#Op!#BrD!Z2?hpp zF9rrK6yqw{A;#$yXCxM+;x)u)^_T4nSr`~hbwR5}kYh_(0cuD^N-_>3Aj>X(Z@4t+ zh9(082y>vg<Fg9Xh=P<P97aIK7aOjh$To6gV9;<wq!~!a{PKeuQIUtk1c)Qz5ANTh zsL#Lv!l;gjnhG_cxFivW5fDclKDfm&#Damr-vSX75J#*!0yQEPG#-RC<w9KX%KO20 zO9lo85Jrs>-M>&HQqn321cpTDLS;b)1_pix^mv^PGbA}D8>b-<ms}6xa^pSCzyQLi z;c*jYND%=exXsg(cS|rZfH10OL{Bn;v!PyIUQS*yjzERDgGa!FU7VMJ0faeG5(ncW zs38?eI1?BoVh*0nTDRGok>N=N8(Kp5cn>urCAAEvLm-BrE`~x*=sMQS5Z^$SM&XIx z88OHUj38z}QizNV)C}-)BdkV1oPj!$4lx2!I+l4tj6fP!$72raa3!)ikv<S}^uU9c zC`Pcdfm|!fAj+_km4RVFG&6%9gR4nNKx%$^S)^Z>aaC$YQCUE_XL+!nrK3-lbCP*z TikD-WzL%r7wwY&<p{FeXq$Pgv literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_placed.dcp b/loto/loto.runs/impl_1/loto_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..98fe37ae001da1bd7ee46b73ac655506abf5b51f GIT binary patch literal 221272 zcmWIWW@Zs#U|`^25Q_GRn%3sBD2j=JA(EYeft`VqAtkv$uOc@mG=!Cb`AOND^u1HP zi)R~%v|j(Z{=$YVaYc#!N&S;~^p9`Z!}dX0+s&=Wr&*ABQ}+Mgk0R!|oLsUvXIH}F z>T~5wss(%A^WT<vQy7}QWXIH7o0RU}-+JsA+w$|OC%L$uO`o;O>%dvApu1jOCD(tM zT}$7Vtak6r)wKc@1>N~e=NY}=Z?Ap%D`fr|8TCrRjage5e(9YSOfYib+Hinl#|MrO z(JlFIbN_irGF|U!T(#n^u^LBhaoUj{!}H$+1UJ}UeQNgO-ut+<M!%Xp6J2M%o4}V4 z9hebiUwY+T=<Hlh=UuA{TJ$$vZ#{A%F*f~W<crADKMsDHv{Te=*5M<kb}kc+SZE%o zQGKc?=E53}r&Ds=S?y$A=QRbGYDrHu$~vuMr>6S(Nz0_qvu0i`n3|mH-ud?R?ytLE zn$Lg!e#L$>566!Wg-&Ye`Dj0SV0-SS)#;hD9Qj_(3W<)h&-}*uD7o_0V$oZD|0lS7 z^qGDyM{DKFJk|HJ1BFG>_0Kq_-+1{ok8N-Ngq1IA+-@w0;StJM_)IUhJ=vtUIeKTM z`G0xkEllp!PdFksSYE61e9AT5(x$6$+Om&gO7a0(X+6uL5(=+XF?;Kz#Z}d0D5h=p zsXioW7}agr{4zP^RcGee+{0crXSdEWKgj=+Sz(X<EB%kNBqeMXyJ#C83aY>Vb85!x z$ch)|lkD~g@%AV6%FDJU9rKn~KYirNJ;w{b6lR?_XnQQZ->&J>pP$_d=c7#g-`oiN zbF-jZNnCc_TS>*|x%;M0ondP7b8(2rhK=^h_r34!oP138|GLfxZzE>>dHUmX|7pX0 zb$>JOZH=mDM5IH(XrCy)per}M7#SEcnHU(j88{ho@=NmLi%UwA^vb|#@p0Lj^x6rI z-iI9oTHpT^Ee=_c8?_{~TI<`T=7?1t3aU9}%THc9>vv=Bm1p;N&kpkt*rQ^d|GfIW z>SRuv;Ja3_Q+O^PZ~u8$XYSbt!P2?sm2X5?woNtM(9JDZ_Tu8)fZoZT@;yE*?-Q5$ z9r6nKuxP1r#A8qIFaIT7mwykcKCtSUm{w4)<Bw}GPQHDP3r!AjUQ2nn;P6zDUU&X| zTVodSD+tg0!II1W!oeZ0#m31m-C{!X*=^aoO*L$sbZwMbiW1i39$>9maJh}^!xf9p z={p`MOnKFjCnnd@JE?Dp!S$zwMY(w$FViMJ<u$(f;bCN+humYwS;=O;m$l~2eJ-fN zu>R(u<iLebw|?6y_;!W*-i3}Q0}EF?T3yibtxVD)_jTLiN^#?Wq?q_0y>GJ>?fR}1 z%-R3U|K&gb$^Q-|Z76tob@zKNzGv%R8ab}k+pqc)E$rLm0v>xZGB7M=VqoAy4f~7~ zNKpU{{_I20;9qm0#l<DMScq3OVdIJ^_okgqlM=svcf;<D&+eBiWrS|o-uQlRWxsfv zfoW-W>ei1F_Uz+1TzBXF;prhk^79n0D>ZcnZFs}NH|@oRcN=?}K3uv{Y4w;v?diPi zM%6vuiM(eD^jUZPm(z@l$csL)S;xEi*u#%qVXq};>)-pN={@P8!mKmTGX)lWyR>~l zkGVoD!-vE*?dl7-71CWF@4aZiw({W{fByi<jkO0_Zf#QOE9c)(`cE%%!^Fc`?rYO7 zUG3Vw#HjcG<c;TZcE#zed??`cdGX3Jp1skZxkS749yXSj9M8^tBz>4ORBWU4LoT7$ zvg|tjuP>fld&9&3V6SVzKFtF)^QS+(7wuUrlxgaF{OB~%XAbe)$s$E_<2=sIxVk50 z>zhNej<K<;*2xE6Gc4u_w_U=VFYVLJq`h+YuFMbIs42fWp~+vDk%2*j5h?Tw5{nXZ z;RW&IvNh?98jRQfMdVb38CtkHDS&`sN+O6-Ff=sSl+RP&-)m#J)0*+I_vFeLgWJv$ z*&aL&k;^07>ux9Af3^60ysb@m&B~DWm$MYlxLn}$y0+_^Lv>HllEn!{Z*0ySH(6!z z<xywEN}H;O^~v!ala#)TEO9)O{_Dfy6X}h&-h623a?-!x_MpXu&-;DE^_>SC&YB(y z+Op-E&F61L$+uQ);ACcWNebf%mYHXIqkHj#iwgOyb7mdS5Z-yHnt$Q;8WSmF&F2d~ z{*2i+%UbWW7T@BnPuSkh;Awd~G2~vE>7N58X_q}GPvzG$UA-V@!6O0B9Wk%Hnv^cM zc3ARk|9n+_a>=)=e9p;cF{&M^+YPKNs#s@=aA)R~{&YJ(t;b=(@$YRWpG2Q2T&OCz zRrRl#X+3HP_jwjRiUieoj0_ASu=Jf7kWpFeUr?e~lv<FJSP3s=9&<h3#Bo~3+vjAs zhOv=>(M97Bk5wTnJhaZ9@eDk1KA6MbH}He+nh*n{AcHL%jLbG0Z3y{L${EP8Qgjl- z$z4m;G$oi)dDr&%tm*M}Fj~gN6XSd&CV0h822?k>Ct2}UN-!{(doeI@LEWTRoRL_N z3U|re819nUN2gxXkN)&ydrEKp&6B=O%|f3HDx)^dwXhLNiR|;uIUP~v!Qp1H&4b5p z&J8|+d47kq9ikV+M*Vu^8_mJU#Ja21EVuHD<Mh1qn|3chpZk4FbXC=hdBK}9&*$-1 zzd!Tmm;6Q@NxmcB>pq{|YyA2C%<A+>8|+_v{PQ#V*s)yY#md#|`%BW-cP}aDe|Op~ zid~((oX;;M+*K&~H(y`>n_IS$ombtZl|Np**b$(v^!R4yn;%<mJ~Z8`D%YQ``R{q; z+r#mTa<x6aRhY%xRA~M2t9$8%bw6*u<d3tDEfo8G;*Yzr)7p>wDwb{Co}9hO`tqbZ zGAVM4_n6<CDtXJ+V$*cvvm9TzOAC@R3M`~k;uh~Qy{kOidCid(FFdxUSOu*;dG5zI zudu8aPU2Y|KSPSGZr+~d(>~+=3J*`o-CJ5BX9}Kr`6+#dq4JUxZtd+AuhM6{JTW=X zcius*9<P_TnwU6dZaH`5S)Yq2x0K^#)d>cxx8!L4X5*He>ZfsLNA8YIXA?p_jN6wf zFe@hBND1AzK`>;3%d^c{$$m=n{EkFVUYoVE$k%k6TJeiV?laCFZ2Wd)qq}!~baqv7 z{O@fHv$G8vbKg0$^0e6-YqD6(PG<@6{;m3#<%~I#mspS1Hiqd=y>hx`OPb#3ES_UG z^TMhK-EFHCIa)TiW?W{Rlgsj^$4kuc%bhRLPC0@{8)mt#S~^MhSlaBWzAlN2hZDSC z6mLz@%l0qb#wE64soU0^w;9=+O<QgnX$M^>4bNASOgf!<MDuP!>CTRTfEjaFUn?lS zpL#Mv>!SF3p3S0eCxVXNV!7!R#i{gd$@TEfp={fa-IVg)n)80<=`iU;cNNbXzUX8L zzM^R9L!WO%Ek5Qeu3H?rS8!X}G{cWlVy3I+>@bjb{>;ZL86(wf`XFX^;VdO9q1wH3 ziz5~%Zb_Og%(qc(qgSltO|hicE%U;x(qDg6p8a;^Kf80YSQR)qrflYI<8S=8ZRZ;s zWgn;bW!m%Jd4JQAF23=zP4Qz%dQEoF!O%BMJsP5WzKYBUedEO4>6Nlr@W_10S9%qp zIg^Du+itpkIeN>u^IJuE`6lD$`<iDSUHccpY#QO6XZ%cUvcTk%TS~qxwYC=WJQvm5 zureSZZ1a+X#SO2*PTn#ou->)3%kfL-#<S--AE@P*`>{;qlloTn+eNQT$zr0e$;7wc zI?p7}b?cQqz0vZu5!2hX*S@Vvx!X4H-n@5SrNQUDrWt+tR<cJ_y7iWGR`#6`eZ$bM z*9NauugA{3mlD0GxO+iM<gLb&#Y_78czfFZ?U0CX`1*LF^T*!DTpQK~Ep%+Vu~fn{ zYs(H6#cgL-IGmj0z<N7xO6d}#+f}y=BV*ED?9IIvQ9dE7_iIt`Qo|QH2R<xTEX;^~ z81r+R*0jQPHcH`_thV0_ue;Fs;MDt)wEZdN*_P5qHFN*g=H@=liSW1^J14cbVS>@i zefRoU{9b7-RuX*wR#bk);@xxJ8r9qm+S~c|Y0mbCuV3B2b}i<d+mbE&=EUkQ<xPDf zwOHlu?Z|^}y_;Op?ca)>`^NEjN>a2{@W*{d+pcRIWRXrtW^*>#l^1OyoAA4cYu&%P z;LgO;J64)3GD_4syTXTSsiGQ>%n8km2T{R_%-3gfN$$88GV8LHb;gg@OQH$p8Zx=; zH+r2SuWRt!pAvlG!`Wp*hu>}Qc=uXrx7LldZZkz@Yu}ur#?_OtM)G&~CTBCz{l5}d z9-EbMZ|a*4-=o)VFS#YM)RXn*Td7~(C-*s)wO?VGzS-Jzu~XiIbrp-mg>T179bI{4 z)y+Vi+7yiw8{9TF-CZkLw{s>>S%2Em5NlcQ&o}o<&AXrV{_CTO6V80u`uP0Ww+HMN zKGe*3w&+|)5_js?kkc~XJkPF}l$Z0t>6_-+8SP*AzSN|xFDjd#HszaAz|nWdnbxo_ zpA*Qqcr&}n;p<IT9m{9bMj2~8e);xp=e;LskrStGKA&)Xo{RgYNq?JTbpA-4ed+yt zBfIHhtE-o%zkK1=U;Bddu->f9?8k35?+E#{+Wq?0Z)VpwoX=$IFIO?PojY@#PffJD zRQQfI+mwZw!cX6Pz9Ffb8gew~@V*6s%S!YD8fpWLPyO1xy=1xG+iy+JQaSB+|KE7s zFT5f$vS)713-R(_KWyq|ADe&e#r6MJf25n#Dc5^Gj=xx;Tkrc=x^hB&)MNeZKTIEf z+>HHR-)&Vb`MH3<LP<_DL(qTayRSaKyQf!Pxaz;}^NxP~NAe3~|Lr^0{^PpIy;JAa z!w>(dma2F8^SijWX5Z4AF;i!(GYNKh9{2Lj@?^<%o$;R6Of<D!tm^(Q-u}17`2XZ% z>_78O>bxG?U;d$HcP#l&ztsP=Gd>FTPXF9)@~{80)wX9Etn-WJd=D?#!zEk)*!I}^ zgRC))@60~c#hqHe;N`T>8f!CV?00tlHTQ1nOCG(Y5Be+qRB7JTPW#o!oROlw-y^<U z{{O;b)<0HD)dxMkfAxpIsm$?YssH-D_6t9noBp$m>g~_?n#&ydMykVnQJAv1!2F-K zHD7F(C|Jz$yyj!X+2qrtFj>JOZt@$`lPVlw{(~tqo(N3_i=R;8@LTDrhmiW=v+2Cb zWQ7x8rH{=|)=l<l+U64P<8A-h-s6&u#4_W5L2FdaOP<-gPBe(PwqfP`OaJ~%Ja+p> zv&ii54`ugG+`phv@akv3>All`x1_Frv{G_g$Bo%h6RS7RoBQX(+S`A(ur4?Gx9eDc z=9Aw$+KwMtEERt`|50R})8V^D2Tq?zyLb7x`RA9-?DOaE{r=_kmD0}nzP@w!+<%|W zzqeysN!h3O<#*mLU)%O*;eQ9g$Jft$8NBk^Qz}(9ceVfgnmsYApC#QaPzZRw_WCSa z@ygqUQ-a%N`1<BsWLZ^wz7hCzLCG|}XO=QDWfm27&l6rfyu(xTV!;*;_D2bB?4MtI z<?!`c$lUq3Sos;xy;Elo*n6{c%kbqDTU1<(T2x>Cw4*4%%$q&kQs&OPf)A6nx=dp> z^Jd@5$6jtBW0OAn;O=G3pCYXyUKA88KYWlgo9+GnM5_zwFCXNzH}96=+n0Ct0ly`? z=;jiELJNym1s}SeK6o1=!kYFnVdCL~clp@YNAdl${XVgIbIFDq4<E?2H&54PfB)33 z=&6qEoW}(P>kl6^*I}<eQ`>w&M^>lM;z!HjgL8Mz{c~dfv$O;0FB4WCKBz9sSNH8* zqOs4~{uonl_R}(ac25fmrk9FE{ys2cY4cM)_V1Q5f9}j`{K|W1b4kGSf`a{r59;f% zhs_8(f9c>(K6ZW!8JV-X7pI6WGu>RW;n2eefA8<lDlXXWGt*8)zW((De%T*Oo*Kp8 zySr3%SL_1S`nhe>yrwSYmzh?V9Qn(%(>OYb&%3@XqADm)c2mju??;!UZwh(0;mdtK z@B4p)&t3ZNG3Vx_cN<DhCBCfRr*6j*S@mykQ!<yO&fKzys<}+AQNO13%$%tcYN_-0 zYGUTAt8<n*tDMU@SrGBdzJ2NKwR3+wxt(_N;nU5lv!7YLn$<7E*LS&m3g5ePS50r} z$et;*_;D#be!Jz52fjRUJH_fNBiFHZ`3HJbw^p<q5mKz1zGJDAjoS);HjagLigw-^ zGX?r5pJ@3Z)A`hh#p6nAMc1xSj*qNP%uY2Ek1UX3W{q1aV83KyYs(Lz(#KAJUhP+i zQa!SNe}9Z~NBqLP#LS)@SDk8}B)Vj`R5V?%bgG$hVdo`1NoK{mxkoB5N${rc6OdOg zX%zVHBzA66vVzlEf&FfeoD}VRAKi5NGhv6IqMh>#CF5&NyZ$QryUE-Q`&hm9NQd@m z*E`qWR~0{tZFnTemAu}%=jr)vW|?#37%%)#bGO+4%E0by+S0EI8!aA-TlCadyqY`n zyogAB>9359$7ahO`~S?q?yO?hqxkxWFDH`u9{+!BV0U(B*Q4MTvGhF`6aReMf3w%W zSYlztjvxF|<r4LO&dHyjvou4fMJ&ChH&v$4zWPn?b-(Z_8`1-8etQ2YDcP#>=gG(C zxu-b4Obwp5d8^OgL)X$@Z7*Z~pBWyvCHnVW=I@cG4)5SCK6U@CuK$g>myUd#^v3-1 zwg~Z?F5DBBzvTaBSo5<}U8h<ke$!;1drE&&gpWqgQ{$4ksUp0!L;I#n<CNu{W?eax zJR{#I-*k~y_rHAk{Y|lFs=JHMtg}fE@4G!U{p~64vZpPv3yq!l9M!4{TTZB18GkaV z{VY1W^ZFYu*^Et-eZD{1GugM~z|+h{vC6YkvczY5nO)R7b@@wc{B5TvfxC;|_}-o% zw|RR&(-)&DE{~>2q<WdVX<qIPd{>e@#pSL<YOuLm=GET7y3*t+8FwX8W6a%FUhfU$ zD@&fzb5|lY)!gmn&ECLyWyw=E+?7bpGk4Rx(;KK)o;>BsU5V6kbGOWUy@C76lc)T+ zD-p_&*W<-#?q=F3z4+0A-oU93j;)9(NDeXIVW_2WM<P@xuSd(ZGmur&P)kx)LMxAN z>IR|3m%@@lPFoq=@z3MiE9up9Pe|3Rzn$;QX|FpnRa{dfYz4h~?y<<um_Buv<(EV1 zhE+d>XH>i@PKaA}P4&1Sf4R_NcH7R2-1C?}Z!)&{BByRxwS2O~-r1H0`r5_CFJ}5C zefgtyJo9U?#NThdla=GntGKPtI(2_{ntf|!<j&d7{YgTLj~l)0k7JdYG5w`pU&ZT5 z5_=mxd*t@Y%$Od!!}3dus$tcwNfNfj76$s<J1oB#sTx*ooFsAguk4KJtaoLq3MNU| zx_I`i`@(nTwANjjD)&hed-Xkg<cwuzOmDp-QzbV^!d9BoXOFO@f&OE?zKX9CCHAs$ z`q=!pFwkFJRCpx0@5qaZ?n&3a2hW%;na8*HqDRlYye0P8bzGk}ZRl2>7pLOpZ?tLt zS6Pu~saN&-Dgq};?A>yr?pk@kjOkZ*Sg8Bo@ZOpJ_Ehk<pm!@Q{!MjaU9~0oY8pS6 zk6>Mb{*}7a{GXHdW>v==X$X2@q|h71dP}&BN%YI4gsVy4yMjXn_vv1m7_=`xd|z_- zy)D`$`zN}s4SK6<{g8L}C+6Lsw#8N++*eehJlSn6*X!v@{u+mjzjeL+(kZ@1Y?tP# zbzz2IbE|FL%=tYxyjpgf#f|0H^v?SMNfoz${Iz~$J|)ZRh9PIRQs9)1$G6q_t{N3y zncB^~!byhPabu)&5_f3l0lw~n)to1m@GcefpDQ+D=DfOiZ;cSQ3-AA2t7P8P)?{?m zsa43}s?%zQr>k1M%r69La-P`3Bf6?}k`~Jpr%(Zwsf=1T7X)p+5X$JZVhY=nzf2Zd z`x@4KTi|tZg$aYR<boLf#H&u8a;v5~-IUD|=?$_t6Q~>V|6t70fQjr2L{_~^m>0BS zP4iE$g;jiF8m(Ps7T%gAznh{=v$XCeEX-Q?OZ;3|@Pc3BN?r>miHm8reiP7fJ1Rfn zx`KuK)&PxzLaDueHW6K3kq&b18+<fNjvXjk8nBV4RM0YamB7Q8)CN7)4NRh96Q(mo ztQ6TLazHEO6!Rx3hSj0mN43@{GIOWKnXU?+`BnI4Z1tvU^=NmsZ@qkScP<(T988<I zZh3ZJV)0a)uRWVW(ymvS?KWR@^;Att%UZsdZ!*d<irhthGFCos*ebYlUCHT&s;xR( z(iK*vxmxoic`cmF%<HvqwXn&g##W>2e&#z$linz``d`0eqTr@A>%5F+>AI<v3A3(! z-IPBsENV-`9!|%}vn1{YCC-hivf@tMJBxej><c|zaueLOa{_cj0uEKKYF(voVXvgz z7^EGuK;%?)!NMSood?{4oQzgW><dY=vDP`J{l)mWcl^e%XU{UDq(eCZUYnfuILr8R z7Q^f->Pxfa^nx}oc*63rqsL*_dWY_;h1|?4Q=H6MGeWsy4=Sz<>+Va)=st0{ecgi% zkv|u9n{Cl<UH9O^LXll_4j=Vec-tf=kn8U8g~6I7^6h#{FNDhPy6T{5=-|cMp67Z& zc%pRwI=ceStt}~gbAMPFJr<svG<AjCyu7IzAF@*=jn4|L4mfi;N<Vytk=>V7f)|wq zmt2i_8O!l&iqlKpU!uKUY&TX->zmi~Ajoxb3*T*#-bv;;;as*`52On2{5IueV*AS} zQ%)-z$*nxq*4WWwwJYd=sMkU(DI>3ikL1^daD{#`SRtY{J8^l?3bR(lr2&aNhrJeN ziEr{+7$mYTh)dLJgLiMQ-rfz-nk9?d1ead8D!wj^OH{7F*G29`NcMphK`+*Fd~<oS z<z*?yH@B3SfLO-lE;m)qH^J9d{Au{>wNQ!qm}qa7)C`BEN($M6GeS(Xc06cU(&=uq z!%WGZb1&1O(kzycw+Fs%>aV!h+}!1MzPg|}=*3*#yb$K4%dK{JYKHtgc=nX$)S80s zpcSW@qdhrKnVZkJbRs*z?%YAe;KkjVGHSl&PeQLH$j+S0uBLwc!<6;Ywud;sKf%)* zH@WlL_4f-?6xN+`ll<g%yltJJx<Rbo^4lUJHynRzak`)A?VmHLZ1#mcUn7k67K(?t zeTn&XY2|~~s*t~~vyVKukazw5+Rm%~zss|;_Q>@wpY|_f()_)jFK91sT6FK%vzOET zS-o#4uJwzzu_=0c!sXk6if5}nzWL`<lDo^?*rY7seM*@O|G8M}MX%W^G)=@EbEF>F zMeO&A59^SSKGBo1=nnsLo$KPF3dh+hB-cc&)Ym<bJ;h8?r|YG*y8AKq^3;hYCfm~Q ztCa34D=0~c_~vD?cKTuETRn#lyl+{S9Mk@^Ra{X__JL?YqTrEZjB*X#T>fh!{d73G z(`A;%++>X7e6Dv%{Eeivoq^T1bA}IPvu-*Y+*`1In?yRxvLBD1Mlhzhee?4Pn$C9a z;j2UUUb9z-UJ?EG&v4^{z`O&Ol&*2w2TGWUIp#<_xVm8_$9Juetb|CxFvAtk9rk>9 za`AUeMC6S2`LYS2iE_W@vaja;Tu^?l-L$pxHTw^yi0B#DZ4R7o`ZZ&p7n?`2+BAKK z49V1nBNe(aaW}rSG42ysB>na4&bOT}P8?C07y5Ir$<sY1A2vUnzcsRK`yz{D${!~8 z-{0G*-&B8T*4K!2zaKQ7+-Tc8S!LVO-A2MU|FUoRed0j|+w-~ay1%QQmEA6p_s>FB z`ln^VU#;5Ab&oU3EH=O2Wt)}y-8W5OhQaoGLKSh=?(0jZ`}c)D@m^@IpT75zPV>B- zRa1AJ?NIB~Z-3kBuf28aYlWbSeAkaVti+|Ry(+zY<^#|FL+`jMqN3O8&z{yFy03e$ z(7cOW>*VETHSOWr7sV1;d-3Xrl*COo4s1W9EaGPLuy<_OBQ{T~X-(gTsvlm7n<{Nw zAKp|t`6D*zL(Ie!n+sA8W`w0&GY#J@xbM%$?@7w}P32pc{<?WNcV$RgQc;eL_MSD( z&8O4S7RK+BTdm(y5xS{!zkr(ZKFM3>u8RBLk7fCE;$G~bLu@;oneQ5OJJ0U?tgzE1 zenz_67BLqq`CXsid6k~}#H}!Q`r@#*c#i2;UMODodmx<{{mx>(;{D)1Gu5A}Noen> zHSGP|{h+DlYMT}3qYdjfXs+R?<Tep=Jo05q!{;uAq)nNvpUq#M{kgd4*x~Js>JLA+ zZf~$WYxZN-Hn;eB_a$qm#(sUI;Pxx6VgpB2vuA$$c~QsySzq`nR&Z3ESrX4PU$^S_ zs?Yh{H7hyzdzZEQ{YVo0qBi*+YrIC4L)PEj4<9D&p5Y$DC3lr8ygTq#%!>u=(?kSI zBT{3Tq>G}PR;f=<zGJetAT%W2GPXAL{*^74(v-Xpi@JW<P*yPI`@sg=vf|Sdx#MEQ z4dvDt|9%)8HBWK&>D{7jpA4@w)@i=EW3XDveV!t7jda}p*I!&OfAvXq3l(jM*(aNK zzW&+el(wS|dd<?G=KY-HdaUe$tn_)Wk4350>-5{d{W-q1QiT7&v_C3Q21>DvEB~l$ zmN|Xk=ub~Ah96TeOr5`EpQU`uxj!n#_HSDH_E;YIV#e~f((>7zwuhJY$QUnAP0Wt# zvwX37fxiDYgYBpEECP<KJNzik+(gvTrCV7*yQ#zV?9~nH9`G4$KW<uZWun$H_0@BY zxXQ&H!`R=-{csUX3AKLL>M!OPw)L^Zo!}Wc^QQiOaM^Xz$LKfhzqu!$-CQU0PS?lm za3Ygku!^Mp1C=}Gw|~$2HHZDdv^(4<dBhWLb9*Z97h9+E>{8C$i@txXB=qu(D!k(O z`7>OXFl_yOM2F95S%+Mff47|Zfd@L`KCNGR3$o|+<{0HQ{jHQp>$uA^+1RjvH?Og? ze`1nBPG0Nb)?l9ABCqDzT;YF?*ep5N%l#$m_1o*yT==p#>|EH<b^Fu@-aj2|)!i?X zZQD&g-8PZne4%4&H1n<X4*k=$OLw(b3r_qWKQ*Oi`jppS>Mb%e9!MSf)c;*MX4UJu zkNZVmJlZ!Q&d_R;@kCi!Q8TR#o_{hwxxGuR%8brdI%%r-MWvFJ%fxrx;tMzLi$7Hj z>iAfsX;Prp>K3Qfs`hU3A**-pjcNx^|C2|Zsqk6-W&1)F1_o1I(3%0r%&cBTN-}(& zVsBJz{w)uY+I#=HCf+!%TJgtKY$bo6Z<L5ZT*Bti#aT~|GP^SN<SdsKdAk4ojSCtL z$FJ@6>0Bg`bl7&^^D~zFoP*|V^cBjln3Q|6;>o7lar;kK{d+rMk578H>iaGGj%&}` z7Pjl(j+iyi*E(J=Zm-k6Z8E)3%)IiOi0+}%Yc<x2zRPsy+vf*Q<~?Nc_~g}M6IIWT zY2o2(@0S1c>|WvQ`Du^mwTE5hb^J{euS<LS*Q@ehUas=+b&sn}?3wHL?UuaPci+>Y zdu{WKJt?<&-`9MM32Ip2^5Bg8kI!m;oBYo6|C=|#<j=wH`_*iEtQN0(JT)Z4B=68y z<)0oazOr+QWlwSz^{;lmw){%E@F^GRST(-?)9QPd->;unWc)E!z)80C!vd!VJIc>3 z*W<3Tdl@v_=FI2Ck8Fc4CI7cejjZ|8CFOJM=ZvbuACG*rO@A`+-(G8pKHkSQF=|}m zD_UB$KB`F^wh`@-+|apCxW}SKXU0eSD@=-80y&m8ah_3*oA&XGpquya8kes{jfZ!+ z9b}sNLpXHNMTNXNOO<*lJx9@%Z8|@L7pV3vW8b-$m#cA})vVk57tb>L@kg{x`1zio znPvT73}Wq!7_(U?vxGj_wl&Dr>F~^VAu?xnteE+FrKXndqmF>LUYAWS=}7o&&t%M0 zj>!L~ey>zaUHj7}>!YXY_f1NZaP`uA#Kh-&eoIDG)<r8(?E?w-;*;I{Wushnu{*E0 zvFQELMfM8np2iCL(>G*Ttm3vXIT~rQqOES7`h2ZRLQPlh<%!>0z489n6=&TH8oJK@ zI9GN4&jeMQCd2jrtK^R@I238HhV7i9_NVCc@AZ4PKdD<k)k!D!8)uuq^YuX+YwRRE z+H&R<)Ya6<s<5_2X^Zpd%~^XW>w(Xvi(=D7&ZTL8bQjXSbk^w3p;wQ3HXpiN68|i7 z9dlFKgFj)bF8pg0ifdal_fzFPi~Q@`+27vQ_K|2~I=ohJjnE7W>2(ioXjq)fU*hE! z`<<<gFa3DXtlFr{_FV7e&z(8HM3PVV`3q5#+pK55FMgM+d47Yefpg<-d7bCYyC+X# zd-Z_rQO!S}c@GUH1}Z+kIVsvKN5!ZhQ|bASgzKx8RI+WUT-FrOSj^Mj={=3B@AF-G z&f~EXCNZ--EcdO>p2wLtugL{0+dY-5&-bU*zE;P>uANgJH^yDI;l5+Tn#uHBf=^gn zQ&i^mr&1ni2H%(-56gG*JPoR6Hy=D3JVC9X@1TKh%#s6H8t0j--aelnK9%$2M^g!l zqn!^<PceDsZFlwo^Muli4i1Y$=COa-^}}b=oMbNM<yL}O7Ht0Z*IbzydxI+Fp4@JF zTKV9I70>KP4iZ;P_-%efh@WNF@sbv27ZnLsjz7AaKhOVo!Ey719|eI*OK(m;`|vkg zQ@VV#<D7=fw#7$My;#q>ZJeY!JF74M(1VAET+VHJ!&i6T{==7KZ4MEG(*;v@gse=M z`D}L9CLgwc-2!?(Ph?J)MSr`?>~FuV$%V0)$Gx+As#c%2(M`6*?8k>(yb9yDS?<f1 ztN4+r?ICP%v|!2(kClro?q_MH@8E52J1wYrxLK<0_Jezx9zq6(3#RO_`1!#eR-Q31 zfL5CP-f(Hs4NV3H5awWjmS+ViN$~PaW2^q(1u{Pv8B+ZiZy6qR4N~Ap^ptI=z1~;- zZs+rPM`L))ybHc|eLtmeT|~oFS*f%%_Il~H(zB`MS+l%0#aLg--EF_{QrY`=2Kt-M zzS|rBUtO@3$>GQ)j+c|xMlOrmn>vY+heeJhh~;yL)&IU<Z#RG49$vp&TUAk3((-fq z%gc*HUhVLF^85DR)~cecUvF=1y>{#FUCG6fE+#4>EJyxC{`#U(SynRd_;*hG`JamG z*yjJY`%=lNq5t%Vx9*-T_m`^w3KCkm1OiG-)I29YQ?b<aYnps-$r2?&PEWV4i3v-@ zJ-b@Iyg#tYRNHjhON;A9D-u1Nt&KMaxS6Sai_Z2n{TdzpG~(CouWKF`3cb|7GC6p1 zQ1;rnEBlwYf4&F;f<bl9Wv;*IocCDj@9rxR-;PSA7A=#N{rh&^*6ih%UzS*vz82e> z7kEx=Ti(U}`KwH|r8hdB6Z>^#-Pg-o%jBg%;L@$f;^(|pZp(-`y`b%()2;lyOO|Z! zTeK$h)tBvkUniEm?b+Dc0s?hSVDK+C|Gr-DV+qstNgZA5w)9Pyu;)cbN9L0pF+pBS z0S*x8IGJKJ^UTxpAkcrlXlIPx^#AW3LjZG2yoI%X>Sd#t8O*;v3a%DlYMCS6x<k(* z=Nij8UC!&;YZPA3o}lxD<?EM&&g|xVXYTKNSbm{s`PviTq&t3YzVb1%_FQa5ORM{h zS5cv{+N-idO-olV$-DX{=%ilgo1ne#uIvsDcyVb`$dvv$^T0rUY0%0Lt?4#k@P8lk z{s4bJU!TAi`Fp3lJ7Wz3r)2M2TEDD)_@+8V$na8dO-;bzC^l0b@w)AqH}BhKq^F6U zs@tBDyuUw5wC;OLV{K*Ni$g`eH!hvpl@#l_Vb!8ple#vAt=vB~_@&POuwx&%zdpHg zM5NVj`jkl%`g-P^Ra&(0{@M%q^A_B<J~RJ*)bbgBdjHhw{JZwyw?&gEM_kQ?tgTUN z!(Q)?UVRnBQrj7-HPvfr(8?<>OS+!;Ex-J7qBWQwqBZyV%@cE<SC;MCtaEyk&S~Go zm?y_v@@$?QbIRj6?D(#lrD$Q_JK@W<zY6D0TNgaJ|Mrn1BE3!v&!_kAUQ#ms-`<&j z9$xhNKd+@EWy|8nyUsn~zf`(w-RoVT=<-pT=%Mnd!bYy&eeuN%6S3~2NgFxNWPbm6 zJT^&S#^t>7AM0lyk}q%nFZcNNV~$V>hsHF)ixUC_1cJ}}h&DgIXI<{adhyL2;q15G z6|N|~>u}rlU;cx#etYlva;oS1`hG{7AFg?^zqL4kYfAAWvAlgZc%S5b?9{vB`}c9) z$NTl_6Wx<1TGS*8%((pS{;nngy9$|wT*7AZSN8uc|NOnMMN9usO~L<b`?p@3m$Ef2 zQS;WUYof1R(^xLKU2pvCGOgdwSC+3dID2pVJc}I@R}^n9UY&i*^y!mzvyA^7JG+}- z?%pfEjv2enX5V>p<JOILH#W^G&6B?IM9ajtrDAfm^W<BNpIu%nhyCZ<|My(qx_8_A zf4nU(D=oPdn_a$kYuVbnTlx0w1%cWhA08fV=eN6cSn$Qc!@{;N7CN`{$y)6=nzu>% z&9_}U6)g)M9B4c&JeN6;{i*o5Q|>G-y*){y`}Sy*v<eu0zwKBN)P12?vCf)f?c=y9 z-dSt6W?zqDzkLe?Zh*nR*!>40ocq=+y!yd~KP!TJ9pm+)Yqz$l|B4ctsp!m>$d)|O zA|x0Det%z(Q=?q6P3qB&I}ax0$DC6+^o!y9?Z3@3zdyBB6&3LdPs($5ldZFszMQ+V zx#Zo89iC4vMyT+`zqobx?%lhw+37w0-XQS%WZLGNIc1%U%xpXo20vnU6g)iC%KiV` z;>XKWTW`usU0jl3JgY}+@xcszsi=t+-{yIqe`fqs$FBK&OS-c$2yEV-aIlG$TkPN8 z)MP1ci|OG}5z-oe%D8;P_s?|>Jh^b*oLRSaOv*W?Bv>4|<Z;Q{cUGs^H>?4HoR^DZ zjWlois7*eaVsO*j&FovB=kaHTl{#|G$B!LJF*MxBb(-rSmuQ<lPu7Fm*A;)eSQ}^0 z-(UOo(6lz5h;^5*n5;<+IQ-yAW?fs_v|6iKH*e;f|9*Q+N%~8s<BMCdyLa!-UfV0h z0|IYegTU$Ir$ONLea$_fLco~qkjT6j9$b0i!6Lt6f}+?S>+M**n)^05|8BUk;Ph8B zL)V4=Gqj&o?VJ#EjMFFZMX_h5<Q#)Ur^0M7=w5LYoY$v0MrvPc+8U?3^xFRPR1jcY zfBp5>s=wvQYs|On>O4ylouiZE(>$@av#~Yw!mgyisR{e<a=%{1!`!_1*rKxQ@7_7- zfWVsi>*4vr!6LsxqT*s>cM7R^Oqj5xYW9pp7nWZV+E%qTW%Z3ytGL!xtzNZaMZ&7- zU0KT}tz5Be*|KF1R#s$Xd3kJ=>UNnMRUMm|So%_bP001>)2AG>vUOz4t(b0S>!r^X z8*w8;#7t~*RQB4^Dg8=|6c;KeJnNs<l&Yz@P+Il)h6}wxTz56f*Y4`n<f_&4d>Fnh zYW1a8F;iC;imuyt6;d>XXl=+cy%o{0`ROcy>#Xf_4{Tjpy0mp`>EE{zh0~Jv&MU3W zDynLlmG<|W_Owr{+)sX4D&6gA@oL>&+f&E=K@}6&n3wy{Lp^%#v(5PvH>b{Abm94= zOGXx_H_4pdpridX<qtUYm#WGf-zamwu%{<cqAgLvPU`-T+V~%H<6mm;KQ*00wRP6Q zlob+OZB+}W1mtf`d(LJO;@PoiRurgc{`EFk+ce8dD{F1g@+FJ-c6;_8y4CFeUii<K zCsvl0mU{~><W?<WzpJKlA(e?KcA2`>h19NdftFnEOD~#Bxi1dL;AveLq!H5Q)O=;< z>a26hZW<RNjmlXXIQ#fLJ$%#*Yl>bhopi28>5}{N69p?Di`2ZnwYBVR)b8Ef3mqDn zSh=RC`_Hqf{FL(YNT;y6-<%&A+dU_%`OY%Qyrj~Za;Sw<Sj}gKfu+>L2i#MNla@C$ zDFyN!6XsC=v5-44ef7e}I!QvF8&o>|3+_6Y928RZ+*iuEuT*yFlGKS4%aopN@;L5! zBu1&<d#*U!R!~W$rWd&><>Vw)d#@=Pfs0&D^4oe&Qt_PBk=YxXale$a>-{bk`w|rc zAr&Jb6^}d0A15lmpQ!BCBVm|yq(e~IwM#@ZXo<%}C6^8XMW+@HK_%xl9!aB=4z+zL zLAkphncsUao*N`rr<~bb8q}-oa86aqRr&ru6~pP%th`KTn8Zn3S+_O&`ns)U;#`7Y zLmZn}IE7SB2yqA~I5aT)T)5l5?$3{pkB|4udoJOZx2pk_rpGVdSnS>}XIu5<#ldEF zemR?p4-Y;%iyy1|yV+s;LY~u4UABB-j%#_heY&XPr?<?``$`q>|C-4D^V-L*FpJKf zH?Lm2+j6c`rSQkQTU)c&-rZVVc)U;6y6nx5i;%LpZiRA(a>s*S1txR%J{ij*mBKw1 z1xG9j6q4H&mcI~?$-lFs@Uh#6wu@~!huLxtxAiJ*Y};}y#(ACK^@i*{rdd3h7Zx}+ zv-Qq5Og`2lX<YZ>Xhc+5d79a^rpkU@_M)v!KOZu`V_fPIp8oxIWtmQG)s%NOcZ59z z_SYWYZne+!p<vDI$4Bz7-vWiq-K}M7=NfkSNE)S_n4tK$9TM)x_!AE_Ffz0C$nX07 z=;$A%I>lPW{S_aTUOJUMWGZ`jh_#6Al;uYI4c$)l58Cx=nu8w9QQrPhuZp3|<$rC9 zY=J}BfyM>Q_9~|iSg`9^G1UDyl+Z1v8?`0lqqF)k&zLi1OA0d7m#ZKDxYnBG{xMle z%egPj_xbLV>@LVJblv_@cTU;b*zC1;TXiBfBphry%73)y-|iW%I#tZ}F&mSPc8O}= z*<-2if3ExUgFWW@`khB6KRR1s6xYN4cyC3;|8<W-6+;bIPY6Atl_09sp?Oc_l1MR^ zrRy9fzlo3TZ|9CJn=g4byXLjYiJD{ED-FCnT%Vr&aV@!q`|<6COBU__A;#*@xy*Qd z$BEdQ*Z&S|mutwMc&Bkenfxq+L{Ron@tC0C*u=smq7ksbq3{tn7s+hzl;5QnxX7hb zNcG>`mO@b41tsD|9(#5}fb^}KAW$0%0(bZGCyKW%P-5j$TDwM@Gw3QGUqk-h=IuYO zMb_+2PWi&NPj_9wL!K<D&s`OoT_G01B7zGI#9qF7^R8}p+4Wm@x0aRHTY&*2Q72iN zgTVLqicbUzJIq;Rlv=o%SU^c!WnvK&{Ff7l0QZ(=2>AVdx^}_QcSoj8oBrdiiqD@i zVb!KN9xStVe3fRa2@u}C)oX3qLxUSywaX3Xw64DNrh9EzvShW&w}{8$eAYtMNm^xD zQ`28fv3h-d{=GGE8-)+;In?8ldj9=mj_Rm;H3je9<+<FJ`qrt(&+|dRkwtKY;hb9a z4Wio<{nq93YOAO{)l(36;!r$tMMtMmR^UDN`lBavBMu97T8lX_GI1#Uxv=~rv(1jp ztD_GybsiV3tPs6W_U_i!P3s~OJr}=b6=1$KpV?$rT!Va7=&V}l2QAIfccUaGRD>*U zxWz7C^FdERzh!px-1e;oiiR`I8cq0ZK0Ijc<XoX$rP`ygu$j+lf?NXg9@R!&K8ptq z+qw>j*1JB?_M2l7A~5HP7DvdzM&{#De-qNxsu^z1HqT!s6X2j#+ECKWYu2!~z|7*H zn);!4y5625LfH*7*rYN7*5+OK-ekz2)68Qu!8m{^TK51KGmpWH<#}mGLbw(bJwE1} zlN+qwubS|tiCb^MI>*_97nb?XzM17X^$SDJjSUO^t}6KQZb&;jOG_&0a{Z<l(^sv# zdGm_$Qpy$YI8+pDUc9dLqd;>^_S&!SMJp?`g8q~V2FzJt9N2WE!+Q6w`(7K?uh+kR z`t;Xd<y^55>;6A%(OtVHTY`1b_J6@8a~UEI%~4rp%Ds4PVryc1J)goS_4{oJjjUom zi7B&{=iSe9JMw<*;axHvFJ`~^#jwY>YP0^WS=S?OmL8hMx+Zz1sxsfB1C@_uD?T_f zZdj)hBf!qW_dw(UGr!e?2TZc;eftafR|PJ1>y<LiytKq~vKp_HNydc*j>XT;%rs6v z_vga@e<)zWuE;jl!)fas7cBYTcT4isjyT7_7ouQgO-7O;dABx1HaoIO<uoiiD`lQz zc0qxe=T1%cvnI2gYcn7PUh1hSn!(FJ^}vY<ipFVYK*B$MVgY9(q&$k3HQAdoZ)jjh zI`?Pk$_5589tU43!;EtwAJpBz)eN-8O8^&mXQu1N@2mNF={gpmp6YbON5UZf&#?)b zhsB?9&oD~aC;Rxgx*E?6{kT09A0Hj{o~{?WtK{XOR&H^<m>oGcH>IAQrdxv*1S`aB z%Q)H8>MgEc8@wgq=A@<Py~XvSmwm`Q+2jf-D^E^R^`52^2`T|uxu<D|uZ!7Pl$wtL z_&MLp_I`|;sPUe$*Z7ge`7?`NXoY=Sbil9fY4rWy*StiRe_Ct4yykV){axNa&API$ zue*Eq?$+$JrWd+IwZqm#tPEP}HB~EgMZiL*RxZ)`n!(F_W*R+hU$-0uJm2T3Qe$$= zCDNZcdGX`a1Dr`~zAn13RQ31<?g!E38s}BNtKZ)>IluP3+WlSG*Kgg8-F+=;JGkn0 zh1C3=pPZ-fe8l--+O#>M@ri3Tyq>C~xUFe*oK~e)T+`GIkq#-s)ps3S?@R8|W03uR z)z|a~QzYMo3#E*IK4$0$ZO!W~c4nNIxI*OU&#WE>1_mJ(N5;$23=BscBwTpfBkqYX zu!aOEw3wL)s0eU$x-WK6Ve_1*!NSPE#K3bvfukj`&++&c)-#_Sjb!*;tT~^?JX|Xj z@l!l{Zf#+~y2~CfQjV#&9#G3kKBh6>*uwU&mc*ss`o~Y)*dO+iVM5R2@Ly+BuQ-~n zHn~yc)9mcts_!3g*88z!yJ-X4&i(7}PCudeP2}c^Oq0nxa}<l8wKk*$-MnSv6lc^r zW!}t*A1hiae_xZG<NmbVM$2LUL)+DCZ|6+qkYh7hXJ^T$(y4KCL-VVEd9(j+UYPc3 zQqOLyz{eLKD4#OCCntU?BD<!cSEgjqp-<fxwm!38$7=TMLI>At{f<vIQ#Rj>s=O-8 zueIQ=_=|M5S5|XZMAR+fx>J*x^`~ylj<snw%n!USl?vSW%awi3-`rC_-mZAG&Nt$? zK$AHCvj@WLq8HwAD=ppS`=aW1m_}c7SH^Sc=1srnnk?cJz2?vI?hogs4z-Yo{T$BM z_eq(QS%=-p4nDjqLxgFms+QP(jXVF%4lta|HBF6}yN=<nO5cH%0;aP3Up!@)*e6P) z$jF|U_+!Q|7qxdw?`L#9yznDs;VI2U+_7;H>;@&Wu339~@A?S-&)xfe(qqSca|}dy zFG>G86WGVX#eMN$l>MeAxvATf^&h@kS{R;l^6mLArObR6)K=Y@*T2v)IA}q^x%Us6 zj;@`bylc6Sn(X2K(<O~xA5NJnH`(3V^{8+ASDB#yuQWdUZr>~P+HdL-X@@W07m7@L z`?|XLh|cGWQ4jxYQQMqQmR%Pf^~kF{<jBGKM$yM-_@1@(Tj8H4trZt^hf(EXp?BJq z@<X@9e*PB<c(`NViC@B{^NU5b5>H-U|NW_EMw0o#`*SvZxFe7-pK0}yZ!7rBZs$hN zst8+~`|?9#nA+UrZh`6F*(Yqb=CYf=NHZ++YZ$lerddg|HFj?CteH7CpV3k~<cHJ4 z=S!oxrsOfaP4da#zW?Dh#*~(3vApe`)hiyX&a?X~_UCjU-(60{_s5rAXvt-&FW$47 z$Mfncuc;LwZPn`;R&~uuc6`XKdeFWg-M`>cti$0~qDyP%dmaAJBjo*>*{I^`+2z?n z)t09(S_(e-S|K!R!`cVpecx9lcCK2sYSQ^v8=i}Lgz6THh}109`N6LDo6~O=cTU8A zrC-_Q(s=^^+CH<{JQ6#TpcHxH?eVs`axRPWuGM^reI&kI$3op<AK!c@<K3Kpj(yx` zH6`e3i0Q|bQf9MrwX+@faBv)XWKnR`zhFUZ!?9oDj`h1k4;QXc+V)LYsp869|LaWk zg(sS-m5#igA!)XG?t{3#@2eFjc`aR~#(y<ob8dd57*E{<>6cro#U0t7?P!>k`y}08 z)X<$}iFZA>xbz{Hr^OqdDP>%G@jRmC;a0BiJ=;z(I=AfdTBkd?!ba}8<HOYKFloP& zS-n@vf(-7yJM-$^D(zDns}6F>wmYp6%1ADs8Cw?l@zrnME1P}_rVDbE$yOIDUB0n| z_t?$53b(cd#<Mehsm|uy&ybKXE1+4`zc#-8`9t%@ldN1>0=X$cA1{Zmtln0(TJK}X z@0ai27#tSr&)S{4eXmXMfy?)QZV7wZC$8d|l{+<B_icXgg`WOtwjH|DH8-oSnSB23 z6{Q2`CRhkRE`P>ZqN90!y5l0l0~4mqoAqzCL&C>tu@2$WRI_KqT)c3Ut!bID$0L5- zlhY4J&2H3d{A+qG*EwMgx9p468>6ES@v%g&E}5CN^z^%f#xE{?WOrYa?)v>v_eK4T z+dCes#)MaHd?#{YvbEgz&#U(?o>;~CaIxAB_UBvjIF7l*x_{8MUq4r-z$e2hr)}06 zU5T3b(w#H@h9oI)DsB(E=eqWy%|(twH+4Q_ahq-vlD)Xj_odC(xh^*5eTi4LKUubQ zOP@f0T~+*7gZB=bf;j`_)m~bNp6;;h>k3Us?%}@`d-8hP)^95rc^DQxR;qpbQky9? zIdanjR_z5ptFK1z?d291+?u`d{lc!31>YHCrmroy@1Vxm7VX@<?dFSs`S)~k7&onn z@HYOD{Ks&K<4x@eU)IQdpRsP?y)~Maul~Hc`8Fv3eCgsH_Ye17TK#?VR%4DU_jhdP z*tn~%GQ=n`>*mU@Pt$Y$N_Hn-{VCqK{*aJv-LG$Q#<nJ(zUgw;b~B`{J#_O`Pu9!T z_gj>oe%pMf_%a)ZOZ=XHw<fH4QRR3}ktyo6Re+0_p~uW+c9#`4J#t&i?RNXjI+Nh% zr}zt3IGD)El~29DR_l3O=hbR)&)tutqi<~W+xb1{7E9z(ww3d)#yedzZg?W_^0o!< zR@O(2H_BAo7ks)l|AF6>y}n*jcmIDEcxVt|EYP^pUH9_4pBee0yixm<SKhCDcWYAT zGT#SM+BbG9?%pQgafr3-qs1?~4Bdy}WmXTZ1la#4X0ADSRQ-qQvYB6=mZW_WefUFx zE9rYm<e}|b>x9>P+^)D_=Y7WV$%X@mCAl0De$+ix=6t^-?8?9W%$r%o4n1Glt8l;O zmSEfb+n4{mexx{4Z8hhcsdr+dH?LEPi~P6auw4}s$Mw5rw*`9VM--Snn)qhwd%Nz` zd6CDSC2`2|eKix=;$oel!?C9Q{N(i6Yh2@2GX4<DDOFv4?9t!M*h5E|?UfWh7)s9w zcqI_*+Za>#?r}%vQYR*bry3nT3uQEg(hAdFZrR}2HS>}2TwhN8vR_PhIrhE3tM9jM zdCSUXIi<IZI@_(kG1N4lI_qn#aG#|?+n(pV@8iX*$|9a_7u#mHQ`drdb9qAZp)KZl zx*Ch`#(Yw*nG&*m(zzI)xNcYGEVg1vnU+_#v~QJd$mUyj-RhX0n*Plb*;<u(Vphg0 z0$%q`$oEOj4r%Q9ud)22(Y8;fhI9AG?hR#0Y*=0xEj#7)qS=}M9Q99q%|0lx{`Hdb z&B|9ETSiU)o#Qi~!(h*27yj$c<xVT6x;`~DiCkiFA|_L8^Y5>0Y7Ol+JHIFT^!qZq zH1a>4yQc7EwLs4Cn75iy%+uvwG+#-&D>Ykv|JlF(a}My#x4HQ~(&Hi9PFazRMFHMS zCHLRn`N^PH&fm<w;zRhAbCWnFZR%29+Rc^-owvtcK0`OLZfk4NwL=T_%k6W1zg;J! zdw4a+%^&8@{!zv!1S9{Qo>dw)Nu~UP`LZyc+dOmYSb}eMRIj!?rgwO))uwrV9{JJ6 zi=3iYt$I>#GU4++207!S1)mQnRNT^Hbv^QCSH;a2lOHf?Z@;W~MKbKwH9y~}jFVcv zndmzk9X(#XvcZX4?eSyYb1Qo_wnlkxzY{e>C*p|56{Ak`E27)h6r7jfRaG=Uz5MHe zT7?-Wn<E@{PW<qwsq@3j#g$h*R&027#r|irA-mjcJH=EXvmXVDx+g8ud*900e`Mx9 zwYz7{bm=&gOB<}0KHpJ2%Rkrq?dF+_Z}`15J90AXy`J)`O|7N1Ul#?u?r*j{_J_eZ zz4PX`_*=(4g}?q>E2eF$`09T03<GV&udR;L`n>mjl5jX)82usKOP$+%t*Cv(wu&xY zE%q5cPZs~tw7aQs!_&UQW}};<x&?pK^gl9jtYW?E8%x%>DDA4LzO&uEF7}qt4F-?o zjt_Gfx3c_R^vkL1N^fh^y+1J*UEcKg8mnaR=-M;P@%&PMDE+*6@U(<BF<U*^yyFSs z3lk$|zj)PhvS_9i<C>j+PW<|%EG#Bf5X~L<>*z60iMD$zZhNMh%Y3{w?FqZYbuWvn zR{w?H9`U;Ha2MZtA**e6%lF-=<x)7JaO3GNt0gz)ODe1LA2Etr5wOIi(<sO-Jn8V0 z=nV@J4>qYr%v<h%f7YzilZERiojIx!FtJ!dXtVsUy2(t}n4kXq$CbWsd8lUR>wQTd zW`>4+tL=A^tlX%zBw6L2OIW<}6|>DN`&EkmB|n+jFZId7``5LeU%A)k*&YeMoi#sy z#k0r##^)}cIk)|t%jc!LCM`duy|i@hv`_hV5<eCf3P<mIl6$}Iq3fxM(NU9TZ=bk` z!GHf=u2qKNjQL09T{+ly9=q}F`qVCs$#+gC2{PC9Ki#}0?sANF1KT~nEH-a;`>8x< ze;v7de~WIj>KeVZ;++Dv4-RfS8hkrLnE(E5_Qx-?T^BBQed+v7&n{<XiBH!Q9$_Wk zmrGt;P?`9IC+dQ;iJR%X^?Jz@?tRzkE*0Y8K7LCxHNIt&s{%i7$v(ct8-?>Y-k)QV z-^y0~n^A5PhZ2LMlyca+nP+7a7av_@BzvMqblQn~v;JrG1>U=}Ld;T2^at;uI8CkP zU$QwxZ~tDh=9cF78K>7BJUjnK>7kABHWhC6>=6&0+@t3|c^tXH{?9t@MCWxq`@i?Z z3Uo|8-hLqdf7KfS-HVQM^UiK&e{W#heVx&F>e7t#yvydh-M38Nq8S%*x=B@cMboSY zuQl=n<|`}n#)(gm^DVtHxyAA9y006SrvF;-X#U>UPDbGhN~()gJ}Lj;>s6ilH|qb? zIoW$s+)fDvJM(_=Q2D^y^gc+-YjyCW*aGFbUUf2p7kPfK-Y&8tXM57gH3uqEvfrvc z^D{c#w<Kpq;+4qT?wg#H=X>3qIP;meYVGS1KEF$!8@ZpZO0;O&I$LLhs%mNTtLQ}y zL7pAE^t*kfI4?dmn_V0plF3{c&hoHRuw?ol9;fRO>)6t^+9?_du&VZ|#m?wEboE91 zBuDL;buJ8x!uK|^>uwM)@6FRSc)B86w5h|)p7rmAj#oB?*Gn>G<}TGRTeFC%@#4Y0 z7fxTBt#dzZo5$!C*R0stmXxDy=yAj6O&`N8U7i+u22<JHacl11uyE`7$hLWz;{G;X zg>^rSGCqdL8u~TNnklp6LA~FB`^Ke}i7%4-ir254WE{FAY-35;Yi^?*i-o0Y9V>q< zI;{V^;zra>M!~g)ryLJ%zM)vMZuVKX{`*_^)GS`|x;=c?;hA=f8>D{9y!yV6^V^*6 zn!0(rSKgiX`R1|B3m3h(aO}knQMG_YpILUDmCLy8ptgHLHMfHx0|x`sLAS>r@*LX~ z|IfOxFsI^-%t~j;9qYN7)~bZNH016$viZjWt~Iy46K&eK+h4!UFyyYan78+ySCp!I zxABvM4@<NcojM-WG389ef4$tM;GW%Y&0qam@TEei`|Qf~4)Pt&fsvXnJ`-9O+Hmvf z^Z#wKIsE3-#v9*%`Y1Rpa&Tn59-QauH2IbAiRC&Qoc^qupmV$EP`G|epv;#aoS!Cs z?wZ>BOD=+0q}rP=oXwUgHkI|pfzQl-o%gZ=?oU2`MZ1qr$!i+#LN}I)rRp_e=Eg#E zufFySIA<xorEgL1<jc|<c1>LuwMB7pee>)ktfq27LK3#Gg4|42{rIo-QT)fdJQ=Av z&p)Cns~^bks3_RzfBHw+hOiT!O17&T(-tQtxqjWVCx36p_qXRRh`A{J<(tl$;ZeVM z+ScH}2U{j9=KI}U!k?csfjfg`qL@m_X6D5*N*o{EnlCK;VvrcYQ>r7|C75ro6~iF- zP<@8*CD9lAK7}wDxN0}<iI(cM%KIzARXX44vDq<miC_+%ttA^;?lLX0O)Yfma4FtW z!e}*taZ+05gfhir!adU$INd$*TH{jBEtADp-u<@tvqU=1-D=Gt(+)kxuFj=ZYBtg) z5fi6{Pw8^<@BgGfrT7=8aX9O|CrdUIo`~Yzutv3IhR~|xZdUIE0y<UQu9bDgecA0~ zzrW^BRd-kA?wfxXR>pK`%;l8aIfu(r!+}xh`P7-wi>4%S#qV=?v6x{)K*r^V4KwRp zzdKqiVV!g6=x6WkhCMvZ=h7~_N`L%+&iR+wwb^qWUR&C!*GY0QGO<K-#C|$w^hK~_ z+7l-EDLLE9G>X|+LuWYaaVNf$y?@c6_WswcM^p_aM0ILJv#|V#WEE+uOMP<hWp?}1 z<=0m%y7+~E-h#P*E;gv-_#c>h@?T@ifi(49$&3#|dqq!F%;ji}-=QeASS2sD<a_;^ zM-~e{9dzew+Q8tL{a|zYQVu2y4f_-RybqThx-{)i!@C_;0_Xlmhn@4<S$ggF%EvQ~ zCv<)m=_rf3oPJ1f#hDuwJAWHX+o@Z-H(h>lM<*>*_1PJPhcDA^b$&imxZIrM=XBY# z_VYAu-(X5zEkE1#P3hjp!oLHuTr1w>{dT!K|6s_rO*QYe4_klO8pd%o)%kNzPHlf% z$8F~zx5$})PEWY7>qd{bkaSx@&W?`#%q!w&{al)=v+>pWGnaNUN@W`?n(v*>BwE%o zd%yottB7rD7x3Q}WIDH3`bpHSHqIWNo{nP$@rt_n0$V3<bW}{eo+HzB*C6rRiA$>Q zd>W_qG4UPsubH$%V_KZq(tA@4HG-B+Sg2y7>o%K(<I$a+!ko>0MvQm!W1MpA4EC?R z^X9~{H7^dGK7YXE=Cfl9_qqlgcK9{RMEy#g_OVx2xF$;<$}LndZ@Id~k?ll1gYxcK zvu-ar6SAAVxkj(HICI(onKf-4#qH_k8~xl{m#MCJB&YS$@Ik};iJhIC7wx{!Ft%;| z_^@fsxlZ#VG2ZKo{y)u}!L{hTrD*4q8}jq2-|v3GTrBx6`OJ@)3R69Y0}K}|o{RV? zZCgA0LtNgI$Feq4^{0RR_)$vsYE5rt>8AFM%NIh=ZeIN(Na)`t=BHlMbafLLgrZ)b zb8OT;RLtbaDWrO(P{rjsU*^*}1#c#&y>eUeT29gLAlubMsY*TjYa35*e=Bh<<x#N- z^9@~Fv&mwvKP8?Q&d)q@Y0=!~*}v~e_bq?QDv}d8q51Ts!bjJ3WZYPNXZoktYrZwz z{h?JLTjZsF|MYU-y;uEAy+ReA+?aRdWptk8%KteBPCnRIQo*h#Gw-|H47Id2c7um! z*ni2-407#Vx-o5sebt+lhn~0d1lz|3xusuF%?o?9=*s+s?=%7)TU}MLd%H^EP5aim z)H~+dR(ji}x>fKf73l^(y&vr6s^Y=vIqk{nZ&6dO8vWF|%&5S1)Y|q}z{TUIdb7Hw ztxGz?SJor&Ib;2_>^1zoGt^|Q&EKv+A-LZm;quBW1}?8-^&X$!7*M1W^ks@vtpDVr zftEt%kL`{v_qw*K&A75m<<I{VLobhjWeSc?wJd3~PbDNcGzb_voLIhBsi|B*Z_fT5 ze*!erey@{{JDv84?Lo_N^FvEcY&KfTu5_R%<=gx2U2E+o<o$CGam?3le|%|ot%s*2 z)6NZht}be?=MWYOywv=yrTUlSC%vmnHyN{6yeO6A-gRznzRU3#*+*9&_)of$qrUdQ zT$R6<Tb;k|DW32~=t91IY;2=)(82#_4z1ZGqa5hbZk@8Sq-5^9^BG;2Wc+w0#5`~K z@?CL?uFiwH^*eRyxstC|8ZLbEv5O_{=B?wrt8ycSkL0IXnf2XhuVwKsS~SP{%~y+_ zQ;$0$?)J`j#JhIYsmzni)7e^Uh0`;AcAT){R^R#L@w6#L5uxH->sQ?NJ}!OpxLwAo z&QEebVtkXPyRW=<;neKOFXY@R*T=O;FTI%TTM@%{p6R&y{nO@Ko$3z1WSG@lH|@(R z+xs3Tj$eD_k|K06OsZ?Y44*oW?wT@_TSqIOo%OtGIM;c>CgB&gQoc*R$vnwfd2H_9 zAc^9c=??mymU9ihz1QXQpUus{q&WMVhOqF&(gQ!2q<xlhJ{}sH#Ab3JtWf^^rrKlj z1zDHMuZHq|nlI@s+wd@YO~Wmjxop3^{PxM)o~peNBK%adp#J$Mk)=T=uPzd)DzNy~ z#TB~7=}`aP%@5wICw=6I(sb&6shno`<dlS&ak0Su`)Mm>RGOFqll+yoriX6&;M{xu zP`K;t>UX~!YYrU~Rd?=F$e*X7%`1AOkafE1zsdHgrMGQsSDi=+kd^p9<=4A8$|{_z z^j-hgvhbuVH@`f~YPHzneV6?5*$(&mybgL&<n?mrq`JMI-)#%-c|K3N?d-ARukN^9 z6ng5}f3}9@%9>Bf+8=v%vMRJlt_(PMu}EosL}-_c?CA+FZYJ2oKGm{X@8oymp*-`6 z6+d#OZL@thr&R3!_Zv$ea;%TKZd)NX`<kyz%rg##4Hqky|4d-&<XB<B+Ui#Mw7|A4 zNapj#E(V>LdvYo^tF)No7?l*CE{{KPiP`;f?9J~UQIC#qTPm&KzJF%SR}WJY=ZHUh zGWi*Hvit6BzxIH+=A&Zgr^K^AAFTW0xa#w^bM60`)1JS`_p#HMQ?cRXQRf>K5kG4W zeCB&yS|c&7M&e+N!kZccH+ciAR~s15O}aEmee0Xsn=U9H-d7`Uufw3CCiIIz!Jv7` z9NDVsnJ%7d>OaS}b{Cd0d^p>tpy{P-#j2FjF3Piiwj#69filA-Qlbt2K0V*&Y;ATu zy~yaC-a!@x12z$xC99b)t1Gkl?mvIp*2SrDrGcmHslwip4Q+Qrj+JV0=V$fyh(D>4 zvRzVt-7+bnZ{vUIoi2$QD|qbhK9$(x|0H_(m-fmKSKWOZ>s6$_^yU7RUKRW`#4`N+ zBA+cq-$Xrb#(7#M-s^jvSUmaf!WFWA?aegLsb8%76MdiM;nhE|WuP43WuP;>ZXPjm zV_?v5gB*MZI&e&{A`iX_l!4RX+kT|;mJE#y(~LmpEt!}Z8uUc#xv4)b`RLPL_HI|{ zfAJFKssoIxZ=1MY_UeDi{B_}{KK7Q^b`N(QX4&w<`F|jb_L4b*9Mug^*XI^<AIJ>$ z$+i1svyJ)WqFZqh&Jn%K{_7cBsLx7Rx%C*casHum3CYd{A@-BwwXSh<O7C0a`K5c? zkt&typRAz^7$5c3>g6di9}~EGbpHm4O;dlLTAevhW?g&&hl}crH+nyX>dg~`&gyxU zUhm93bYsG=+lgh*&F3<eu;ntxMC$cVw+q{GFs;^<gXK=})<w539lUaQ@)gIu3G#t~ zT5lOwdg`RFd7~^NzU$ceDO=9Ctu9*2|LOeox=GLTk7Ue{2>-BpGxxdpAD=SgS&B{T z@&&fc(Ua0zx`X9?_N@-dFZJOkgcDPXUg@8HyxGf$ljA{c--k^xay|=6iY^Ooe0X{D z_kRlyar&j&FX?1n#9Q^MLrnVjy{cWedY9{GT)6EJExR{@Vb25&uO)U@Zp15zR9|X2 zx!gcX(X(XcWRZX2)7;&9J<iDaZpgb3@2oyG(QcZMq0Fr3Qa657RB9|dvEdcxyaLtT zV%e8JM)PQ;Dv0O(>ui4asOzHg0V&~?pMRFOsk6&)tWcT0dA(cF*R8!KmoKe)8NJ}8 z(z8{XQ~xe)n^E;5I_CD4x!a9Y4mhfZPh4;Q=}!L<zc34P{w|Rhd&DRCuMeAgk8Q@3 zK-&q^%@QtXWqo!`nj!j}=XOQ=9;<nW%ktiGz3=-IbW;CkO?AwhnBw0%%6`e3eKk2B zeB#T@$`^J#jDMUic5&YRWqV(@wo#n#>@|+!HeNQ*-pA^@<8uXeI@^|7b?baDD4BQ5 zU0v+a?#0=SYzhpiRTHA0Z^)g%wr=wQ=S4TIQzHMIoc>lya?)g>uxV>_1U?wKhn*KJ zyma`u_=R}U#piUsGq8P;Vp(Y0)UBJJWBFL(uK%TynN181mU=wL7e^ROWtMeoDh#fj zEb~<^$ZIO=x!D2N{{G#2^|N`5l9fz?U!Bb_7u#3PFS};V;a}bI^-lbWUzb8^52V|@ zT9tBpUX$f#wwH4g&NZD^5}6Si9~E{y%gH}?s`ehOlV)E&@oZC<;(fed#!vi@o9l~1 z>twr+=~%=?2xebRs5f4!b@<4F!>@(E#;iNFZql9G5nDp9r&e(!vg#RIrQiAXqLKIQ zqN0X*H9;NmzmuBzpX<DAoIC%T(}&GVCf<r=x-l!RY-c8Ge1K=hmg^ipul<_wqRYgI z$-B^o@zc2*H;n&Za+0=byei3iYu)Lv^OG*GNc}&x;q%w2pC7FJ`|$Jh;2Rr!W@{Xn z^<Q<-8q@yp3O1Q}iS5r5baJh849a_o%4TL9O?<9d;&~w`k@@K($32%Fo+-)w`)Tys zdjG4vYhI+SJt&gCGBzeJ!(*z{gIm!p!Y*Z-78e+*KXns|)4BTI^DxJpOa?x!(Cj=* z4ucnKwz}wD>D;9?fqQyv+}i7oZfvi1I?h*K@MLR~++mjQQNbLi5=115vr{*6Hq3O& zcWV0i<D0zw-{@QKlD1rAsoj*7u={U#+;;8q659^7M%#?0<L_P^ld0l#D{EsYs}^F< ziVBzB9M$#XfT_VhtE`Geet*_~m)*gve9re>(2471f7@?t$e*QkCUL7yQ{R*&<|o6; z>SuUHzbPp{U@0o#|Kn7^K2^^W)pZ*eeqK7M_STJb)w3PzcXMmpP14l6@h9hcukEw_ zeJ&69j#>+;&GFm&Eqq;icKNBRBBEDjPTFO=^uwjDjvUY3#kD_{8PA!~6<aXd@Y)T* z*7*^6zCpeVk7fmZUOCI`L)P>Ak7TSPzi>>+5=iL3)>ZkIWk%nc4Tm>OjgOv_tM?=8 zw2r?{VFLfUlO>S~{GA>9mReqITb-E|eCA*M-Me2`xNP=(r~UOz!Hl|x)4ttMy}R7D zkuQD9qIHag|6Y1KC;gF<T37J!nWoZd$%~$Am+$+irD$s>dQiy6dFGn~(*!%x!ly)D z>pjWJ_v(tk%6`k&*Dnd5DAk#4GJCbjg6WeR?oT+BBEP|G$-AH<{Zp=byo)M%J-__d z?3a~{QVLHsQ&t@B+qUM-A$POmdefVv#cFQYsf2q@uih;nb9EZW-ba?U8dl*`^SFGP zgfG8!(YWty^X%50yKAEz?gmfe?~;G={OIGiG6yfbSvdP!pGcRb+ioVST-)7zH=h>D zDCRL5e4TUJPF7u5-9vHbibyv_ol^%2uZLf=iJ8M6b1TmGl;t&P^^<xHE44Kgcyzi8 zI$7mb1WtGDo$0VfwC~onQw`dJQuVKHRJ{_*?_05=yyxTtMKdv<@)ePR{TFMw8TemZ zWAodtuD_@#{OiU=g<G%toV|amXPT_`fr<cwRBvf>wi_#|7i>-V^1>&;)-Z~5?(eg| zI&a*4^yht*-@0iR6TBWYEWOOIx?ziknSgeUx|h^acd0c>_df<l?)Yn5QZ;26m)REM zs*A^_^3S`RZhL#$@r<8$i~q~5UEf=;rSv_#Wb=FGrmXM_Z>rK51HM0&5&UFh(K79j z!^BmVQilvpH}ub)c84V>Tu$({&#QIUtpsiy3Gth9NW^ouYP)Q9U$$b&o3clJ4A$J! z-W3anU1qTjvXy*w=oPC9>*PAWTho86%Tl~}Lg{4q=8tjb+RYl}^mCUdC2k8#o+mkx zGux_GMeWgsZOJwrjrVp<n(@efRZj1##(x>sdGb$R7DcD|m3%1{6hAt@(w_I;a*_P< z?~+>!`xWMMGi(1?k^ObM!Eb+qm(tBFzg$+__*gr)VB`GAuJ>-A<KG_S6JuN<=rY0A z-GgoZRpvW<KWkbKtgv2r?Bb$k-K7p^r*r<>B6NC20sk>?Ga=rJu1m8wtaO-DI{nu} zZ-bV&btjuP9)0lfY+}yE<oD6C3z-k|yeVz@ENa!(7~Q=j=H<RQX7-ak)=YKV#O08s z@|0udmi75{5=)vS?U(}AEjna4{nRhk>P*FkD&_q9;kgGNFG&6}!>E4uJ}<Y+zI~SZ zJ|!+PDSJfYzZ*r!X!?BaxcMyMTzdNn*=5$r2{W1(y?bcfT`%u&wf_3%wGa3*9!*@R z^+bN3dIa~Q@~f+JlTGsEIse^0X4Ue>j7v;LS@KonwUe8Aw37?X^MZoD?P+~9mG_kH zuD$*{jAYj=u&!aOasN88+=QpwH}La|s=puau3pEqX2CX#3iFeD*081+%)GQ#A@qTA z@WCgGx3XWB42lSwmSgebQMTX8cCN-N3wK(ctoi@u`G#wK9@Bol*K#p1$c^4QQ@Q`+ zg=6oo<m;U>*cEBKY`yHc)BA+NRocFskXq@e_a^CERCD@~J4Un4wlGYXWHGC9SMSvG zJuCNe+I)>!C1fw~t9BZ*o|5F*mWP5%Ccjy{@%#P|9>#64M^4EE*1h1XVQlQqn0|?Q zxmwx9Kk|wf>L+A-zF-s2I(|6M-F9QJrugo?s_8|q_mm&G(XN)`skp7`wnU@oqu2A_ z|6DTT*(TMOJ3GGGCoXE!JuuJAGx~Hu;}7M3R|<Z8$y+*={dKMLiJ6Mim=DXAv7B~S z(LC<6I(_c<&CC016@n*7ZN8tf`A*KP`%8G`Wu&L&e0y5IDyJ=^MIdK?<d5I=wJYvE z(@`oe>f7;C_|4X@1;s+SY8`u4ZGWhz?)ra@gEE)x%zK&j46_#~E@LphUc<30^<E+K zuJ}ZwHJ_Io<-XXh{J6;ATaI+x(uh<ppChimt{W^p=IyJ`DD+#hV;irT@u819v$p1W zyfrovH8+`5TRMTUx&Pj!h0;2_+m+dxI4xQ>-!fxqlM&mMH_Pb%BfGA|4t}dm2^K|8 zrvD!%7R>mUu;{g#>Wc&4R-BmHoNIe?{=sJ{UWeXK*JudsnwD|$!Tz#hEB&JfA8zFH z;MyF2B%@2mCPr<dX$-H6xz54&dOU7c*US5UI39XhqQV<_di^wwN&A;N^lws8_UT`r zzqR^F-D}oMJi=?8zw@#(-`;U%zJ9&RD%R<T(=S%<UHb2G(nNz@p^LbZD)@Mfj+k&I zN%nFIo;FWCe^2s95C1P)i+yG1^1i5;?s?ks^Qn>Wx4&Wa3754N@M|6p^tlx+{P5c< z1)g4`EfI`b_qJxVT~ct}p}f%OLbzdJ*T$eNZ*~_>Wp<lw+O_NS^#h(elCOCtu_Tr| zSzb7wIPuBz4TWpl5{(kh29;fK%?*mIXFs`O#ZS-gdtO&XFIo6C)?pIkhyI#B9-G!} zu8k1V+o&A&F!05%FY9+dmUoJBzq{$c<Bes!tG^kYwdDQuaA{jf0n_3wmfrIBx|?^W z@?Le;^Sy3kGy7h7#A2&#U+D|#b`BNh6|rgiS8US}ty9VK=JYLIW~F7zRoAje?+ky? zxmkQGzVuvoW62DZxYnWQd2YVPvL~`nc55-WiiNf?ewuNp_+>BG47G=Gvv*6YzS}T! z%4(zVR&gmu<JB!^%mS1`3K#EvbD{D>gU#yTt&iWHv5N`RF=FTB4$$ALvFpRS@H!q5 z)?-sFPl;B2{J1^O_Ty2$!&_~RWghr`bFC0t=Qf+&3*?MWOB|aav5ra9@&i-g!S#0c zubX+O^Y|vjyzt(*ZUV>h0~bGXL}ob7bxN9ZX2r3|0u>!=1KX#)OKZ)IxzfMI{FS0~ z@}j%kr`^7-sLJbQ<(T~BcAc%#>{sG%f2n<Rc>V3jeM77L9j}}21==2ym5=FCDm3ep z^;R{R(cKl9cB(CV<(Ve`>ylgaYz*EzZrV5H$11*gwUX0jxn1Tx7h$TK{8U%?@s@cz z=QHN3%<n3(-c;W5;JtL!jT{5{UmT`goovhAKS|)&DYi<Kwf6?wdS_p8r+$5_3mkQM zQzu0?YH&Aw_pjQfb>x%nqn&k?EjJ5(8S6=(m~?U5#@K~U9mN6lsY~^kqGl93woN&y zu%+Jl>Ni=1{TxQ>Uv=gz(_h=GQj*=tc+pVk2z&LEM|PK!s-%VM)&<>ZY~XL%dw*T3 z(fyxWtxTTZEB+gLg=2%0spv~R%en1R2D1|nSF?QQQaC4AG^up=f=-r2FG3#eoc4Um z%&wZ}iPrHyTJ1GHYE>NEQ}%ne_sqpUzgHfNldcVLu;@9PxlB{jT)#&_Jo5O=MC*k| z&Yv~pn)2}Jga`}2Gda#L_q}m`#`Y<t-ZgXn5^wP()BY;XTeD4=VVWfmx3-xlYoC-! zN}260-JB^tuf5L(O^RzxxbenXk)t!SP5ZHI1gFTl8x|AX=U04twKi?b)%u|AI>~z+ z7v3w|#`fo+(Cc8AX2FE`>BpIlwFq1en-y~^t!Vec`ww6D^ZT(McCYrB>njxhE4X-j z^O2v2CX{hpzaV+T=i2rg^I0x2y}W%`J$~yObx-}pOYDV~8O%#gdppnLoh^5T-KMxq z$!q+QEei5x&Q&#w@_i--w0_>{*|3lQ)t}9;GHbqPrI@s>3SG3Qx&B|z+hf&XDvPUw zRc0+~TU8~{DjF`&vgM^o&CNaG(=r#gF5v(B>+hj&%ex(_(&k*ad*pi2dB&9fJu~gs zY8k1iJiHVU+4!b2rZTTjG}|iqOUT?u>$~E#KEzDV=*pPuob)f|QFH4wjc*0d&5Ly& z7;%@kpB0M#dv%TP9{->>iK#Pe-^BKqTChf5sVS;zPbvsszs>A+*pqv=o;3W|agK8T z_(Snv+Phg7`6nh`aS<?25as3Z&k^cQkee*DtNq?Yfgb`{XF{9S|9*5b&HUZAi<j>& z_`2%ERfg|dUAKkVec2lKFhwY?Jk{IuV$$zVT<Vb=Co(4Q`s`P4xoyQUmQGgB#I7kd z`4@!*cRg`Etn#MH;=K1cnZ<8=->#S@>lZc6Z6jOB#??ld+P7}Z^`B{)XsK)xey(`& z5f6p<Gsm>buh+E18YtNo-#DbT`C;9c<7*Ok`@dLwHzNJvtVJJ-*VZMsaGkSxvHXmt z(=6?J*RM^jxhXHs6l}h*^~Je0d)6JzdNAjyXS&adb4iO&zf3O>+_8W1y#TxBwTH64 zU*k+xy!!w0QM-$Krbp%eVQ0GInQY^D%=64y4%UxT!ds$a9>pX}CvP<hOqp+J%oBXp zlcRpN&9cX?`*tqlW?u5>r@`6N%whMow|fWgcCX&mUutnh`Syxp>Aab;xv3X>9-n$u z&B)<q*r$5MYxaikZ-d$Td8C3hPMJDATjIpY-_o;EV!|J_xk>M8J}xTg$&~&6)pokW z!A}uf=N~>Z@0)AC)-)kRZ_(d|4|}C=GcjxpH>fvz8^CgR@oT9#zQT*uJN=h_4v|bw zUG~pq6Awe|iPSH4$(dKrPx}5|`1;ik2j?<{y%c^t&11h^>BPoIH_XzfzgZ*o^ckc1 zx14=bcQlC3&G9Ofxy89Ar23!t|NWOkv#-u&-D=6b_P0actFFAeQCTm)#yD!|PZ09r z*z-&C7{iS>)em&KE#jX2O?`gtf#<7~&b>3l^QP!sT7FilC}QhTd4}2+c4bEI=<tW` z84C`Wh)>uN*Q&&O%JRsAOY8DwPD+27w(PY+>{in!$1d@T?X|xjy+En|^Y*_JR^Jxp zaG9rY|H;33DkUlJzD|lV-6fKnt!7d)ca=T&`ZOn(b?*wUPMX?v?(vIwhaj2T`z~b1 zT$XCG{&0??Ln`Xe``z<<rDZmEoU5AAoAKJ-I_FW?6b6?6v9m0bCKQ~{yxZ3!d{(dN zT;jgq{qgmOC&@6c6|fA-JYsFdqw03I%9HQg#}<~uCcm@!#De_KiAG#I^2J}CY3Avb z&#M~6)}IavKX6msdvCdPf>h&W?Uw5gzx$*f-@cmV+Et@RKP6fM^S<ziU)U|kT{H3H zjT!&s*UP5ezh$qyWuKz=sZNO(w@+#>_OyC>%AM=cx^`CoxEX(9%JZM|DPHz5Gtz!1 z*>>M8w!i0Gul}qHOO<N(E@6>hZanL!wUgwmwQ3tKOpuy%-bU)^q)&M#ng4#e+hxEr zU#s=rPV<KhC7I`z-Z;zjG><)pbHfVlx~SCeNjXlh&+th-Y->Nd(Vw}c^{)M*#O!~m zANWG$x#!17M=CEnVUrVd>ha&|E#(bUrV4%jyZTP<8TUoc83NvXQ)f|G@Z`VO($^mZ z&wb2`5V^>;uQZ(RrrN%Bt2VcKWW_DkQZ*AbYCg`{_3DnxqKDx(BYEm9bThjq9n;<J zBz|}$_lXLwnL;s}XYPJ2x#D`v;qyPwq`m&X=IWWwQwzVCd^C&*u>SSiV)-T^d1bZR zU8nf65+B}s(e$*U*5OuyA<M^aJZl5&>%Uk23|wG*b5q3hr4M6rcuPw+_t~%8b2?Hn zjD!73tI4<U_`{8Hd(Thso>thi#6kGSjZ8`3%30^Cr$()EtaDWP7}j{z`pRD4<J0P< zAG)z8;N+!)4%3$`6mncIykB%hLag*vDNDb*KSZsLly5u9^WV-Y;B3WDkHGkREj7~{ zd283@O|IU;JX1f=@=!zGZJwR87|#p%i1F-po)D(NTs<vRT;Jfax@L!WfC|@wJAcAl zmG^HyFe^d-Md{4k`d^j))-?ed%rcJa;tu>``^0xba4YA}E?=fbuH9z*3uKO}eCW)+ z<#A=1es;MZUw-xcZtk#%-MY;aGHP!e>-oCEiCZH-J|UyIxqBz4S2cg$uJ19&(=Lkr zWG~)#PK9U7`<#s13mnqU>4vSF`1|ynq(5I?PV9C5>F)TEuPnF!s?809L!wM9wb}9? z4NKPV;dA0Y#{K!^%5<+?p*yCDIyd>gd!yW8?f=&?@OZ$v^Cc5E8ptf+DqNf9o$#Wt zS9+7eHHOKVCEqV>KbR)I?96J{Hygs;<Fz`fj>~V5a`u*8z18Q^?00MHHm|DHoGSM( z)$PH7Uk^JDm1s5|u)A*eSotHfM@7Yy;}&ZI+W!B!vvu)Ir^#toSTBZ1`@Fi9<uD^L zCtp}rD$%^{-nWkT#x~B|nPd;SgjYx1tzDC=<(Su8vEk~0yRENlxZ-)5QtGD3?waTQ z!E^uA)17m2oEQ9i*vzH=Z+l(j?f*u%E`PkFsS^5pnbd68+56XIY}@$Z(R0J||2H3K zW)>`AFz8Buxv_3iKll3w6ZUV@ZL-vrJNVSfJZPHaj7xF->$c2W!}xWsNJ*0J#OxR& z-h#`9TP9xk_viC5{qxUwzxPKmUfgrX`a-R^o4f3%DgL`pUf!MdGECzcE0bSl@!C9{ zv+tejoeIAGjZ5x}YKaR{s+sv>&B1Mq{(Bd4OI19nktm<+_~MG!sclcUR2xjWb=vUB z9kvg~4hwB%{ktE<amw4QIwV{1FF$Ij@KdL*^@Rb?qy<hbG>^T-EwPJbjr6gdg?>+U zBLekewVN`QXP@Tcw-8)#`PXFqhwgz1Q@1o8+;<@<<eu9Ci_~B0B94m=#?3kY=9L2P zBI!!6pb2uv++;!=T{D}K?JwRE39&pEG@)evx_9B7(XT&=8wSW$JL+!neH&$1^C`>X zq1vvyUv})hHsju9K7I+SYqOfHI&~*4_`Hwdz@+<cRYV;$A6`p(@Y`=mY4Sc__Xbgx zS)NmOXZ)M{g0I3Y+Q9dsVwxetSDoWE9odf(54qK6@|6E{Xj0BKHRQ{Ab~^5{ZEk4+ z|COYOogCNxzCA7a@nF{m7W+9)v%7>1d3LER{x9AT6Zej_>gAC+Howvranz~$8^-%d z^`Bnp)8Ke0l0lN=>#}XyAC~B|%dVEPy}>KJb@#>B5i2I7-ibQ#Y^}gG*3(__f3p~* zcW+5(l>c?DH06-N!u4M@zTXbx70^?RH4O^r?CTTwv&zbhF=_+1%2Bba7DrC{6t5Ps z|E4S!WpZ$lxNo9JlVI2c@qbT0J#Z*p?W4f5<cH2-yS;*Go^}mO&E$&j9iI7r@|h*) zt7X`HTqbMXlAN0PB>v)s_F6R)nZo207bCmQm|d%NPd6<#e*N*O!&bwl<I4_ycI*_* zIUg%m7a(`{cTi-=Zv8n|9-QTx^pN?m=Z}UanWcx#yv*9wa%WG?5}W?nP<dVCgnR#= zGtXa?F!7_hX~M7RPd{}RcwN2`u6<);durS1`g3K+@@Agezjk6+=GUNUdY{q?_@3Qb zcUR%evR(aGJ@tx~G^PJ6D)V{a|Md2s#bIWhu?D^kx@NP}!yZ3K%%4<x+~}V`U7}oO z`qQ<4Bn&ts?WP!Z&leE7?DytP5YO}S<+(Grd2LgQj0npUICEvyk(#WWzb|f>n>Fvr zXg^x_tnHm%Y>?#r&@^q!i(%ba`ER`(vhNEwy*7-`T)FVxr+Ft`*t^-37XRs4KhL_V z@aq4Mi(b}FjJncz`d7O9)?Ey94)wmAwrzckrrhLZ+q1-WKfmd0citt6ul~5(=BcS& zTIXYyWVpTFaU}DXPVh-zLqqY#fTovDHBNVCxwM~{!}P8A+O_Q#C-j7Zg}m<y)l^+5 zUAm=EGyll!3m1i&%&$l+)fdqCx1Q@sj*^Ggtk|nAv%-8nirt@cDorjs|4q;nvqsIA z|5iD)K9JsCe7>U5e8QcC?1QnV8v3?MC!aqevz;r!R(<*tCPuZ~%iHQ7#il<G`hUcK zmgI&lr`dN{2!ETlAy44expy3US9Y!V`(Na=!2MH&ey^7$TkWyh`rYD^tNx$PYfo2x zJbEgDe^Mp)0o&W{{*O-W`dw=;`{|kYoAlkKTJC*wb@&S>BxQeWzH|SnXXU4Ny_*z* zgDk~f9dUZ8em`;6*;SwU_7rJ2?PLDFyX5KaWz*u7czBC$gzsxn>|6g(W6y)Hy8?st z!+pN~6XaHD&xw+l+@Y;)X>v>}Qpxgh{9J`+pFCx%{3llZd!~C<pg?2A%FQ;X>({Ik zuWy`@Qur#dP&qkUMZd($chlnap?l0loED`{Q@<|%;_kKYE^}QTzg9l5clE*Z;YK^= z_=lHYStxv}PWb+`V{859&X@A#mw6Iqq<P)U^WdJAh`Yuro3p}>n7pv_2(MbPck5oe zZPU8TH}EZR>y@8y_tx&FHFLXkT{e9?sLDO{z%g&mlIFsMc@G-G{xE#7c-*ijIrgl~ z^tX1&5rxkA`P)0>6NQ#_cjnC(Jl&j_Ri<^;KX>Qt+k9tkNqBfGOYSqi$aO_k+VZ~R zipN4zRi-;e-G9e)XovF*=^ZnR=Pfok6!i4V8PT%CColcU;7(ijd}XMU{`xzoR;gW_ zdsn^t#f8_UeBZWiE;+LzL-vuNcn*WG{gp2Lge_@IDc-B+7M`hPyx<-q&VDQ=dfm>g zj+KS{lF83+<rT)9-)B(gv_j2p(=+?r!#_(n>-l31f<HDbJ;s_dvFP<g`#(1_AL>kQ zyLdR;bsxh@LAlBuhMY3*wU{4<KYnX({YFK-DmL(^|J~HE>z+-;hc0hDk<Ko^PHa}o z@dv7Xc0Tzb3ys$0DEv!^tTTA=ZQsIf=PetVf3yi1hOQ6je0S-lU|+GNcGbe4FSyI> zuC1-~Hfk_Rm4CpnuSHY&V^`lb9?{&ETle-zZCvN~{+bFm%dw_Od3?7cc|;ToUesnd zu|1r=E=0a7VBZJfZ^c)=7cVfe{{Fw5c~bL9T|SE&%<)Q(-<IsYe^f}(X~pMEIo78; ze$3VNm!7^T`hLV^>1}&21f?(Uw@El~`U?O5Gp2h@ilsjMJ2{7I_it160NeFnE_}~j zQups^T1cgoY0Rp?#|^Jsj<%j(8F=8Vo|P24zM=HC55DOdwf|lVU9-!({NteL->Q1w z1!tmkcKqXe5pd-9zWz6FB;K-|vAMcIeE;?1UH=)(GW_o3sy%U3VD`GOXJMnF8ozc| z_x`XYY~Pw@ZO#laT9oiWJhEw>ZEUU@hrV|!>vGwZx&0P$5uIlrNpht8x!Bvfpj}A( zzi-X@Gs|~XeCKfB3)m}WZ@ov)bN{+?4YBimj(Gm(uJf|k8hO1a=L*-|ZEhF#=DUeY zq+~J`z5Ma%d9GUbEQ2RLJ0qOt9KH4Ja=+Jxn6h2gt>*J(rp+-g`Fns<i)rZvmX@>g zJ6pThM5IclC)a;yV)<BHkrsY6|4LP&E_-N-Uf*J=WqQTWmJ2Qp3aVn&lX~NtcH8yi zmum;7&J^a_zo&18vZ;q7>vG)%q0=XA`mgwJb?1|LQ@8!Td_Fj&F#2=L?($;&4bq=K z`&wL*p5Y+2ai`;Fj@n;y{DUVRUstn`eWT0<{QxiV*eRUeB9Dy^=JDAdzx3w(dZxzM zZAQ!HJqeCDl&7_tlf$>8c+Ls_IXrsKYd^PIEM;G~>8{729gVDd|F5hStGm<7vaI@8 z#WJo9j>+6Y2kpaeILP;()~k>3+4c0;Det)C*^|;|@|+Kte$2Z4&KZqQYnCh)ud}Mn z3tiN6M$Sn`bdrhT-{AiHZ^W;vJ==I~`Lu7b`}caA-swp=>hs8na|TO`rqRvrRg>ap z7k3qAE_09TUwzg2e_!MS<F*LxvjLM0lTS{x>t9}_^+dDsCR51G8|*h9^__c_ru1gQ z#;~&M#exexOr3VvG1mL^EPws1F+fgyS6=+Q=T5Jk#7ZO<f1dr;Vdt?fHB;e(lezaT zocJ^(Y1XNOCHA4t4n=ZaeHYkV@3|;5m2Y+XTOV@y&iZv(rxz@_Y|wcy<J^k=RZ%W$ zJ$;WlHpfp4nzR1dtJOt}IwqwX<wPe<E|YpA<o~S5D9OVnxbAhhS*PfnU0TtMlIs6O zHhpM{d&4I`HTFf%^}d;#Zm`|>`#WK-U1Zwghi~n<k~GfWW&9iCaG|rq;Fp**zjeU1 zpT1ouqy4U=F;4NiF{9wXff&{wf0<_KGQa!eIaBLlBU@$t-iEg+Kd(k^K2+K_>299k zj|Wp;^<JC)K<bPBnVglYW}dA5m6^Tyu;&W3m#2?Ta_U!Qj1?7*EWMOcaIogy8L#ab zWl^hRdiJGhzq@&4>m3U#rWl<+53fvA4lO@^x-D2Gz|d5NTiT0vhi_Kr#*IhqwjOSN zte07re(2tWXMb`Z9Xf4tiMhr+dO=iwx_#ZedAkM8@2n}gw7@RHHMdq=RlTBQ%dP24 zPT!MLe_wWRtJ}SE>o#gW`@zwxysWOAv(l$g#>`SM-|oV)55Bp-R-3Oe6AlZ=oIWx6 zsiJ$>hnnPjS8Gq#RQ!A!z#6vF&w0Kqd+#MyL*bT#?{gkkT)1QFb+oqRi$s8exXjJT z6P5<@tY70RH`Tc9uf&QL*`{#KSfe!=68ALN&vF!<SDz5I?ZIrjy!36`_kP%GKV>7I zuIZgzv)QK%oW!q}`v>@BTryYDbNM=bi^0vb`+r%?CMJ6>HSK<X|Lk$wx-S|p^@O7} zV=Z4UTP?4?qs*;d{P^T}wogSacNYBq+tz=&ec!C$?d20(cO)I1bHQ)}-xJ5Z?~+vZ zfB61yd6%rS_Jzk9FDAWE&OGn@_M7*L1Lv!6S%&<xS7o!Y{+XJad5(Kknoc;|>aRWf zU4*6xpHpaAcFs4)@&QYs#J;(4-?J(`zc%c7zGPZ#Wp79O1l=`O^K7M`xR!;LK0M&8 zX4kTSgQv^zMC}6JfQYU8+&)}3yE8+JuVu=-+q<-a_Z;`F{>>%+P4Mx=X`-eEH?DKp zSDVb-DxmS~pTXy^vH_n<v>4C+H4WPRJvp-O-I_kf`t|9&tyR7@YSr^NI=0JpPSxBP z>HNOO;#}FLd)2&IRT<J#ZJATM=cdP4PE1s7l2lf^^2vJD%>!zZtUqj}7xSOap0;3J zM}%Jf7Ndh{YZV_%IeBrHU6Yuu>*sL(Z}Y!NZ22vdl#vv4NOqZ;tMTqbBL4Fa?Z0`e zu%*Y#;mY|IONOm7%WEF4{3*D!`{tit^Q=~%arty#Z`$KuDmkpZH?&S)F_AjhTbp;@ zxp&T07NPh`jUCRbTCA^6o!Dflpd@#gJInp!3t^i&-CD+`KmR+vy7zp#IA`If<1ckI z=k<Em|K@RiQm3#%_ld=0<NVXhdn7p+UU+N?+2(8g?!#&!)g7jf<@3KY^PJ4S)3zhO z>geiXnR8Y?j1Qb9XLu|)aDLYfHl}l|C!JqSXu2NptS}&S9Xpq5&cd@1y|=xZuh*R0 zzeP<y$KgtHzI}<+Db<E2Osl%h)DCV}oS2+AIj{a@;f1nWr8_EYax5l%z0Wy&(@Z_i ziR!o3ygpQVqFDd*|8uqt_Iv8tE@}B1%%5AZ-L`tGe1h}>o0<DoEAd`joZFKl#IYvM zRKhHKU9+2k(;Q3Ze>Gq4hJ9i`s&n|r;jP;v7#0fEPujKU^~Lk=*;hQDeop&Qm#Ej| z)s{<5Z8e&e`ZI5O?D8?RwN7?-tBH@k_VAXG6MK*9+~l)I^1miriT?L?2Ggpz`k6(K z-o*&t+!T8x?3DuRWrr^o4=!rYop$K%blb4Kk*~NP2dupPMIqQ%eMM9!LlML2g}tRo z)4bbQUYtn!6jtZnH;MIHr1mCT*9H4E`S<=hbze<ZMS)4jB|$z_+5TM19=B894}}W1 z9NOu&uJmA|&hz&d5@%k!zT>9zeeP6754RqTFDn<ccf9hyBC=fY<&)mrQe~cbd24li z_U)hf*6-L}54)_*&d-xL{rSu8x+WaCeQ@91`>ed`<vT=t&L5w-a?(CY{hsVS(-)@L ztU7(Z@TL30G>hiBe_3QIP6*0O?#Z}Ul{)wTw6`;wW&3un7D(G)Bg?>PK26CrCGqh- zf$U|@W|^<niFJ$Y%$&de$?WTuZHm^L_I2J`n31dI8yzg!H}lcX6T8%PKYS2g`Orwu zCf`UZtte=M#74v9<ZoQ@_T1as-CQQmJO8a=*OizD5z-glE&gJ2>B{tss=W&@-!5-o zea5Zq>A@*B&xIB5X+B%mA0NcC%6hKqz0|P#s}C=q7gQ%z_-)3%qf_d=7eD+ku`q0E z&C$hYj#=(_b65Jg=?>-{|F-t|d<cs@e?<D$2j%jGGv1~OIsdYm%AK2KR-zl(__A%2 zOWgUe4NvFD-%J!*xArsV?!CG;C1Pdw<j=AkiPTuq!^!JqWLs?Xrr~e8A1|Nr-CB?0 z9cM2aylXCrSY&%zpJC0ql${gLrfk?-ZDt*@ajj6N&n=O|o0K^vO`GbD)M-8F*swGH zP!a3yo}}H`xyy4`o$==QQ6YG2v)21t3s+Py{+Pabl}ztbOV4{cYulwC3cQaF57%9} zbL+p_%eO2H`x}q2TSd=)zgj2uIFEWa|I_NXYgnrm|1!vo)L~Ivpz<V0@Nv-93x*z! zE~X{N6h8R;_{4qwxkT^tJO+nl{);Y5v8vfxQ=*`sE$7MXExxb!lT}ys*-HjSY)1oY z_pd1qt=!Ch?0wfHh35yqCFjTM-|a8Fk$h|8jH&TFbE`I0Ud%6N6R=+U@zcb~O+ORA z)v^BdU;As%@;AR&Wh-Z{&HTh3;W%}@Z{9KG`-{6%r<jR6*lDQ2v2KG!+_o)ED%>YN z^sVL%Sm+?7Y-ZcH;?10^d?Lkn6P4Z^jB|XgZusn(*6g<ee>lQ5{qFp`b~k$tXL#|a zug4vy%9thWQF`32`GtuyU$x3<@!$Jvt@Zw$2v)6#Rt&0$c)p@?@AJDt2O^fgWXS9N z6tYb6UeM`AldoaUza|77>s4H?vHnKz)Q!rSsZM7tw(9W)Of%h}<-F%i=v>wNJU0G; zJ=eWlm>&fiFIDgSU#!1%_ZLBqJv?hRD$M_V@<gO{OGbo_q}YX$lAlqITtR1Dc1IV7 z2tLo6EOd#*(LJau<)e;Fa4v7-?n&{PFAcvGx87FYFD9GD&gmA`E^y3o)6KVB!G|w& zO8;Mb_5^dP%FA=7Uw7`$$j+)c*w3ECvCT4sIc(Lm9Y<%+T-P=IseeS@*Ss?+C0${& zr-p4+3!Snt_u@a7J+9$zPt86t?Rc@`AxlQNXKd_T1^d5$yq3G*fzD~4Upw1p=!J4T zZdx0BVslu`g(Q!p_6ezaLZ_B7@*7<C(*E&A@ng*+uFJK2YY!cdj{RzIL*S9`-ncs6 zlErK*GAEuDiYVI^I$@jr!~_wa*!vbb74Od;E4nfvWM4;<Q0ZZgQ#%E64meGnsV7pD zcf(<`@2w;1nMZ9Vue-G3%moG3$~x)gT(iXlY7eV?tUea{Q1au0DH<PD82=ZZExYb` zBt=@Pp!<iR+{-6NqIZ|6+;!Y-p6fbq^X{TEDj96ovrSG&ALRcl`d;VD)m}c1YiUz8 z?W4<P`rG@@-)$1LeM@<N&xM-HEVt$|?!OVt+m`<9(A9FWXJv_Y%~feGy!`che1sDA zTK-b%Ikhg4&1U^C2Gyq*#hc2alh&&KxiE*5DN;do*NWQRJ0~gL)l#$mq|aB7?q9~d zqpj&mfb<rQ4L_y3Idp0!cTbja@^sOwXwRwY{vP#u|C4sUFyVmgh>zi%@#(F?X`%C2 zVlVI12>%zDJ@e9*Wm~=~2AjL?x%7wM|54?ZpP4acFTOlAX!>b$U}4<aOfw0G!`*XD zmG_#8^hxYWulsn)o}q4fKI4nf!2AvCIOT&*{M)eX|C|SE2Yzw4oV42}7n9adZC&xn zyCCidll9^>qx$Nk2a$U(xyM%ilAQMQ`XY{*D<?N;z2Df#zQL>5B;b3cVQq+XSj6M^ zmt;2XS?IT-SY0zF@T#<eV|$R)v8>7-oAh6or&dO+>^+^YAow`9Y-;!I3AS^kS9IOc z$;$i@oVCYRpfvgOthYxGiT*z&{i5V<#Acqw+)pz0#NACh=XJ`(JtTWt&ZVw9CHz^Y zPJiYb=yjD!73i*hn%vua(fd`gw1hL;ft}9zD$gX=K7KIeas8v8v+qq#v~pc@RaUp~ z=CMD_4Yq#we`!?;{eAOw!kfOt69UgAwoT~>n`Wi^di&nXYmBD#{Ez+G5g>j4vFSm@ zGv#N`S2}IG%;o76y)HM}diOFG%LvAkX7@xFcIM6YOkb}y>0VEin9$)XJ_gJsUu18| zOy78{!|~E9&em&hB{Q7ZRvq~|y}&Q=j9c4wuE%GUW!d%EHQVo9?2UgHyGom{LH^yD z=O3R5y`41uQ~A_S%flZ%Z&v$|v*B_%*W2H{clzALLiyM&Ze8r%K7US4aKX*FndZXp z3<YA=Z>lob=^V$b?Hn>;-hud8To$@r)*BO}UfDlgaqUeZhd}ztS8<9PbDJgaYkV|c zJo)f?UjD1T`q^?G(=G<JEZdb@w{GI6NgLwNh2=yYQ*yp}>&r6ks-*^JXRS?H_135- z>O||cRXS^qC@=Z&d1;|Z`j*`tYmYD;dlLJp{nz#MjWxgSFsdI&W3;Hf#>?aMO?z9? z`ujUsW*u)4YgoB%{b{RrpRBn;owY;k=beiR-KnrT?xCK0!u=|j*0P=Uolly~UM@{s zv}>cvC#N@a;-=3(aqaiaQ+6S1I(^fFCqCvf*p+*N^IO{&wN)+u)~?#zb?nRZ>5~qN zWf+!<I}66%Q`wTm{hT}e_TqSryfp?FSA0_K(Agj+de-meOmFuk&#&bgZ;cImvh}cf zfOq}evll*AZ|d>b#4K?pZ%2q`@tW5=Zw4P*(R}zDm%vx{Gm(cQ7%#XfudPj<TQ%o* zgk+~&+(M`Ii_0XZ_|~;?+FSUQtbKcay{q2GZkr|j3@2tb8*&w}$(P@9+M31A@A<Ef zZ*C&@fs>C*Uj4Ws&uR62W$?cko74NcZ>H5LH}N>Gn57|iMC(e>$!n!d6S*o~HXRMi z@;#-MsDJj?B?gY|w)~D$rtfiIzUkoAv)_g1dwR1ZTqxy?|Gc~Pix>YPWgR`nhs~e6 zudb<bduUnsckQ%K7XP}G5`Xsds!i~e@17oXlzYj#n2UEKUa!nIJmFjVJ*`Oee^$jQ zu9ZCV7nMF})jFZ5{OBfAN>}?ar!_wR(|BB#RXkAMW+&{G5b(6Y`^^%A(~nn+WmSGZ zpLPHK!NP0**Z-Q{FLS~sU*c`(dDS)lW?j8|VR@Qef-MJk8ON3u#-8)+Ic|A9W_=1` z?P9Ol?N%K(ad`P(qE_29|G37UHLRU$_QpS&+7ozM;DF=l{F{QQPpoe!KRl78u<rDQ z=$-{`k`fxOhNtv@pOnh?)=+!e`qtlrS>eJH+taJn-Iwyo#&4PTn>XT$%vACJOZO*m z{7q|fuevoOY`!V0Q{~3eN&hw*-IqOSoR?q{SQ99kdgs&0t5(UW-TV!L^X6+e=@dKa zwEfAElXhch`>;#rRk9uf*TIbS`^=U<{bA2?yYt!u-P>N1em-uN@aGG=`F_jg@WTrx zzA+3ESrEVF^fl?;=AVyPkNn^Y5Y3lu%t;fz6{>Q_re(4Am)Cl$RN_x1o!_%p^HKbX zUoRr~r^x)ju>6EfrO~dt<~2cL9KU?C<R&aWcxsxh&F;;1KUx>vcL|-eaz@&#)8)2e zmZ44)=4_npUoNYCjI)<DSK#rBx>fei+{4b@IU6@`iNwv9KU@EBvRFNRadU<I;a^kP zmkIJJTHH@6VYdy^xc_qQv`x_-3wnJti%#xdo%5*qM%wX(dC#Zon|Dgr%+-`uRz1D{ z?>~`U6O1pvd$(2d%=D6dtaB1B&18Pz;CJ?+=hCO1-mzti7F#H7{B9>3o4)GK+?-c) zE^yWC_@?qW`&Jw4)0p-*$E%;_?kqV{8m{#1BE#COIxUrU-q*{Dj?^<MH8AHfu0Ej7 zxBjPuij&GhnR&Gvmt~Y`%x4k)R^+k5%dw=n?SV>R#+1l6l03JR+rGvw?s2qgjeKJy z^jAJ#?ZCHiy*DO2Q<weAKe7Amyps--SwtM#n!H&{RA1G3t@K(J<&(01-Ipz#f0_;p zJNGKOMCT^uiR&qBZ<1RV!*s@Y8}}OPqj!IFw@hYg(3*Rexm_oEj}kAt+L=(x9e)ot zC?!3&{7@9Q;Rs*$=f{Wl2H%=ESvY-*BlF2yod(|u4Fxtnc_6<m*TD2{?)l?NTl<!k zR=?k}T9aYH8-7NUnNPWm3hvD9oxf&v%ktD4TPvrqnzyL<&zpGaPKfoZqw%k*ih8Uq zZ^pe)I`#f;_9MmJ$%V3vmR&oR<S<p+yz!qmZHHv@lg&+s1lNR%&236bR<O0;h^y<` zcWO<1<BinK5lemdO}*(WRbDDPsat<xvR0$re7h+{3na2nO=`Cbs=C{x;bV6Dhk(b^ zx%S~c-B({8i2G_$Q$NSU<S^rvv#*pnwcVH{Vx96773$tCYTI!sRd&u&&G)Y6`VN`* z&DXA&&6nM<YT;x-Z=*xk_AmCfJCfA5_z?f{;=fmym%46<JD%yAQf6CdkdPsNb;sKH zZ!T-2UNc8d|J?dmT`IWanoM-*%Lj{dw{6ZkCK$W+@%lW5sb#q)mR>$i=6=Vg_-t6@ zd_1U7=|uZ^t~)gkI<0sY)y^o=ZLz6T^O;xv)Gx}h-1FyBzoyNT@3*9wYHm5taA1eu z^0j>|DOLBMJ$<&uktujyV4uY4SMOhQ@vLVG6s*&z&k0jbFn=occJ{Y_&tJ^9l=b-W zLXNF?SMD12ZOb~nwo7}buXuY{ZF$8y^Q2$8$4&MXEM2~U(<4Z3^U{YZLLBe@eR~$U zu<BEl*7CZpC%2QVG%p+TRIZrhvN!yR`~5qN_hLKxn@`^gnaKQDEsg8><;;_gx;MUs zo-uPaQ#)cl{m;cd8_w87uW28D^H|RKV(Oxw(^5UxGMKMm>GIrNsYgz@%X~Q8e!wH% z$mnjz?*;6iCx^{A^CQ!v^{1-w^$5@DaVLcqOye{7d*GXdsB8BLtEgY0;brIlFSxB} zoX*m_@>ork*-Vb-zO#Nt%}nJ~x$@KML)8Bd--64oPD=ebEwWPQSL5#cM%ywA|5@n1 z^0(RY&*$EpyD#30>NptRnK@&+z^0Q*hr3>+w4^36Tywu&kk_gzsg|(sd8U7AY1}0~ zgOW>fN98^2-ZUI`>Mh?Y^pgF^%tgBUV)m>($(z*ZqPpeYme;q~{xW7&7IjYYR9Sgs z>dF13O(Fa97z&?VsguuBYtsF2ENIQ#C($}F*3t8h?RhYHe)s!rX`%fh^RvrSr`+4I z-Gg^mgIshWd&P{DsFwY{xq0Ueul?8+I6d*g<yg55wtpks=1<bUbeH}9?*1<#hWB46 z7cLa>zqjYBoup;Nf77<D>-R0u*tNXP|FP(smL>AhUoW>F{Ui8BNbJjuwD2EMW)UCn zHcqtc`o_~x|5klJi<#WTpZZS~#BOyj5$cHcWL<G7VdC+f3*z@P?_J;WVQmKI*2VVo zU*<}jHQ=wj=xTK1RG^>W+_Z=;r<$`IE{7fX+~;3x&Ck#)tqBiW9x3!OYe9#9=~o8x znqt%cTW(*Oe{J&p-8_%dPBQZ^<z948eU7wvW6obShUUK2>GqTK%}<3oE@65xNpCHK zu%^XEk9Y41&DNJr{qby}bH|)h`aj!Nt>1Xn!Sd4LveV8>s|6QncbyOIn*Q87YK8Kd z<Q#jm84m?n`%1FDG@O;aP<HKMi5~L_pPPaOu{&Gco6_ez<r11$dg!Rne18>#+sU@( zO!to;Gs}5)sNdW7Yw!iV%bDx{PtktS!N61h_toZybIRknN?*AYd|xi}`Sn80e`!zj ztXg8SE539-+kVjfS=q_X_tq1i2URRw%+&uvbl=qt{1592E4#0sdiCu3)iagxt0%E- z{8|3B(K6#_{#%8OdoPMx`TKA8*?K6Qx8~QL9hcr@xU9}{Qd7;_{U+c6=bqRi7x&&f z!9N`%gY@*;o*um{z55Hd@j1I7{euRRZR9i!rj}_NKKh*O7?(UXe(#baOC8U1*)rZc zm{g;e(_6t%72j`lK~(A4isRoRSRbA8Z@VjBw)D#WPnU9?N)D$Pi!(iCIv%)9=IGyr zyYrsspL$yJPu!Eor<(uyY0+n;+DmSD&U($_61Y9<=bH_4jb%*Ra{pwiu2ES%!|R;I zZ|Qfd);mS)I^E}<<F`*D-2GTpu>0!AOBd_Di0=BF$h-EQ;FSoo!+*HbWlpX#Qn5U? zSV4jRlVbOlPRVTt4*q-by1&!pc?f@G8uP`YUhA*Q-4d8vsQt3~tia-B4L9sF(m!;p zV({Wp)=s{=!YTV%)}P!{Orncy?tb=PYh@WJcP?^$l$BPz#lp26I~?SLZl3ud`oK8G z&H1PHhTRuKYSO>(pFG8LV&A&X!laa*Re=H5?p@@lt|**oxMIPB;EZFQSM8$?ZsQBx zxnyJV%PZ=|M{nCryrv;~WctIflH&_rt)8thJNn=hmI<n7)hZGM3YV)iX&*Z0<iq^u zR_0$nRR;AnS+fJYl?oNojdic<ADE&adNEyq!E|55waH$;4scJJ{QS~9)6?^&PnOu4 zrxeF`A+}ZQ>b>lw{###zZoGOD829^z@!F@?R=vHL*y|lF6sFzNR(<cQwDYB7`WwQF z()yaMcU!%*uvj9Kb2@%9XWZSzCHa4*omkJEAZqY+Lf0apf_-<)Vg;ujvk&Rc6?%Vh ziJ#i@@A5S_=2+|x*j}!*;t<o^TN@2uq<oFN^U~*+<gc@@bk*HIrk?wMwOG*9^U9R( z^$BNFqd3b9Eo=p}vy3N3EK&L|{!CM-?2X}cm8i9O#SgN-Hm|Uidi?$C-)Gy59&P?> zsJp@VW7s3(qg;0~ehP0}%{1e&NPgeXo#%U(>dq1VTBBj$VVn|vc-3F=9WsCI&g}gE ztt>lYe@@C*9r1)Tdkvkw9>=D%^Bo&@C57~qDQwv~S59Q_lmpsd`>#)4AYAZn@gf%0 zdFTB3KblwTKKeI@>0-G-%9U`9FALJ2l&tr^|L?NV)XPRvCt7ctdHf68zF+Zyvhey@ zN0buUOfOD}nDNW%`)`qr>$gp}zP?Aq<>Wr4{-SAj`@UyB=$xmJqM)6zZXSc)lq~TN zmQnMzxGCOwUbE$%)nnO7SGHX`y5s%6=?U+a8dVfe{@=DkdqvvD(8fCnv)3%SP+@t! zD0Tkv*=|nE(X*4wJ!*e_x__-|&CySpz8#4h4@xiPSS=+H7;#2-f6=);+M9o;MqY}~ zjhC11%e(eX;JLic{(7PHb=w`}A1-iR9U}i$sGxUec>B_1Uc*_}w+WooyM1%lt`*DV z7mCMM{$F;elJl1L9i}3m9U_Nb%eQ-{8h-59P&n!0C*iNh@>$m<KhEm064b1-{<d`M zMJ}bK8+Z6Wyz-SlKZSEcU-q`>CGQqk%xALMGWU47OdGfO!h0XHrv5ZztNF&v@Z#V{ zfr%|O`#Zzq820Wx$zc*Q({=N*cdx|d+5H07W}KT+TBcUGQtwmp)uQw-lJ2usAFgCm zaFxBz)|Go(x8R)iOgTO6xPQeT8CGq(Q@8)hHE(B^x~3(oZk9Q0o#v*yVXd3`dJQe> zc^|}er8;L$*qS%vA#2UAJF`W#f)vAVdkRaNi<fvX2K0X@S~X>vzFLuqu-lv8VIeQy zOzYiyy<1sOQn6;bRCE{n+O_kTYAdXT*mHv9rC#$-`xqo)vEB48x1Rvhzj?BPCR?wV z3V+KL-?}4RFsH@*saiqd+LvoWUb>V?F^4Hk)_Qr!DVI6+$+bsctra!Om0v4tI_9*X zHECCBpJeZ>2)~=t*H1Oie8&7a?wfo6tN)W{Y8n2sJ(Dc|f<I<uS_J=OCFa!oA@9#b z@zwj>`Qj0LSi7ND^_ynh-N3`9>~8jZXBTF<)z!5+rNsSp6Q7~<%~ZidZTqg3u_Ycq zg4|yGyd!dV|Jq)&uM=f`lJ>7V#2RxZcmMI!zT4kJTz;?mVz-Wea>Vi?vF4z_z^(^+ zZ*MI8@3?0=!`|ryokjUCedE(-D2D2=su}l9H<}qxw9WNvevI$TF9{DqPCHDh_toN` zxKGS6N+NI3(*S#2Wy$U4E5oMcp4T&}e14+srhaP8>v`Rc!Fv|zg>E_>-(kEs(L#RP zX0aQxjhPdh&b@9(IXvC`)34t%wjB+ep>2OyAZEp*`D@GeN|xWdx>D;Yzf@HDj`Y-p z@i~7~zl%)SU*v6NwTtz-`25U@&c}BI_vIEJHCdD>`*xZh<K;Q!`chU4s-JK%XUd=R zFgW@4z0d?+v-;}22l+#S&TcvR$M^+PQx)3`y~LCcCqfUe+W9VV?~iL&?VFE1jtf{n z;}6GTxBIbzb8lzvRL|OX#gISZ)UWNo^cbQ}2I)-rlC;e{a;AHn#<k{x&i<pv^YYn$ zOqwZHb3(oE_t~m{-#VU^%vvSCy-q4{&7$ubhq&4!9^bcSwWw8?*81y2a<zc5`UD}R znKE977bdgp^PCua-iUMezsR-SmnC_YF-#V|caQhNiGZJHTT&{Y^`7$loLv9JcS6yQ zj*H@*4V;E=dsIB*_x%$*_CCjd?d~bUYgp436xr(3-RKdX#Kf{{p`CcDjrOt?cDuKq z<NSZ(nxvQB?>AF;H&4sy=1iMtG+)bS+E+G9gQCrnW-Wg{#n{{JF1An6_wV}@!dY?r z+w8S!@*YnvaTl{p*>yViS6j+G)+wJp&sp55tFm0s?Vf4)8DHb<`F^^!9_4~Y_LCF$ znN2t!Xx=YtsKl`N+_R^4{EsCxr|hhikB)h=g{9dsKUQY9%$zr@N|zVT+^=!@t0u4F z!CTU*opl$li=20>kT|BGB(OK_MA0)p^(EgL&+hE?;5t*iJ9s8@!=;%~Exs4Ns<3yh zT`t;mI)Onu;9HE#Q<+=#8r@$KcJZv3bFiOLp6$WUpn{j_xp%C-X-<)konl$@Ffz*O z_@vHF*YzbIoLI%`px^oM_m98t>^c61UX_oXvL$Ki&rfIk4W3;8yo>LV)!w-ro%Zv} z1U_~iO7XrGQ6l~3jMV`v#p<4hr}mnL-|MrlatHhJB)(HRHBDq|)~uRUg<7Xhs~?_K z@vSm&zeBZ8+R=;?;jGI~&Ypaz{ttK3+iKBic@09@Sym>OHr~8-`fGhlWY<al@292& zJbk$M-*$2HnNQ4C&r<61lv{a<@x-U-8Sg(a9{uiU8ezTiX6J(pX`Wms@kcT*{1eQb zb=X6rR^EMKd+TY58tWy^UtdI@JmKiJmwID#_}<QA!SAbki`xbFM8+&J(VZjq`slr_ zA!^kp+^t%_Oqk~=81wD?T&=Bz+UxArMV+->qBJwyNjyd%GHS{9hUT{!osqnmq8>YD zu2K<nS{lHc)v-hAy12hv^zP|XeJA>tdbP4Eyvuo5d8lmJo+|Cn_n(_=3H$S9(wwrl zGb_$rJ(8>EcCmNmf*QMHj!g@FF9m+;-}vMDo*b(=MxoqUIjSo+xrBSnKJT6T`Kt5e zvn^@WTNCI0w5j2$dVG14@7z^C5`8<5zgBtpfBD<q@O;%z_xG~@o%DZ^zS?>Fm&>|T zZ8kG5J;(awYC_}gir>DKZ`PmLn{s6Cw8!T>r_L^jj(HX{UF7Axbt-)4F1uE~Z463i zdLUDfvffnn_-TVh5eA>qe#TuCiJH2l;?u%H&Qp)H`>Tv@>4@^za&9sDr(n31Y2(zx z39Jm8e9T@3-s0al<KhcO+j|W5)2_|gl9K4fs?EN?OHMcQQ`{GU+*@Kd&zGGOQ0_gr z>)ncCLsi3m_3h=mmxn%B8~>WsKc)Aa-F;4$go9R#S5yWrWjgZ1Z=?8<d6jKO7N5^* zSuOFta;C64Y9jN>2S00V+Dl)3j``_zXu3u9hpUaN<Z`U%E;<-rX>#RWL6673<Qo6! z@8^gKMy{K?;mbLh_1|Y!AB{3ua5(nzlQ3)lrFTu!r*xG(x+un<e5U?t`rVysH+Fn~ z%v+TbndImv<Q8{9o+;1U>`PtB4gt2i%RQDqXbO;jx=sId{gRm9RkgEA1HQf9yY}#- z^IN!G3N#+Ck9gYqZ@1#1?ms8wH^nqxnEH05WL$CFa`}?eH>DV)H_a8O`suFTd-C(w zQ|VQ|7cTtXzNjdDUe{B#ip8%u)*OEJbB$=xtDs8Vt2IUTUf+0*{5Wor61&YZj=g&F zf{cq1g-sHncUGTisO;FM`J-sjoqie7x~oYIeu~-`OLR?MS~)Nngv?J_yKr}av*gMx zRiYu&csH(J7x+bB#j^ufTz&VS&pW=d?@w1-&6}o*rWOBZKdh5jK27@3wqIQPe|o%I z$#krK?a!DMTg+$uy>ncxsWiQ5h41xF|AqVBZaVc|F0kr=lIcN{-332ozU|mCKW47A z=Z>_U_b!wkxM+R+qQjq-kXI&efBWys$&jx0nj>0uaPOk7yKX_zqMIk5uoeuOc<0Zf z73=SorLZaQQF8n5VdNxPQoc0)bF=B^ce_Pio%x`v*S__4v-!0`hROTf8WW67-mEV@ zzd&|LXurGUC)X_-%}Yi1@66Pa`?3Al!fh{{mNK`ur}jHZotsdrxi9-xI!8wNiqG$s zsB1ITyovYyowK0-_rIzRlhEJVm-2K@%60B5I>C_k|E14NOSaUVE3TYxW)xWdYW_MS zwGPuo9@qAkoV}$gdgkbPg;je)nr2>4an4!oQ<$g}o_?(GnbS?F?%m8DZ9mKY@x4D2 zyy#uF{Qc<tKF-{IYgmeQhhCL<za&WeiKpefze|0-9D8W|r`T)q>}{zB&YakKz4Hd! z_XAUGSGu=bl=Lnvz3l(Czx||$tLUV6?9J+~6OK9^{NS{FgS>P`-GiL&@UUYc!dJGf zk3HwQ?)I4&k$o<FZ&r$P{o2@<BKbJK=q;1ktt|6L)8@R~{eG)UhCqEoxzv{_3Xfaj z+O#73GnO@EY<lQ@=(ldCYUG?v_MWbx|Aa*v^{pS(OqKFC(N>$WM<wyyv00Bp7Jj>R zvr3WKX?|d#PH@Uwt}0%INo(TITxVW%J0isWU(D*HH4X1o^iF=STj8nx^yh8aH3qkq z#zrjLb8BsumYY<+?r!T}6I5T@2Yt@*3-D%U5n*6p;9vk<GbnLDTp`4Qfx+JbB*Mu6 zK3^s^B`q|Bm4W$j*_w1le~S&rBXTOjjLlu06ciMU3=9km6hI6k^Hk6sgbWdDgc-FC zK9D!KkacPqv&;6MDKAVnrMQV7OFHALb|FmA)rX@aJZ`CT%L(07uek*i-kHbS9MG?r z!d1X@mGK<oF?QZ)o70gtul-x!ct7Sp#^PmgL-whR)$7<<ey$JY6y*B5(i64jwH~zT z>PmO4xN>yyg%G{IwjzUbODm@@TT~!(K*7_-LE_B9>IR1ik7j<=`Oq}cf!UdfC(V|( z<<vpB9u5_r$tOLf)Ohq7+1gkXHUw}NSn<m(+vSka;JRbY_LXbS>K2x2vF)}BWe#Ia ze6%3((}4qyhZKyqUahHqHCK>VLP3HjNhooHr%KW#gFuHQgHz5on++SeG#w{O^eAnd zzA|Ip(*!}SX`zKHnE3exG(R0sn5e?uDKc}yqYgG6E+t+r36Dw4T}>+wIvq|Cy4c|8 z!pwDavqpDw;EmK!Y4+s)ML~&sy`3#3x*KK~xOhnE@wM)p*XCGprM201$;sv=j*A-h z`nF_eZ7@ibIJ3CGVA;wQ-IoGH^^^9p&+I#PP$?p0hF9^zis{XU$Am22H|<)%lbS1& zx^n|Z*wHEnLlv7D%;#4Xggq}@!F`J9?c~M{h11$^dd!{OdMHG1&eh86YQcdT&m+a# zH&ukiP4y`))w<4gd1J`T^uUcPPV=`tEi!Z{4V}RvBIe%U9lTIy?rY9~SW&lzvr`u| zD!Hm~3q@pT_%PS2F+NXS<;}#l`9i?8qlE@j41CnSIXtbBbU)O5aYMq2bIt5hI)|9u zocq%SC$j1|wfeH2c#|%u#XaMx;)R4+U9l3YHXlfs{w&o<^l0-##xE6Z&4yauPn(Jk zB+Tqe4UC*U&F6eh*=FVJ<C}e#6*=zVDYcaFzOd)@A{`FCX$M}$OznQ`QITLawdd$6 z1(yomgnLJmD-@i|maWw2`>Lm<ak|)GT0pF5TWG+v=NugZS7v4N1^p3;mCCNQQ}vt_ zAgJG)-kot^!uk8<yVx|e&M=$b40YYUd-u$|Loet2zrRiWLgKUe8P)4MbY*2^RBpR% z|DtmJ;KPRx8fL!yzkP1crvw8vPrudIx9KiaQC#S~ch$zH>TZiwA}8J0w)Xg5lP}v; z6m4g6|3B2CI%n>aOBeoMy5Me-5U{>#`OBGly<7iHh?fdD{p^zBqTLp=I7H91IlJb} zeyG>gUMVpBS*niQ^Fk4Jw&IEf%Y-#NbsipE=T~}r<Lcn8tAk_bzIqkHb8MZTsN;FJ z3!8mE*V#Cz1u&{g^w>Jcxe87ZV0rl2=HA`y*GxjM&RW$q^X32l^Z$R8aGj{g@$0kA z?A_U+wQ0}lx4dl-Zuc`*e0U-AQ{}$w99~{W0Vj^7cIR)e+in`->QgIM8y)-i-8;M8 z+;8)??B22C=kv;UQPG>T9(}&EyDnQWv};M(6mKr8Ugzb7`SV;ZY!>{Ib9v9wXPnjz zj+0c_dH((HUywfgS#D>qz(h7yE&;)d+y4Hq@c2G4M=0@H^@K`E_Aj^BJc<-OzsZI- z)bK$9zZ)ZqkB7mG!`+KlYREA7KkiWCXj<_6X0Gqodb|Js_x*qTF_6Xa{N~-aXJtM6 zdwbhkjdXX*BS$a1_kVjSVs$U4YkhXu)id%}-CVoVExqjW@BdpnVNrCpZ>Xv9=l{9C z8+kXHW?%lDaW(z&vt=`9hMzt2czxcibN6|p_uX8ZdGChFeUtasLX7K8wz(Q#xO+`* z&XUkphi{i6vX_0o)DWbasqXXiP^>i9cZS3h7q)rK?Y(yYt$E!0+cT<eyUp2fNL8Xo zGIaiV`-g^&TrUEYCf?zbu?Wyu<@f(v;QC+xx8?6K+{-`ZVsfPzOQX*DT~0g0dKPCq zlJ8D;?V8RuWy<|+O-~~`xMa>PUhtyej%%obgy_7`SQ+gAMv1ixD$j2!5Ib8euFhbh z6*1LUjX_x}B4b52v*1J*jiBZux(zcvoow}7?)~^?NNC{l%vnap`n-4lDj)SuUc1`= ziVBC5%7n~G3YS$H*Q{N+ZuRE_zAAzfI5@sDJTwq}b!kr@bN^#82cHYd6D<lP)EyoA zpILG$<$F4vS-;iteR`JjcK7xdLb7%Omv`Qu`tSe0|82RS_L@C^f5vBVZu;q(d*@<n z>gvCko&CRaM%iywl_Rn5*R2Z8e|i4?BCXl0n+wBTk49b)xY%%zLEJD<VT14VV^PAl zlS(pQ2o}y#n^LjMq(uBvmAcCum1Ew{<rm{x4EmpGJb(A$hDm;o?`OB%S>|b%_k8sI z>}Gy8I?LDe^Y&t6QR!`<So(d_eZ`8kE7SgMlQ;J{0}|P7`{Kys=-{(|-oEG*>&*kH zUMR1cvvzCdq-EElyj`YvC<t-7Y?5x=vaKM~Ci?cavUMts(~oU_yIx=ss1#7*sG4{8 zYgOSSHBLq0C;lxC3IZpym8<r0dIovT6k=)O-e2O`^x)8;`}b5PrDWyhT{hV&HZv%A zVP@27QPnxiIM=THX(OcBWn`>)q||EZ%S#cfSGiQp(^z`n!gn9P@8yM=PFr&0MNh?Y zZu;zQqvUqc>4M$)Lri*aUM-n^EGkrR<J)b^X8rWP{LW-;uV7M3US3DXD!=EZVDQ#n zH74zr@c-QH%VzN!7BBKNN&l$Bc{JT}^{QDjfBxU5Uf}$	+3)T8N{nL{FrR_vbKo zlPS*IJ<pz5E?56L|Ms;%!m>6QaZYEY7qbUlJ!2oWRs2)Vs?{_7|DDe**5N<A(WYm& znaG8ALDQTz=00D$?d|P<n_s?nd7PjA&sQ+_%<}$M$<;m!Qde4VHck4Ue_PkI-v0e> zdoRYGT`RVnkDBY!#^%7lz`?+fEY6T1p&%rnUM1#slTn0$NkmLQ%S6FQLP$eEghN1t zi-S*2OiD&XLa6gdW1@owA6v_ksh*vm7*2F>ntWZf$aD4LPYn;M_jEX!CHy_HEbC*5 z*qab01=f|fmM(ZTzpcM%ib@8X@TN-hoY&%0<QrTx@9ivk%D&mpags>V)`E78R_D{t zpSmvfj<#@K==y@2>*=llmeih4m(J{xTzZGAbdlp-gDsC6l|;LR4y!#jJ9U%$%0kE3 z1dVmvzBlZ>d6b{0OnD;qVmDjufdD`8t|fmo;$~I0FPsv+fUjzWbX(c{fF*o^NfDdw zxLNLQuqs)>%yqPILFbESKfEUfZK<jLGLP}Mpxl$YHVu>Z$fb0@<$m%uo{N8F)9>Er zc`EI^kHzl3e*W%tvE#8gdzGxXhqCLw#og;|%{cdP@1GqNZdnP7LV3Qs$NtqanVjBR zAva^ikDvFZo~qn>?RCp-^ILEDKb1|kDd^Zy?R>&9jBWRBo(T8teo8f~auQyy-(mmq zPG;W4*#R0VNo@iXj(gwzC$Xra+$aA@p7jFO3*on?J!romHR)q1OYRcMux8<}=gnDb z?8^#vm-5=xwf#Er>f?$FecwLM=%4&dnBn;;*1iAvF7Mzw&=P&Xm$ln6&vUMhdDGoR zFAn_Z>OCB(^?&AsU*9D})*Aj1+O+fIgb%EWA5Z;kNl#=f^0{%{O8LK8vEf{I!7U4e z&U{<ZRazITuYO;c_4UGHoriw+&5xLWZ`#7~XTs}P-Iab^4lK%#qC3@Rop|KxCcf<C z{U4t~xRSn1{BiZ(D(eG>MOU0EvuIj+Km0Z8OZk;|A7&cI{Fgev`1_YL3-~mDXkR{5 z_vvrsvhJ`_&ZE)1pLXo}BNuZn>D0lAX*?Suug~Vcp2x{8s9VNsneVc``iZ)$xY8!> zqFYDHZpr7*V~fvQIGrVdCCU9xcG(HtCSwMHCAO>#s(aNq4nBP1zEek+eaUg&4?mI$ zr57FxP><rdeZ%$B4<qX}4-Z$nd-c9@R4-Lsr>Nj$GoRr|ny7YKSEbUCEi*XVU#I1< z_??=tx1?Rt`P;5KUm=d0_Rd{*0vdbNB|_r<?`&xdUf|N9yMNimT@U9M$8m;6OSFi7 zoab$JRAE-_=Cdk`T`qi9ww!;huw=t&n+Xps<*Pd)m`wJI&boD+duEMOfx$25>ranJ zb*}GG$&)#I+{1b$>-~FkOkQPV>odztZTxdR-fWfvOTxjoJiBccO^;YGcZ<SbH|dq3 z_l4f<<7<7hb|YWaQ{xNmcMIlzy1-MzzVl1py4MSTEKE_^x@dFFhCPB&J*im%{CY7? zPZ&Hiuk8xEpub`9ROX`9YkDt7JeraqJFQ~#jR;Tn5~Dl!^bT(HHwfM3>lp4PvoiDL zL+OR;pI4e1=CY_hZGHNV=k7eWSwHW6oU)M5!0~HF1h?Y%*<Y)RHnxW>VfM0r8^L$& z<DVPzcV89XemPoQO60eupj39)n}3Xx_pV)#TEiGzGuJPqc_N2-@-K!8=bfDa;Y_BB zF0D9tlb@~KT)*nS>D$$8`+qWrcei}IWVN7N)?)R`sOMK!ag=R+SJPIfk!#Y<<@9&n z6C;k50b({;kv}r?v{#BO=B=;jlelU)^XlY1PU%TL2?EFX_tj-zJkM3H7ni9$FIBkk z<D$b29GvG^6aE~Q>d9l>%^SinC01YSYyQghES-Xy1>SAtUC)gpjcl}oF2@!=K2e{) zOJU0Ul$CaVslPhR8=U^h70=&(rn|o^CUUxF+40TGS{c<cnvbNP@c#R|<KT{+fuCCc zzk6vob(hWp4x!5x?Xg!=&cB%EtX?^Ng81yGEpxZXz0Na8Y1;C@K0@T|jNGy-kJrXs zSg5#he_YA+H<v@t-Zj+ZRuc=mwaj?+B7@NK+^x}@9yB@zhdfPY`ux%<smPzdZc=QY zZ_9yqSsO);ajLTHSdda9<?`re)Y5AUYcB2aJ-p%M^aJ9m{fko{TyUBc_*Ln3;TGNr zio$i5uWexyN+^+hxzKqT_x5;h-svAp&u6-xdU&qu*Ri%1mn`}4jgs+Bk0myIbNM0o zu~)rk%lS1mUO}_c<R@^HI>=Y){0J-h(EZc&?Th0R0(T@ynO`}&r*XrB8|!a*u#27K z?s2JnQMy|=__E6SDHbojdL5Z`(xdmZMjpSqfX%b^ryqM<Ja5La@a$nVpB5x{P9THD z_}Y}KM$C&eC+z5+d(XdggWuKI*o5=@-p}43I`{m-Q%sW${Is+qd0kxg$$r}PS4`bU z*f77c@2vQj&D(lBn37jLDr=APN_FeslNox6!Ewb)xzl!AH`=OB;C9yR_nLQW&-Z{# zu}toUS@T)fwm79FJY1JJf6+V5#NAno4*uP>;^tcKiF+BuCVx7{muNh9_uHLIzpjf_ z_;h%x#U1l2Hy@t*s3FU?PO9a>&CPAy&C~yho?ak(c~!n!okfaK_mq~h)z;zW^Vj@u zdQc_(=Xw3|3A1N^_vuiYyTpd^k3;dtCxtV6gOA@_voF5<#eNmls!uUa_G<3h&Pt5O zC*=s=*VZVT9hh3`mNvO7^69cRll4+h@AssBmpP$%=ta=^^W7(-d?OAs*oao%`*`6H zo8kN*nJ0HY*`#H^l@Sbi(P&+Fz+5>i#Z7VI)=53e4mziPY+?4u&3SE<|IVuYM8Kk% z{E-je8u`Y4sC%^goB5|xoAS7q#iU;rU-V0TXK^zxoBOBmBTn06&d*+S^|8=(3A38; zXBykGRp<P<+bB8z&5Fs(b}Ah)?AIt-=1|ecp7kx_VAkF!%~xkuwQX1~^LEd%7PTF3 z-bSx@bg8T{pq^u+K}f#ZvY1&jC*D@yx9zIOmBuwXDMh9htObd`>^p=HPH)^N;UD?) z=b{szW=O34z^eUg)ubOk7b{Hn;gLCWsP$M|_|8-EZuQqTNiVp0;>+^$Tg1Oy`78SH zoNbJP0QWoVm>l20RK*jI&a*1ZmU`W}A{(T;(Pek+J+@b;H>ysZvV%2Ta@!9kyBWNU zhpIDl=hWTlls#-yR9|`T(#8Bsyl<_VRj*By*VKJ$#iV;}>Uj-ki)aHG@tXb965Ah@ zq`LPq34GYZ5L7yEZS}WxB~}u0TR&WT?c}fYugFz0>K&iRqMgp!smHHZ8y?TS@x-R* z^~YsuJ)yHhe7U5)K3qOo?dJ==xeplL=ZIM7-e$2Z-c)jMTarfz?~6nJO?h>H^0J?D zy3YG3G;OoS-{6Y7iSeQvIp#iLKUi{PVegy?KYpBQx9k#VyzuJthcxjWhYUg|m??F6 z94hylzgcqotTfFTKc?*6l@}_I{OjM2`xSF9ANyc&Z`sr1&hIy@Jgq2qXcBMws&lpT zMeaRV{^s!7d(TZzafSpcXqTRx-lg=mUfj4{CAPd^+hx07E7e%D%I9e@B=Owp6)Wko zWUt~(I8jvH_c6}+Vv?GG)Ct{$g?=7#MLSOOZ+~$8&c)Q6^oc<sSF6{%^4iS1cBSm3 z>KCruYqi1_GTU~u+~fLp;#Xbt!A0ryYu3D6Z)bn@ME&^-zb8I<_w&p3e-0f#FV#1$ zXI02s=6Uac(bHy)f@P%)ots*{-5FPkGFd-)YcX9t<Gg%C<m^eQxo`K_T;bN6e=M+= z?*_{sJ@>YYo!$$Lg^%(I-#VXnNWqD}?Soy5{jIQUxhroX87;1*x%yoA^E%prPiB^p z!k&{gVr=JUZMq|tvwfR-FS}%4gTW&m$2*N@YwT9XUVdtRYU`u2qmlP^-k3D`M&xte zn_P0)!J@%}E?W<jP52|ZVDgFSU8x#-?hD1XY~E}>SC{8x<GlMXS1skc6O?!8cf_2N zth=L9^n2e|akK9CmRxe-euT{N8>{0FY|INXVcK)mGKlGTa8%i^S4R_6lrk*KE@ar9 zW{qa=GdXPARu*X}U^mO^-mk!19`~pWp6xmIN?T2@+FpHiha)@4Thd&*Q|QUE{vPJp zE}!&%I7n{{J29c{x`N*+R@);bjjeWHxtA$+2mHu&I<$`6THU1c$$S&bH}%4^?LSy~ z-Q+5~(xtsn`=v&M?t=#J!rvKRU7w1dtaoW%uwl-kV_RY*3Y)q4ze)OZzmSt{Wm65i zbNtq;%WE$kjJ>eS^LnwBb=CIr7u?aGIj6bWPQJk+=hL*Zh0D}`=WkiZNO$q$ExWck zdOLKzN#GVRt4>#L`<q`MXm@CRa@l#Mip-$vkIyEkPv>6Oe~9y7!nIXe<+7`u7V#v8 z$9u|}|GsLt?b)2yQ$6~pvp-<4;pBV%e)n|SDUs|vtK0;6cueN%I;ni9Kd&|4XX%Nv z#R|DEZ>r65wG}UY%Jj^YQ;C=P>pbU!p^NoRYtDYSsbRfePCDHCc64gmlMB;Z-#1_D zEeu+_vboE$w^VI)+T6c}ax1qgudIzU3DP?7>n&UNp3BwiZ$C9-ob;6crNW6F3%)J- zKjWme_H#{vge3ksg%8*6n6&#<>BFQBNB7rG6PkB-*wjmD3p#o)D{@l%d}i*>c~;Sj zxEs0l`dH7o5Owl?YgF(-8$Q9ZnKe8q%F7m<{rQBseNo(UriI@-n{D1Dtv_orr|`9W z{k@LVS7uvlE3YVAmcMHJ`iWt6rh_r>F(oSvu^TS&%w~V*Ufxl?;dq_WwU=VEHVK)< z?{*VAef0L{$3<su-&?55;P<Yt@^M}K`rpfz2fFeI>7<Ez&fmKCx51M`6QYIPjcTGa zkC*008Gkfk|H{nO|M`&pyeVy5$5eW(OLdyRUQ53E<;YIGM@x^iTVG{hNJ?+rslP$j zYavUH@QUBN4$nI6*YGkX&BVBr#p4xE&D*O25zQxrouZbvH+LOBvv*3i%-wH)=WN`% z>nR)4Go6cve}=qIxxszdZjsn?t=g5g4uP-ZzBAXd9<GboDu2}OFo%gqmPOf3rip8R z1!%JRm@VF|wd`!(=4=7=rUwD*&VQKy{lOm>$3I!;3lr?N`PY~o^q=5+N@0TUg11+$ z=veVg;#=!!e`v|BDd8H7YU)zmlSBFECYXoTvTZ8wyx1{CY;A{EX7K(63?0jV7pJTj ziPbUk7Mmus?j&nZzl+iNO>-KLy5C^hC42Ab<4vM#s?(LT{iaWUD7^pr5qm|eUw8dn z`>(lgS?-{JQ~uTOos0kOW;|wa&oMVoKrHuK{hc57hGyNidzkcp$;Vt%^p6w$WBO)E z=T*N6lZ8ztEN1(uIeq%V126Vl*l!SCps(|?+xwlK-G-7YmJy;;G}xDISbycz<IwH5 z%Qq*eoLivUlkh5gi%{<OGVU!iX8JGWQ!%M;*mGjXwCn%c^%gtVaoO$<_w9DIiqWWg z`ZV0`QdqsAXVJ<JFMHj%=W_nnNvt}()Ou|zyNJ^whqEkmE(Q4By!e@ChO-NMOJ)sc zk8u4x&*O&@{v2Vga%q_vcI==fpPq=;r9c(dgi6O{pH!yV>n!!Ydf;o2-~!_%GZzH5 zWxPt5yxN6Zi6>y$&-Y7;XGwVM6#0`IQSm)s;xGICKbC4%&RqR>cEv~M*{gJN)<vzI zb#ZF)rYY+Cjvf3xMS7C{GQL*}S46I=Sn(!k-_PXtA`$#7J|aE&OCLKh<+|8ze#)XF zw`|`V)3+0LTIXJW#H}!4lZinw*XPofbjM|#nTf(H;sUhUo-h4Z*J+UP#j@|}<F@V0 zPfNVdU6y)XW+2zubL7?HcM~nS^>@D%K6vHkoZH^3r|s~c(Vw)Vg>~EZrVA=HSDwb@ zUF<j@dVBBwHCMjcq`cfa>(r_Hr_I@vw`ld3?+88D^Y7W!-iu!(H*aM-VRrQYj}H|O z{UgOMY|qbOI&dXZSXzDh@BgAkSzAoaz9ou2<#H`Kv&8t_POH<QUpyE>_!JnNeoC3n z<vP=wkbc&Ej`^joqZiKpVOf4xKJZ2>$CCHDcTew;WUacp>>v99ljVj7<DyS``ss3S z`Y>&Ud>coVL=xk@zvoSi|4DepeJ-3;{`lC>gI})yzQOBV+`Kyf)~;eHE9Wof{<l`> zE^%o8Se$6s;=A-jXZ$pV=8O#OmVJc+uUo1E6GMZVk~NAay%xF^EttDuOWLkCQT`7X z&vf}E{VC<93Y%WRWck@YrzkYtcjbB>wUWKn*zL<RhXV_z-cqr7xHDK(L#5?^<^_TM zd)Cjpd1Ug`$4*iVCXap2+peE5HKjHoWx-VGHF>R_8$&PJzI+?v(5&*`u(0W_RK@QL zl2MaZG|Vq#*89@z#T04z|Lf+X0#!GkEQ{28XT9qq-|rt<(&x;yJg+U|wY5#yuz$V$ zwy0O}1wMYgGd4@Ket14T%%pt6#YD+f$3;#^xXY-_)OoW;QcmpGr^Y$zYRO!-mh0c_ z@7(xN<c|4Sg&mJlJRHAp>^GmF=W<nWQ_pH8tsH}D&#SwiTuEv;rm-wQxAms$JeHGh z1DL)@x%;0Hl-aq6lRZgy%Np75MmyGBZv8&>8c&{BsLi$&hyVT8ugheTWI4=t;PsNW z%0+e0P0~2G8cD0You%%~Hj8cd(O=3E$F9tp;+>dT@}bvVhiON@!5hzzw1y(7yZ4jd zoSb6LQBpcXuqUeSo0P)FX-dmCxL##Tu$q#wrRTfb*Xi+(?`-VX=egBxkRqvAl+V0K zUh;55W_0dD&8>f~UQ!C4xM+dhsyEr!LiPTd$aaZNxb5M2#wl;jU)`^9d9Pmv94~vt z)GV3gFmb=uxxD$mL%JBG4yb-(%2GS`=g5)R+-yhTm5GUIG0M)JEtlu)Ip`d>UQm0v z^PS^TOd*<$$$Be<nwM2rtzv&Iy!D*5qGx7H&KcvS8~%Ug&|+t)ZdQM5pZz`L-13k< zH$Iv0(~k~bOW43tC7rW|Y393h?*3EXJbi=f4(+)*A)N7o!EC`f_Y(~-q|Dm%@WIie zzc+t#yM9QfWqZ=XkA~}9TO;F~J|9teQ@x;AL^oY%o6_y)hZZPj-<9vt&I`zP=uq}N zTM?eg$SuqD{gV9e1kZ!Ju2%Xme~G@5&9h`XM^xydzq}?%35tuiy>nKHub7<k{%}=o zewLu_h0?VV7x$lE`@%Clx+QbU9pTAQ7dp>HzKT>gce$`QEjPYbjp<8C%HC;Dj&M&{ z@VZYTM8kOh1I45XtLt~|EQ)t$eO2_pN%rSbJ)<UtPO~{&_up9P@vgpIwD)h+%#Dgt z_nh|D7&Bj%dy(LMFi)0y+MLCyJ7%4|BR{XZ>Cd_R3su$&86u6EEgna485c)tRPHgA zy7DD3YMqPVS%vHUR;D*?e>UC9T`6Syv~A6&6#Lwxw(;CEZ~j(U<P;cq)LSKeUYx)q zxhZaYKRj3@F1mUDlDd$@*%L}!_oU97X?48FFfxDU*T=bj&3&6Wx3seFoh^NxW5@Fq zvpYUr(0Hs^vPyE6WWNAQV5}`mZ`Mm=b2(MEpzS?J%NQ;`%n3T@n0{(|*X@J*+@Dw+ z`_Vc5y>8rW<=+gB$83*>EPva1ID4h;tBTzvn{!XI9F{D!Vmgp;SC#jLxnP*k_UF=4 zwv$(D7iA02>{${$yGBe;XH)&}!^+*?yNnx7{g`z92)ofjIZu<dJ=a!SNo=oqGc!wg zYk;?4cg&Tfh|DjyO}jnym^IJpbL}a!EnKNGbE<T?&m*^(-G5g$%%5&_sDI~aGu9<} zg<FIQJa(M>@~O{=d-XA$oY@HrUd=R{+Hzj?_Co9BQpqQeN`{LX?v}p3w~TGJppJE? zrmj)bevPU1c~8ogf4x3cpfu%r8FSFCD5q6go2Hd9C;dL@xx(sF_HO3v*f|O=qD!ad zS-zV;dFle0$zq?UO{o50R%y{Y^?7l%cuS&lx-iG#<?qxu54WFF^I;Zw+xq6i7NOGI z;yucn;<fVDzK`~@Jv~X>P|4DKrup>8<$F}zk7q94zF~dJ&YYc0TAiUkJB*eWU#M@q zA?WloT=FglZ#5souA?Uxs_G?v`g%l>qpx(C+xCA^#f)|F5|cK6V6@shyE6Yv0Z$iG zsP^W4e7DzhJfFRKN5b{`zcSm^7dC&pG-*f4zKoVHzB9jHpQl#HVYnqF^|E}5+z!_2 z)QF>2!E2Xo%3W=ccc%37Gt=$olDe5vbGBq2H+saqsog<UI(65klvbx4yNcrtH*MXI z_qUs*u68}Z^Jw0U?0JXTTyMY54N2hKsJBm9?u{lhe{W88=oYoj28q0OK50|_eqNu` z6<HSjtwd#;%)Z9sM_Z3Q3Sd#0b$U@{rb(;&W#LS=$!?Mz4;Gwt3@JYL{NdqNS-(Xs zK9k+IZ@l{0{9%r{apavZO8NUP?(a&`OuW%F{fuJwsSM^NvQM`DxMXm@v3J6RD~ncr zcH?W7IkVt-igKIYV<DM?6Z<@m>2vT*m^^=p9-GMNAeHd0NA4Z{KWzFwFtKXNtx=bo zx|Csk>p_z^?WLSMezeRr*2${+ySi+$LrvV9#XC(46&6*wp79TOKYz{QIQ=d5%$|H- z7Tvw!*Z3%f_rs*(>M+*z?^H_v&(8{4Qr5Zt*+oZN3D(=nb#@ACxf~b%Y+U~9xF+L9 zH`}clAv0cS%Z9C!d=h?^=hHIdujzlhZI`yVz3sbmkZHB!0VVd(`B@9|Rx31}2)Unm zHE-oE{+63&9gDc$7hGH&8ys36u=TNX!n9D&r-w|B2lKkN`mcZGR<h2Refz_Yo4;&# z$g|MxvYB$PHrx8-wB>s?P5Nau%V6d4zq-mL*3z*W->PKK@pG;659tzH&bpX?n(h54 z118V2#Xm9<IePznwdXaezR=!rQgP`vzRSsyhvV|@^uCkNydP<p!9M-m#Pv7S)}HOT zcF@Ns+Oe=YQlH^$jn<x(#g`v0_>=VCR{DbdJ?HRALGKduZ2xEPoAP4*bD7?d3ioLW zQzlt(9O8RWZq(jw|C4i9y6m#O)3VkneAkoeC^7hzAo2S1fy~IzPnI{@4tu^k!Rx-z zQzqqcK=Jk(=Ex;){5w}{N?prRbY-^V`-`9Nx_I*NnYT7Pz1}```F`!H&5qJc$761M z6mI;;sg@qOPGpbv#4P)YRcQw`*ghP*5O4eDOOpIT8?Sw0p0-uYM|k%cJnnR8V?FTP zWod5t{=5}db0U`}?+jJQjCYR8xM<OT^wbl^54qbEI3H~?zw&F=WaZ9R=YPcAS9$Z9 zp{&MV;{wy)a}$2OJ)S=!CFg6);lH<~KW+aKSMbQ@*VLq=bv66@E;e;B>|sn|Kl|%l zDQ~22m&U8k$W3RX+JD;2omYIqvinA8$c5F*V%NSbJn3?(p!Z^3TSoq$6AMnozx^K_ zanwKDR=e%ar=&Ob>%KE;9-3N*v?|5W#K1Bcv?|5WG|}+u8iuSe1|C(0$&>k#CoYs1 zJ$i`$?j7x}d0LxtH@#CxIXxxF%S!Y4zyJULzGt1rFoj_~!_$nPGmAc!c|P|wI`imJ z?5>kxXRl9t`oZ>PmHBDai<Y75t17>|U*)+t$}(zW%<s^!*z%i`e$9MxVyE%iRUL^l z4$lepzUses)vAA);_s(zndcH(TmSFK=dZ7CrCi>2^5!<*NqU>-L`}RIWF4Bld)wCB zB9+cxzqjXJzCE?$&9kj4d(XU`W1!v^xl5=0dBKvbt3RvDx}&DaY~Q+<@!PF!Z+ESW zubV&Z?zHq1f!?ZHbv-AkRF?fbJN>S6R%P2AcOlP7DYsMp{r`V{xzD!T+jEXzytOSi z`?i|rBooiNxZ}B7a;wXy-~Lwla$8mO-oo?~wb7-wJ32aKSbl!GpZYz(``MFwy<LhN zu?tep#5%56^=<yP+t*a~zcu7&IL>_OgI@Xc#rL0{D7SnS+gCAt@m)q19v6WI1H;CG zf>+Y~)2lT1zDkP|ooTWB)%NJGw{>^=nwc00$aDlOh&;z~>BJ3(EpCTfT~ikq6?XhP zt9E<Z7I_B`7yf_$|MM!Yx>a{6FM9T$*CrCadv`{zlVN2&`hR`c>Z@5>i$wn4-*$Uj z%4SxcRq4g24APaq2E;Ct%|5ZBq9FGAS4*>r=XZMl-L}`-^3nhQ|NZ~_|F7SDrvBgm z|Nl4V-oE|fbB@wv#kj2PIaOPq^K~#Q9eBga+s(TuRHmC>T2fR-MSw*^*2s*>#z0F$ zd@5Hf13#w_N7u4WA0;WyW+oOTm8rd_SX&z14!Rt2R94iypy1>pY}hzs!h`@5VK!bl z32~#004)}V4>v9>NZ2t!@!;V@PYMeiJ8d*16l}Bv<V3i6yx5L1xgKI%slc__VP%KG zMg=8LflM_)PTLSY6R95FUM_B4Ho@Epp&3fTU;h8^lv$_d9PDzXOhr*)Y4N#LUH`Lh z=lXB@roho~ym?jD|IFRFzMpQ(2&`K5clq0GrYFxcD(=qWbqj7g9htj))9st8?pxnX zXyfMY;F{9Id1Tex873V81_la(ok1&7F4VR$C^V=jFkTjCNKlXvnw*|FYs$2@j3NvS z`~qA$E+!UYViH0ULION|ToOuR970@tTy2LH6C)h>T0Goc9)`#z<R7b9^5NNqt^ZS7 z_<Oe|9lQVh+D*Z~1>5KS+OxH3pY_cxjc0<UCa|P_Us=QOS)f%i^-P6x{Psyfj}P9A z`#kv=U&;j~2K$%W%Rk+GXtE{w)S~Mw>9Qj0?W5%kU#(uWQ9xRwq?z^PBN@%FUo>~U zdwWammP6K#y9O5X6Hha&i!t+9d?T@0WwXb2G1f^=8?M+DY+L(3t7HAQxr{Te@G+j; zc6M^o)ieLs8J%5KTUi*_xTfRAGM;PmR@<8Be91UG#kS<*T7PHP=iS?LdtEOr>A7)y z!inGopK_~j^Yd2xd7h-x@%w@e@2x{RUw56}Vwq~0e&c1?sr-oj7IlVdLh1|7KhE57 z>fk}Pv#o^=E`}>ab(<BrRV`*!`2R5bW6ASp;>8KlEPj=XEqvoN3U*|d9AGc@5e|L) z)pLTTflAnmve@gF<6M)N??1dyXMa>Qd5Xc`(=DO8Str>qsPDSa!+H42<=)r1Rj<1j z_?KLD6+35pcOGk>@7;a-*zF>|A2~EV$~oxUr1S5@3ZHAmyjspQC1L;Fu05=_ANp_C z-%)t}{lqz+bu+zP<maq={7GPuPx=kv4uO(*m7WVT@9?gZ@p&f}&ZquX%$;xkkN;h( z1z*KwotyJQ(nVpuKTG{dji-8l8(Ixkt-rPKLy0cyH-UY!9B2Ma2)x;Nc+>s!CvRL! zim8}?HFNbEllcF!JI&(v$L`EqS7%*RyYAoar-#d|GXg}FUT^5pdH-bkS~<bJ^CZ*1 zr;A?}$bT}yQ*_?($y+a&zuK^XLC4?g@53hX#-<6El10_mEDre6e_c^!T0o)11qrEn zR>v>(MoqBf6#jhZm}kGrDzleajP=>R8MBHK`6l<C{8D7&a=zwz(le)a*RvNnGnssw zPpn(p;(O%B!{`sTHq)}UoHteUWxsf_dV4bK%L;}D&e=R?>P<?57Rj!*X_G10c<kBL z4+<OGq&HYxdT_Ppv8LMWyq1VbZ!BE9Zr#5yIqS!t2kS%}nzk>SI)meOmz7TUwdP+d zgw=U3sZ3K8xFMw@$?C_rCe!G^yE*0d0ne6SoacH!WX;9kVwuy&tX9g|oRG3ho%Z>k zKqJR|(RAspO#-cwU*;sVO|~`-n6fkBv$w!D%|%UyS9;R7&0N_#cYR0MCQhsRZ7nk1 zULn_~%-DWo^~{%N;wrp0fBJ2(%S2b@97BWC<Tj4Kzpo#0x?bfcQu$N$2CJZyheto7 z_|4BhBttDkkDQZTxmoG<Qs()rPP-P08|w3{^_@J2MS6+GMz+GwNdkSI=lyThd8~-i zU|1W-|7+3Sq7z$Q>(4lqz^FJQKvH{ORk-$JtBCxA7UtJ4^j(=c_xO3g*8Ph=mFU_i zPt~|_q$bd2mDc<2?j@2Q2B!AsJ}tQv#xBP1?iBiR+V0bj1su6j<D<(}HNL;jY>nN~ zR-EnCu2tH&PKhJzwsN@B)tl2dSlHj$snzw!b4hgfn(2-=8?5wp-#Ip0mO0=tyKt6D zw#UtuwRzRdC36Hi6&Zc*CRX09{<z}Ky)cfAKBhkvL%M1X+}V&|J>yseOZx(EMTXBw z)q-m7HD3xgnjSS{Np7z<Z`<npz-<4-6?+z1ir+gZ(LdW^kLaQ=_SYrt;)-|sGynGP z3M;YQt5;Lh@>J<(;gj8_mD{WKK27~w{KcQ+hu6!mOZIK%-QMRkC9$BCzs#{xbcZJ6 zzIMi$Um4W4Sbk|cu682s-6Xa0IY)Dz?=j-=o0NTg`u9oC4r*{b^}QOP_NwCa<DZW; z7b)}~-|W}Dv!Fk(;m}v5+S28B%Fm~4+45Uzv*q!>y(ZVDgok=p+043EbL(Est+=0C zUT6NEsPM2fy*A_xW88*EpI1+EtbV&k)9TwR_oF3_27mWvTki`zc)e2bl&=2Sb=+C< zMQ7i-uKnkfs~`0C@20T-;yboYsR_;W+NW7|TbPwyb7r8*&)kK3<A2p=ESfa&XxVJ8 zxYsYcH@%3{l#Km6Yg>i>i~RUG>T71~I_#*<<l!Cl$Yb*Kz4b3Q&t5lotM_-Ur^=>} zo32Lgj@WQ%$BTdzcERZn{|32kKXR-1=@C&?-f7WqUiUdoH9ESDEA{xpS1M-HZ2j&$ z30?K1_As~6{N{?I$InddUDy#iao6%SJ6TuqRi9UMd@nB{&hUSA!ROo$al8u}tOQ;i zJLH+Tw{Gi(vZ})~RxEjTQ18T%)EWF1JDD~uc|2)JuAthH1p&|6>|7L5uH@g`to)Dv z-jXB#W*$~9{O9&|eutWl>eJVYP1d`vO1XNzDY5Cn_nsuF^YWiw+&n$)bj!vdS<luV zEe{?=$;sa~{SaRBu_ENa$!TX}g>B8UW8U{K;=OJ=BezDH>5I)2xyMU&J>1$)r?|&H zn!$Ww%BcwxUrpz}YpC}9AOG3q`PcS;i~An3#YSAa^wnptN9OYFju($}Cf;V>d*6HE zMYD<XOW)_VZcQxkTxaajA*rSF=7-yN&SU4D=ZQa@tsPf2r)IZ&-OqU(GV*gz#cS=q zy5d^hiPVLcCVEYOxmR2~YRaVN|7Y$M^N?S3nr;2D^=Cd8Ih4+1{>r6oyZ_15&AYQT z3{x(ta)zBt^qw8=y<>{yCe6umG5<eJT9)I<Aoq9Qkv6M`3zMS$GvsH@?z4G5v0SHQ zSvr65n+HD4^IzPXFEpEB&f~P7nigtXScEzrzm{iS$FMP5ZgYf)U{qQs<Agp&hb8<r z8i&@_o^>!6wpjnQwRmRuG3^V#l+HGq+syeRTQ_;y=C=a1JXa+9RS!kmD$Z8Cpn0bx zX<mm*y5x$jSF{eg9!z(WD(^6f;7oL#xqM;gnvY7l{9#{L>=W`5>#pOUA9Hbz{H^^j zwHH0>X#e%Ris9gzzGo&2ew>*y|J;(jlWMn}T(w}T^~VXGE<2h$J_&hS=UXaoIrgUd zcd_cc-F3a$7acr9{m-kFH2Lg1`t+35!`r$B+YL4)&DuE8gJ;DQDITTj<s7O4MFAJZ z3r+^ii+G}PKW%TY_4OFPb<V5LG$;ia-V=W#{=6oBi<yJ`F2Bnh`3rk?1*vm*NUYV- zckVbl=N03GX^&I>tn;{evUS;oOQ#%NmTz~CuRFu`&1`+w%xl)Q8&uu8I+lFR(_i7{ zFyHlGPn(AO+=Yjxzu#@&`G|Y6{bt5c-pQL~_RRXWgy+ZghIsx<y35{fPfuf237;2J zTEKDa(e6k3Of$E#D}LLsv;M!-ySZoG?>+mq!yzPKcYZVPYDv4Z$2s2~+qKfH)qUU8 zjNP9XpVqzQQJ6JP>@D{-lk$W5^<n;{E3!YHK5of(v{0@4YU#fR-A3W1H~pm_`?H@p zpprWC_*x#T%&UJnQZ5JB?XGfG(I_iQ=suZ#)oNZ%>K0r7%hz__ylgbLbSvNPhY5eh zek~W=uDNmEm*~SMC2#!aSRk?X`}x9sO6HDzZ+E*hq)!rNS(;#dB0D)(rg5e3OupHk zHe%C%6`eP^`It*w^M&f((5@%%CtY6iZ*OInnWf!v_d_rFrP<C(z1wXf`t`TZgcq9{ z&Mi_~zuGeIuIlS+)4cZzmL4hjHkIXVck2HVqv<Z`(-qllU%r#RzI^RZx6}~njT0J` z*31%c>SMkX@$~rK?DeYGw|oqll%>DO{IkX7nQpO18W?nTa7+)>+~%`_-K5X%cVOjo z3BL~yg61`7o8?V&eQ3|vp;!@+VUf#c_AFS>IGdSg(JXHD98c9o>#*%kpC7SzwY+S} z;#=EmHRYyB;*D?Zmt5xD;@~@Glx)_T5gg<2#a#U83m*F|F*_I~YJaXv)lh0@usCyN zQsKr=yzW(OCW{=c{m#AoJjsFCG~wQcB~yg132d-@&##eTIq~H?r&ZfG8r1mgtQFPS zU_ZlkwYrG4OCY<2#rpq;US{t;5>a@fJg2(TBZPU=@n@fQDhBj_cwx@szL9UMYRVDC ztbp^oQp(?OtO?9Yb93Lg@}~RRX$u^fgePXIc)9+&nDRox^<F`PMgFUMj?W@?7+det zeC)n|$+KT>%XDfMUt4kZ((U(-g%@_G{^>N5|FrvV!<v-#wljSOTAW+;Y-Zkx{OSC? zMqvYo-qLgRR*y`-+FtpTS@Lr6+@JsI-+#GeKRNZ(>iF+Y)34@)xOgYNaJ=xbzqrqd zkBgV3`qJml9>q-yQ+f9<N~tJ)tng^(W!Ye*>P;6ERB9OxM0SPu+qmuuwQ)0^)z)=b z`tpGeV|o9VGv}!uzwlg}`P7dJd7B%_>_;wmpOg8|Y-8J-QB^SYW8$_?mD$_=-k%@y zX;1d~uh0I!>Nvb*%I7rqw(WwGqbt|scfIk<G&`%N5cTI*^&H84Ck4K>ng0}AlcT~@ zweQyFZ-1-5v+%8n=RKyn%9m+_I<Ksq0pA&AA<M7tFMp5?-nw$4y8Hg^l|erw7cqzK z(Y~e_KIg^ZCFKkMu9lDe?)N8UhlPjuzOe5}FLqWwf0HR6vGS|Rk`F5wmV9cBShZlS znQ)Wsb%9fy>yw2<m2w3(wEnZ=`+L@M!=hbnagSOPw#sj<pSXE;r?7JT`RTvnMe2{u zIpY$%A@K3UYxj@u?VKFE_LtYJ8=f!bENNiUh?nNL@#5yIO;?YoO`FqOYwdRD&FTwB zyJRk=RR?>Yb6cnT`noE|$77naf3SY4(@Ol^<TW|W?ycNfySJZPx??m&_5H1OL^m&Y zYrm$E9OhSiefpffX*?{;t~#==b-NR^X1ZWfsKBy0vsOAr9X&2Fx#jE1!s8Jg*Uv>M zPFJ2)a=0*DUE6BzQHi7zDLNV{FP79;tL`bZj12wV!m3{J!bT}9`LXoY<&&O-UDiDM zwUWQ$_31B@RVHj-*nIqa&MVJ7rpgQ7eV97eY<1=H+AlBVm%9e2l}}<gf9CzGY!BB3 z$JbQL#A{ylQBHox(3rXVHTM?HwK>n+=H6$X5OY!Ez5Y+lW|`&rAJ|UC-rMnR#_csy zSEPzf<6l4UoE-RI<-56;axFr4tp0UAR$02s;LR+d4eO(}r+<xoAJmpLfj>&YQhK6* z^)h>g#JS2_5+{A$;l;a1r7>RX{4>e-hJUi;1h>6TI*^@cdV?WmPxlXI_b!=x@&cTb zyhOt+xxS=MIU)V_j_UV-w(u@rsba4K(wmp(_Rg85b?kKF>eT{YLv8F#B`a25C^ofS z_vWbBCW-RatdCw#ENwqIer}%p*Y>EeKZ9yX^8E?stIwC4&tm>$aJC|PcNT~85vBAa zf4<y#Xfr=z-!Xr^*A-&_o=(b4fBVHGx7E&gqj$#VKI7a|fBWYv-*ic1X7~A1@z8bF zf<}Jvx`vI%9vouskYLglZ|M+7I#h6;jaloAMqtK<qYYBReS6+NdOQ2<dB>!9i+8gP z5B$IL+P3abozr^<nI|rXcy~KE7)Dk}n=Q;)Wb=6W+l;KeYl`a3uT_cWWad;Ro$0)I zpQTxUn{MHP-R;hseT8Lf+p=CSSgP>iLdma>>p0Hmv+P>RVlw%ZL50ej9aH$G=E}ak z<i)vMJfc>hIO~gfVg)-(K+AXY)n^VJ30`WrHFEKGJCFUg6|b!BzMk=KfAPZl)sJ7C z`}1k1&(c;ddllA=I=+YF!kO#3_a7F$tuxV$XHwt63x)<E5))iXu2vb8{`wZdY|ODz z<)fH+skHFrYx8$KEtBKiIPc^0goTc6pXxp4|DBWS!S<?gt~dV_ui)#7djzLi-j0`Y zt2Ig4rF3@Z(*xWKzwKAyddGkMB*U*~>Nk5I)tmUtdsy_7M{HVb-x+fcMZsk<LUQYP z4C6f5UAHR<=e`!4tK_5M6xo(vSmv#~h{u~*XL84jhI1<y@;#2|^9q)awAu71N9}!w zql9s0-!tKrKVMnhEoD!6bnbl9))l3e(H4P^Le8cynVIIaHvUt3c6Nhb&(<jiK5c(* znaaewKRo3`*_4x~C2swn^yrlH3B|DXz-95a>w~6pt-kKtZ1?x;nW^H7=j(ZJylp<Y zK%kNL@}a5IE=zhO#9Kf8|NEy;@cd(eH&1EnWgfYru=@M^3;)_ZZhelcl6YPAa8J{{ zV>?T>%zE_qpxeRXV^bCP2!DB}@jiLW#$AHHH?5nVvU={3<9A*MAG^nDReM!2|4;19 z_`Od#iqkdh^HV-We&1IT@Ag?|!w*@dH{n8`z27c~IGAahCt7e!P{B)mcle|pdD|v7 ziQ88XY&<XTea1cQ?bH{w!t$I9&(xo+`*&-ujb5Gh`b6zRTI%1Q_ByZiTmR$Yf=4Tr z6~wJP3)lZX<K2Ik>#cPi<J*-E?;bR6N?3DurcGe@JZm+Dym+BQyDdJ8Pw}2x9Jhw! z+}ihZZ#al;wLk6QGU=L5_KsPr^urb&%xnI@+>yt=^v{8RtqWqfpSqn`Ewp9QCYkPk zu?e~t&bApQEnDr)*w#9^+B>qZ^}pVRZO*Y4uZ0SkKTiIAOS3@2Y{&eK#??2<|1+HJ zpRsZR3v3G_19%H!Vbz>QMSTVa5awWjZb2+ANrZ1f1nn|Ru*=(9!FWozduD`!->%6e zp`oE=X<&l2=J(s}_v?Ot+kU_9?^ovcHJ{F@&#(D(Qhk0+$%B?%f|l0%AD%Pyd=<ay zbJ^$fyN-B0m|Q$3^7o(A6-SFN><(03D>&)IJ(b)`Om$qdIgWGs&R4iRDM;v&dPPcz zi^&&GuDb~rc$w}#IM4g*PQrQL^LMuN%$`(u*)UJ*nD5~oniA%JA1#Z!v!#1>QQ>9X zyj4ei;|nh9<aHhOUB6?csQKDQ%R=rbNPZWWaqc;<FL7*nj6lzMDbYWVgl!(N#TGQX z-ANEM_c+4KSJ>=yCqclR;|TA+f@Zrr3ua2|6gQ{j6`bMi+Ii5xoZ}hW{yPh%OTQ^< zj>#)H&6~CJppJRRQ?~VYK=b=W%^`UOr+B?~9@H@Bc*-{a&V!r0v+gdKES*zi&-3Q= z>eruRqHVWqvg<GX|7zdWzpH+Sd|&>~`)>a({?h+1_g((G?6=SN>F>1f`tRy5`Tt_y z#lMSwyL@kd$9<Q77k|nB=ljn8ohNNm+?;x6k;DXfyF+$`A%bs$|Lk&IAN^*R>$>PW zyN=3jUs2i}xxJ&bJA8XaX?N&$kJ9eo?HZ-sf!jGsyZ!xqeZ1!{3YyfRBG>6QKWU<e z3SXyF(uL(5D*rm{lFrXOzoExk<uTv!9nOaD40^0t9`o(r;jI5|L$~#lM||6NIP1Rq zz*;`zsBBVUd-$D&qU8}sWrGY`MFJf@wg~$gY&?>_!bQkK=3&$M3mr-w5>6fG4LYn@ z9`V)haF%~};f(CGoz6z(7SH&O-btKc%~ISR|L($R*|MF^dgVKw@@>77IL-P<(NQl^ zt@#f{Gy@md{Ny=*W{yeXr^rSry}(H<Cnui2a6(pWr?XOdL|MD@yMPn2Y&)GdS<CEp z-e}EI)NcRo!g1NQyNWkt>+UYRE?ah2@p>5l+BN3|UY}ak^~!k8q^_o_Up#YX&6qaD z?(mY|(n_+mb<T_ZcP3s`?~@X$ZF4TyC~0>r=O}5nFMm<oZu|ZG9sS34lHZT-&_DLq z;9Ya!|L)&$cium;6OYdL*ra3Ee^fLq#PR6!<r9>+H0J%-0}6o_q51xg?1aAe?|9$z z+wG42BRj$G?K||3{8f0zT=>8Jx7{7{;{9i8jlLhhBVKIJGebXaSB=zyd8fs6BRACi z>zb++vaBZV)L)J73-8ny{eQFXX07`7*>}>5>QC0HexH2Dz3BgmTIKKEchrmazxg-e zceSFU-G24oQ+{te-+h<8=>PFr`Eu^*7J898QVu-jn$Eo=<e^ckw}_tq4wHvLjMG(P zCKXgZzI5#Axuxe)	h!dN!3wPq3h}!J9ee+KwfKmWP(U3u-wnpvPU{+2AdpXTL+{ zz|ylz8T4#-ym>IG`82Oy@pRMa)s~Mht(N-Z%{YN`QqKWyfr^8SYq{oBGKlj?1Um>> zL@RS73LlGF>1xCCGxc!O_t1Ltpb1Rt+pk}4c7LY%u&~m3&4JdtTq-ws7DP|F$UR$J z`pb#0EipPbc~ngv9OC`hny9^rL!W21fwaF@=4`F~&8$)!1#$}-oY>1O4zk+`F!O2N zxwE98QHq%*V^Lnz;+bc2nitFo<GsdrR$@_dLCF1?r&DH@t2Hx3e6Tq`Imqj!=lRbz z>t}6n@;~3}+rREsg~yqDA@5ZZ7EV);N_5^Y);v#2D^}}Za=WBdLUMy((S^q5UdFN$ z3z=q%<djJ;_DlLCOm31axNviUL%Vd!215rvs~bxaHY>aHS(hwuWbc=*IH1U4_~HY@ zPNuaiw|ITsH!oZpzW2LB@v}2Cjh9;#q#Q^RFXs|CSm6IWA-w5Qxx3TF@^WXEU+;3J ze7wuK(t>@rEYG|j?@FfpcxPbnX!mBp7Pg3c2lLWTWtUl5KD?P-W@-6w()Bk5MNZ0= zQU!aP@A~px%PzBcc%^)K!-bcoyM+!dx&Fq3qtoQUBiT232_I+8?bVFie7o?Gsr6hH z6OPY(WtK5<n-A~&H0v&JANSXw0!#5%?Q@tn=AYx`)w}Zl$2JE>c8ljud;7aVZcP*~ zw}^=d4UU&xF-J{i>Fa`uk`Fuz?2W$`zb-4tYj7x$vb6sHlf$e;A>g3Vi5)vm|9rIj z@Wn^ju6`mGJ~ie62UYf2$@6>^EIBjh81Fe=fqiq1_4Vq=E4sIza=&oW@;8U2h4hMq z$^8w??rdkCm`WGDv6z(H&Sz7mA#Ke7vh2!)WcRbj855lQWMj=g95Bd|O?U2-4ZY6L z?#|xNYx&~AL}q@g3kR4F>%NkDQ#3>F5a06`if{597PfCFR(sAZqbtXB&Np~zh)v7f zUiG-mw*w!UTFWZOZ9dJph(|&?vTVtV8M=x^hk0y2d@x|-jhNcCmyNxTN8&{G?m6qP zzbUBNlUANQRk-!=mszrw6;BQ{^I23RO<-(Za{Y$Ft%dehDNkw|7auLOunCh{&K$N} zgrAYUkH@0xdYQG9K_P#cwY$ys%aV_F#`f`_e_L?G=6dS$uD&UAjOVDGfB4}|fODU8 zMa7H;ws{gMClXE;Ti94tFcdtIe(>SNgM&}^D3(s!+dQ{-<Kr^x#N%&EcJ$qTnjpLV z@Jy?`^5nxWcg*cw^0>^}<@no@XXgud%NX_Deppj@=Utk6_v13NH5Pf>g^w0m%c}L= zekxOVhcCV3ahbW5oy_*liqCf3^_%{<%qpVq_ER6ry!PU<JMYxePZnBByY=0E%VC+f zocYO)*mKtlt>?%cf16jZrtkL64y(NFhfD7GJ%6zyw(mlr^_=z3ciin|&pENN`T4On z8S9D!iK7;NjL~!4IORhMrBo~mrOfkW3Z=~QI0~h#%}>p7JI5aH=gb%px41=Py(81) z>pKFUnCA5Cbvt)G`$5BmuTmD~OZ=SMUz!wk`7s}FtM_wu@40;7=FLYYWveWBW!3$d z-Jf?{z9IR@r0CR>3QJy@Ioiu7GCwr|rIDHqPfSD{o+wUH_$J`-p^C$Ni(FIhs`d$w z4_<cO(O4pwb7DdF2Iph07hXP6dJ<D8Sg>FRhq;A~UQ7d{_=HAvHpz;-8IJ7zGDRN> znq;g$_&Bt&aVR`jTp1@MuGpU5E@NHsX2Ib$8S5-dnN{siBp-Zu(7+`8;mU-^Z3gYm zU)%OP`fz2z<2K2v6$$ej4{vU6m$C6_Xy!9X_&9@+UAE$bLGz5m3#)vOGqkI>^BTXo zaPYBZ)X|6LGV`RREqwXT_wkv32x#WBXt9iHJ&;*Y;Wr_<oui`c!-QmZS=$#Y8r9ra z>&dh;yR*$%d{s>D(S(K1GN!g>2RQf1+8A7Tl2O|Fxah>h<aS;ggM<l-Lq+YHSw!+? zBrI;3D{W<MX=!23-pI~tnRi0bncXtV^?>7!L<VV-vJxxBCjt!5n}rj~A2+fkG_Xok zgcuy=RlDKn&TUh4qOqCRvP_|oosGX}OI9({hZ~9P=ebQRqrN_{kh6ZWK$*cZ>gfYZ zb`u6>od*TQ&4+`Vq%$5=E>v@0y^~u3<mU&9EH?Tn6Bb|n`{{-vyQ~fG0S0dU4^tZ0 zWb-%}&vQ#W$p~#ef8n6zf!^87QyPjVCoi7d%pfDpb>PDx)`ElutP)8p9Q0W9K0i7x zF1<sx@)Gk>rbXfrlRi{6@LImuv5>{m(qc}lRNjSy&KyAwzBf+pJh^eF1Gn&ozyrOU z<|RHFjK|f~kGruN`y5!-CL{Gg!Cmd3l1F=U!`wNuWqvjCnVz}O+{bfa4zH|mS&`3y zgBwpCv~)OkmNDnaohJ+m%u>B>##LTCI~sV@avGR~Za5?u`TUv?cPT41oOh{TkZWk5 z@7gI<NljHnQ8$;AY*{j8i_l7s6DvG~*2=6}bj4G1>4e@tkuQUvXYSefwI@WY`I>gn zN|UI5kEKPKdpCKdUav}-T9y9#=L!+V*QHlqx~`obx>9|sT<Frc3ss&|t0G=2hQ2CX zTOP7feA~RhrS~R&ZPEI=?Aq&<A+PqR`JFj8MJKUoNzPtnzcc5i88|wn>{U)n_vjOe z?B|@M>~_sM`_Yj%I-QeLeI`s;Tkdk^_zZ~&U+Wr5TN`WFKHoa^=+>*rwrjPu)@Yy4 z+Pg|iN_Oq?kp3<Af<>2}3-*f2UlsStW`)Mel~yxn&6%h5<F3ct?IDi(p3gbgE)Q{D z`MKfM6sz^>8OzzX2!Gl5vF_UEmG?52T#6D~t9s#<aCCJ-c7FET*tNC`N_n)^H+(wQ z_H{A$wftXe_RGIMpZzy;?fz9?pKmUku(f{8+pT7oZaHWFUXwau>y)U|%eJ~ionE;0 zOJueEwRzWXU5=^_%|4$V+c;G)V&~?~btaj<)oWH>-sKl_Ny>f8vA=7=mtQ%`(9B~P zk)R!*Un<<dz^bOu*y~`+wcDwdYq!&1F0D1(UwI-D8Gk!14Z7a&%X2RCEA>+T74xs` z4X_t0*z&+>>HXd-h6^seKjwXD>IUsA0k86|_`fRgxZ(e5$(6e|-tV5c>;3MH=Z?L* za(Xu7ivqLd_gL;SiZM=&TivtnnAC#0mZgFEhhA}QP;A)1vf@#>al_vQT}%<P`K~qd zPHUcZ_Uw(LYuOlXFeGe{ypSXNJ*<AM(XLy!UL6)aSi6^V*Ul}M{Aa%06aRPlnUZ^_ zUd0|+u)HhF>y<If*X~~?Q*Qg`&A)55`|Xpm$+vy-^mnd(Qa0(fN1pu7+$UudZ@c78 zzbjW1ed4Xc_LF)2cg1$|KKa&v+b*yDF5B+ErMF+NTe$0WaM@1P>Ct&RmrjdL+o?J& zI&SCEsnKCORi{S#?OZw~+HI%mlxVx1PjBj;&3pM|ns468C)2$1Rz8{Lnb-MbntNX6 zlWDGbq9L9s=Q@u(pQE+na!2!(=gVxr+Z3NSdgpn}_V^B4jaMR%zi?MwWEGFDX^PsT zz^$|H2g}+W2etAhbV<*7#I|(DL5)0tF6o*_Y;$)URLh&tDIN2OZR(DLD(@^^StnZj z)vMLi-|KxRl|hfOVCF$pw&`CdJ^NH<8Fx!Bd-|i)il5#eCdIWaI9Gq)GKFiVquR~q zGP9F}Py8{gtvR=W(`^5;>u-L|i7C$hHY3XTb#c<!)tRQN)vi9DGb_kA%jxX$mnO5- zF2~IBns1z`barv3Nw=N)MVVPn#u-et=jOjOu0A*A<IjnPZ4!wMAAi<9bQO=u`S>&Q zW0TH?9gjY5Uc{xfY{H|@-ArBTb21)%PEO)fo#gQ7v-KfXHxb7p&&^u|92q_yPUrsm z`Jj)aQL>%AVd8<BkDq>)KHTK$J?%XIX;JOa6*YCiOI#X1J^5^HTzskJ<n!$=o<b__ zcJ|7y9aDIJ{%K)RlotN|r{T{BLAGx{Yb#3&b8G8tw`|(5&My1P`B%U9Ej$1I_dfoY zpMURLbpE~j7tQa|zy7qmdoTXw=idgq{rAr=zjOZi=hr*;+kO67Dmg!X@<+|<$u&_u zw-fKMJ^m)XeeaIDM|1V^@(ZK8Z|m=vdo))kFTU`0*X__d%N~6b*}im#?9p6}Jp01i zowt4Oq&@m3w0-K1xkqwU^5hF|vu^L*5ql(8DUZJ}y5qLv9kxf`__x>Y$bD8e^L9#J z|4!X!WixIk<kjzd`?PHO?U=m$ook<#O}ibD7r!(2Y1!1<A$k5gb)T*alzn<RX8ZQM z?>p9(TE8~C_ICB{u<fh!g741TrCVzK%IwP9<+uH|&(1Tx8@Fq1iS<jfOK%t7cH7>a zCwy0Kmu`vm3$qJv=ij#5UY%F@Y+CA_Nj=ehh2DmFlE-up?szJ`{mY|iv3DkQN9PrK z>*oDzicTx^*2$|ps=Ibas_6D5kER9R3F?Y=EA-aL`*}on?v7O9?J19@dEW`@j8-f3 zR>`Y8q8nS_t(+HmM7MLtQ-SRwkES`^5$cHkSKuw5m-%d3YM$q_X~}t-&!#2jaXy<C z|4u5j>gSZy?J`B)iraaLyf;Pn-3@v(%^~mS<7swzl4af-qU-KndOa<6`;%Qyujy9b z33@#(a{G~8Pp|5(&5JDcUKQ<kH|W*0!0j!&o?h0Sn|HIsds(#J-JqA#Jh!{-dU{c} zH!rfpdr>ss-JloK9JjOVdU{^BHt%L}b$Z_Vp4-Zgza86QYnZ3sbDR0`w|zTo_4C$u z-+uh)+qNCHx_R$ew@*L%HnFfe{LVbl?cqn?1{PKa-<c<}UHs@<$HHp=JM)CMUqABJ zu&~<u&OD**(~sCLZg|A0KI_MtKy_hPfsdB$0!kbTbwB3V&CqmCJM&zmxITTx&!@rf zzI?LWeP{A%+wFIPPup(3BYfI+{hiCFY**ize9CtDo#0cpi|+`ZvYmhD^P72T-%E<l zt9?%?I<NXYr0BfLcbB5`%HK_j&MSRaDLTJtHq+0C$~<o-*MU>|CAp}`i17JWUM&kX zU%Kn%72l<KQl-HwX4~Dp@^YE4dFrm0mwcz@%_<3AGF$HMl^4rg%~f~3yx`lKCsh)> zV7J>+`}xK_)lG%x_20cbYP)-fy7>1ykLE?)`ONzL%%gb`cRqJjM-`sedgpo6cKMFw zBEcSltRnNjaH`KrNb2-o1sRd>&vFrT;ZZ!I&dbyxUt{pu^Yoo~ue3AIr+59F;(fR# z$iVz>QLw)G+oE7S^SedCy5?t#f_2RI76ogYuPqAJGM`%%tZCj`6s%!hTXcEz>{)lO zob;W2XUa+6>32d-`cA$ha?-c|&Xp6s-FK#(@I9Sp^<<e-p45|NDdw`fSKjDt;4+F_ zq{2DL|HBDhshtOv%srm4oo?gcbo$2GcXz=JUZ$M~<;`Cx^Sn9zTKLT_$92+ob{&*6 zUoo|{H1#WI*j<IIync5VT;X+dw6$b?U67sYH1%2AwZv<;a{Q*vu*}?KrsmnB;n|du z>)yek>9iy#*ZD*Pi=uS&>>2&*<?Rk#W4m_s@apdD+~~-#sK|)y-HSH4-dvRvvTfy7 z&ztjde9Sa%Pd=NO>uaWZdt&a%WhvfK(v#MnP)jl1Bzm*snpq0-rmr`eavbc;w$0!A zGvxi^jxFnI*Saddj=Hw%+Lo<~Q@cX0Et<A<#ul;eHQ5obXI<HKY>U|5Yf9I4wPj}8 zYl$At>0KQpcxKnKEZwf$x2u9ao{o}<?zVe9Yvo$kP%Xc;NA+GyEnce|s?~Q>DsZXs z>1$>Tw-%%~FW_x3`*D-E=2VAaaNC({#~NM=v7MQ|w(@3E>uE9F=+jB5r$n_wx4Ef$ zy<MZWRBS0*YPSC?TVwUoD&HA8D=&L*GF1(o;?Z|SvsXkhNP5%OO<G)>LFzYWM9j6{ z#<ryEk~{0(4P0Iu^w)D0<*r@b6%>B^)xxQzTTOLVYpq(jV(rzetx>^qO-r^eU2Ayt z`jjkB(<Qe8&#rLWvUSm|0N)j2A<V1(Ua8s^rhPjmc&VwvY~xiWvu@t;Uur6!ZFq9m zwyo<N*JcN23!aMl6V)C0de*Y78L7HPYmY7xJsPr0J8xxh$)=>KUPo26=Y_61*yZ&~ zq<ziNg`%gmcn@?ryb@V`&D2x7EL6*DZAEA%o3_(cO|G>&LRS9RtQ5Gk^yoaRt(!Ki zTeHF}+*DPR_3M=_mg>t?m#U~NJ}Vo#v17$K#f4VQS~Gs%lnFSuyeVk<>y?*%B#lbD zA`5*a4B}sX*;BIe!8FmGA%WgvI^nO@Y+59$1**G>wmq7xH7oQ&@uekx6FXO=998vv z<x+ISV{V+_#vE6rP?;b{e-GBZ3Zh?59BWvk5$5{yuzSSZg!s}^>qPhN*jAO18oRb5 z^nGM#Xh4u}Xn<Esl;NtbAay%S)2o{KE*CnzwT(KxM5kC8a=u*Qw^F$G)t-x;F4|&O z`9lM^LN9PL3Ds*=q$qz|^CI}@<vZKlR-KbtvNm$nm2IXjrELM*mMy<@A;;NZYMY<g znV`%YDb89`+kDJwf;3yBf*0{l50Of`Il*J;!>PPSwRqR&ya>&VNOfN0by!o{EmX?u zX28lz2B+Gbr`DbLl`Q=&L~Engi`dA}fLB?oR=k>WYt~AQ73#~EEnS<q>iZNejmcUs z6lJeUTouUm4HR9vE^UR|3bhq%E1oT1mY!X6W{RS*8_x{h!!;i-wVs})Bb~MKxKJGD z+LjQrH9<!;MW+a<)@)LoqBXBIZQ<k%o;y+w2Y?y^Jpwm3c-$0FbUbmyOosW>VRwlc z*W2P_LBqit%+BoEv})0;u3G_IuTHM)^vpcGRAq_s;zd^ZB@ZvOxqJ;0XKJ-g4B!y? zmt%1_owq8oIq8~z)c<teq)7R!ze~Tie+!vvm-9d5<<4(jQ{}SjLtgS`*UpZ*Kj+%^ zC0~0pYJcD44z)6i?Dx2qe6va>x<B#t#O(b}zc)K;Z*ko1aN9YpcH8kY`+8>RCm(6K z+5B?RQEzd*-?|a2QVtfS=C_^_(F~mTX5pNfmWiA4H@R?5s-2{)zsda5CfkXMPA9%O z+Ldkme}kFvH5c>mTh+O#g}GmEWXH~Z6|sNU)qShFg1&36wA#C3x$TtTxk2BTS<Tea zXnN=Mdui3m>Y%yuFY30quQJzC+I69BMVBM{uk+R4%ol(Ck!&lqHrM`|+2Yc-r<zix zHo0UMWbu}*J-FKR#TK^yYo_+E1I}2fM7Kx1o{(9~9n~NA+At&cbJXpoYo?mqzgCE> z+4LZ6slpW2)mp5xbvQybJ5n5%c^%xu+O5TURP4*jl8m+sJqsl5t(i00&iBX}i+(ww z6Orb_YHOINrIGJ)p)p>JCD>J8^v$8AO;KWZn9>+GHiU`oaZ>dX)e07y^63cY6!moO zS%#jMT24-4ohqZ)^rT}VYd4RgwsexLM#@2jWV>Sy8)xycY<kddut9(QI;;GW2iKZn zz|Fl?Vp~=%y3!aD92CIqcGX+<N67Lkjeey<4(vv{H$wJ@IQna@^i%o3p%ZmsMVE^x zOS^-j!HOmYffvclzjS^C@4b9sg=uP3uv&K1Hjy<!U0aq#Wm|1s7J6+<rtj=EniEZ* zZsI#XEicq-<+P|!uU4JfRa2NESA}T)jj{^ST$gUUbxony>zApvi`Nu7zs^jtJ$=no z{q?PhrMf4qu5X^_=DiY}3L?3Vc~9r6ik?<<GRf6jOvihD$U>*3I&+zh>Tpd__dY+z zWM%QmAZVrYa-x!`N|0lvGlQ(`rY$-hGbSjccptB8VEp+oIdg{i-9_<Ny32H*zFIi7 zH8h=9c575ssD9~|u2p`Ps-mIG_w2lQarx4K&?$btKBZj?7JGS5e>GXFBgAp#Vt2PM zZtm^VghB&fX)K-cPiO7HsP2&LjVGg6qOQxVT|VinNwmM->z^sl!<QPL@LT8gynn8( z*4CSyq08B=SHF6ausmdL(uA!iQs>_O8M6FGa_r}*ZkIBnP%W;Tl_4uLwyq1jWf}4^ zeVed3tE;K%)*Tvig!4GRw-g^T)$Q}t<Xq|Z-i<}}Tt=b0g^Xa{iYBEO1&-aqOshUK z%brUwwEr5^{(9wQ<6y`2KCHGz$%o#E1oJOzir3QETe5ONd9btj_BT%!Ej_0rdL+oP z@+jBTxuLK2Y6dO3^3u`r_Rl3<X`&@Tjw@&Tz7laXpZ+E#X#J8VBhE=&Rqk3E`B^X0 zCeC$RrP0ZAGt!Msh2!Q8KSTM?+5cwPrQPr|&|fz<cJuLbx@)<-*369z54B#qN@L}c zGQZG^-Bq_ZLtU41Rhi4bP`i9?nbxVLTvcA4qBgfWLtGbgoswQT+g0>S9>;>&&eomJ z<`n;X^XJ$%<Di#3x7K?s6}i#xG1ur#c-ZpgTjV2`-oIIAr}cNix7Vw_bl=ineaSz| zewEk$1%D@L{oU}*dDWN4x8AR~q@QEI*lWM?Z_dzPzHh(R-Up$n5QhB3zx&?*-lz1t z_I`TG{`bE@ocGGNK@8?iV1-*j<n?5b-1#gJ`TqC5^BE9E-#3tk3EzJ2)6ay6?0YYK z3#8!8v)?z*FP~R1i*3!xS0x8-xzD{9b$OBL-l*XDrg~Yu$x-E@ua<rdS;`mf9h$Z7 zYv9sP$D>vRai+XgOi6WaS<~F{Q$m<|(?7;*qHN!`e!KN()#aeqI^m%KuY5w6n=k!* zW!CDpp!C~*QMb2sUfcFJ_3`|z{;Us8f^t+Nmu|{Z4PUw`=V{ndzNnjvgQVA9S#>2u zd#%>gWbMUTwFkVGS?yKR?$`P{XN^g;X8VMxyn>sIJVduvt$DNRe$>mwU7Ng%*2+w_ zR}s)uJN{%kPu$mkopDnaY1p1$d@;l1{O1g-S-tL^SB$JC9BjDG5O6|J(x5@=z2Xy( zxmzZ_zA7EaQY4aT6Lt0B{P4Z?CbyPdXk~ThU_3I}m}k#*qXP}!W}RT{Ez_*JcYX4u z&G%+{{a)m7W^U8MXdlNwey?(VhpG#^j>@&@9O&5HI$vkQ4yP`S1r>)n)n-IEaVpdu zc*xA1mba0~<<27WZ;f1z-!JN}3MlE;lDM11uuo*tJCPj@OAnNEYj*5(<=5Z}x}%X$ z*sT%Mcu2^cEAWmIm+zbfCG!`QbgLW8RVbNXkTRttr6t8l@;j%!ouj?_k2{Yz9k#Eq z-nz?Csf7dF_zrG6BLV6|p9D|#f!ZFQo}4-3Iax(vl6F>U_k(HCQ-Z=ciY~9x1Xs*z zpvKLTg$fJg<!==)<1soWpv+^i=Y`V=o}%t8FT_#>L{_|8In{LbJIm5;<5yy?vwBYp z=^d4xzR11UbMZwR>GPlW@dT|{#4IWBWY4R;%yPYI`k9ZOU)0MC;`a4g=&5Gnxx_n4 zmx24`laorb-Y_2iykS9Qzy%u>8-0#h>TS<57gh#k$O+6k?)rI6Mx5|j?M0Qg_hyP* z@OjYNz{YfbvgDG4V@=8v7F^5-y|RGW@Ir>kt?7(s-L5zuh(4(8$SSQB;nWmX98%!O z>g{nMV~b$Xg~TS=kPXhhQ-b$+|Ga%YC2_^);!Of8Cbz^o{8hQKDq#6>vsSCvZ5mg8 zYUXm5K3n7WH!fn%ue<B6nXml1HnY|_>VDfb^Z8#-q}a+u_B&r&e4~mbx<C5$$1Gc~ zwa1rz?bssMer@sjTgOsztv7Asjl6y2n%Rb}x!Jk9qi!#`wr$x~-ps$!>v^PaUyxf+ z=UKCcckSUNcNZ+bbmxkd&8=B8yo#e<PsqrQ)mp2$HoI+G+tN!imv^aUWCv@V6<wQM zv#l&FlQ$=JbyRoIwMP@TN^BFWUXwlVn(5lovzfX{k==gTA2YH&wbn+iJ*t^~@p#mo zsOt`EkLqN9=;{5jWvk*=*7X->Y+R{%QzrE5nLA~Que;asr^>Ru{TEWD_1gUA<dt92 zU%N-zpZw>&bo!=!H-C9uv)^<*=;iV?`%`MwUrR^MKe5kisr8onpqIbi%nbQuSgkVk z-sycFOV4l8Uwwt4dXrV=oBAt1SAOlj#vXP4MPzgAwe+a-3{lMq*B(r*4PROw)tr3I zxaC^Hw6BY=sYl&U=baYW9Q`eFYEzV%aoe|$t<Bfn)_k?tDmV99@}(-3sD69v$IFAF zCvW9Fc`Iz&w%)b5iP_TEn^Ls4Ca%p5&Ypew*0e3#1lQ);bJwjbX`Wx&duG?S)Y!|L z)`do1U!b#MX^_^|1yj8o*O_K)W$V56;JnqBO?;Er9F~7AkSQCqHb3h1#T?tLwYh28 zv#(V(FJN69!d11_agAxm7PiJ~3$I!|*~Hhs=J1Bnj4k^@*Sf2|-k6meylGqL+Uzv3 zk|0fqZEV$R4lXsd*uu8>+QVt387Z<&YY(q1jo7l!aqV%X*MeEO+MBjHuFXyq^9j=A z*v7W?+JZ|`OQM>cvNvSLDsSOCz2>mu>j~Mic5Cy!UmKpNiix`2v?ka4wbXRh&JeD; zwFlRj&e*~>|60NYsVPy{8P*;)d~I;T>di*B{tcY7#Z*??tiPM_cfr@C*Ycyzb3`?# zT}zl@dLp%U$<lbOrODIsR(^4u7PWFpR;1R_OU#j3VVb?0N<5c7m||M7jcxiGN9F8* z6H+Xju6QgBIeAHO>ZMhtUpFoDySC-TEUC4c*Jk-eUS7I1Y3ilLre~ur>t!39X$y+v z3giADwJyVU|C;4fzWQvM=W=bw;;$l`=Y?LIe6mVwZMOSssS8%+ThBSJE%tmp=fbXS zDY43%)^)CRHR^6&RnioBUh{QlO0RR&<A!U+XR4wi&#Pt6xlpCIHrw;{tP57tx1RG{ zTkQQ>=HjknDY4p{*7dGEYSbOQY6_d~xfMY&S06QL9ktaxw>)TKwyxIN>_yiWP2cLV zZQa7CZvV2jm0PZDE%sizb;H(<l-SoB*V(Sk4#_q=6~z+W9rapj`PPb5U9+{Wi|2-D ziJmQ+7pmp9HoL1#Z)FJ2t;i*pX04v<tTi|4b>-H1ey?Y4nHT%oa_hW+*PdI}ZH(&n zxpw(vRavCJ#_P%y^$A|fuU7@FO}|nlw)Xk8s<gGsuU46@b^Wk$rib<ZSI>)QpH1J} zcz%~zTI}ad>rx}HuUM1qe{JFUs>W;jo9$+N-M%J2wRZj2t=HB^H3wZ=a8oK|6I=J1 zg9_Onj?Zd}ysVvFwQZUEwUQj)!`G%{+aA3pob%T4E#s>tnYW}$FB!b$D7~b!mZS8N zMlR#4C7w|Nua-F8V!Yy6yoG7S<YO7uD<&_?FkdmbEhGEY63JVVrI$E#*ZIv_!0Is9 z#G%`9u8F;OuzK(LzS2uya@L-UzV^#)>pt7H`ChN1FaBcNA~$!<ah2B-PgJQy_uE}d zzFu`Esz2oQ$4pzTwZ|8I<=C>#GV=DMHMuU=%r<R3d;He5t=m%9=7wb7zI<!hmTjVI za~)puHeB0k^jd00Y3}J=X{oW@oA?^9X>YkV@9WVu_fu<iUN^7ZzxCf$DVgZzkZTVn zo1RGFm5Xe4z7}$3R$64RM)s@}-{v(h`%S+_1urno%jzwT^569J%f@*Qul;lWHm=>j z_G|gpe+}2<Hf_6cD<NBZZR{4a3sHQL-mAXmM<poFH(R4q;Ttn+h1GtOfYLhe&mrn3 zj=Jgf2DctK+L@XkHuc(sH@d4X&ANGShSu4iZ{DuBG;6|M&QPn9Z{C*ezMB_Oz58z7 z_S;wP<!!(H_Su&0x8IiSR(%VWa)C&lzc*#g^Qp4aL!yi~@lAY_vE)+J<kE<g<D0f- zq`50hy>{Z-!U?kbwRn$T+kB=k{+j80*|l1{yVn%^S%<B4*0r7$dA+O5W|fJ`=5w-d zIkxZ}eq+)1*uv~)q0I3@mrai?`W{DY1CbVBQUXk#*a{Nq0FxGA^2HXA$P6&)0V0zp zp8c_TvH#!W8?s`5N8X;~b$E5@yUbiSt+SD9omXz<Txrr0b-m{r+mcHcGUqx+-40*6 zb3y5jE!*U#wmDqex?pQ$=&bIjcDrk0p_zQyvUO{FS6<qX9qSx*J8-Gx()QRDk3U2> z?sz3Lai*Z4prGd^v15;=6%@KBUkVLm7j9^q`S_9T5(&j)7EWm{E-5Z9D!M+im^ng! z&40D&-E+%#ChT_|pDC@1Sb2P_ten);T!+YV{Z-!UPp8JN$@7nOd8s9LH9h`m@Wa_x z4=&8}j&qs+fZL#Ih40?gmy}$-ch>1`=Q}9ucPv70o#dgVH{_;V+k2VkW1+}wDZ}W* z`E9o>9_P;4ARTmvFY@(?*Brdp=be+E`8cn%?1yzS-@>T)&-E4YJYLIhttg9Osf=o~ zUCAMto!ob8#sWLP3~9AHy_T=qd%lER_#AOyl~_c+=DR?rUo#e!c@)^|CM9<#Y*u33 z9O0_G_T}@IRb3%rhtGv@rmSJk+b};|EaNj1yGDY;<Kh-q{$+PuqqYXie$`ayoX#8? z*rU0_c73XU#;&$yJH*0U3RGinTuu<*(#ko1iFM=2&pkiq8Qn8n^85VH{TA=vbNVk* z4BR+(#kN`zp87{M?`lrZ{AW1HHoxqg=l{&e{JcxOGjk0$+p?YJWn1`PQ1|EK0LFJ8 z?mxcsd(MBWPjlV(T+iNNxKJiy;nC^WWs=`cKe;2k;G)|U<BRj-PwZOUY38&efbY=S zyz1>T@0TWf-<menX>aXai>2PqzpoxW`!&wOP|07XV`;&{iUhfr6W**f=zrL)dCC5t z&xFZGe;A!v;`i96@&}*qr^NgDZEUQCza(CBKKXKMeW>=9KVIH9#S~dKZl8PN#G%vq z6HObfRqXow|LwA9uSwJ9-MTs};*8(}b>ZW$OF1$s?_H4P7rb3|ye;+ff&=@sxmfqi z^E&ZSU7}=e+7<Ws!e26bta3kDtl1-2Kd0VqwNKuxUZca?+jWG`MOcN`yBS6`zkO5w zZZg|1hLhW#?&UhW(DrI&s>Tju4XvDGoYQKp6`5vue)^u%vWESy6!+m3)0$OulOL~N zZ87KD#VgA<+s*q{5H$6==cz`k)3(haQzms)nLexbY+OEjt3q4zx5xh$P3XF}V$rJ| z_jwphW@=pJvwCdU{KVt_%$q7#K5qWh>sa1$s{c)B#v6U5Wj9wa+PF5~{OQ8D@sX$Z z2_KWMubDGGnyKCW+P22Q(8@)AW#`Qhg9$MSTnjDJc5KTGeYaHj?WKgco%8OA^wqm> zKJ#V%?604^mZWOdMtL%>^eGFNHmmqY;TJXL)4el}NLYJ6Sh}RfW`o9FULAY28nX|) zf&LG&Paa!XefZqmfAW*vR*Lf!C_S9!IVH(*(NlTrXTRO-0;iT%|BX1neX{uR-^ybg z*8dM~X1}{rm5ooL?fR9d=Ek2+XP<wvUL=qvqvBhh@#)r$Ym9HcEevs)`1nv^=W7o0 z8%!S!>RsQ9cY9YieALgVKKWDnhJUfxg{=FoM<izFdA^BwVYftJ?OM6oyXSv6EM7m& zmzQya$on8Ko36#T&rP4aiu=OObzCP*y$kCF4pnS4EzRaj^^U(@U6Nh>uKusS=aSts zzqHj|PW@p$-|1~|?<y|o*ccO~!dZXrhwVB0-8cMO&&3~yC3>&lop3?kwUfn+WpbR^ zp<dNqv%puWF&(%6%U{fQn!Y=ewc%-`UgFPt7SEaFJ~RGJdHCGT$Kuqd#mOd`Ti+%h z`+D2`(7Gr-?Q4Z^*|x|#Jow$1dB4@@!K&-e_g^XqnE9!E)vLyD#$W3f%52X*^ECeE z{R#Iq^`$0WSGV63t$96rW`Oquj;%XZ@M>N@ed5j4b+^nW?>zFi^?b|TqvtQVM$a@$ zKcuiX`AyjE4H>fRcN;!4ckGI9`xYA{R`5e>?PL>yJF?ICd=3Q8OxLnF#ws6tXp>fw z$FEd@k}M^GPd8V^zRo!m%=CQwB^_~_9-HfzjixS?ugkQ1R(avLY`AE9=8+vMdS<h1 z`h3=J+SOqGRq30Tn(U}Ftj#!^I5py!&aBre`#92lt9|bF{%w2kB(cCgnRRJ$>lc}P z%lPc*|A&JNF73^`cJF%SSC{l#)0gZE-TGgat63ob_Q5#u&{fBR?k}Ctn|@Ji+J;B& zq4$<f>{hRRmgQe|^TxlRP}`$M3mm-Oavl4wxVJ8DQKm@IWB0Ics|1)C9+-ElSUu*| z^)uwww0oSzUp~A4b5Mq8z}z2~pGg_>_TEprQ4tvPo#7ArJ`?$Qi#BhN`_K7h&;R%y zEnC)YtG*meIPU7$UAFhW)sNh&Q#{-6eK*^D>elT36*rvLzxI8`xb=4VgS2*L@o%e6 z2u=C-B|p;U>SEI`iTtOgZ%HZU(CuxlS#<Wp;?(Y!GBNAEY~rqL-si`6tg-$9+tvC5 zON}z->1X`0J`i)QOx8@JW1&1lc${!w0&|nsMlKfnl`XlxmbRa-NSLfpQTVy_$aRyT z@V%wgY3CE<Qsb`oTF%xknzvl}y7oSAp&$$GFHKL&w%=R!ed>aD1=>+o47)#69Te}f zes3~Ur$KAw##Hv1OrFb3(?Z{@YO?riCVBswA>&FdU%M5xT$@|Z3GJG9NtLU+Lc<~3 zcG``|GhWB64_!JXbL#1m%m*h_jXzZXUi34@t?{Xqjx(cx+uE5Sx6|ado}RU**IBY9 zxp2>yxvO?~eKpD5_?Th(&AL-Dz1=}iA1}J}EJmgH%Z^i%7aYDgEhjyC^VRay=d1Fc ze)pIEwBQ48%c*rX&a;2qD0teZd;6ZA%U}IX9md=2uFd=K?Dzi*UPVT;CuKJIUp)~w z<?5ytr&cHG_m-WLn=AiFzutS<ej5>^2OnfkG$$>+(UW{L{>IW{PvYO!yEbq5m$+|| z!G)OIv`ekA?z5I#AFJ3`IawlW*136x*^`oeFW)py^DJ7EX;pnp$Ft9L?bb-6{tcP2 z0&EYHZt~5lY<jZ5bw6Y5yGQF@Iz9XJTxr_JJjUsT5f<%o+&YI?Wv=Ng<~HB|MxpO% z;Y{xSwpr04CXUR`vz^$F7BOBF?Y;0X<JYNxX_-$HH~tKosx#~0iK0hdvmaHevfi>< z+;-nL?dlt&)#t=EFFE_mSadrR%i7DOQ&+vZx^&lqD1rCP`rnkMOnSaSdcNz+()quC z2)tH8T~GhY`@wfh1_lNY=7O!KPf4ptNkUmsuVB^6$PmKB$9v|?+IymG3=9ks3<?ZP z9E@xncXx09%KPr_|NpzcZ~My2#KFkS(2(G;BQBK(W)lZ^<-SDdLS;b)1_pix1_roI z$vN3bHa&h^`#tZiZJ-$&8yhDZD;opM1jyR|>p@&@yr&r$K$rvO)*>YRptb)C{(fU* z;EiCLSJ20$rz0S6p`5w@ZN1g&fIqfZOdr>W)iv_Bf0m4sQ*-%X6Z3eUVdZy0M~&IB zCm9$WbyfA$^@`%MYCFF!y*fQ4zU#BloasUFRiA}kc`w)BS$Xoy(o54l;<G*r&7OX3 zkIAn|FTAtjxN14SEH%-u-S^~Y&~xuO`nmg-{1los{oI}@KPSzcZV<n#=H#cPM*5-q zTz+19;yp><b)U;K)f3Z??NNEQ)Ii^M-;*CfkG*^Jb@wg#5%kErLtl1Z%8#Ij-YxpP z`&51i&6vJ#&y%N1_4ErXGf#Ur>ND>9@_o{M?^^xL%Fg#o_fFrmr{(EVZT**fr+gQ> z=N%Te>XXpa>F?rZeVTOFyHww^vh&?i4SmPT%y+6gr_b9X@_o|e=?~(ns!!%Fy+5@k z{8en`d9OX%FC#O{Rqsyyw5BC}>7A+X)}*AX-kF*gzA1KPnd+^nY2lM%SDy3QsC{sq zOZw95Q=hGQvTM?usZrrcv6-c+SEoK&!;-%A%G7&nT6SG}>6I5|6uYuS_0rT^YmTHX zHP!B2r?P9(tf@}nOtG40z2<9IuDh~R=(*Qy?aXy8X-kc@Q`e=Wsh*jt7rrTG<r%N3 z+JWm#c20WYHBozFBxli5L+#E;%`;y8+Lr6C>=1hF)vc|$Zpsd!M_%pPlIuct2tD*_ z*5+KtlD1S|yE1a+X|I^DTbqI&cr|GMUHfEv(0#9{ut(9E`Ac=Q6C+=q@~YB)y0&He zq^VO^t#L_Rx_j!fH7VPJ?s^4<EsEAW<rNS%E7~(}sk-*jwNFx1cTBw(R`mPed;XgG z3%{Ff?H~MYoG1V0pTl?d%DRT<>^tpud}g+`Kk)b9TmGE-fZxow<j?$b_{LsZC-9s3 zhWv$}2Ve6)`*Ywcdr{qmU(DC!*Zgz%%3fH<@SJ_6eZ^<yEAn&x9XQMHQy=h)`I7vW zpNzBR*Ze&Ag5RZn!87)S_6&a=XUW(6JMfu(uKj~Q2cPkq)Hgh1Pp_NsliAq*z@LLp z`E}|8p0Q80U-0MP6MmI?fuGDq_6>gy&XDi;={Q5)=HG#j?7j90e-1w47pb4{gZYTO z%)bL4*jw!t{v3S3&r;9uj6J^Y!Bh6wx&u$yqw55IFdvZr^Y_4e_FDT3pP2W_zxk_h znt#Ke2dDVI)J^!#yhlFbr{h%lfS(8N@-O>y;2nFZ{e(}<R^suAnqBu&GBa}*u6=Px zN=vIs;3<1RoxykJo$@w69q;hZ`NQyzJ>S0I_QCgjHU3R^9pCePd(%+PUM2J9okKZ$ zWm!Wy`%d+@A8lWjvzLd2=RZhgFOzxj?m-#*HtQ948Q=1yl`HISwlZIlvd3}O-BTTh z?jAVF`{;>IenDl>ThDDf)Nfk8JjcuBf2cTU-sBShE?dv@o_owQ_Bc+L)|oeD)|B1N zHzs?rn)^rP)QBWk2}ydIPoAq@IqwT+RnQC1EH_T}pL<T6Qz&CwH+5RyOrEs$Dwcx2 ziza!cv*n~YSU2CgJ^4x6hw@7?QzU;}^POq4l51XEyux(Jl8MEa?(!V?Au6Y)XLc?@ z;B#=%<<mR~7tbsxW}6qZDq!XA#hYhuT2RhbtpA~A^RhCw!qq$0t>3rSxMLIZ4cQAf z55DGm_U1q-dr{eiUre`UH@r(IWnX38v6<Pz+T-rQ*|H1vIh3(4*Wa=C@M(swS@$J( zoPF??wfae1!*c_Z4eJfr^U4x-HD8jQ@NU6bz8h~6&hojHE9_!6wdT0X_=4}!n+L`0 z^Q>RoVSLVKR=!{-vx&9E=H@f9Gj1|I<I^io*x77kz2XkzQ$DqFg`LeOWGCEYe8P9^ zjl&tfLvJ1wviDhM++lpoCsw{-NAnR`g?A4M*xRf%?l3;&V=GtK!E9jtV-vH!^@~l+ zde%2KH6M^=c=sTmz0Uf@?Z#=cAKoV9vqzRSq_T&XE!f_?NA|(n1E=^_y=geb_pZz! zmA%Y*#qGu^vKQVeoZ?&XCLxc#$hu-v^A1^yn~Zn(?v*_#YrZf2<KBYrY}I)Os+n!g zZ|rS+$NT9H!+GAK{DS9fWqA$N&9|j*+*|OCZHxJgy^U{pU)@po#<szHL2>hS=`%YU zU-Le>v*0V+8uN&~jjwng-C;P#8<hXy8QThTi`wotEnyM@Q`z$J5~`XnNl&=9;4JTr zI|*lb-SQQxm`%+&_A<WUy>#cnXSR9fFZM7#=QYb;P|0j!Zc*HPMta6h#%H{G`3aTH zM&>K_Fh1o~%U7suJ|R6}C*u>|V|N_R@E*GJ;3Hd~dBz^b$Gl?s3o4qANGsfX@PVz( zTw@R8LteIgg$iZ^^B+ab`sOc+nDxwW6g3}^X1Mp@JzJgmi`|XWq(9tEc+VD@*YK1r zJa0jH^B(C3cMqK6U3I776z{t{gQsj|<|}qLPLaNFSK$=zf;$QC*ow?6ikf#wTkK@K z!+S69LD{AEp8M3l&fD@_<=*5kbGB4Zdgoc`cc?h%ooBh<redLYp4-)L&I_p)dh5Aa z{p7rm=Syx(PViq;eCf4kv0ss`=Q+={>Ko^6shaf4v(T@pIOvsUzMqrr%P%VC>NDqs zR0+NG%=JquzBFsHo4=5)=ULB%>W%ZJJX>;pvW@>Mo6gTm&Q3P*cPhR#bFz`YQL)f7 z&*|!s^Fp32IW<|sf0m8srzIyQpP0i^d1=PvBXdNaEjd0}#y`uZ^W&1ElSTZ!Y&btI zIXs!iU(2TR!;*uOS^SxbFHN7kXU>(UDthX<mYN?_4ov>%_sM$Ydlg;vk8`(_2Thy2 zVNS_YmA#Wc`5m%8dCD`?Z&A^uyPm;*o2*y9Q_)nvI9KGUiiY~xxhmzCCQp9kS5zc) z$1`7j=h+A4Z2OE~%sn_y^20fY^E_qg3#^-Mj1L$$-;umCvvIEEgL4nc*tQw3n9KN< zCoNsUy4lLOV=m(xo)>2xl(H44J+NZ7G|rgI_?jmyeSuZ8g>i#%^A*WGGZ|m;_@zHc zV_RmdF_-Zr&#f~JXL+ujNho1kWE^1Jd_l6|oWfZiyYvT^&F3Tw&LtGH%`$c{ZZ<YP zF{g2+WWu=zMQqcIHH@22Nd}xtC}Nvr+%bo7rliBUgfl!x&M2JWkxPGI(R@tO;9No> zTbHrN9L7gHeCY`m&4(lf&LtGEH5oG)H|raJFlyE_eqhwBYs@i+@d3}jv<K$R`y?}F zGT!I;mNp@kEh4QTm95J7#B9cUJZsJ@IK{K#%!53(;Istu=3SB=Ga2vlEIPw*isxCH zf_byLamVb&$&v@oKFDRu)BjQ1_@28ee#3LtJ^B|uH{BI~u}|SV_lG?S-&xD!5}vc} z(BDzp_?9~>-r;xCP4N@^7M$Zwif8!EWTjtGdvK2Uj(ra2xTE3^{A#)?zG9!kIqnC0 z6uz?N$2I(Fx-7n<vhgLiSG>coCNuqn&rPQK619ylxUcL{_`<qC-{W)BdGU&U3qBic z*|=UfLu1<szXhPGCcq^{a$Cb^*4g?OY8YpURL#(icF!)Mm%`WtE-XNrgHYxu-E zS${%};}h-^dl){kCdVl}V@-_v@Pp~NxXHeTkF4GL0X2?~xCP=1elQ&t7unbFfwfs* zpoVdVcui&Fbn%i(#_8fYm5vX%8R9>DXWB3RWv|0&?jLa*zBlRUANbUyt$(4~@gDbw zxP+&yp>YmRS<Cfjd}7)yer2!2Def0>0#8{3;taks?G(4EbiBhoXAi?W)_nbf=!5UM zYQit<ZnD*W5ZgFU^vybla@NYQhIH1Q+B-HgS!*ANJ@}R@Cp=&`(=E|6>m15hOTz?q zGu;rq5P9%5*RwSTN?D7-F6?5uCc0*wLn&)v7(+ViO6`ixOjktbtUGX)%O^Zw7t<xt zEs>0~Mb|_ge8J@sz95Ztp*BOT<1Epdbq9)B=W0KQIrxmrB)lPwH9c&?P9|gR12G4m za_NKzq_IxbUJ!He371N^z)mJ3?S`0xGekQg9cPHztUFN1+N+%qbMO(DNce;uOh-gz z)*UEdZPiwYIrxB!C7dCRH9qV?Dr;=mfmGJ$Fo7LR2Sop@J&?~@t9@Y;(>~ERYZXp& zZCLZ*6xWxq3EP?Wh(<&@P8AJ^Jb0ID*`3yBu9C|R<gu1&PuRq?OVlNjaf;}fwGMf# z{$T>!nbfr#q7UBYy5skun(4mqmw5^2IV=1ZJZIUhe!<ppp6~-p$9J6X<{UW3S>Rt# z&2(G%%DjeeESuFQ*gDP?J~EHt8%v4bhpHw^^#a?*Il^1!HGE}RtsY?OI7j$^rQ<8k zdvh4RvMg6GC}z4WJY`<P7nWSV1J78p{S2y@E())(bbP_-;6LFR%Y5|@Hjd9ZE&MlB zGMyD(V0mz+aLT*`XE+V~3o4mT3s13he9EceZ&1l}QrKl)!x>Hme}iW%Nqz#)So+lq zY#bkRO89T6U^*(SGLPXSOS`&(jpIX34u69Rrh~!_mItQ`S6Dhu7cQ_oI8B&k9>WKg zdi4+1j`umY%xQSfQmuZXh)G9%M-kIr;U{w$-m`@H1w3U5@hd2A(p2AI?Rb}S$()9F zEP;LuPg#o9Cs-exEPQ0{fs>pM{63U1Z5RC0cc7eQuksCJrh9@fBoDshC~=>V&Qjqv z!Me#>d4n<29l<+&2g+EsDld>d_=Y3JJs_QBqjG~R<6OZ#eF^6{V%!zdS=K5i$R2#f z5#lb8&Qjnu!K%r;d|_$n+!u$0w73{L7)n`|D;F3uT^96_bbQI-;eH{FCC6<;8p~p3 z0ole`f-QXvB`leC4c1NP1uOa%6tm1xz9G~2jN?MjgEJgP?g?ouGn9A8G(P1x)w7_8 zWs33)nZ_p^O70G6EEAL^jF}9TIgFc*3tIFoC}inTj*w}5#3AI~V9|6~P@-=^0ZWUr zgiPZDjsrapPIK((S#X+TM^D0O4kmYojtB3bsx_pu)MdHd^f^$@v}$wkiVDXI=1jW6 zCtMDgGu{<^qj<uI(O{kO0U>Q`#)Aj<9jhx4jFD`-$MMOn!JJ83d4&;^mhukigHr@= z^e)I_3378VZ_-e1FlyQ<c%t{gNsdQu4^mhPlq;kgZ?o6gKlt5vkN?e2hWG4WY7)LP z?~*_9nbAi6#NPvR`EUGWc*mY+pYXf!7XO91gm287<X3!dyup8<uHhVeoV~$w=5_KD zJ~v+D-%ywEm3ftX$7e<hd5^ybX7ewod+>!h&o1Ft<0bwHbqmh2->6AA%kE~c@Qcw@ zp5rgW3-(Jj4?Z)`lYjAt;W@jR{eqv2Ch``a8_)31_{s2$UC%z@XQPq)ia!ib+12b7 zem0)qpYW663Hz}chcoPlY94%K?vu~>!|<40%znX-#v}X+bq_u;x5;b#VR*>SX0Pyr z(LnykCq{kw7oQmQ<ZpaxJiyOT_uxHqo&1a64b%8P)F!-Vj<jod${cRD;Ctg9{s*-O zPO-15X*k9H&d%T|bD8{#-wjjvFVrfWVqZ{`@Q%4izT#8k4t|TD40qV?**)0Sc%S!2 z-hy)GYO@2o8EvI++--Qr_9=(qJX?`@K{|7pS;Owe+q^gO7L+k>k)Cn4;SJlX9ECFG z4blrXH(uvGbF<+!+moCHrOa!jBknf5VtbUsaE>j={6iY^3TcbG4YPS?<Si&+ULu{b znbAx-Vsqm~-i|znvusZ047(alq<`FLc+O^Jeqd+gS>B91hO=zvaukZ0)65!nHlF5< z$XjrRP0QS2XX8m;k35AU<`grAosEXl0-GBRq$}<;JZ6(JKd__mD6dAILLqa9w8fo< zhiqKt4m%nT@^a)coMGFSbKo@FuAGL`Y};}a3YZ(Df81`k&-Tk~K`L{N^o`pN(|8kZ z9+=AeB3B`wxk7rwrbaF41)Cao^ZMLuxXbp+Od*xIM7m=WqlWa1+YNWv=H)2lG5eV@ zY;WAoyCe3&ccy*fFZLdo$NeGB;XG@Z{(|a88}S3hjd!^3>};6J{UGkaH>PdkEA}$H zWlhspsBW|p@7T-mhV@0vgRe}*dJn1?EyXkTGQ4ID(_c{4Xd&KE+<1k1&rXI{tbY0r zo-r*G*VxPOlJ!<h!&%mAF$rIo7KsNGH(uawh*LPrYN!98vhf^uL0rOTrdi?+#f`?| zC-yYV<W7it@QG=fxJGf~Dei!{gilP9#5?vd%;a{6OE|-NBu3#3tDOFWipFEy25|`= znYzS1_AoqR<<n27XgtI%5SQ?QsY#rnxKUsHLs6ri_=BQGU2%>*3=dfU={+cK+{c}< zli@z=H@yi@nIiNGo-$R5pV-ZCk9AGVf>W$3VjjF>3f4;~Z`{T0v6JC0>!KKjQ>@SQ z6v`Xb#XEL4Oy)ii``|58-v8hG?*Fcy_x<aicdzT_9sj(u{`p&*clY<by>9pR{JuBW zf8J=Xwfg_7_}<s2HLo}Sd$qc5PW$JT|DOf^|8jTF%jtG6w^y3&f94+l<!a4~=DJz` zYtFx~Id{M2to!G5yU%BBp2hEbI{oLV<$q32|8qk9^91{6M{8!(*BsBUITl}Y)W7D4 zea&J0nnUt62iZTz-#cAiski?rv;2qu_ul`GJN^0Zz4S_*`lny*-haGzD!fvw{(JDf zcdz44U9O$d{;631yT9GLxi)w9@4d}Zr~bgY@gC=!nGEk)zW5}RGwl*SVa#YFd}8i_ zxtup<GQ4BSQ%|sNyv2FJFQJTSlkf`T#v7am{2I=&#HkykGp!SzVBC0(bAw+(Dbp(9 z4r4|OVUM{7W^*p^dr-oZr<P#Vc!_g@--5F&H+&M#vbd=$STULkbIfIU!E(vxK{3-j z;TLllp0k*#FR)}Z5w<XHJi|F-Cc`rpJ@o|3MkC=Ba~PhosHrPhHlE;|Fq7d4%P}8^ zGc1RE9uzY531`e<c+4WEzQCgK2&aPIg94^DVU0Nq4_VmM6)YGHgnt+@>I=UxV$>79 zVbpkllfmynK2x3Wi`fm+I6wF%<TFL8HKa0yt1U2Z+{5|6_rNKZRXz=;Sl+1_q%xHW zubAC1h4X^1!YP&oJ_&hDMZy(EjXO9kW-{Dixu^EvTf=?!A9f4AGgiwTs1}<p&S=T} z#U|l9<1YRapBZfUPt+cm%YLJh;T?0He8TUBTkIF?6238R;$QK(;RgEwyM}Yjaq<Sw z8Q1Yo_}p-feS=-XSH@NR9iMMp=iFkj)=(@eB12=_1~!qq8d_FPT1o~C=a_@!KRjbx z!EaI9Fq?gb-GVQSOZYQBGnny5d~UeN-eKo(mf1<3;a7tR|Bsr6=gd~}2Yxo3WzVo< zILmy_M&UDKnq2Zh(`1Hc%zE+(KO2nrSJW^(Wmc0{_}OrReL^L}6Xs(%W^IeK)^PLs z=&WAxBI8W<(N4WJ3l}XiSZip)<jT6or)92#_eP~REP)dRC(W4X<Q`;fb?K2uuX3v- zXOYTLQ{~XU6i<suk3D88AMKlBB{X-Ug}arp(5#6j$2<B&(p9cb40A7%^*raXHpMN= zSm>q4QstR_S5lXlD=(Dmo06__cjAE_6YEKDJo4OD8DDzfQQ&4JyRty#*2GIaM^cxZ zo@nPjN#<ptikpoxXJ3lNrRfvj^=wI9a%*CO`zx7~=R9^O-|Ty0dFidk8s(>bE|x(v zCkiRMcNtxp+Mi_q>aWLn_cihl`<{HC_|AQk{N1{g=ZkIRr~X{=ZSmcS|LivX?I>Te zw_n5F>2u&~_ae7RMnZQzYL$=nvRGWY<58d-D%r_@$|K6I>g-u*&eI-^@^>XY-z(pm zxT$8#^Tn3(6Mw$A@<sVde~7)&=ZnwW`+Ma(e|CIOK6$ubz~1Xm#<#^s`z7p){%D+W zZ<haCYw}F_M8A@~)}IrlDm(j~?3w<)_^f=s-^%{cACJ#x+-J&P{CVR2;?w;)_N)GA zoO55PY%AG$%A;J_SMub$#RvL#)qHuXY#^UlXY%Xfto~ayF24d_y64#ieZDxm-^D)a zFGrb*y8Knimv0x}@BdKq<n;H658P|zD}Q#Jc8_MT5BlSgr+md+KJn*^lkVm64{JrX zPkiH6VAl0Ha9VGW`K7xn$`{}5UsL0fs%$2`vyLTI*;e{vT}kR<Tj`Z`Cf_ek>Gd-| z^m*cKw<5c)O&90(f3mxD`^9%<b?K{lCh3cB^yZjX-R(H%UM+nv?@8L?JH2TS&34^A z@qMwD^xC?R?Sik}%cLLXrKB&u)_>1z(Or)cWmD;?H%}BVKG%C8rzCChncg!wEjuSZ zb(=1onP;*~@VQ&28Pi>jlEs&LeawH|@hDn+vRBD`(H)K=Wkc!Qyenyo4W-xK>^S2# zRr=}8j*>;UJ1^LXR7{-H{Vc{MUFmG6nfjwSD?Te-?|v8ar7G~X>l*ROoh#le8HjVn zm3UMHK6GspuAH@#<MX1^owssIQWx*){iK$}TopL4`;E_*v_%*1biaz3Qg!i-%Y5Ob zGd)TcUAWbqqrYn}N4fHz&MQ78&lc_MeqvUna!dDBQQ%$IvU2gjohwechUqo!_BiEQ zB_6wz<Fsp}-mTpo{O=d}*oeQ3+fqGoUiUw}PrF~7cAX;b8>dn!IHh}0jLY`G8Qq`s zy!Lo}Ty(TsNk41PiI0npcOQyrskk_!TR~r`Sn#pSa%si9DLW=Ua%+<|%o8!6IJ?tF zUF+_O!o|mX#mu$lXq<EDm%iyc<#@&^w>Yy`vpLF@?sh)&d15tjPVXJFs@oiS%Id;< z^GeDu<_o@e^H+1an~|riA-wWe+?DE!^LiKMxNM(z&#g{c){iB9(Us17IV$E8pSq-~ zt=iM^Ws#Zq#+?~wT^9=n`aRh(acZ}Veo%4X3)iW_7Yz>?RbHIgeMzG9X2)r_Xfv)k z9NSL0h3IMRJ@G}!Tzqbv%I?5voet`U=A1a=GE;nE+?O<EP3gb6EEX4^IydnD)wO%_ zeZphs-Y$M#zbobwr?qp*8`Zq{uy9ZN54j|nU$rYfEj-a~BY*4Dh1u<Qrua>Hs(7gV znvKb?fa&da@{?*ZJ}o-mZ6m*_=EbLl=h`jgZ_Vj=zwmD7Be`9l1ZKD2vQhax;hFOc z{)Lqu=Up27PT4H^9WbwxL;h0J_X*S6edJHoczjj7*M7uC<k>>^iwncIcRo<tHQS?b z(UJBX`9-xazAe1k9wq<iQ@{)7WVKyJg42$)d&pbWa+E3snev~t3$dOk_sFGPSkmu{ z*~IBq{73CrehbX)<dQ%1Ibc?Mko=@i7v^++Q(IKs@pYjw|HMj-bIw!v4;I$G@P6r( zDVDWaV0QB}8<(dGEZ8^7g;Y;?>sZFOsQAKbr);^V>JulO3;As;J>D(cslk6yw<K-h z&gL&NM{e()FuC;!Uze@N*9BMGm*|M>nlP)?MO>;j<CJqb_eZ@g=?m}OZ@(wjwRxAo zYp1o`CnHakF0|r4sb{iV;H}eo?ohpuG{w`cdg4Z#1D-ie=3W@-QLK2b)k>Tx_QmHi z#XGHUp6E?UUwEsv?5^0SniKC9TIq80>Z$Ayn9=$mgMXH-N15WG)*oU<u_w+tP2o<| z+p=@QTc--KOPd4kIh8rC;18WC+>*X<d+Qy(U$!gGImIW4R~1co=UB;iD^}y3!u{q4 zEIZ{?(iduSJ6f(NT_4oZEg<9Xcd51Hutk&{%d-Xg?5UP3-Yb~1|CQbHR6&njRZc~s zrS;>2b9!~*e4mO09y+$NODf5ka8yiq#8k$A$XX+xaUb^&+ZVZvyNcM)%CbCNpyAKg zWPReTf;#sL-c8X5<~1*qDJd72+Pvz8tjl)>L#7n=7R!d2>^61>J~H<5C)6Bx#4I8| z;RnMJb{V?^9~fKt6>1JVU}lkLc*Ynn_uwgGtlWX8jL~ueKNt?M|FJ!g?{J?%m-&a> zhVKnJ{0BZYX!BpFcDTp<K`!AbW2l@%>Vdn=%WMw3V=U#L@QGm;yGtd*6!tT=4(}NK z<pj(RoMbfMFZju@gME+ff!rncC)T*XlFdBtu}Ar(Waf8<q$drhA~s5&C|Yp3(SY5^ zIAB)e1GXZgfIALbnfLOlq$=!YsAWF+ck*xld9nBI)z|$0DSIM!!7gSIxdZtNEUz(i zY+<^>^W-=ClJo^v%r-MK^0{=RDV%LIV}B&GqFCX4qaFJx8IF<#7aFhdgjimXf8vnB z)+O_zP~lXg6#JwaqYFzOJH)WPGMX^GaUTy$n!>?GHg+zV6$J{1>p$?cSOh$B=wjC8 zJ5phBVao2tO*}5C3fjzxk}FQx=l)AnS^IbSSNY%z!B?)-dogY=`Kjdb!+*iddcFUa zi%<UaPoGnNDd3~YANG&(=Kpu>J9KU4-oMBB^4=sqn*Z27<;AOIdyd}y_G;0lHpQ2q z#(<6T)4q~)6>H^(eI?eD-g<0RzSswD9F)3s$#RydSSj!AGf7vuKJiJ<6)U0F9;=mC zg4!4s%1iscq^Vq)=;vN!JPFjqnAmqEO~p)ktz>42%EgISdPJ;*UU+1>{gOFZyyV<O z3-?<xp2aFA%5x<(i&c!36Z=XmCq4B@bz3FVS+wNjL>2cgna(pF6O?-;J<oU~x>d=X zEL?JIqJ(>tjA!AJBNGMOtz=FXEIBlh!(B?ovp~f_xmNPzX^&X9M@E;XO=NOsl6jf0 zvVY<)w@XGs_dTkWQzbc1dxX1PlGe;u*)wrXPe`hYmh#<RlT?-66JJ4^9f595Mwjk* z6e-(EYUZh^D<ACrVm9fv`+oVKbyt30oY!Arf9dnZcka97pZ?@H@4i$1?#~nF+;@PQ zG`|Dix^Iy`Tc`3naBhE+ebe8LbMEWq&;C5|RoPO0Yu%Aw7hk!rl0W*B<DC0S`Mp0m zzAnDpf2Sq|)P7hZzx8LwS@&!^slOgyluhMZ>sWpT&g!?b|MX|YXXSJKX7-o<aGZ5d zw>$Oc#2NP)^09R(KLcm>YuPXQlkrLUWWSQV(r3XZ?#XsSp95#~%h?zGS@BW%SihKk z&>xMD%18S7?2Z1c_@I2KpUqzA562nzc)L%Z0;l)ysM+##@qvB@`(M9LykESpe@o4g z?-%d6N7^0wba86`7rRrxIo>O4%ZL8#IOSe0@B8z_yT!Zum(;j?51i7!pvL6;#5?Z( zc3r<uoOCac-&^}++r{^8`=r0-ZAn+Y*ZU=B%kGKq+$zluZ4P|rR&KUwv*0_o?b0{% zLUs$jb=xd`GA{(wU`a4vwE5y|w_>xRyB_D<)=F>8+p=roE4M<krp<w`-15zw?!G8d zHkY267qUz6rCY99(&me^dfm*0?s}YcTPWR_HzjTH`Cc3IS9dy!7oY7lF?ZU0ab~ZP zxzT39XKvG_BlAMi7N6?XFrNi#yPW7fk;Ag{;*8!SIU;F`kN3)$XWi*2Tzs@w#N6u+ zN8#eby*%bxcRC6dAM9l@XWD#mdhec`E2+wQ(z!P^3X~7@{xkb@dquvouJp&;E!zX9 z^=`;1Nmbt4`^oIk?GvZmLd_O!x_H+u*lg476?w{<(id|@Qk6BN&*rLZzc{(~ky+6u z!8>mG(mP|nR8M^Gx>x+|&W`U&w&HK&mQ)AM>;4ckrTXIB?l&<l)feBoZWF&6=Td#~ zjq4`yt2;HmDc$IP5%Z<$;+*an{Yk~(cGTLqB~^iQx*vd=N3**F^sV-uIP1Dhd}^Fa zRp9LI8!=m+ExHJ6B`gqMy7R?nrSsiZ`j7Txd{#Q!eIcgg*`hPuXJT3^FFtjhCO&oN zicd<Xy4Cb2?a}z8WF+nwXYx$RP`q{Li;qgjyQTD#_GEliI@&Fy@3iN|2c^T^T>46T zGCn9B>^>0l<*Aasc<IiL)2`8aTzfb^EZX1wNAJ~ckJGL-;%{T8JXO*WkKO6<e$k%p z4|<!50;hJbh$$(*IHmiI-YQTdtwh{)C&ww*V)2u)U!E-5(LE=IrTpS;*F53Beow3e z-@8<+J(}xr-etG&OTQ`UN;bkz{Yui6tc4%?l~_-F>#|k&qMwQN#5XRbYF%?V%9N~x z_xhQnD_!q=;&a7H@U_co;gx<O=}H#DOZ~p2DP8IGQ!g@}_|j#m@I=2WX-a0oYiDMZ zC|&Hl;v-@u_`)Sq?bn<W#f#2$TBzTe<58?+B0P7dMzNByaH3y{C8RAjr=w`m$xapZ zt~niNTqX$j&h$9rlBiZS=S1P6W1SM}QFA~|mren7t2rkM79Hy3P?wtHQJ`cXTs!l` zX_r{FM@AQ?buy_l&3Tcpw7>J0+9e~w`!3bOsWUlFyM(J<nyrzqw5M~8Pe`hgmhfF) zlT@YMov+j;842EX2~=w`x_HN>NZ59!MxK(o@Il`%W)p8a@8|z%cjfnmdF>VQmp)&3 z=e&#mX(h*b=bij_D^Hwr-oby@F6DQ?TjwqOXYEve2h43xl5eW*IOn{c|7_)nuZouZ zTkVegy70<*75~vnj&sf{`S(_Gd|i0C{f<q_uYi}%OZc}|cARz2mXoUW_@Zda-)hJ5 zD_~Z;o&2Yo6`vK)wVTObs^K{6oGy2&=ENE28T_$!DL(^dwrj~Rs>%4Ic(PqdUg@*I z6X#?(q0a#`+U4YnYF2zyJk~BIA5^39QSnGSpS)4ciVup1+S%lVYB<g~$IE^C6fnJg zhs~C!3lFq2$p5N7@qXdH_ANF?zF)ZK94U9`(}k(+U*t|zbG%p7<`1pxIOSZ<?^}7| z-NIe%OKe=e2TW;SU}N%q!X0OSxh_xxu7H29?UQX6-aGB%{;IbnUGZM)7o9D;C%kj2 z6g#vz;GI*s*rv?_@0_-C-_#2MHP1G4pVSLUUwET6L448X3$LAu#foA*&N;2+-l(@_ z*MwJ2g<?&c1711hi#f%<C{Z-$o~akIOW>tbu2|CM3$t3?#D!u#&N?mRZq%ESw(xwb zjrgmWj^c%9TTR5BHeZ<8Y9wy7S>Tz|bnZyKkhF!TS~bLH#ds7gJkfeWhh^u58LdZj zMA8->Z<P_xis>j^c(heS+$)BoaN*%r9&xRhj)H{;TUo@JHeZ<Dx<}_qs-hluZlp$m z;(^wGVxOW{<SXiOf7IQwJz!ev2Az^r#l5Yc#12KDIOP;7wrJCZyH3Gko1$0bDQa?G z)D=lp)Zjj=tFryV<km-GMVkcfIOTKil>JgY;l1Nt_P3TD-xX}x-^wki4w%>cL1s$z zg}KddWLl~(ymj2hepSw;`obH>P3%`KHNGj_XnrB{rRu_*<{17-#R0D!*RZdZTT&G; zr}=@*l&TA}n*;c*Y)_nZT*f|C&ZR0~cJmFHEzcHQY<A%fDh_zzxPX1B<%`b>=bNqg zAK7GlRyf;yL8j!{f-}u$WLhdOJawGLKGkx?CxuhZYW$OIG(IU9u{+9{JX0`aZ?$~! zQQ>&A6n~OU#z%#t%|iT6HZMLX9B$^~SF*|Ypm4DHfXtVt3i|A&mK~=ZqxraOI6f@c z-~5N~m9@ud#~SvxvQwTa=&;9Hdc0q-r}+clrlNqU%`0R|$}dc5e#5uQ+T)aC3A?K$ z$0^5R_LH(-o-EkWJV%D5{K9R=JnkQ{4eyz%#5bff?%}?$x#2GB3q6JNOdoU<${EYW z64DuWaPNq1c*~R}?y$SzChG~k1?QNO#2I!oSaDax9+<<rL(kzHQ<V6DT@6=RSLi96 zV|t*YP|BDu*08JLGV6}WhL=oU;tsnS%(xRaH<)ru#5TNOx}u{{!nlCjV{^lK)(X7^ z#f)>fZ^Se_W4fU8;0%+IctRTE4DKB<4NsX)=`1K>oWeaLrr`;blDI<};{<Mr%?yUz z9Ge@Cvs&maC}iy6j)-Y^#3Ur%u%qEHtAyTy0>&0@iI|25Ob2uxoMzgiv*0w-4xNP4 zOibboI~w-0{?J{J&ln|kV0*(})|5zwX{<4k4fmKni8X9z(B@vTi9w5dNA!UytT%KQ z<S_<`Ic#sx;BMH|u#@$K?t_y|kHj9NFcxrEL^s@KtmA)B-EfcjjU~f-#xF7n-x+qX zpD1RqVLxGeU@r3wONMuhdHf004Y!yt$R&JZ*u=h~xZwu#0l9{AjB)%1&l%RSPbhA< z#=Jo;;VZ)`_Kso(3w96N1GAYI$UXSNkjIx$)o_V<g4}|$j5lNw&N903D^xL<vUAun zykNW}^WZbXJoXnh49^+O_!m?%n6O(EH=JRfVaf1}QI9{NvcZUbg$=_~Mm2tg%7zon z6D%2?Fdma}IKy~I=D|mXKK2Y7hR2Lz{0k}?jxa08J@~-T#;#$*@Q{&>U!j7*fc-}i zgFgF<A_hJ78$}HVm>J|Ayl1Fme_`D)jroIY!h42DzJ{j^;d~3q8}=|ikUemUag|KN zDaLnv22UBv*jHFLOkuttt8j{OflR_Xh9dTgqJ|yJ7M2Wm81L~tFuU;HVIT8XzAfnr z_Zq+OY_Xp3&Y_a+ka55}hjO+}#scpgwlm-43$Ye>>#&*mBwtASf*Xwq?2C*qymlyN zE0Xm%=dhM}Bi|OQ39lRq*_w<4UOD8mImx~#Q7~to$roZJ@X{fdEy?)8tVTC>Az6>J z4hxwZ`KF{TINxZ){z|5!c){656Lu%#3o{#y*o}+@o;gfsj^qnTTX3pTgMF5aN6~^4 zjVE|mEHBJxJi;TAw%~Z9411PLN8y5_jUwz`G8}~q4ma|!YsqvJEI8Q6!p>xTVS3{p zo-3&edd#_!8U+dm8vn6<l3tOopv(M`cZ+$zw8jlQC8-K~8$Yofl0I?DA(U;A(S^GX z!EBqPSL7*ZGGF8sNmbBbKFh0OeqnOsBeo(VfjbWQ%sc;n{@wrHe((P`Kij{{+x~y^ zZ}D&cdG#OuO#Xd-ZvC4-&A-pTwcqyt%0K7d=ik_G`hVr8`ZxI-^)LQ>{&jv%ea!!f zpZ#Ckulc{`-{N2XbLt=bnf&Yg?D~NJmVb|*wO{ss%0K5{{<G_E{Mr0${>6Hi|AC+V zU)V4BzvSoh&+_N%t^Pm!lm1!$Z2g5l#n0xSsXz0l`RDnk_S61P`MLa){Hc1i{}cbH zf08%)@9@v~nY`iumY>f*${(+n`k(kG{iFQRdZGW0f1ZDkKU~lCU-3`+2l<2b2mXA1 zDzE>)<Y)V7`{;k1f7n0F-(Uad-^<_br|oP0zxg})sl3krn4j+N=kKZi@NeTM|EcvW z{uF;dKc)W7zm>n;PuZ9JclpVF%D(vjiNBwp%->Nz=MVGu^SABue*by*c(?z1yXtQb z@4BD2+x`2+yUFSDHou>|D^8cU{{7%x@$UY&c3Xd6cxSx3|BYSgx6ZrlW%5?P_q;Ps zm%m>7<jv(>{IBg+|6cJ<I9=Z2_mX#?)8wzz`h73l-2c*U>F){eE~m+x{a$l3{mZ%q zi@5gME&9FUrh19|h1yGRKJV;*Za4RL!Mn{n`=8lme6!r_|I9A!+rc}}i{ww&o_Z6! zlmDsR<li3egm?1KtX23fxw(Hv?V&fHe_XfgtA2ZV2mfQc?%x{kChy>XWY_*%;$5)C zHM^GIJnxiu@Xx5-_vUfxe7)ZVH`7nsHU4IJ_j!B&eY@J<88_SW=kKlE^rks=zV`1I zZzpf(zh@WrZRIBZskQIE&3u%+-T$s#(6@!vne#P%JKRjqliyi8?~U;G{>iluzE$2n z{#MPn@}!ra(WL&IC);ku?LLtuTzSHY&uGHoIvwU8$L-!3_Lr}DEc5usF|&1sy_emp zj~VqD_Qcn0yQ!x)`_0Cqe7lb()SpdSzdLSY*G@mfuI<;Xve)?MJQA8*aYTvFu*3e% zL%!mQ!&2uA+VpcCu3o!t)~l6E`=nkipPM)9C0B68A)!8lmg!-?4)Wy{T;hq_&?MaR zfLVIS0sjY#%C%cAx(eHFx!}lcalqmKoz`WosY%*C**&b{w<NgrO!S2l)dfU;Uif7p z$>elOcJp^j5tRnVtGqHfE(I$dDhN619_p7@Q;OJ8P^#!OQP!$Lz*0$tBdkSJCd(x2 zf`*f_Hj|)?>$Rp9R|BS&<NR`I3Ooukchx$|UgGN3h+%oC#3rt|gTtWv$0iQ_?iZUl z^tx|s>Nw!ap!X<Wu&(<>bjvi?54uVDf{|j4se<8R3%7UdaebhB=#<hbou*St@5BsK z1<SfuM7K<Fy`ZafN@;;kQl4N@cg3cT9j+FUEO(Udi9IaqxbOT!ZqavvYQBTj9JcK@ zY+K$bev)B2uUN!i_*|fjud%x0w(||SMc)Lrw9l|@d87DBM(LZthV});9oL=DShl=Y zd?K^xtH7G}2-}udijQQN&M5}*e|#peqTRx_Ww!GSxkX<Dmb7OSbC|V96n9*7?vQgj ztLVhfSk+<D{==r_xuO;SK~TFlLyqaJ;yD?m&jM+Djg=jzog?HHol(@{cdYC<>Fgn= z^hqFvkFm1Duw9_I!=Sywrsc7s6#v1Bj-$>Ra!MZsI@&F4S{^EL@jF&@9CYT8V>+X_ zPv+2R#a%K@rxmx!D18uUX#Zi|a$oTm-@>N?HSIU7U8XrFSRR?`{6bdgy+B3#hN2Fw z_60>9yPbV3Tka~p;!}JoP}1H}#G%nX!@A{;;yf9pcLIKVjO88Mop<m)Di_$-{6hA~ zJjV}wPUjWM*cV!N*fbw7?zrQ4N3vzE;{(1&WdhrpSIDxwRY+r3wC=EK?vQ19qws>~ zQK>*N+e0f3%jOJOme&el><g_rESejPJFYnHkz{$L;K%+jO<-BGhAhiVg<CvLXBDpT zB$Ws(Y7Q{&xZv2pr*u}qj{Tu!$2rFWzNBJ-S<Mc{9mdTkWLjoACh$Ef5}4MkVcc=b zF@P_rNMKTPhYZV1M+d&7GYUs|l+Gx~u|KrvIOb@;msBXw)$Ad|@<@S?J<+1$kfQ)! zQh`8IGlOx5e)9*T4!!0FMjg7%95O5q6#lV2H1F8wm?6n>U*Q|u#8iO@w!&0_s^$~Y zEcX=F@GLr|u!84No<J~LqIt(IM-NGsy9$eVm`*7?V^cKmP;c&#Zkg<OfcH_ZKwkZi zzs>LEtNw3z&cCPr!sqt8_AmY^o|pgdNAWv<`M-qc{5$G*{B3?KpY`A2cl%BI6aN;T zlTZ53@SEMLzT)rUIrcmLIi8b``hVb8`&IiD{}j*3Klr2gl|TPq!>{(s_B(zyzm)g- z@9?YLtUlp$yJ@||-{u$cSN<q|;a^bi@wxrHeZ{|ppZVw1-}uw~O#Z^3hiBxC{wF-+ zpHaW#PxDjxQ-2nI;-6AK<4^Mwd8Pjj&-f?QOMGTGtmpXLe%#*T-@=dlJ@pZPnjgsv z{crfue%M~(-@*_4E%g$Anjgp?`1A0z{GLAxPs{K4lXzO5=|97d_Wkxh{w{paANB9R z_x8Q^DL<K~*~k2Bz9;|bU&D8H?fMm;*tP0+{60Lz{>I;h@A!lMIec%|sBiexzSI81 z--jpVAN_mqgukG^;&=0Hxw`KUcDLWNeRGrfz1){KiRJves!wcYx2ZmH_wZcX8#kHX z$>n`d*xi21_QJcwGX71~D>k>^us!gu@tj=TcY}2Pb=4C#w_mf}@Gh~Ge^qtIW_F8e zkGqFw+b($bu!KMFTf(mPOSTi<Ej%lC<4xjOIk)c$yVy;uIqovQkh}EeVKM)_>KAvI zpUatjU$B$iq}pP0`x)CAH<_Qw>3vVw*=|(5;tumuIkoQ!JKIm#PPobZMDExd$1`$= z-aIVi@2k$Z!~9rI?E8Wp?MG}C-aRbfZ>!e0!~9T=?YqJbc7y64o7nZMUu<I6tG=<R z{eUgQyNCJwb=5C!H&3(u@HR1@Kk{2cDu4L51>4*A*gklB@RZ!DH;t#{-hDGj<u9vV zal3hn?S;3Br{osANzCIfs;=17zQfkyCi5M+d*2?Ewcoe?ac|*wzUp@es@ZMJZ|rS; zC;RCR<9XSl_XW@S%HB0px8JtDac|)_zAfc5_BOwfeRW6i8{dZV1;y>xt<UUiel7dt z&cd&JYsw?`Houa6bcgYrY|#4;&-hl9TkLI~Z9U`O!Y_PF$}@`D&B`N++b>#o+;coD z>-3(Xs@<gg$DZcrvR3a8RJNbB&bY^TR`%Q-#m{_c?;0xGPg_UaTX;rR>%Bu|`$=n$ zdy1d<Qr<CCwi}iU6t^3cSL|tiEGzZ?Kt=meYmIw~ANe}UE%r1&l;wKwP|<$Sn&Teh z8QFbz4xX0Xb*J&P?6y0KANU%|f9!6)FZ=7=f~R~n<u`UaPqR+gd3dVzi@S>N`6|jc z6t!!WFDPo?ZSAwO`L67%cM4DWO3FKm*fq*$>~6jzJMWI-J3ha64CU?Ht#_P#SkAYv z_{H4A^DIA{b389o_I!bLyG`){<Mun2cV;%vwR~{yVHw}H;uUk5-^!#tSFmokD(;xe z{6^-*nTMr(#m^pCv0D~r%w>Kp6ZU+8Rl7xTgK_&6%RMuhU&;7Ae~`wvtXN|%^Glgq zXByASTsxCk!nde6z_|T_Wy3kevodziA6T}Zvn)85Sj;!8*ul8nxcJ1J=9!iW=N=aE zO)J(gZa-xia4xZkZ&Go`9Ojvp4(Afj$Q(JNct%F<`2&mgW0nTz5)1jdiaq8qKa$~l zo?y{_$Wq{3VgX-MF@tfte(?vRcD>>UM(w)A9CMf-$ozZuz`T8*WyVbA`!e62O-SX7 zcvg_gS5<ssHuF81HD?x{l38))VIE)bvjp?@U6vj*neWOhI>UHM=Gij^^LF*(j@iwV zEf1W1n9G-!|D(3~y>!+64bOS^<X`yQcGvvHKE?CWANDAI=PkdN@SJx?{*Kz_x6)bn z9e%gnG(WL#;W_D~`wYL?tnw>r56>~*vCr|GbkzL=zuK;vuh^$}PWr(f#jm{i_Zoh+ zT{hoQ+5A%4>%POUHnaSM&uymp61B}Qq_6Bz{KC5+-{W)JdGm^W3qSMD$-hz4{7m}7 zo`+|ojqWEr<DHSeqo(<(^r<}yKk-h<pHb8NL|W;-!!zCq`4XSm4D&fYw;eaP*thT_ zZ%=+iP4gpZq5BO#+76pb>|6MOw<TYqrul*NfjtjTOYhmU@U--fJ&C8KneH?EXxnf8 zWADQEyixZKd~e%po>Iv?%{->E`JVKrdkx>&wDVVdV$;gsQGIxd`Hj5`-|+_BbNJq- zk>BvCZKwH(y$?@HKf3qe32#AuMRoISsk-YAcDLO#eG|$2Uh2!5#B$zU*(Wx$*<_!H zJv`U+MkMn)sl4k6yW4J=URak{#=9wd#pbpfrU%wFo|B5ZZjjEqE_=e}wri#v)+Ltm zuFCG%%x0185qo&H>4J3+OL+6HCG2XuWIAEp!n0C0)+C;la=Wgui_J8fBbNDv)TK2K zi+Sf|zldReE@gIo!A>@lY>UlpXG~{AGCz~jyPmMK%_w_C4D(Ydwd)Ey+fJBHh-7{u zb!?5}8L2~S9v1TUWoN`NKb8`^zF<e&5mSYA4-0tPvNd9uA4;)ZSJ=U3ko{v5n|}6- zO>BDEH#W5$FlAWxFrT+B`$cr~G}8}j6Z3f^uQjCdhF@E-y={-_gS7`wNv&GbcuMNs zHG@>%vg{Sn%~MP-tW`WEwO~zR9&b^0#iq6$rWTRRcckuJdr;PP-}uM8h2MFq&mE{{ zvrWHY+x$-Q(;UY0l11kWp7WHQYp8C!ZG2<i!f!lV(r4H<zma@3NAVlahV%u+ZP$&@ zST?_wd@^U@SDrQL5w^{*Bp=OTJSQ1+{=+k#73mhX&9jYX%v<<{XGwZSF`HR>L~+|i z<BoZbXC<A^GgP&ir2nvKelBTs{y=5hS>ud(jAtd!%~AZ!lXkA5vhB2S#Jq)PB(=^v zRJNTo_L!&mi6`Y8LuH#`x<GN8L3)Kv^J7V=^9L&0jv8ysQ~b!&k#1qr{7{nXyhBCX zL1T`2jAtbG%{h2la@U;3(~{ffD1P8+NdIBod|&d{xdl&oYSM35J5MuCusl4~_{Chs z_dFHp8;aVr(iar9?KbwYY`!b`>YTz;o|5#AA~ucm8P?5rB<Iahe8=N=j-kA5yYY_R zhvhu`l3&Oko@e->&+)uO+3^L|Z8pgVjN9%Q-jQscYxtn=VHwZ1<Q1~aZza->D_FN# zC3na&zma&+^RSes_}Bw0Hp}D;S?1RgVaFF(wOJ%L7`I(9+#|{SO2Y5>gEXFH$r`fE zFC}jEG@g~X){|JmvnV;hxb1>rL!aVV3A^JDEZfc*7W5?+^UO+iFm5wWJ|WXQ(=eg$ zVG+-?WDVoCQ-%S3iA6k<k~?IWXBs;6C7zKu(xZ4rLhkqji?(Bi27QTzJYC5iGR%)8 z_>L!7v>h@O=u0f%X-Z}=ZqrZxVAQ6U{J^M9H<?3*`GLg0V-L*R_8DeKGT)c@c5Ff_ zPsFi;RGzBj6VlB0B-ZpSJSDNB=V2aC@UaB*wq1rElFWA{7WFWml6ZDZ!Msg9xkI{n zvf+W=hq*j?@jw1Hy%(?Azu`Iep7;x&Tkq<>s8c*I{-H+kJ9qiMgy-Bl;&=RQdMlo_ z-{E)bP5l#f3(tus?PvJSY87Ac_s|^u9d(Z9#H02f_|<w<e?^_*Iq?TIieI_&_ci=# zy{x|@(jk!LAa{K&^S_j5uTBNe57&92xmO@{^$qUg_!YNTedP`k+b{5avceSIA9V-c zau>u`81H$IvicPFvUrWZOfSXW<xJIN-!?I@jC)mdL9X*I)(g5v7T$H5dqelkO{W)+ z#dNk8bh=GGJEzrppGNrR_?tJGrXSS4Y_=wTVxHrvM41QS>*9~*C2~&*v5MaCo2!hw zWRuvkjES?0ceU<YfA7e}y;-ijd!6=Z`F+$XR=cQuM%y@`BR=CZt66-+=hln*9d(Xp z#hvyu{Ax8Z?yY<HnR}kN)zO#-dp7)JJ*&T9rl#NHfRgT>()Q}6gdHJwRh#Zj`7!mu z)#>Zm4zJK#uJw89?c3o6(R+Vteo;5|U-(bu*X4{iVWsmV>VG9YTX}hHz;>@%udgew z&S$GvGO6h*T^)Aq#QJq_eCw7TJsmPRKKCcrht>P$8_nNzr|VqU?C3zdt3R$JJxrao z{=>XmF3mqLKMSvl|L8mMo^9x_sdvLFL*@&ty%W$n(~IZH)XA6U3)KhBxwC50sSldx z=kKxj_vOcx=V80cw(Uv|t#;i$^=-I+!FH*;S-sEv8~krx%DbATeQD*RZNKhxUH+_j zW4VUw`eimZSCy<fu{JDn<uaep=T=u^9))zoEqiqP)S0mKgs00EC5isJdM6}upN(tU z>N_h9{ii;xeHHk5<&m{j^;*TVEk$Fd-e3P@-lxBur|*TA`hWC$S+;T3)<t_KP5QlE zbl&<u$6TDujG{E}WEE&TyByWDTJ5^s$9Q2_MPTZxH!-cdL*ql=i)(8XZ4aF8?kTr4 z+Em+0a;x{wkkl1VW-fkoVdA1_ui};F-b?jZrGM-a$yz=2LFk5(Cpn4CRasn9tFBC2 zXw~mzVypFTg?h2O<t5(M<zBN7XoerOT=ikqmBnoBS+1?G_Igd7Tu{Cu{D#$L=Zl;8 zo?1<rx0q#h-1?&8sV_s97sfp0Dc!Yl=Cf7)=U1N!X^b;<6Y@G2ns!d6wLIirXvV5* zA(3veolH-;OV#^lFBX&k`>t=(>RC3Y-fA7!pL4V5s+{=2)q83bH*?1;zhyO!Kd`6Z zC+lhM)O`V(?xN=W^MZmeZrH#*C4R=ArYHVu?T`NCJm<gCe&WB7UzcC`uPUlb+IuMT zm+*Y)J@dcSU0U=#SbpyOH}js9?b<w9Hs;>>xli64NmIXmeXdVnxmWMz$*=rYTW@^# zB~9IYsdeSME6Ylko9x|hy_5HyNZNAae(OLhv#gV^FW<>5R`)aTEh#&+yLt9)=I9x_ zg=fw+%71kC<a)mMzH5zlRu&5@$XuPxl<pLIxJ5nRXd;i&4CCm$UzZmv&w05$Z`lof zm%7F?;tKl>o^dDb6L`kmA73!X^NhIE{sTW+kLqjGDSqVcNI6#X@WS_|hpmV71?mz% za5ou$tz$gnQ=N8*cQa>XWLm+p;}>I`&z;n-`PnpGzvL&=^bOq6`viWl9?<_&d+@!w zar}$lP1EMf?LY86<Vo=S_#3~Srs*gAJTz7RMXlm{?h5;j|5ToHhwZES?KD+C;OC*c z;o)m;)g+z@-eRA5bJdhr1zJb+Z`3Y)r@eRmk8P{|G)-9>wcp{p$ZP+$_>NDk8u2rJ zH{B7RSEKlj+ixGk_tx$DJ91lhwccOuS1)v@>3!Hnd)>ULJ6rGRzPZWtK5T3Bh0U#Z zbzkHuo)`O&qgc*ezAfST%5%C8@*b9PZ;M`Wm+7ro+IEHAtya+;cbVRZy~uf3%3Zwe z!7f(I=#0Beuf@W)FWA*;5#6x4^@{GEn@q37{I)+x<6ai6ahK_(*sYw#vtrkB5=*!j zMF(tdy`bBWr+8M(Zu^6st><(L@)C==XGJ?~ZZ(cRai?jfZbII}BJOF?8k<{B=?3H_ z7I9CC?zqD=Q`aFc@r>A!9K|zYa@!y5Xg#KDke67<-4*R|hv|_R-}ZzZt%r04@)8TU zo1z&ux9UfK*wm^Q{a{n8ZZyXorUzpGwmsP1x=%ObCewYfZ`&rMaz|_{Nae1IK5?7r zp4gh4g{Q<;<UGvd4&Iiqy>*wa$4#cYVvBMZPl-L-rm($LJ-Xv|(`4NPxes%>^Wy*3 zJ^dZ}KD>J0qrYC~!*|EOteg5=+a~^LUFmae>-dLtrN5`X4c{7nvCj1O)HmU!`?~&e zebcsz-&<$;T>JX^CpA}piM|eB9lx?p^trZ0{L;Fw&$O?s_uF6edFspXrSTK%u0GQ? zi(mUQ>x=fq^;c>{t*+elX$fDjKYr=YSD&@dueaL&=ug&X?X&AI)Rd;F9bIqK{z^FY z)0(bNQ)jMTz|;C&^qJ2_pIzb8!>7eh{kiIs_Nn!1`zQU;`lM}SJh6`T=hYeOkJN}h zTYY@J47>7rspUnVMIQ&0#OvM*DPQ_y@>}1~eO`ZFe$zg(PrEa`J$awepH&~U52>A5 z{cauGexW@~#lkPb<6SP>xV2P0eX4nC`5T+k=PU25-&J$;spj4JM{KS>Tdf<<TF3e$ zWZwFJ`#$|%^<G=o-`7s{r|A9g>iE>3T&Kgs_g$*i`lR(<d(ZkcHK9+nwc_vkvVISF z=|3~rw(`{1m6q|oJ2%bNdbj-a@{0MJ{;bM-^-1&6@-sHA&ou9^Ut-hx<I4Ns*};2l zTX&j=PSf7Ceo+nU)73lIKiOCHY09kikDT@weG<JBo*x`q_tY$O=1RTgi#~<STDi(6 zHC1cR%1_HKRdbcBzQ49+`J~&czOTHw_M6SrA5+w3uC3U9Y4g>0!Kw44Hcx#QR#~^{ z4%hkM{^+N6M|WJEyY`I_Ynt|*`KNrYrmi}$+->`=yQj)l-<q$rebL>lZ<<%vrp))M z<vJHux~=PPXQB3ul}mD5(^u`9f56A|>2e1>``VkYN>^J3%i5`0hdv4^4?b(Vb=TC_ zVMW`rYP+s>m1-GAuk{nP6nz?!ylmDSt|INLYaivXK3{%eZP50r&7!aToBZegTavcQ zB)HaZ>yE20LwbTuC*3@C)_<{mr(Nr=sV_tN?Kk>~K3i@YJvGmDSE%%@<y?Q~-TFM` zt$#zXV&2iT)uz$Cc3XdlybJE~-)rZZu6=Rsl^oGuB5%SLL@&Mh>hh`2>e|7Qc~^f< zeik;zK3C4}>M!9({+-dec}sVS&RTo!&z2t{&%<U$&z#wnucaTI=(jX=)xMQ~80Kf) zc~zu+dhMy4(4C@B!zKq8-t_vUesrzUcBRdtPr{P732hFYu~u$-(VbO=+Q-(4Z4bJm zRj7SrE#G#dJF5z`53ObEw<->K7F0N|t7x~#yP&dZm+pEMXx>|XXI|Chq?l8ugG<~! zmpLZ8eOb9j+ds83i~n?Rz_eAHr@RR+@UD&IIuq37&8z3SBjjOFo42f9>yD5|L7S_l zb={5C`mVWW*YY>>W_`L6J9YUQoziq|gXr3ur%s2(ZhN%p>a?{?+nMgXdcWfI+CSS~ z-S#>iRula;cWSD(PIT-|ul&_})_&NwX;bLbwJUN;w_lyI_RY3cx4lk<l|;MV<T@2r z9DOqPYs%^!Yv<&!ZohgvEHC_T+|%mN_o3D69_{ryAG$mIW!%)~S~lTN<4V(49$)=N z=jxB@DNlp?!XL(!R!@B!x;6abwa~M1s<WT2vhseZYr5;o<Wq~k$Q-S{GH-EJ|0mm5 zWt!WU-s`&+yXwr-pc&pXEjNqITIyms{Z-5<<Fi2vyj%5_?wIl<aFzR7xuaDft6v8e z_f^Gmz0<g{IH`YC@su}#o82$Ug;q~_8(1=}Xj90Yz!}~rbxpU6Oj)`}$F)3U&f+IB zp;c2}2Iloy*>ZhdVeY<Ejx}9V6V%j5UunA9d!ydfQ`<!zgl-H!xJ%D8edYC~TXaN! zY!{in^h!zOE8p2mJ*I1wZocv|Xoa_KoNKz~jin}<FZtRx6^l$=9oOzu+G6xJxB2-B zEqB&Wa#Jm?%v&tduVi9#>SVzB@UuHleburI-x_zc>gub&9(U8YrB$JGE-yaRqgWjB zB(z|i*WOoWLsxXT^YT5_QvbT@^1_JqMa5Gd1hzWA+<B@*<J|eHtG(7M?Ok;?G-q8@ z@zochi^5m#)cT@zVWE@jdavTE&ja$-eX@C#x8mYzt9GWnU7uH-U2U@7src&5)kf=$ zibbD=P7jZKm3Y&->-{RD@X$EdN|AdqcNed@5@*_3eI@yd(#rL3Lnnp1#<@PzI<fj# zjOw#h2JV@iMN{sF_J>=_sXkp{bzt$Eby<75KCU{tT4cS~9<Gn84zK1}ueGP^!>WU; zS=KWZU!A^sPt4V)T6*ERJGDM&9a#Nu-KX8F-fQWGe~jJQzU=d|ORG2KIOXo-IvpC` zrnJZF{i;2yKcs!y<9RkTY}G1@Hpx}*ml;k;&D*r6>r~3syHDOET%9trW~R^QnAGyn zyP-kr7R_GyeU(PI<HaCT^I(-Lb03Bl%?Y=i>G^Th4lVWYgRx&hH{9$G{^@ts`s%!u z6<byAeR*1-WgGm~Z>e?Yyp<n(rdnT}yYh`stM%2lA=`ql`ng(PeG{@N`07lpGOZgc zU-*2rx;kfN%<@Ubp|3;M1h4g5S|xlhq_A$+UeEVxdKr1Q_H=$;W^;b!gFh{|S*$`| zh2($P@^gvP-j`>51M7atcI7R*Kl{}(tGy??-iG8A<!}0(`F)wSP1!P|xvNUFF0H)f zb2M$0X>hNfs@2q4E1i}zu`(-1Z}6C!w(9&!o8_<ObQP~UyV7L2lkwG=D~*;L8H+v( znI0VJ7n-)})Jl!zv*vgetva#t#2=M(trIJc`KYF?G6=TydukE-IHV_7*Kess=%bL1 zU|GLZi_nK5Ey28gsurR%R_^n8nz~9axNv6H>5#@?M!&D-Q}2h=24~Lf%3rm2<tCrj z)K%KSFMX$)i{1+fTeiwbbn41?b+<kRKL`n4wrTdNJT1-Oi@u_%S{lJ;eO1k`PG0$F zS&@<Gosj(Cowi?pPkA4_*Z=L$%=eo2mVdF?`g_W|;L3T2K8L&uE}ysQbMUm~1@m|P z<@}&|d;Y(=Prp~bUwLbJ#{5~eUf)()*+2ce<h$^_;EnzV?OdO)yuSRI&C_2~=FAtG zpY`|U2hD5qdFr+PbbeTVaCzALpjxeS{!R9cKVP2q@9{rasr7ZGx&6kUnP-Dn*srX7 z^+nU%f2LjNFOiqQx$}}fUzxSsZNAW7%`?GSbwM>+Uo<Z)zhv|E=jF%#efFmRo;=k& zzuc-ms;2An%Cqxz=3lDeIvbom?^MmHGr=?bW9?FZhRj^9HGfe})+f!A%a!VlJ_|n! zPMO#AXXU5mr<WhIQGK@D$iKDn)kn?a%cbTg)nt9tJi1(HzEjPs51NPPo6OhxllfWm z&~mo<LN#1xg5&3X`V=yK`3{?{PgfpT&M^O1^{MwO_buOIbM*U_d%=<O4t=^Zb@`Wh zr>eQ$Yij$4R(72VF8BAXJoRqnuH{Q?T)&4*S-!x=^!t=M!T$5Qs!yE^F7V%L`*hot z_d)x-zv^vG*Sxp%i_X^FQ{DwtPCK+Y<Xuqtv`w2u-UV&<zNr_wTjXufX77`Fq3J7c zEKQicX!DiVLB-RGV!h4<t@Ylhw{_Q)S3!l-nl^{L3d*166#J?~)7*QeUg$27mqEGH zk~UwNwbX68P^{P4poQLzdQ;O@o?mJ+{Z&j?@yfGHO{P0-zA|&E(R8EDBF}=Rdq?Vp zrmZ}+RAc(A7_Xw0CzhVjVcmIU#?m7?qG>CSFO`{|71LF?^5{~L>0U8hg)0v)<(aM( z(^atY;8K?9Oq;JvU%E%<YO1E5cW$Isf#!jw|E7J4UX`z@>-|x8>-LaoOE>70rfTk8 z`f1vs=u@YHLZ>a-bmeYP@U%_QtMW88y)WvDrfO<<pVd{}er58~N7ITniQEaw_ueV{ zwR+0?z`gEoExW#J*t)-!TUs45Z}A72snu8JE`B4^T7Bhh;5PTGa<0`^-UM!PziO%V zP2<Mm7cyU~uFP2+(?6*=<aOX0_qB3Mt3u{1ejqco>dNfJ0sU6Cr_Kg0bDt{bS`{*T z@eP@+&sJPq?9v}p9P%P?f%{U+SD!V`FShD`WRvw-<Lu%KGNsQ}oLPKErnU0Q)4*x& zQ!Q70(m1tPt$&h@)+Y@kcSkwXXBvj?t(LDoY8+oI)t_XO^-<&KVxfK~n^zw+4lm~F zSF*|apmA{V0hzB)HT2y}ExS$!M)z^qaD7;@fAOEbSJqyq18dyh%1(W%q2nHF>Ggia zp2Z*fHWh_TUA#i3wEW7H#c%pnS$mxdEOB?W<T@2t?0!=A>ys5b7SEAkEx&R*Fwglf z-&5<5_W{*yk7T{h2kdr!$u~7!!^Zh3Uun9Awev&1QtK&i1GYL}<TJIN@+P3PtxJ}x zOvB1~FP~|;#`T3yc&=KBybf6Hypm5eUBkk8Dc{#LjVlZN+KY^*ybM_CJdy8enueM4 zTFI;ujf)Gf@Q7N8ya>o_`z3R#c*VJe7VWoWyoxnUoaahv6>AtfC-RkAPI(%T+O|rj zt7yf^g(~e`GF@i^COG#>dYuVKY^#zvRk-5VLW%Y$8LvXMvkQgVo&LzYD$qE*kSjk+ zrmJAZ!G$dCOvYEHFWkd(HC02;Id|vDkIRlPWNK%cBJ=9~GNVn-KY6d3PgYHP<~x1o z;*EQqGiPe@z4Te?{E>I7dC0Vd8_qnjzA|;;m$p;VT={AjO`RiWW_~JIq2(M{I7Q~w zyJe0mG|f{bPvx!HHG2_{YO2Pr*>AqJbs33FS@^7NlJu*S0fo+%l3ef94lTTw7c@8X ztJ?P2OB{CI{qpnjd;fj*U;k}U|Ezv*{+ByXDlcnDn|Jk?cmCV*d-6N~%DO|JgWvg= z*KPVN{LVMDZkO%i%HZez+w8CYbNPMwjsGV5t3Nfrso$9Y!X_-?<IAthE$w&yQ+dAp z+Wd(6sK1_Hms{8${HghMxw-wqe<i;r&z|p7ul2X{%W^YtF$roi|6B5G`Gxro^<JMZ zKlh(!U;6LJ&&$vJXW1|O`SOhaO#7KXIX^EyJzu9@>vQl^|0(vq|5SbkKk-kgYx<LU z#y_c!>oc_cJo4l6BmXXY)qgENE<f~dvlsp6^5gOYSm_*B_v(}I^!eNVi2M+K;9qb5 z^Y4}Klc&x9QFrUN=4t<kx?P_p-}A4ufA}}$>2fXmz@IPQE!VWa`8VYI<SFxC)OCFl zo-+Sg-K5_yPx=?yTmIyHw|x8jJ7vG_o-AK}Z|=7@M|Mx1H@B?((p}B-eidb>?!G+d zS5|iD?#nXu+jFzZ7v0S)Q@=U))f<uB!f*Ua%Bt>Wp7UF0ee&kYQuS+d!^(s1YM%2e zDD%4e@~qzq>$&fqq^Vz?>s79FcjZ~XoU*9RmtXiTvR-*pvqb&E+)Ho1?411EZ?1LW zyDd8>Kl95dv)UZ|%rC9%(4Ch>>Zj+PdK0o!_^IDyYtMHgJB4S?RVbI*JbA|4LvOz9 znEcqU*V^#imK~EH`E^<=zAM==`JrE{wctCG9g`pUC6xWzG<o{mU2l%0F4whYeaEun z@_oNL>#uK@q^j?m`>pKMCgEvw*S%S?J@}qqg>~f3m8bkd%68q(Jmptref6zMs`{?E zi{7xLF5fx#Nm<pV;5&YPWlgtV=BjU>U6=o9@5=AXY|TI3Tk?F_-PtAir;3H=%`VE{ zRXlm_?1KDVdry8_c58M<{;a*8-<DaKAHBy?Ej)L2T>h-$$*+Bj^0M}JeqDBTc1V6# zvGAPPLHSk1!moUnoA2Ct^2@SIvpw>&iiKy-zIMl?YVr%;tURv0oL`oinAhHWQW^Z* zcaC}Py(N{xvu2;WGo^C!%-II{yY`&?w9Lpn^qx!Q<tM(A%w6xfJX1R{``8_oXUh!C zZSOs)2!8C_W3GE|Nk#A@-wt!xdnpyc4}DwAdGD!I2+x?k@6MB_%k<0(cV?dUZ8T@R z_oaODecxL1%$=R@m+hUs=}ybjW!mO1?@lQfzULd3x2i~Z>g;!Uvx+9)^({5`+}ZhV znTEOJ&dhgeJ7>?kBT_zj^6UqBRl85-F1tUoCjHgi%=12bj9<>oELXcb^V6A@^ksKu zzB`kWu6Ac;UizlFE6dbw%}h(5G<W4WpN+-`&$*;8yFT;TnI~40=gf>sPnw%qs&;ke zqcbe&%dX74cc#Va@=Kq*G^4pIOVlpSymjVC+A>q)-g7EelV{CzN@tp@dDdsXapk!y zmcq|{W*cXoYe`#XY@B*7B~9(jOuh6?b5@@5nQ9z(&ct%^6Q7C36K8T3Ei*LkoT+)n zr{CD}+!YJq$3ET0n&+li2tV>^H<mmXVj=v{r`eeE9820VedEfXEKxJ2b+6akvd&O{ z4U?;D;jRlET|KAv9Jp|7&xV$+jzcqcojH=aOxKw89E-)}`#yEXU(YT{Rogf7TiPij z;b}A1ompZYe9x!CICAF7Q$8VSyJlye@+mXEdR8S>ZP(02XIN5~?VR}}t;#6)j*nkj z)9jbIYTLE{#2x(3wKx1mG3!0;7dsEV6D?Uk;W<~ux(U^-*5Mn9S?_4yi97g>Yisy| zy@%e2rmPQm&b2YTVK38M?LBdc=R{-HD?H~~8=kQD&@0i9^#aei3f4`iYBdjc*n8-u z=$)8@U$~ZrE9`Zet-WFAp;_8JagJZOvepSyv0l(#vh&b$QJeJ_Dp}8I=fpXF=E_)C z@QiC_xIr=N8SR+3gP*uk*9BCvp3?S-bNs|LF<haT^@MiM&ZZgKEjtfA7L{3lp@Q|8 zwoaVmN3PCrhdqZLit?-vs9-&$%@gPNfh%F%ho@X|>n=RyidnbeDOY1S!=6L;MgOe3 zP|m6w{$Tf^d!n0S7*C6?i*bC<RT+L@chgkukey6ZweQ3xo)UetE})!MGkn2rrzzSF zI}hCveX{Pu6RyJWf+E%(+IwOT=5p;1{xH|+y~sD8#&WKzWgDzn?`l4o$uv*%iQmI> zB5!;c%el4(Z<y=!RwQG&fi>$*%_}pV-iRbDcSz@2A3VdD^}6PXnNF`oB9?EkV!f() zWG2%b%_V*h&x!;rFR)@Y4>p+V^isrQ`GqvDoMju*xE2Qs%x#*b+2Y4o!j-w~gC*;E z%@V)HVy@Z27v?a{(wsBX>6yqGAI4&?>A@T3IL*`y@oOyNnjAb~j?)v76F!VZT*=E6 z(zp_reXw9Xu4&@eSjg2K95Bb}k%++Z0t?o|nj(IU1zgR+0&|#VXx7YZnyy(glWDqU z&P=BVA`Htvn6vKJ{Nn3)TI9#F4d$&n!3T_5wSzCrcDg6>VOc^dSLiZ_RIc*i8AhzT zHLv(Oo)USnOdypjV3~nA>rPFZnND{^=J+t?apeaWR3CaTTr>Z|?-pDC2enP})Zf@S ze&?*5*YKQkr~i)6EY|)9Y7e~?&Y2(Zo8^}J89T>soTc*wezV+AzfgJTweT~WgI_s| z=3V&3a!q}Wo#R)|!g&nOIam5ud}g_#KF99hSz({~0l!!-sc)%dnytR3^3V%mm-!2x zaW3>{sCAm9USoIgGv{3Y2Q`PD37gDsc*dDNZ^BO&WB&s+hn@=S%nx|RIn{qb&7mj4 zD)R+?vKaX{)Et_j-cji^L*2&i;7870|Ad-DkAy|$Px!%dL|w-2;0MlDe}$Su4}@9f zGd$yrpZDM?XY9NKPdTIK3H)F=p#I19;Cs$m{|ld3_Nl+IRXi=c!RFy9;V<(hd}rCC z9#QEuRXw2c&|TqWHV5BvmikZl#Ij4>rIKli`Waitcbxw71irJV`!`e{x-EQX+K1gN z_f@~>C7u_mn7$yLbGP?}Sf_ca4<eo33BA)fcuuHbdckg%+p1Ue8p}90drydUnyY$5 zkFkuiWZH*aEtcK|u}yPSx9ByNa<29ch;^EydLYv2mC!vM#!}AZ-UXXkE~`$_Yb@c+ zopvCNGkcoBE|!a`D<Yj<2suojkj6RR`$LS=b0LfA8+NjsRb3EyXr^k4-oZ0M2Ga|6 zvYb|(66y3*NMpLePL`9ZE_#h;gcPP5q;V!q6G-Fi_b!NWdMqR{eZvlxqpB);jD?)- z-UcyF4~00U8|+{?sM-*DXu4`eq|<cOg2+SDR9W;G3pne&KSVp-7uurJn9o`5ePR=f zj`xmDEPGX-=rZPWhD{4d<qVltu)RgodqcF-U7;m9jd`4b(-=}Yi@hgAADXOsMEBrH zp$F4GY-8E3{73HKcaFX8H;P&ADZj8h^iHs(f5LN)ioOZeE!OTEidpU`-;q1`jbp3( z0^37x1XKD0o^xz;Z?I*WtGq`p@tj~xzru5lweAVFhh7PW^b0)aDCnC|)ne}MV0-AL z;2oKRUpSV!E7&^CR^DKFXqIx1oZ}actUiG%mJ7;DEDt@8&4`bViOxuli*R*i{<P=N zmSaj4atl9m%yGYA)AUU6g3QA+f=2xb&p2kd@33jQr@n7TSJ%2@r;c3ElMyX_kW|@X z<i5g&>8YSvzd~h;hjwaQf~3*}OQt7+$7CGO2p*Do_>rT}J;R3Sv7lK0f{K<S$_jE1 zKXA0UYuGS76lCjHs9-UeUfBpb#8gP@QrCy49C3XYo^r(WZFtJj=+0ns=)T~ez6<3n zy6z9G58V^oB*S=GaGi|fdyY!?1J+Gbl|w9<rYhf&O*|#O&9A2tWQ>xQP_siN%WmZ> zvW}+&U-St)<p@wZBkTB%!@n({vSo*|g(cG+!FzoV%v#PV{^3*1=a^IB>><<iUZARd zLpsME=N&Rl^AtV!8VfmgJ717>ny2_c(&?SRJD!8*1Pa;<tXXa={^337e?~xNH_yRS z0^iyuq;kw~Ua_<_`jG6Q+X8pq?V0jhXx{WsZ}u&Du6l3!w;%hyShHw3Pl#UidD0v2 z&0F<v?i2Za>8<yo?6{=QmtK3X*5A1A%Bx?O=9uZPtUSq^_0l^(&Zt(?^qlu9{nmXc z&r}aiS2B&iRnz%-srC8km-cJ{9ZYN#zo^=?>$cZE{hL?!mON8EIkhT&k<RByPraw> zCu;p&x8?cLlhYOAl|Em3?p>&#DfwAw>b~i0@j{<f&v?z&E?jqI=cMOe^R+YAO-WNV z*FL$<WVg^;udUiQ*OlxRdge7#du}A>S+BG(rdZ7qRa5QGbtbzmN!^(m5*`)%vP9K# zjrQG0&2m*6?Wd8R<xB5QjS6=Hol;yCwrR7_d;X%&e-?aW-@V8F#oySIZ{Hr2Q{BB= zOFQ!CL0OBkx(U049(xsQi~e)CZeTd6=U%fZe_rD2Gwb&KQ}|M>YNhTUHt9Fx3$KcX z91maraHlzkyLMQp8D8dDwnVMvrs4^{h35p4+8L}_teh)k56w~B!RL5RAgcX<Rm)Yy z6?}^41Rn4xmU85`HCVM=R@@=k^isg9-NCBG%sIih#nf3sw&{hy6&}SBjs*gjcpesW z%yWJr!}MIhtbKtci;1&^amyLS8InxT1oYYyEL)76SI96u6;NweuxvS@I6;!>iNG-) z$1?(lcpesV^f_n9Fg+F!YhPf|azs&q?_mK)o3n-t(?bEab_EL-1Lq$`Ec(tbj9B!X zZy2>4P-NhHn9ot?{6e~En&JoE#C(p(wuV%W@U{i!EqfFn@E$xRu!^Vgl)$?-gH(<( z=M~aTQxq@oDxMNpz>}EAQRG}<)Urd-LXzo@z`eEy-!8rP-lzX{-xg5%`m$%s?@8~x zE8`A*4tnQZ9=GYU&^zz#`ZxE5{1$ray;=X{zL4ijZ%j{!Uj#~Q#c@Tonw5Qx&n0#q z-%-qCef)s!vA2df{ejgyx027uIe(KV?Gvo#xsiOq^4M#`XEKMsN)+{7tm3(ryhhIX zt3+WR<8z6X$193?t|ZTqJABsAr$4ZY=Th<(OSaj`Yb=kwFm&l(_)KEqaRytrS;;kW zhd)cqJ^sMv*fT?u{>En#>3tI`d5n)AusQbBP^UlenZ(rN3v7-(F;wXntmH8|-e7ZV zMskOx+l*uzxx*hNdXFdA9D8Ia(m%0+=SZ@Q+~E%rt;ZE?jy*7B>1TW<5#RUlsYGnw z!KV_@eS#G{2a^BD9)2%Td;CHX&%We0vdX6oH^@9bW%#9UVmZ&A<OoZ*smTGB$L<;~ zlR5lOqV)KLBA#8zE|zRllF!IGzmxFq6D;RZKi*({?6%>ZwvX04_Y=SHC7(B_XkVBv zvHS1^S+{wK4<y~*8NA~;e9oYtz0jKHcH$Mj<}!)RhbPFo%}qSQ$6O{+()Q7+$MSH2 zY}=f~Equ+T5~~jf$hyr*JRs@z%HSRkbE(Ag!v)4XmlLP(HJ3=_wjE59$Zj*V;<=c( zLelMpfkXSmG>Q3#KghT}H?U~mXvuRnae?HqnTaWUhtC)ov=>_PoKBn~>Gsq>qutPw z=VYP_U-KCQg?7U<iKI5cG>QJh1u|}r4J6t(TJRiARN-STlxRO}AmjGXfTP{eg6Cjj zgXFR4i4~G=(-R9Mk4;Nt;bSh4s6YHc+U>r<7M|vOiR!~AjCgbo?=a%ooA`v6IbR~I zEihFgq^;1rNAvIoX}7xuOL&^|Bm&zQQzeQIPmn$~Iq?YZ;gbdr+CG}`Y>)r*@6dPg zz58!`=DrvI;^)zK`X%)fpNm)2P5j+$y??`J?mO{!{vG-zzIFeCzenHbr_={N7vH$Q z;V<jl_&xuU&gsY0D?S%ryFcOY(O3E*^@7jE3+g8R>Nel+@b~CT{X2gSeGy-}U*WIo z?D!2okIstk`RDXSJgZLd7x#tuB|nco*SD#^_>=owe9k|o&*B+%h0nxi?l<_%eI`EU z-=R<9sda%rxlhIW{B!ywK5@UoXYLd6JwID##JBuB`dDA4{^AerWAQrwoIZ+o?sxce z^r1daec%u7L-9QSoIZ#r)O~y^9#?nqsd!A?#;4+q`x*Wmy|4eL?&5cD-Te=KAHAo) z=?~Ls{dIqw-iuf6Kk&PCYJA8~)~WG#{wAH$e^VFuom+GNg5R!F;vIe-y`%r6?&A~j z!v5O14woMtVv^Q!D!z2p^_Y@F;DyNd>H7<+-Zj+rdhRXw#JwYa&)-9DH{U;BWB>YZ z&iV8`^I!hV`EGpo{HL0>=bP`Gf5-F9RPE84Gh5ToyokBRB^|BaeVY6AnRDqo=HIPL z`yKf<earl_b!xvO=blfpZ~ohJE^XtM**EjrR`0$!@9dYHu;)5@`kOXiKmV*|*~?!u z=bZPkFJ}IE^HuuP`AZc)N4`$)pWk}(%~#`V=Z);U|M+||4mF&g`BUe^X5IOPA3sUX zIL~8medo@aa^v_*=Wo?a`!VxDdgKGU;6FTHHfx61t^PD~>iG|Lmw&%GogQJw{nzJg z`l9*0b=#g9o6VnESN7D{?$CKI`{+MC7vCE1K7Y@y_*3MZJL$#quhu@>A^9e4<Ls*& z_wr0Tm$q~E+q`AZH(fhhXTE!LWY+WadGl-Qwr#&T+hTrU-nLzmuhUk|K6o>yOJ3se z&a37H4j*Gq+{`I9K6mb{LA0-V^QP|0yJBCwy7@S5^98fn8-H4FzB%ozn7Q}nnX_Ua z<f-ktx#DSBiW&DFnLjQ|H+A1WduPptytXv)b?Z0G_Ppsj_vTrX@`<x%r<WV=u$X=G zty0-$jl%lfJ2r8jH7+YI$|z&Bnw2WdcP8PX9?$C~G2g0ZzJI8vRz7hD_i<N^-3}tm zk~epB?}-fvi+cCS=tH4+wBGIBJ8!-j-97ywMy<O2T-u(kWku?a=BGDH&O04r`?*JI zTHrmWW0r?izx1CG-w`q)ccG|;)+C$gzdm0#Tg*TBQ|Ieu^Z5(w%6`q9ecs1j`)|*e z&1UmA{>(X>zIc9T-Lhw!FPwL<_x^kn6ilUc$9~>?mOg9#!k=%>q|cl`^C!>e&8N@n z*lT}|e40LGzHgn{&&Vg~DR#|&a?YeD*>Qiq`8d6AzG>aDA2%PRcg<I=Yx{BYVS3wq z(K@#uHy@-o&2Rkq=5%_T-Rn=1)6Z|K5&I$eAiaM6&)RF>XHGl+!|wKP9Z*#7{xtJm zdgc6wwP{Z`Yt0Y*`R3hb&G|QL!@kd)a{h%~_b16I=bzb4{{7};df|M_pFHn2Z$E#> z?DyR><(u!F{g!iV_sn@`%gis|)j6M5VRriNn{#PpW{2;-DKox(Hp_hR-JCMxn`d9; zi0zhqlU8C@eK+S^+Pc{%Z>}jdzIHauJov88xwHZ^@4Ii#rmdJgH}6@R@#V8#=E`^1 zoK4Fyi{5<mMcSg-D{tzQ7+*MhDd*eHna|Va&MwT`wsYpQv<x%r&5_U2(##Iuc~fM3 z`s}Hku$_`m(<aaM%oE!wIrFT7x%B3lGtM5$`L<)`<Fww{hI!j|%zTvAIa@KWY{$%p zX|1yb^UQY4e2|u4_IuOJ>1TK4982A-JDW9+ZO6^~X?3%|<}OP$-gow!+38J^)6TBT zS++g$URuTM$eU|UrG=R7zMXR_t!(zyT(wl=U1t~Nu%&L^dG?7}^`^)>X?|wSx8LL% zZ;$=+?ohe--rYAgbKi@7ar5Xqy^`{Y>Eab-6L)u8@7}PP`%dhgcZbTvx9(nW_vjnF zl=8rI@r}D1?y}B}-SaN#oL)@1V!HU+-3fP(zS0XR7fcr~D4V#e+kCgf-J>t{?z}lv zBEEFD!d=(du^Vn4ofX^j&Z$H^t4weg_l4LcH;+Eovnjv0llxq3&O4`K@r<&<H1V0c z4K{P1iH&)8s7O4uEO00HsaT(PPDSDqcPnh>J`vk<vvo#n%gv*Y^<>H~?%+NatMksO zP`q=u!=0lK^?1qycW@ty<$32+Af8b6F;zUS>|&~TOxea%@y6W@caGlI`%`vtJGbuc z2e*&j)7$ih>9pRuH%|HDmAenzZk-w%a+7sx?47qsr}W;G1#aio+`Zto>y%iBn@8{H zJt_N`B3`(=U=#O_*gbC#z1?*GbdCP&y*cMo_e_7eGv~X}-P509+MaK^bNXFO+H<2j zr}OkT?_KlF=+@~p{mFaRoJ-v}{a~Ei^G(-JKZ|)*HFM7CDE;KUIbV&go_-X=_I%To z)AwT9s&2ka&C@g9yXK40rPH@!jy>CCI=weet!n11(@y%#dv(sH&YxZxcdb(LdFt%x znQ?8;HW^P(jZ1rGbmp|4{^mVv&ZJJA9vEj<IrB;C#OV`v@_gE4IK6YH&Y9Hy>6UTV zDkLALc2C!gn^qzDD7AgMWL#K<<iphF>6~$F&o=2#uiUxjbZU&=?V`vBsSVTr{xv;z z^wH_lD^{HF4G+9{an{KjF=pnHnJc9?neCeVmhtYIU^j90PhY=(;gval!p)|=CFT#; z+q#p_E`9J*yZ&p&oa1kP>B(!G@VM0Ycx%m{r%{}rw0~c6VNv&4y)^f4C0qN_6;qz1 zELBZQo8f0;zusW2A(N}~w$#$;H)GYxZ%#gWB1SFM$ShZ{c=wr;sfE+e#=4o`oMk)t zWz(gJdp}$Ms*=2uvTwTMMq|m$moKGFCeO`Gnd1{!^ija@%nT=k$)z`qZi?%Ls~z6N zel%~B#^jT}X63(6d$0Z>k&^Kyc|*F<S(|4+XS`0%w|YHcX6>I(GhQXH?%!ya_I-xT z!LY-}4{WJ?BQTNsW%7!CLAztmHd;i>T@GS>zj2S1ZNxXZ%hf&k=Wi#MbpL$!$xbab zZ=-qt&bx)1ZoE(S7kgc+lV=!iy?jeik$7_~kLkHrN$a=HoxcEdVzHXXsm!Ha>4_Tm z<ilpnxOU@ar{NYxSLYom8EUWR@NE9{d6UNEpMKw@%_HBZ%$nR7_s#6)lDjDzCSRSI zQ?@C}YVyRHJtaowlNb7#Sw+4}sZ{IUY!&%BW!>({u6}72GasiUsd>-o`M7D{$wNNd zSPP5}o!sT~?5UCN<iwpl?>Fr|y~$_VQzM<}u{(X<Z`yPEgWl$%$f>7S#FUlaoO0Yr zKKS#D7s-qJ*H*syw$Zl#tDW2L8E=z|<qp^Kd@;1{zgwyEedDd;KJwPJJm-=(^`EsX z`z|r__y?O~FMiy3oIJNb(eB&N2r0w<-b$WNhOWB(if(d#c5Xi-o+hWtZLWE<>2&g> zUHxzEmObBS)4$YCEUnz|-q8^G>Q6JK9DlaR#!dYD>~zCh*N$e%S4W@OV$`EP|9)KD zqXo~Bg2h%#vvTVhn()NL$Hcn2G9H_9Gd8Sz=9JSf^ty{Ar<{JKH+lCPPztf!$@6a0 z_S1LNe$PEqzUkh{Z$8JYXU;oWrha*@&iRxIwbOIooJ%QFJ3RMInbGZ&S?Y`D=9C%T zJo(B;%v$nIN{L$a+?;bM>n5L^xu(?U+Q~5W;JG^IQVP_(=e{|cvSRXFzh`Mimrr`B zE6-hXHYG<b+W6*+ltq(Q&eSO}x^VK6&o|4N&r{}3F7(@GIrCXchMKi;<g=7CwZn7X z6d9d9dCDiuQu1la<jJ0XVwRFKPb#QO8_%3^@{rFrP`vd{HuT$OG4oMM=VV2{GK-lH zQ(7kr`k7hGe2|i$_S<OY^pm@Mj-_tWoy_XTW^wa=O5NnIzROaL_MQBucG^gC+R1f3 z%giJ1rBqCgoVn&yN{HI-**T|D$|hg+RZBJ6b#jppTk58rC!eTQ8%5qp@l$J_{U+CF z`|&#Y&$Vm5Z?x_I2#S=u$4lf-f0mecyhwic=NWU47s&6fJ@akjt>YQ;vul06ZM5n? zYRC3lV(#%c`PrXmyiP8X%dYMDy7B7q5c%%U5_66R$ya}tc$K`oe`n>HFB>l%_mI#2 zEHV4|H5;>EGhQTT$#K{6eA#HyUu*a5XT<a5IsLhI%YI7CI)2V(+Rqs?j~mGEt~v8* zqfvjTo!ieFPm(9~yV|)uGdywpn2p-AjRyU;cF%r9JWlTE*R@;rBjQnVN58CH+K-5b z$u0f7c4|K)W*pyV^X%zHz5c?=oYTpT{fu_szR$RyT-%>n+4Fwm-s78W+MaII?tf`J z?YqRi<S@C_pCqOpe<wHl(~P^xrTw0jJ?}PZ^gCAOyffT+e4dTi_ZgFqKai`gK9jrg z{?Quo*ReV0llFAKjLa!FynFPMPFwoMJ4fH?q@^3)IhrTFId)B%;jN=-;*(?7oJ-o+ zeNfLWedG0`&vc&cnla~Slz4J%PO0J5qmOjh(l=f?dQYcq*NvA+d1A(~Yf20+9lfP< zEN!D{cdwq>t{JnAI*BvK>YPoQ-(9J9ZKuTZq}kn>dTnVNjk{Cz($Wmi9Mu!w9JA(3 z($wxiJ+qxNo+M4|o*2nfw9&A;Gg9YFQh&Fl-nAVPkCVE)HT9<Lka(2T-YuyYwnO4! zQgb(_9$VT*{qD-hHK&te#BOhjc#zc4{a5$d_K5pQQDTpybMiOpbSFl>Ih9n^{ZzMY z`;4haSLwK=ZrpuznNHgFh`SOy_U+ikA|iOR^{H3k<E49#%7Sy{;zdG9L1K%ebxtJ( zh|P}n$=j&jeN^{Zis6o<_r!{=-+VW?f4GYOcCp0!#45hqwm#oC*t9>i)cL-_y8Wgl z&-V?t59jc&w(a@0;pX8K{?)}1ZxT1OUzB8S6ONCGb#!&ry0~u#kI3IITNl{A`MSZf zeW#q-bFq!>Tjh>babJ(#a<A#@hO37|@+VeB%sKo(W?I#a+0k?EJvyr!a5!MU%{`?v zx@`H5D=N74WAhH~m{HEXcW-pYPS*IT(PumluHL=7^{(!UJ4$+Qz8ILd&y)+Rl6aYz z%a>eyW7c6eeqmdmvxy7a8|9`w+i?D{4gYJKp3fW39yZ~3F1|7Iuo3%d;~DP~wm0vU zOH12e-aMC2EZrdF`oU)hBz;N^g0CHnVwaZfIh&Aug-5MQ;#uPK_DH#~XB$o(*5IGb zGucMxlYvpYqnz0@1H<-K%QuA^OqyHeo>@dZOYCh{lsjfI<7r}dyQbW<3W-Mv3!3Lz zzWHEq_%Ij0vW!lV!KuS+{K7IkXA=|nehWQ&x<RkKQ1VRvhW&@P$%s`*JV+?7|N3vK z^$ZaMt&-h)_EpwD<@=VFnzuo#qS;dN&B=tof4`R2GI#z8f09tz{#W){dBpw1D89$m zIqx^<v?p4=Ih9z|{#3TDe8$wnt7P1sZrFWz8HZe2dBoksAil-cI;Ro?_-0%CyxXAO zepL3^6N4Rx@9`DyKKfp_CjVk}x9#=^dt2v4zd7f$z4e{$r#npNb&K)~pNo~{HCA`u zj=phk(YFm^Tei>G+xkZL)g7g8VjH$EDDJ)<eP(CtYuzVz7JU_4vpr&O>nq(ycbLxU z2IYTzCbnX`#opH0(KGHX`XaVudqy$0+4hLy?u*eK_ngk^I^{D~b(?Jev8VO9u2ufQ z%I>q#8TXjZ>Ylr!^jRz|ud%ZGbaceMMQ3!i@*OL?Peyy(Q~D&9lE+xtZMa>axZ7ZR z#h%v3x>ETEE4q(HYur=%DAuvvVo&QsU9Nn`itdBa9QT;c=<d67=(O&xJ58r`x7|_t zAl9(`$L`kqy1()kJ{7Ckeq*=mwCIGLN2f-=xU2MDtYZ6yqHeA23yQjTNBit-y{r2w zPw}Z($@Y#SZjJ3TcDLTqop(p+otR%9V|n-X=pDS@tY^GW*xUS8vZvg@w)rjJGV6$W z2S4ykv%WF+;2WMc>l<$qwl!bnbF;qjCSg<aRY{#PgBu55@O-nnG3Q_m`()#Y*9mKy z*YYj1ikNfo0naq68?z4vuv^QXIh(Moc`BcqRmALrH+Z(CZMb;Qg+16f;zh!O=B1Kv ziVe;mv|@iOlT&PP_TUAcva}6n4xZs@v%K*%VOsN4$u&g=rw*#IPX?tnqh?1wvor(4 z=2po!g$BnDO0g%)<P;hlJt)NPEc2$o;P62%c4e8I0)vAG5Ab|THPCM^mFzj45Y5Id z!&9(f|G__OucduXC)6~*<(-ylpwk>H>65=<&%qCDn~fr-9$djwW`1MJ!8dHHrF~8% zlr+0a@|;R2Za&HTEoH-wgL8P;%x~OI$gBVR@9FRG_wm*L9{u$`AHTc)<-e(*QJJUz zN}uan*FXGM`g{7@_^tI9|C#=t{wBWkU)NvmZ~9jCd;gg}*T261$)Bsg#9zm+u3!02 z^trx8{nCG5pXp!O@Atpx^YoYTOY0~8yZTJutbXm!>@WHk_h0!V`b+#peCEGje@=g1 ze{R3U|66~&KkJ*+&;6<WS>L!m@n7lB=}+TR|E>Dd{b~Kl{VM;v{&b&-pHScX)B8+( z;=igtr$4SgwqN3Z)F1DU>yPXg_;2;+^oR9__H+D~`s4jU-=Mzs=jqe&vHu=@x;||` z(|@KvuixwM-~a31rBCAb<E!gae{!FW5C3=RxAuGeJ^R=E34N-sRe$%d=~MmP`(OQ= z^hx|~eBi&PPuK6n7uDPT)PAS0UVrfK*KgBr>(r$`wC=ta`DP~Tdz~+5lFG$)m2+xH zP5OIF{fzj*$TfVw9Ddo{RA2X2&6sm?%EWZBinNK=-PW5o7<1o=ymRhQnb_9N3+5hu zqmz;zm@c+)bHiNLxsiL$C7siWNmoo?f0Xa{Yq8)ROx@QaH}uUoqMEkxSEuQlE!UzK zTO5s9U2!ya^Nk}qA?bqYVg+dvt-8%OJIp=$Qs>T@LnUHMH!I9_ogKMh=FwS^J?ETC z#In)^t++2lE}41sxsHweY}e;H7U>%^O<EqXX#aRIzmac4L$lZ}?p}wB=kK)|ip4N@ zUHfDtxGi*>(i@kVHzl}CmMAgBB}OH?%}h~IJZhk4x5*)d=kgrZS&?&Qx<1o6bB3u{ zZ2IO6b6jUehMa3E5}Ukv!W`EpIw#IB6^SLMDW-`frhT;FJ|1auuBlM0dvm}X*GD=6 z>4g^Dha*MKH5G_8Zx)!tIwP`XX6y9B5kDB^ZY)>rU(Ypfu8hbogH47;;7g0d|0Y~a z6^lvRm@3w|nPJY+`#OKpE}C=eZhkQP=slfHXP8dwtUKeBFIKtv!0gtkks&i#r$*j6 zn{-O&O<JHix8~*rvt6e|I?OzJN9Re}#}u)`%>_o>J0ka-J@j_nf<;Pk`>TJxySn@O zyuB6QFKxd5E^b%#)0^Dq<91fxy?Odv+>YwI?^1V%zm3~cefFK|?(n&LlfF0I?LHT` zzWVIV)1~^B)mz^k-F5v{+^XuMH@VNnt*qXAle={N<-K>_r0xoT8MmZ*>&@=7aoOLb z?s}K#n^w2JW8D=#Yp>n+Pj^-q>z~_e_WjZw?z3^}-%i~*eI{;3b?m#;o#8Y0YJFdH zC%Z`h<X)xkN}I)>#3g?d+8jP(uiW>dJF5%zkL?xv9&|^$Q2)qYzVAkNRu||W+ROG` z=nnUpxcG0MHib{$yW`E))b$7UGJOAa`*i;LeS5dOIlBG&y|~D4hc;cGy7$YsQ@6SE z^|h-*C7G{_U+;g}sjtWE%5mmcfP|=G?1lz6v1v&<-rm{W4uOpul-FxiU$osWE}~vg z{`J|;)1lw_WFsQ49oW13$FD0rn>bxJcSb%l;z?PYuuxp|l3DfLx28|^ELK(DyxSTU z^2Pc1>O6hT>WgnhQ}s2f&%RaNetq)ZN8gGziQkFKuikn0YxVT^v3twk?(F`qXIuXE z-qPyudAmQ{nOc2)?(R2tTC1<Wjons$^`2|>^*6Db%CGL!{-$?h_lrAUtFF)49rJ!t zaro=lHRWsXEv*Wlv-`oFsa4l!?+$oxwfFSd*k$EY@3~fm&)$9G&emt^F79@DA5<Lv zB6dOf(w(nA>z&_i_5RVG?9X~<cVD<u`fS~q-DmE!R$hM^JFR@`&efmvPVH8EKWUHl zCq1Kb$9tyF^bE^ecfS6pcYL?h`=mYDAN7vz7JBcr=k*7@!@IfOEA7etpm%WhfjeKH z>gku4?(9At8~u)J5BG<4`*;6&_iDHI>DZd`x3<r|8b5atzZMV}7(Q+HhC8KC_4e-m z^zP8^)2HIp4uS9G@^TCjQ9Q`G$#A2No<3_LTbDpd=+5p_vE}8yJ5Rq`w`=#3JFey7 zQ+6-7V_H7_POSgCuHC0k#uk+Cz5DcA*ZuGx`xbo{t&ThRo6GihPvud)C)y?P6Q7G# z#7+F&WxamGXRbTpclI6nCc1U~g4&~Rv{T{(pNno>-%!gsH+;{&q;uLa@ruty*RD^f zJ^D&JBwp~jXhGb>UtQ+w9cquh)V{Ol&==9A>lJEUXNPa7JUT19XP?s-(X2SZUtAZ$ zmsB2ou5A;4@h8{0@SJ^4pG7m`3ZIG2TyOB1%je*}{SJDuGOCt_>IW+fYmPqE)`<^% zCOUQff|{dGv{m8-e{vbEZ>TvsBfO*1bw;?&zC#~Hd)Ft_9DSrM5<l?=*O73UeTP1X zwysyGIr>1GC7$t_Xnfqmr=qcO2cL>Y#|i%6IuQP6@1ggiwd*f@;@TJfX0Os|?G1Y# zozngiH}N~yp74lD*QwzFl}GPtFWYnIooMO$37@!jg}YR;P6<D=*Xf<8f1KcVF7@>d z)kkk@-wFG;o9lk)mvu?!wJO3FKHm^4x_kA7Sl4->4<cRPX}w!>=$ux8c=a2x_OpjF zd8MBfwA=nk6lni(L@BIrH`ndZE9;udL^rRV5bHWO^vF7<GSQN-kGr}oR~N*#&I#SJ zuBlXX_3D6F*EyjFB3)l;-CM&{D!P1i!Dg<@p;Oj1m5Ans9ZVC=4l~@vbun~Br0WYU zhwzDMqVrdOh;e<cWf8t{C)e4~1(8Q*hNi4LbVkeId6fvWq-CV#^u?-aYO~Jn{9(Z= z9bO39B{3z^^{JLdxZzH&lc6r_n$Bn`gd3)bCWQ&6iT1B9h;e<aB@w=H2iMV1m32&o zqV1~<Vq70;afBQ0;5r!E5P5WZXho#!^w5IHqtilJ)-e@`*025$?RsBp%bKQq(dyMF zHgV~!-m!^mZ|IY?O!=Z=VS%ZlAz_8vyEIpCh<3fJwPa0Go@ihgW2$KJ>Iu<DCx;$c zd+4OrgRqa=xVG=Cd;V$e>hg8A#UIZteXh5KVWnO3Z-IB0x1XPKxK=<^Quezbv*k7K zrs}_<$MWS3elz?#yVZL7yO_#nhm6DD#gsqWWGwzJW_$6?bD`GaZ(}wWpF9_uzV61( zgy)NlufL8depWQs`&`W0;*ICFT1|fyQ~0dOIQ&&i{xhe!uS@jIi)Wq-wGw|Bllv^m z`1-7!ZqJ40dY_G1SloDSYTCN<J8ho7n$ump?(9yJ=T64gXYMq5Ze%R}EM|If<hjta zb*FY}JfAhkyJ+2sohQz)T3(;A^T-*|v~|aK$~@1S(_Ogk=uVO6UURq$*B#!;^IU6A zcfq=YJ6WDH8DF2ibI+Npsd{?Fxihs3^bYL&_w3W`)%kk5#UIaZH4mS*bHka^RK2}B zKRr7%`}C=p&}WN`uHTIbezs}$>O4Ko;)`cRQ}r~8&z@B^zdm{Aqi01%;&)>5i+9d_ z^j&1%@)x#8=LLV5=X74P%zt5Zm(B76#a(xT?^w3Z4Sq21(KnH8%U9U4zST_gSFG-` zTHZ1Dq;KBs<0tJ3f7@sp-Om2K<L&2d{CC{giYBz$q$sV9U7+Eh^+xl>8qdugJ~vz! z>@+d1>@uD;hoh@wUB<#)m8%PM4K^+>NO69)_GEBRW=+iN4<d=zjAFQjKI$Caye;MI z#Z`-@mUmqbK4W?1iOtdbn!o%Q({#Mn`0Huz+Vk{B*xjhT`L^>^pX=P*T(mjH|DpM{ zd7GKef0FM0zD8&Hg(9v4n-|PE^i`zD?_w3#wcs`LoW6<_`Y}EiS-HHTnCnXLoOy@N zYWlb@T%9gbUE}_-nrnOTo~iSiL|C6`e)4lH=hE)(Pf}2JSFG&PSiHc7^`7Rc1v6@t zk6#sIeXAki=^m~tYkV=Jtgh)(-m66yoE2HGmx&bS-|hS~ZQd^J-HSIkJ!jeQq4T|T z;`@2-4t)xjXDvFbl(Ri8)c@c!!SF|Wj{dqf+kM7OuUhUB!D6|OPu5;@Ke2bK)pL<- zKf@}ni@_@@vr7bbb>FCMd8X;)&sf!EviwKMQe~~5)7~hlY2W`<qs{x~+AP<Ud51oW zM9*FRz~<;PO%wmdXCmo-6Dzrlmmjb>`czZLKk%8z)a46ojy`ErQnJ-GUp^t`b&2kk ztwH{RJH=)PPq1Wt616eAHIn;GRQ9!?;x5B{(Rog1G!M;r^iia5d4>(^V@)ytg+H!6 ziH!4`SiyB9SjKJL)a_#TqQcHiitRoX6?yGeba%e4?s9`GtBS6@i`<p|)Ux}WCfE5x zHmg7DoZD=6{#KFL>|lX;SD)!z+U#}S%ZB^on!}rU&R>%0{<L=2_BZ#cK8Z~Y=2>^O zn(N@!I)BDzBJq9?pXwglE_1&sW_5w?;jMiB7oUp6Tyv7)J{vK0`3<A6XPQjsXIZm8 zh>SefR2243^WU*wGTq-r>XyH-KK))K>KvC$_Ib@8ejCfXbe10|>e60*!P@nn<_EvT zry`+#j!#9(m(M8T+8umluG1;a7k+|IMFRW`%ei(2+gQ5Z(VR1f>77Xa@&f6j?=@=N zFIsomE`A`}Ixp}|pHsO=rCVdV$j-$(jJd2AACNuzRwKtf(3<O3;F&(BGLcd@L2IrX zffpo?zSelwbEs6L$nByP*R{YkeNLq!g>H=LA}beH7;{|-oYQyctcH(!pcU7pz%7!j zvjf*i9(|$V;=V9VWZ_~4S=U*CHGPMQMdmJkAanGYhKYM)nn=3aL`yE?#Rp`Lg0@!# zrin~lyg=sY6Acx2K}#;9#SJn?X9RXgy3Po+={r;?(z`f8=IA305%-A}Tt@<B`VJL{ zv@TYVIr>0@#ho!tB;M^|sz|Kc!BmlGH$e-o1A%{f59N#0F1}#IwJ-2ZuhMCa4Ly%e zX?$^;XwJ1KFhbIGYG8om(YqSUdJg4@lrElN#I-BXMUr(&;F(^hJQ064L31wk#SPL& zZ)@DS_pA2w_qF%7f7^5P_q2K2%kE#Q)jl6xaqm>^>vPd%_YT#*{-%3-d)EC$wb|cv zZ*G5O<CG`3EB~mSQ;}fhy{6jkbJ6Sb&sH9px;^Ipq|afmqt~R}i|VcM{<_v8|6rwd zso;+My^%-WMX%`IQ2Y9eu6ch$PR)@eOXs+si9GT$dRhL|eXhU4W^cc-XX~@I7q`3I z5BePTLiy1>rn_FBuRT}NQr~W)dH+>Scd=M}TwJ`Xt8=@And!xxxsfgUZQL`{wi&n| z$c@!o^u4K7_w-t$=&8|Dx^H~uFz40`C}`EyC}ObKw)AT2w4+a3mn}GP@cy+Y(UbCB z_qjgPJ+b}R9@S@S4f1XGJ^c~(IJzfaci++<VUMCa@@4m>{s?;*-IC9{PxXh`jP3jO zJbk)WFTb!d`*d_;KI6Wx->2P=uFcP^?0&y?@Agf5TA!}f&VRXg>UXhw(P8&ieG;3x z{oTD;pQhc7F3tC>?0&aaBj2$y`<?F2?eq4CexEjZ`-6K`)u(gU-rrht{Z(xC`KUeF zFC(+db?<Kdw5BzE?VYXf)}*HE-r1UWeN*h}GTmES)2>g7U41TUWA?#yuIX#9Z+*7r z>8@#Wwnkk~ip?(7y}I?$8rJl+SGL|;)4J>0%c#6-MzO0)bT4hawdQErTGQ;_b*j6j z&D!d8oherPY}EYh%5_(Fian2-ot?R^HEpeNcIvv+G~F{>^{#J<S$!sIYIfi{)1A|v zL`}?|7|C6<)-by>Qu|C)f41eit2@LVM|EdwuA90;>`_#Ew&c3d9bylonzK3Av8Jun z&#sJIeL5=U+O17t526~f|E_(yJ?wr|)U`*^+4*aAvJ)d;pNgu=e!8}G`?RTBSFLeP zUAuehvNfsO!|p}}U0W2beJU#8+N@~rytV4tN7p`0(cQ82-nAm@*WY#SZ>~Cjt61!P zWYxJ_w%*^@*rY$S)c(H4I{l_4_xCloH|LyRW!wF2&CSgz=T{Yny@}kAe$n#v*EN>u zJLjoBUvq79#Q7*&@2_ht(hpi{e_dmqzHnY?)wJ20ea>syc7Iu8mcG$4`)uUm^v-!p zpRKvD+2Oob@wMlX^U_P_9j(0fEOJ)*Ld(}@B4?)0wB-K0=JaNr^IFAWPa~(K`_5CX z40{rpa<0iH`%GlgIj-Vsk0bliP3Il0xb`TrD_wP7YsIyPk!|Us^IR*gJ&0^dZ?t@U zIx_Cut0J-Ko43sotq^+<S)cxM?$z>X(>DJ&cgtG)bY#T2T}9LGMOLOioSXV|jaGV~ z<?DBAG}CX+4K1HGW%G-3T}5J3Ha|Nz$@=xl$ij3>OYV1Twr{?3?3e86@-_E1e(O1E zJ#F5`vg4Ozwa-UX96KfZ`dmcWu|u-2%XDsU%sReEHoHvc=Ehe&qSj(>B1(=`$!4F6 zSeJZKa&@WBwT)rNgJiYOMHC$Kl6`$PVny=YzNcwAmp6JHSCU<QHX`R(l<~C}5sQ*n zN@|zrT-bQ2=d0zk=Mi(03;VWOPJ0%Sam>m%>{&$Gu|qPii*!zJJk=9wDfTpCa<XTi zsHND<jS9!5jHk`mc&O*A#k9u}y~&1sTP>zNis(#M>?^gH_AsI~S+LL4V%md<gk!&q zrcK|ttLJFy8r@{pK30os_ao|(zxFOo)!Dc4+p$wdV$(LR>se|Zb}ynLIZ|@<sfdtc zyQH&EMU*99?Nv?H*|l*|4{Pe0og1GVt1=3^6XAEPN&0oJ&UXJlb%(wS@11|+Gv_`3 z7e9}@Q!lZf_*}TcZsPAw>-if#bKdd4Q+Mc_@YeYY{vLUwo?;*PTzKRBhQBOx{rA)* zol}poS9~tKc7DR&Bd^p$>;<0-7uZew)oDK8;qQ@`>UU}meGy(dU*WIIZ2t{EkIeG# zsdM@woMk8Yi}Qm2lAlMOtJ~OL1Rdv+Q|I(qIK!^+nefc{2A?_4_{Y>8`Xroc7x<I& zl)q1%(<kAH^A$dGp78Ja*)qew<>!&d>N55he{df2*Qs;*DBL;U;m?tW>OA&=KR6Hh z^VB(g5KgfB_*6K~?&4G77`u&6g&XHH{5f)8{g2(n@0_~xAN)RYPkmDj(`of}HBRq^ zE9W2h-7?ib<R{Bi|2wrwr_|rr1%Bt$oWJ0=%M^cypGWSfKe7AxM7VH%!6(ig{(EW< z<qGeg{o$_5d$n&lP36K>W*c{N-t~QQlVzUole|ag)ZXMUl?!j5z2UCQTeS>x!`+-W zeXrbfd83wK?wBsTe)f#boY#F%+;n-Z7Gb_|7w1*qBR5&*_%6wNbXG0Eyl@w%`D}x` zE-%$Q%rB-1=a_9w6J9)9;BLz--<CY465&j<k2^Wf`<CQ26${Uvec=wvEZ;ddU7o3( z$zduMo<4iS9haHDA$d(j!jorDxa0Cf?L-b!k#Mq^Vw!NG*~cB6$9+xmnhJ%xX9wJI zd88&_Ubutvu&+p7Q-N^vY=Jv0Gkj}qwoLaexydr!H|M6y12qQokJ~x-`+muFI<5A@ zY~%J$o!JLAb!yMPaNFgc+6S}5RN+uF$5i3+*)ukA?)JTs>vT%(g_&TgaDbWNcFvu? zHaA`FsLjb?$`j6?U9kJed(|5Ki`AXB(;w_@ndki`&gr{QrC#H6p`Fur6mwcnKd|@6 zTh$!>z-rE0-e=;Rz6q7;308C7@V>C~$ZOSSF^9ei73p29;=Ja)CeG=rP@x{<bD@>f zD~dU<c+ZJDbXL_zKd_4PlJ}OKEVI4W>^$;9)kS~dGogjk8TPu&@~(+H^jT=`^ap#6 zJX1B%Z+s?{t~arg(|G!UJx89Z>gWeP6Ph}G!JZ>eR8{l^D>;p(H|#kw!@Far%M5Ru zxI-U>dZ#DsIr2zVM1Nuh=Mit2xI-U=TBj@QIr2c2MW6ARP`uv5r$VuM2cHT>>j_qH z9`ODXd+5DT?eq&pocp}r#44Ru-4OHWl<F6~iRGMoyd!qHO!W@fdE~C@vY12fgi5DR zDB|4Z?Xr_)iuajVr*}gBdV=Mg>eCx`AGxi1NA06E=Y7vFeo5z5D%2OI3+<kKVXn(O z&j&MI-l@FvIdo2?K)ukK^S0*|zos&w&66k0b(!mV#E+>=s6_3fRj1|Tg1IeoJh%8Y zl?tt%95B~qj^}}yF0WMX`7o6VEuUOq%z4>!ieFQSP_Ej+G@)!YLo3dUo-1a$yijpa zpO_{zfAWVpF3(jg)Hhmkp7mTX^T<rk6u(1fR1DM$EjdqnPMPWQR7FGG(310{r;A_H z85IR}!!)5JHNiBY{>cS%Tpp`PsBg63JnE_9$5bfPKG|T7%R?0obwdlzgPsjDk4*Qh znCUXzvtZ_tX`U>8Oa(&qlRwOMxv#Rtrzu~kdh!V)PMyg+j5zmtKJjJB7Yb7gOce@I zD>U!aoV;PS%UzWvK23Q-fohDYLdBCO%sw*N^N8=ElPV9?KALfEcmHE|=)2(F{u`e; z?zz9HJn~MtM1JCP!3w#FzdNk^H+<%}<9^5P&^N)Y{R?W3yirb(4}31TvA>~~Wv=@k zyQFi<G4hJf1=sc`)E;@I93n6HT(CfH;;#<#euvs4FO~1u9Qq=-v|pjtWw!f<$|JMf zd+eOP2xiF%{^GdczNGTVb7dR(i=c~^a_pQw3ued_J`<eTZ}6GpjC+jTp-+OTa)CcN zPPzNoIeikG*st)J<Ai%pWy=irmdYcKm1X2F{@^&~u4Cu)QLwY$q2|a#Wghv!9~_6= zdF-4%2qwsVd@2|xck!uUjNHbjf{pzQHAn6%|B<`+okO?(LG_V)%A0JMPAjjoae6OU z*?*wAWvY8fCCgOzJGM!ul;6k&e&^8aUr_Bb#oeLu$Q|V;avz@v7WNl>;@IK7$M#UJ z;QsCpu`chGzUefT3s#A3+|6;<^+_blJl7|BkIpH*(P1hV+}^z**5$2IhPdHwj+?Gm zB3<4nC5Stw3$E{;v6<t#>xoF0*GduM8+UPBbv+WvGRJj^-lMZh0pf+bILx~ZVqIP; zd5B+36U-6Ym?pTmTOhV&mTQY1Q;A@v*vFk5=Uq$mnu-NycVCEMndLes(&d@b86Bo# z!Rg%_Vq9jrhUhgF2~O^w@P})ycQ-4yu;zvf2aW|Oh+19{7SwF=k&v1ZF|A<{N0X9* zxM7-Ll9*tcV1IW(jLTys3Gt0PIF7oi=rI)vws#xwc<fBBt7nw><+)Uriz(p+yJmS$ z%Bz5YfQuJq%oMxTwLv)5+0|A1klz$m4K68ZEiEHy=SH&yFAU_(o$U7S*R5!b*z#jX z>c_&(!msZh&wY0?LMB%DzS{ooqhj||%C=2A=Uedp!Npe90GA`~Vd?VbPV(Cw<;@-C z%?%=d)ZF>=?be&eY%9#&ZcR7;e&jf>p5GhZd9`=$EV^L&Gk4MRGDE*R8yH<(YVGn` z?DAq+R{z+++UNT2aoO|dkC&ON?d-ojuU~r3j8#)=?<~1t`f=~cc}KI3`V^*qZ4nh^ z{qdzx`Ofj&3&(vwY`ju?=YUPyuKwH8YwzrN^Y~h-yt$rTUi7y@?mNeM3*@)&uf6l< zTjA^5$9+%R<<<P&@%HWGX{qw&I=^?s-aPJ`FTZ_H?VS(b3O8@+kDgk4XT_VxW!w92 zi^!YruD$c>TjAtSGw#^smGmEd`&cYh-dyANj<atct8KsW-Y(C-=FX#Ug~gltrSI6~ z<=f@u`PSt>y1nGPTJ@|C_gwrZ-<|#9p2~UO4|i0)tCi;^Jy+XdzH@KqTi>jFr|QW! zXP>yY<eYC(K2x=@RU%VY$FUb}FU>0Vo}9B`!6GGh7W1YZf+uJ1xaV@tH!A<ou8HdA zk#{@pyZtg-^i*w?dFN-rqx~xPSkCzd<$rpnw!++UZ|CgUGwv<<qP9djagXQh*&BAA zoYlJ`FXgM+VspX0owII#I3rd*`NB=D^u=>>i_A{WZa5cKG1+7@?>V)K+0S$5ZZEvI zty20)?vBlZ_nv%Kn<roSY2uEXLFv`Slh55ecV?RT?3uF*&aqib&%GI!KD&7G*_-Rm zEHjUO=4+DQ^h_;1Z&IbOvH8J0C!hN2<nP|=IdgW%y_QdElg%gY@qFTY;ttCvwd6dd zXKIOgpDKip&o;T&@=>kZJaCWaBVU31q6*=|vqkQ;d{ApP7u>@+V|LBX&grvDc5+Ui zowL*PfjfhFQM&Sa>BhaB58U<an|=zK$Y1<(;+gNiyhq<B9_&4GZ^?VLsJuhvllRU} z*~vL=cFfMsd%mCYn#zT>%~uu)YnkudeR9g|8+VuFnO&Uiv6J(z@1i>_r+lB~DV0xF zH}BltIeGShyHDP#<r)8++xgz7Dt%MB+8*PJ#*^>Pd~r_Yyw8U-D&=bBX-Vm7JB)YE z?R@K#mF{Fc`R2?M=a!uFNlIt37Pd03oO^Q4%pK=k&iO>8AF`T!b>@n5D(8G2oKY!N z%TH^vntXZYj+vb=eZ110tR|ZoCmK&SHI|&)`NHSQ8I=;X1;(Dnlh4nrIJcx&ZI1EH zIi1gZE}VIC#>Xf<DNSw0_S~JiAIuKi{+Cu{DSUe7l$oASeKgXIEQL?bbUD{@#z!ID zC`~OXO(;#RfBVgQVb#)aa|`mk_r5)wyJGv?d&i!d?Y{jg?RK&B-Q1)+?&8~zbNjZN z-ZM*8>od-r!}-`pEPav1<Rdc`&OIqmYctlI!}-vM4Ro28f$>ixVSVG5M#6f=H;pDA zn8|SNNxoX0@ypqr(`J4+o06{<nbwr57M`}qeDa=|56&Jr<+JKc%PF6CVY`hcYZ`By z?RnQ{$(fcswZJr{RJCH`iL*~mo_XZ#k&`|T(mt69Z@<bEetVPD^sBqpOiSH*?dp>? z&wfq1KmEtPCEr!6;|~26vemy?+xgD>(;k-d-bL|6&sEF94u2B5GyTrKBi~fFuI5~4 zwnOS+R_kiXb!t1M9%qHcIsKNJdp#k(yLRol>`meu_nvs`TA-iwT&Y~|Q02rOSD(c! z`J%LJePX5V>FnzDANMYMs#L9asao)U_n&>w$^<W6jSHV0(_6gt+*O<K-!;CUx1POj z5&ybo?V0TM^)vTP`!VZLcK7;=`@(+DdYip{{ljx?=CdbXkBHZ<<t^NH?&hU4Y*x`P zbC&7PtnGZ`{c4ZOH`NXL3qMb~KK)E(=WFjLdzO4vU85ga+xg1-(H@p_-a+x7o~f>o zj;uNP()-SyBVSaP>MPcI&Yr%Z^5m@PJ^Ng~sAk0p{Svw`eM#lX=iWB)mwpPJ>)n%k zBv-j@duHXyXSucd7i&0Y#iyL~K3zQPo%gvtDxX!;;+lR=IvxK;mRY<|vfW#!`sSV` zXS}uIoqkR_Io)HQ$|u#7IHsSI4D|&+PcqQ2oa6S`TgLzPT(>EgAIw?y%;e%_H~(bY zwO>svm+zcsmM+z!pIO8C*jp@q(T_<-rYr1w@<FvtU$chup*LH+(hng6{hyzN^z~nU z64KMZ`DxOD=?wdxyjQK$e_7o*ZTg43DeqMy<C>nThPx%pto<li9y%}asbt0Z7oT|a z&;Queb2s%$B-?w#H#&>UCHI~FQQP+1*lzyBXOasF=PmrzV{l%e=GX(HZ#`|6x1KJV zX}ox==3i-D!Bd7({Rdb4;5m_cK(G0nQJm&{N4HOslb1i8*Y^CQ#LVLxY}+0iX7n3! zJAaobKE9&1^PcyoxTfzy+WIR$32Eu?tUftq`i;Fy-l+z~Ienj`q2Ksv($488_C7i3 z{V49y6V(F!%IePBUUlJ*c2BxD^-Uz_d#^7i`plxOXT8mwygYDTSY_1A%R2s-t>2#V zD)T>Gbn9Mb%W~0qX%)8~W_BEw(D@SG`7SdeY*M;vMcAa>ldQEjZWg*T_0GB@WvW}X z7sj4^<CPK~l&-o_yD^q??$kZ&QqFnBge#@1uGLPAJ^9KjBwQ$6wIFQLu1V(Fj<F|S zdfi!bq(pV;{vWb!(svBzJmGcDS6#Ap-TKMenVW^ov?Di9x;V9i@9`<GD{E9rR2OJ_ zZk}|0YQ?%G#j10(Z^m>!^SZF+$r&#rcjws7nNt(iJt<P1rmeYo(y6Hd>r#qTCuw)a zaL%0SurB3{*O4_UXT0RXAMKcQY^uS!ltR@mZO<6aM_zp4NjoMTnkuj^r9ibwn{o3b zeeI8%Ch2KE+%!p7n=^*<f!DvVN82auo0<{HdEe_>*rZg|h_Iqm)hg|i(VX|Z)~s1_ z%4@}%CwZ#DVM*I3?aKV)%UmGUc=?7Auio;VbH9~I-O2Rx>z01|wL~g7Oes~WPkUjs z=ai`qktbtjWWHJJlBen)CbV5hUAu91+my^JzK8Qv^R)}DPrmo8@xN3($yWWLZRfnn zZ|1ptSE*$CE#)n6{i^G+rWGens82X;7yIqwqs1Lt`;{Nq)dqiSwBejL`N_N|=RDuc zVfn7IU45gi=UdMVf1_%lo0G3tdcN^Y@OOHyvR-{=vC#F&CoDZ*dq((gsuH?7`G_Uw zoXJb(Jvr+c;9pcFWUg*#>-o~t!~fDVl^nlK&r}wx3)*(hn%pvv<%>$D-=|8U^OH;F zwR~2Yt$xvlbJpZJmY&Z%&&*-@tTJ7FqmAdx$szMvKB-JrpJ?Ox#Ph@)mQO0leoD_& z68%0^2pyknGOy*MO1FBTjprjz0so>3p~I6!=CyoKX;v4s;hZtK#<Fwz<PuBH>63FT zJs)^7_<t%F+CTZrT$j_HKm0b8Pts98STspn{i3z!J<kt*Nl#Tm{hXevl&jAy652ia z%3POIo-h1_o~i`+8I=p|oNQz1dB=0k9F}(~`RawzC*OP2xL>lKWUKs8wsYRZH+?SU zDwS?c=_)&wcNz;>D<70S`PL)HJ;++<*2FV?E@djEZbH^VHzr<?Jo(zgZ_}jd3L0Ds zw362Ee|G5AhBki-TeC@)%7wC>b0%)-YbjM(tsE%pIcMSlNzYdv_j*`LRhBCk8Vg;X zIHj+pL?zelP?}1%n~{~!#fd8<Jzsb@xKBz`nXmj&#`C#{h5IH;p|cYgNS>TIF{SUw z84m;ZB1@su6Q@XeKK0OWH?kBuInkxB<&1}dyHT1-lABPPO22ZUjOSwy3HMDFLPsa6 z^syAGv@09Rcs}&ta5u6LIykXG^5pc16_TFQCl*MaoHmiAkEKARUiqW6=Y5YYJuUev z)ygN0gmjd58VT*4_@tL5UnR^fC{-oIt;l?mrt(H<&$}K=dRp>S0^OKWRf?4-N}rrO z@ksBHlO7M;KA8z^@BdSG<h$}-`J0~w@Abd<dE%XWiT$MK$`y8#eowTP-}qVZPXC>{ zBj1#_$}jwT;*EQXeb95|jq;6uIp+57sY^NM9%HZcTzRd0;@=am+(Ybzo+}sFP5L#_ zT;B2TiI?toYL0wSUdlh?KzstT{EZi^#ul8u-Q3(fj)4uQl$Xe7eik&7kNiCGVt+@S z%UO3Pd!}C#P2_+6>3HsLWq;`B#IyYwbu4Gy&()}WR!*~P`Z@7*e?;AqGwxdUPCqA} z?Dwcs`J|j;$Mkcep}gSdi3akOe>xt!OW7a#G4W`>MxDw><qmnvKOGO<x$K>OOgz}n zQO9z|eP7Ly)9$-!T28xft5Nx&+#vt+cgKDAUv`V0D%Z&0{OvKVKjG(zsr@f%Ro*LC z$Zz~KQA>W|r-{4!eSUV_b$?~2^i;V-zVnlyhWyOm9e3R4)u_Bv_OoO9K5={hj@&2Z z%KM~W-aRp|_d}k`dABn2MY|{3NFUrh@lNlZn;mm|ALKnLQ{E=M@-D|)w={F5-4m^( zJMVJ5aeI;Tq*S@s?9nbkOX<wJ9IxHN%opvNXd&IWdE%AcJvTXCx%rttN>g4Yt$CN@ zrQ5BXma}fxa#Bi^7fA<ho_L|RAy4J3o1OWiofFUX7UZQAE6<X4+&s}(`sAICnY{^l zPl}YMNo#JNc&axbFQrI%l62=Cj+wm<c`0Yyj^wDEag#HDv}5A2UW2@pLgg-L&pRBC z-1y9sc1%3fE0C8`pxh+QxOt+!^v6vT^`sweny4$yd57bH+ds2M+b8bp&A7>N-|d^( zq*Ubyv!YbxD(REAIqtcw$ysvBZAH$LJmp}sr0o-T^?Kaoxa+nkhvk&pGc%>_6V;_V zZ+A@YJ&^k(S2=I{-+Rxhqu=LN=RMx*dp>vf_LujjJvX!2{`6kib2IDh5AT&#&wiV` zb^FD8X4SLb<d)`j@8$hwX0?6qJ+tR#*Ka?$bFE7Hb?)lzEANRtH?!Ej^xn5;W>;?e z<rf#vewn*;`^0<Ko|&0#U%NB+i`m87SMG>aNx#U=%=^9P?B{LgZd>Hv-sAh(%w+rA zow}dRjJGG=E32ISG&ePG^`72O+fLqA$?x9NdnR|n_THVoXL1wss`s4zxb4_&iTvn2 zz8|+8xh;@yz31$QZHI1i<V)}I{a|LWy>{o>)48#EkBe?kyUmo(yyxwEv;DV!<y|h4 zzMosYJ#{DV>D=(V%e!^oo9(&1=1$mCGp+4+@0x*IzIl_2r0?bi<~0}HzLQ(D-FBz$ zJ2Um|2k(9>n|(WH|K^|Pu36unce5h>vhnSAIlDGLoymJXXXoa-GtZvO*|GWVxistO zw>eujpFO8$9X<DEQhM{;-g7zYH=mt(w$#jW^VW06tZu)`S+)7-Ox|-jD>v_*$y>VZ z^36ME(m;*DC7ZX->^+;4ohCikx5UhJbL%-atLRxb?b1KbSzBy&?xtD#<vF}(bJEjJ z&pCT0XU68(b7_{*GvQsdn@Z`*#?nu6lGB8Zqi5WdOD~?Yw$SX@O|kUgIl6^rM{e?^ z8_!u=V0P#xTe|QZ-ZMGzX`hXvr{COhW?SmE12-Acf6qRfzir>mEoY9I-@ca<nReLd z_SBnS(oWCj%{SBD96Gc2R8IM3-<fCgw(YvP<cynn^pu+m&X}3czLVph);;^|$((}C zd(S@mcI$oizV%=CZF_Ed@A{WL+kVe_mt7fm_;b{|?DDwHpQYYqZ(o0NU)XP{x7nN5 zpWGMreCv(t3Gs_R-+G;099LZHdoFwJ`i=Xx{hIYEyD+Z#bJVNs{5a>@w_i-n*U#J+ z_Dkwzc5Ynq=UcO`yTuFF`ku{RxV~}Uv}aq-U$=>WUDFF{hMUAYf4(*Ix>3CGXQ^k| z)7MAt3wyTp)OC&c*)_hOww}0tVh`KTTQja7*(3IB>+$O{@!2)KAGaR8E)wru!~1dT z;p;r{+BLl&wjR9B63_hk*7WOp_FQ{vs<%G3Qul-Df$RU`K3A`OZ>qcg<KAuGqo!To zu&3;)>E7#~;tp4zJ(V3AxA@boyV=2Uo2%EpGu2#waj)1@Q;qd!_o{urHTn9ZxZ+Pz zce3->?~Hx&U1^{A%e^P&b$^I+IqzDgzo>emjrhUhiFdm1?ChA^{UGkiH>GXjEBA7| zbxqS(s-9>i-np0Kjq8h;CtsC{^&V9TT8d}x<#_EHroX6aqJ?;4@x&|Ldv<cXa`n@H z^h{})xaMAtm#(*BTF$y&i%I#Sv`9R#c;bcbhB%e8u6Fv5Dkq-nE{IF{tTap9v3R1f z_{lvTGrJSwo_tc8CazgL@l<y}T*@b<N#dP*IA(S`#HE~ZJrbjG##K)LQN_e#-3D<f zAC<bqJ@;@ta^=%cs+f4FTOcmwgHn??WAQ|N@sC9l^~4_*P1F_V+{5v}^`G9O@`?Mp zGj?*^cm1X}>8VnLUeQyfD)E!MIqtcxiCJ>Wbw$jRcS^x}N#zrFb$jgOxa+zohUJv& zGd-p9iR$8=yE`U#ABcVORw-}w-*wM+N4?Lg4tpHydp>LT>X+-LrJLHUe!8wK-PC&Z z!*yl5XT8nZy87Zev)!}aWR-?>$MTk$TCLu@&Me*Z`qd|EuI-X~owa)P%5`GtrWUK0 zuKSi|dgZELc=6_0FSC}ep1AH>nyK09wUN0crWdbXStGVf>P1#&*zcILpl+T;`0W_q zVpEgVb0c+&O^sJ4t}EL)>uFYM*y@<xqOB*ds)TpP^q$F@u(~(W_e@q|Sar<V!mY=y zN`yzp_!e$Ga#bMQI_7M_)<ai0!lh$;3rr1G*G8T_ofRAQc+;(ESDC_@W8UVQ?!Wpg z?D8h5`&reiQzLm#XN8Adj@HdL-E(!#ny^$;t<`tenx&fVzWOR`@+PUfS%G2An{M67 zDq3wDshel2zWU(WZ`)?wcBxZ;WIgd-=bM=v?_Iw5q?9Y|5<Y1xXd`@b?uogbH)e9Y zbIDUrvYvRW^MYSWnbIcVmBtfqbRO_)Ip-3mZj`RHPI#j6#A}@!{8CDlRta|+3t9+! z&OI@^bAjKJ5~Vz~B&&&+Iw$xoIqP!6C*`b*o4S&fps6tDT#gqmmwcWSE6o#rIfvu9 zi<$Z&OF<K1OXG=WI%mw}c;=#~o@6=ENO<KOj;Aha>PnUqPjpV0$??SHn2*aDmqR{J z3YGeVGv{zTb`euwWHIqbr-I*;0;M)#%{d$oUD(u>ECdaNe;Ntu3%@iH)DymGH1R+u zgWr>Ur8?o4vpc4Be(+7nSBg|?N>vJ1TVy_QPv-;QBd1(e`LvvJd8cNSs#GSta(2g* z&I`UOr(72Jq~s|T30E3T+|g+<ljDxdJ+(*QCfslTVYlSFVzu0%-vYM$H)}iIIe)TY zIqzH~U-VqDOs?togxl>m?3R2}+`>Pzw&RWSD;t$>iW~SBex7i>{Y+)YYv(66OTH?u z;g78Cc;)=ahUJ`dko>1-iYxdnYddDQ&#+taMR5s#=4Syj{>aZ0F1B~rxtw)&l4trg z!G!;3O~-R*EBQk|C!B51uwyyve9lJYvtpWD)6WT~+av6joN?BYcltTuWV?r*$|uDX zIi{Zz4EY5=PcY!Gtm$~{EG2*F$AqKp8g?ol6+8GXYdRh}bICjXm~gP2!;a;Q^FEs+ zr=54%w48R{W~1^!v4Q_*b;o_@Uvi6{D%SAdtoE4Jo=|yWYWoXYmG_Dj{2M<_(BfbC zX~OPypURHA&adQ@o+_5`cYYGk;GbFDamRU{jmkSkKRKrF6SlYS(0x*_xR3i~?1_1; zAM{+#JC%tq+C9OB`{3pYcUtd6cFb*kp!cLqaU1u_SdO<&Y2r$|Cs=WJ#&W!IdZF{A zRIym>(Jlc??#x(@*G^&Li*`-0;BMSJ;Y#bCNRC%de&UbP6qj*p#&W!Lx~0={*6Er~ zN{Qkk?!e6xF0?l2shoAP6MwXG!nxK0y_90bS=^4BCm3^|jOm!!nxOZjNO2ms=H>~f zS_AY_iWDbtcgAqcY<18}IpcIhN9Bx@ocN<16OOeS=%o}Yc5!>ga6EG26HnSP;ZUo9 zUP^&t6F1}L3Hsb0H%-vvez<9ZE;nZk#{;K-Vvn{@*w>m7$#LK5o7kjO#R##YRK+Up zlhGXaoYv?pIpwrM=SiMouvpUe3A<W7B026lEz)5*<@8KUY5N3q?#}3r$*l);pX4g$ zvH!H~c<)%nzv;Qc9`=jH6Ye&@kW)GD_(4YHyFxi%(sP9!>^p5c-a2OSJ5^7(*?dB7 z$vMX)ex_;xEA~p;6LXq($hn+zjN(63HQ{RW3OSW?jt^v1zAEJNHC0Wx+`PlG<E5h) zzf;u&Gxo&d38w6lwjD1VugIu;QCPt4Sv=u<bA{ZJ&kA$cZ`yP`bG#t)<cy;cf6_CB z8SFc4I-WY7l3DUeVG8?9n~o=rO8idG6eh4s77G}%a~4lH-fSVa<fB3ld!$XrBS#_r zriuxNn<eCyd{Ag%m$d14;CMjh$!W(uGD}W7?vP12?a0K>R54+H^AFi2?-io>4wX;X z+ni#_F|9eqvg4lPC%&d~0d4k`MFLvvJFQPlX}%%5<efqgpHuk+4fe*O2|Jrl$UZsg z_=xY(6NLiyO6!i>4t4C0tS8)Sd?U&6-r);RO1Z)==99((Hq0kwPt0w+A<6O1A&))D zdcv*73w$YM3Y(Z$8c(><c!00voI@PDQM$r9=847=t~GApODR=Y#oTEuV8QGudt!Fu z0=_3D3VCcvRue8YPT*T|*5L+E%2@|Db|otTQ)W(Cju#G>c%Bq1%wv8j!|~j~jD3-% zfC;mu@q{ytGbA~lIq0z`SxzuwUMa)z)Ip71$#TMp#tD)fPaKZ%xSVk~#Pg(3p^rIJ zhU2k=82ciN2}c?g_?{Ffv@vVSa6EKiV^^{eFkt>^B%sgy(nvs$`KHl?1C0!PPx2M& zm|seFOl$nWo06{($<~yr5YD#9e8Qf_2fRm4IjrJoIpy$<%_vo&jCrMW$CSnkyeg+0 z7VxCxDHJhR8co>IXd%gQ$Kf8^qcVp5><_9L_Ofqy&QQVcaGvpvT*EuYCzcF0>=UXP z%J~kQV|*ZY;4R|~Ifu857vv7SVLTz{FqgT*mf;5Tf?|gC>;}&nV)z}-F>aA#c+I#* zuHhBq5laRO_6b!C`Fspt8T|MUoMoIL=kStof}F!_=7eH~Y`%mq3@-c*XBk`M7+x?g zv1~Zc{K2N-9P<O4hO^8UY#2<~3!X6;@gF$Dm>_rHDPx43!%XHCHVmhjXV@^DWbUwG zFk)w@WJu<7IK$Xt*>Ie>z^36CbAnC7QRV=fh9k@lHVucF4Qv_?F)P?K9Ap--VK89- z@RVVXjKg&12Sp6gd<q{J82BH&XZXXn;61|@8HW3eZ)6>&F&`*m2<LNn&+v(l;XT6| z8HcIN3yK)Z*$tjDyy08$j$w%m!(B!fO9l;ggK~x<_76`O9`PMG$#_8az-`7Ie;<FB z-}nE;-{bS@Km2n(Z(sI*;qQK%{|7$z->JXzvwd#;gMW{|$#46=;xGGK`?UXxzx%EJ zcl>34WB=mM<FE3?{~rG0xBQ>+m;JSU*#CvU`Yrx9eD1$ezvn0WD|^5H51+{|`>*kr z{iXe_Kh0<Dul-5>BERT=z~}x8^$q`&&)VDlfB3WiTz$d6<j?Z6{yTi`H~xR(Py5XJ zgny4e$xr*Q@wxw0eZaruPx6!gcl=?WS?};K`HcOMKgwt9<^DhX(SNMo;9v4b`L6#S zf7l<{^Zif!(SN93;9v3w`KJF2pZoRyfB4j|_y57Ce%=2Zf7l<`|NHmwd;h-rjGyfH z?Z5q-_*6dPU*S{vs{bc`v){8{^JnoX`xSp4zmpICm-xMZSG~ti_Ph3r{xF}ifA&xD zd%ybsj^FK*>ks^W{8m2i_m8{n@9nC-Z%mip^ZUZ){=2m=-YK8A`|w7&T)zBUV!Hf} z-#hNMzqQNy?zp@EX6=c0i_h65eP`UwZ}q$4?(sRbJKi~;vy1wEa997;+7<7V&)GeA zqg*PV|E+OX|K-{pH``y@d3|@>)o=DYVROIfZ;89@FYK<oQ7(~R@Y`c^|M}XAcZ-YV z=ls5Lr~R4Tg*T7S*cp9KOp~ASd&iyjr*@~_EH09t@_WXe_9u2q-yPHBC;XP!%y0Oc zV{`xUT8np!3*~!$N8D+DWGD2!aYz5*T8Vdy3*=jVOWbLHV0Yln<I{F~-Yh<Cx8qIn zX*;Ixj63@G*Zz3BIA1>M+rjPqduvl}vQMjxx!Hct?$fu%?flxmS8U?f`n}`!@hP=8 z-Y(9Q5Blb~y<g*Z!>0b7wI|*_K56&p+rt$3g5MRl+i%;}y?<EUf3Nz@PWJb<U+yG- zm)rIIL@~e3_Y-@M&#k_(ll`4--uuMr{#(@-?j?Vd+w^@!asQ3#1NWNG*~Yy$d@i@{ z`-I~DYt<X>C4ZG$^}VB*-{QN+-s7{Y7u<XNMK14MVpad8>IwH2pS8VlC;6<c+k3?- ze$($9d)Z&uUb^%6v)sJzFZQrMw>5jeu#(^8yG3#Tnd%uk*`L|!y-%#{H~PL}5BpPF zwfBmZ{U@p?>|}ppd+d($8QVj59)FbU`<}6f{jsgs`-K(#N2(R>J^moq_FZES`$Jnc zP`kq5`;Q`i{qHY|`1QWuDC$2@&2aDWd%3#rFLt+2tNw5|`Mq4^yT+$-;qMlf_wT8G zaQE;j+f{d(PuaeEXZTdE?E8w{?Nh2R+*LkhyWmdpJGr9o6-E6!sx5Z1-?6>-?x9)# z{mLKb7MIIaKRam6Z~OVi-1c`ipUyC!w<&sFm@ZfLtkJsvcIAz8i_7G;e4a74{f*75 zGs<Oh8$K^E?!R7nW@h_qn<r-$m&&dA95J{3mCd6w%;#)^o_|b}Tk+XqZu{)Y8Rr(4 z$SwJtVa#v#Il{RAVr9oU=d(6W&l#=yO+Npa)BfDX>iI#-{<D=C=a|phoI9gjESL7I z(X#(^WyHC~XKb{dJ6iUitn@giTqKwBjM1{+@Uwt%zrp8<Iqi>aq@EwN=s#MiaZb5V zuH&=Coc4z{T+baX`VUreoMS#?v+vB|(>A-#G@rKFc1F2CuHo~K+3ojjemz^5Dp&LQ z#%%X#l?gMCPpy1$Rykj;;`0Wheyz_7jQV$1`pj&<YxC-vVyaxp=ME!&jn6Y?x8Jdu zcSbo+&hHtcdH?px9eW>tm)-aNMeXr<<sbGrpSLc%zwmdT&HDqN`|gzAscfHH{$StZ zZ?fCouc&2zYn^sq@pqrq`;J=nH`XuqJpL+MeDC2eKFjwRwd}90!|pHq)o1a(;d9@W z@;#O8udMy<KYS*;?7c=U`%CLvdz#N$U)z)XMRw8qfX{sw${Y46pS8BT|L|wux$=U2 z$)9Csy?6NBXZ-#|P5aF9gnf@c$xeH(@wx9*dBDEpPqLHVchs=YEO*$Ke8&379_2IE za`zwp=sQ+!urK+eY}b2_8umxleD@Q7^c^Y}*q8i4w&^{?=RW=SA3pWzy?^kjPxn1X z4f_M@fA=1K@7q_NQOSPa`rEyUPh}(S6+V@%dVivt{hsxjJ&R9Suh{eWoow*E#P5B( z$~`LC?^-X~!+gs6**(SYed_N!s@o@*AK3f&t!&=wAF=K4t*WkXOqbpB`oiYEyQMGI zDWA9cutvFDw)|RRy6leEJ7U}4T4h~#+}(Gx^u)Tw=d6;hGw$ZIdR-BFd`{_(b<XFk zqOKp@)pxaY#X9A4Ru9%Fm&)c}Yuwd$xpYTl`%5dY>yEqn%w8vK?lXNY5!?R4>dG4B z64?c>JvR59FRfU&xL9`1>l-oc&#W%2d3?so=z3zB?2OktV%nctom#WFNOsEW88Pio ztdy=hrpZotEwP!;@HNNgzT>49>lPQv_PmaWX@6uTbiHv$-{De;b&CsRTV6}Vv_G&q zu;%e;t37KLpSIeuCi%1#({;ujefvv)tX-Th8+GmA_P)KPDUs~cN@F71?^%7i*0`Nd z`}K-Vd|Iz}L?540dSmV4JlUXYj@$b*UN>y&+gW;I?c<YHkFGsTku7*#5#4^<vhMuD z>b`r$Z!Fp0TYj07{9R_(^Ap8<HqTGk9-mu$!;<}-W#0M3>b_gW7v?2@liBoqMRDJa z;sf)V&soNuH+(L$?)ik`zH7xB<|TiXS@pc5n9t(5hwbs%#S7*={vwliF0rcbQt^a& zi_co#n3H_g((Sxr6`$#I4qNsYmY3!{{wy=^`3oEN=ay#Y7gq9_Jhv$BJ5xNvlKq*b z-ucAJKBMO=Y}lV#s-0J?>^o6B!IJ%n<*_-=XDkoRdHhkP?|Fs|`(sP7^9w8bjub1* zd;CGB?YV{x`$J2%^NJOG2G4&K@##N*QN*YB{6<mVfntVvkKfDGJ%3@{KCSq}+~oH% zk>?tp%7mX=Sl+j%_`%%6rz}^^X+CB7?wsLMnX>0AtlOs)Uzn?W%5uS+<aaVf&nt@h zb`)D!vfr`1ckZEC-~GZLeT&Ows*fGC=Cgf#L$>{$#it(T^A<(N3)5xFjx}2M-7dV* zx42AZ%i|fc?Qbkz^(dFgY<RrDxbJ%58Oiq77EgK>m&&Yp93k8O%HmNE^Er#4;~&#x zRy?+lZJ%8@qi=DE%#z0$#(ZXvBaHhl7IySGpS5s0&S=$V^7w~L`*RDc;|DGK&K73$ zF`u<K*P~o4lXk4pvhQ?ZMBm~w7Fx$0E&EOudh{t5$)p@(wCpo{EMVMc@VG*z{jr7A z@q-q9M+-IjlnZ4#9$Uz?KeXUF?r70>u#lsV`HaQBp2MdtcJ(x$w%FFATp-i%_=j}+ zeT!em7N*M7Jia0AKCLi8^7z!k7rn~)G8K<E81-pAUSQO>yU<6n{jSBUV~VLVC67Cd z_%t5RkZ!+YF|S8CPsZ;Uqj}%<!X34bzf14C|KjhldHEmeoX?w=?O*u2*XI6#&%Jl@ z@BD0=oByEh@i*yh_gDO7duyJyU-5UZ)%}jYY;Vk8)I9zwUA*t%FJ8<08GqScn}_XR z_^a3Ae#7V9EBSkVvb{3*+yC&H^s@UJf7xD|->PXoYksXJ`HS?T`vISOFXT7WDW5gB z+yC%q@45Vfy5!H&v+g^5?lr!D;!oSm{Div4pQNYV*ZACfDnFnu`IGdd`yGGSX68H8 zC7&@rQlosvTyFovAHB!&4eF9VN_XA&_`~+doNs^PkKRN10(HqBq?_(DeD2l1|KU@w z-u(xkdUfw}{9$`w{%_yI@4fr-Gk&t&H~+S8;#28}eT7e@tL~rp&34axP0iv{<|}F* zzmpE$m-xMRSH8zjw!7wwYM4)%KijAHy;uEy$M3eu`3Gtrzm?9r{o`)id$X$T8`Gut z+`h26_ipZsJmvFdA99q-rOUS^rc3X*z2k1%TeGa~j=Otr=AOt~e9kOsJL7I%tJ@WK zkIl*5k>`BQENc6~UA<RxSL7+5GkcJuTq>Qvt#McH<=h=N+g_S^ZFk(&Yj!(fbFb-b ziMwqt%&z1pmq;(T?XkJ{d~QYF;$rDJw{P5OduDba=kXacqwR@l(lc)FxYPF3>{QO; zBIzl&XWVIfVy3j+F->~HZHdjihPOF3_a4u+$Xi?}-E%wQPTM0hq3w-3dJpGH<Sj0c zZn-USr|p5+ft<&u&GzIhK5e!mC;7A)({{!kz58>2<Sx#aj@ou`d+*-dl$&hRa$|0` z-81{Nt#LcA_U#p$c(rctxP5F&?v32VdD21K9Jlvs+-}&^yEFGh?&FhYkG4HbkuJDh zal7rdY2EsV)xGz!-|S?2Z~7%B`McDv>nDnNZLXi#du(p@jh$@oO!L+!R`=e@z7UuE zO={Ei6~(<bvJb>HpEHeHZ}?nl-Sr8@z1Olg#3g@~T6MjnnAhUE$KGSJvlqlY{vwsP zF0rcjQuc(n#b-@##3Y|Jbz84k#cO(<V=vna(@QarKTFNK{$dZ?b5pbR3oCg|u3HrM zp2?oElkJ(Q-ulGKUZd+P_OLxQRa>uE*?S^;!cMj)rpIEO&zK&HdHhkT?|Q}_w#TMo z>laq^9?4dSd;CGF?YhPuwuh!{>lG__4X*zv;?=+YqKH@T`i-LA1KA95kKaqxU4OB= zZCdt+*yQ(8k?R_tN`<dmSl+uQ`$6pCQ>Lq8nopU&TW9!Gs_goT-EC8{FT^UJGF=dp z{7$OqdPPz1j%<saY<EoWt$S$Jdq4As-{Nwq>SYJ5d2KJ>nA`Tw<dYBcd6S~$h3Qgd z%NnhFZ)e`{TU;i!<?@WVZEs9o`6!o3ZMeL^xc7SInVD^`O`iBHE|pqyIbv?xE0ae) z%;!vkmVZo>T5;K8Zrkk48GegPq?TOHFy=M89AVshF|)(Z`K*c4az?9OlgmHmv^_Vm zT7J;7_iSc{AM;t0b3V$&QfbQ?EqhOAM))m0W1_X((X#hsriY($kyOeuM$2Bq%L2x| z2A3=5v^_SFT7J-?_h_bupK_s8$7PE-Z4XVjmOEPX9?azMV?JZD&*$)IlU+W|r%kr` zC>KaIT>de;?Y_ycWeZcKYA)ZH?KUklVdk-^nJ;{m^Q9^-Z!qfBy1c-scXy`G%(lBG zua+sMN|jvhFyhs?JY#m-9g}%J%6U?L%NWgjw`cB{`>lG``^>$|-&*#5H?dv*cHXk; zsCkz^%$ZhwYwqPYbK0tJz0KUV{OUZn>RWFzH!Z(vsr$|3#^o1tzE$0tb2-L;a&gq_ z%r(o`&RbR$HRtk!In%0c&AuGqZ*6<_Z054%Q|Gx=Ma{l^W6ri`TP|L9@eeMJdXc$c z`BKZbpH0qRw(@^$ll$4^?Bxq{%ARdGbNS4iw#r*iGp8+|YPt54$*Id~{*!HVKbaUU zcbsST%*1ectL58|CdV&J`6t`tel$6HS;*hn=IsZQ!<V`Im2GlAm>j%(V9vLvCi=@u zL4h9a$8E#=Vaxu@fBars`<~9MS^jqJw5KLI%VRBl-*4G-`Geo)qNu5tSIj9ZzcuCZ z8^6`ozNa!vmb+T=p2{p<esb=&CtG%0o->E7{MPNvyv2X}o>@n|&!~2LEbDtdWB1~h zebdrSY!*N5D@!-AUi`4H%zD<_jIE0=_L*7FdXrJ=)-B6hW@5E?Z=YGZ$@Pm*dahYX zz0O#@cx9hhx{1Z&rG4MhOs-t?b1ycY^)h4W;)#9N(oD=2ua(R#F}Zm0N{^V8)QgNv zx8E{ni?^J+XyJZa#<$qSWbs@{-C`5t#fg1omb0E_q`Iw^=`GrF@}i1+w@mMuj0uZ- zC4J9iB)V10oGsjP?4pEww2W`zmLnGh+^uEK7Hm0mk;7eD#<#%4U~#SF+0z-ZZjX&_ zO}ohC&Mfmb-(>&AUv8I;r0!=_FHV)@J)IHmc3E0C-(=6lH9cXeCR&T{_L`-d?7sNQ zZL*Qn-HbrDW}{noGKv=4O6umBs4qU)`^{|DZR5K64}bUEOMg?z_TKo5P4aiiUFT1H z=CL_{qW0L_^c$6I?~L>2C;slam43l4`J3dX^D930+(<uQ*L==6Zoc7j$#v%^eD1lH zzQHc}tK_Ql9iMqD&U@4zo1MPE?(rANym^VgdM>3;uv>iA_=ZjLS!1{PiobYF&vVqW zy)eFH^Z2vmyz?(=*q$4k&0qMF$K<@l=bkg^Gb-7h8SBkY{Mlo4enk!2Q)9LHia&c! zq)(`1dt!Xd#`%o#A)Ch^CHu~2)UZ7^7Ms8DN6(RT1-r)|B-_qw)UZ7?W}C10gU8_f z572tC7oT|a&foadb0D3;?(uucy7Mop+oq*|uuXn189A@<sbu)Ph2MMjq(87de9Cy0 zP4g+^ck>LNN|v2pQQbBr{erFXDdPn;$?qhK&R2Zu*^zEh$#%#1-n@s~dhVzG&|6$C zSv~FGZXVmyH)7k~8GX`WK5tYsy)a#}Y+B>)p4+K6^cI&%ZaF<8w(X74D;?!B$qlC$ zZ0@<9dM2{%wb2uu#if#KPDjMHy)t^F!+g#tX!^%A$rYz9V%uh?&d^(2BDv&r#%3O~ z(-E6{E~a+qIiEFhn$EbZ$K>>nn6~FeR?`pe>^Ym7p~rmI=$wvnv1Hn`#+^N<QzP^i zpE1&!?zpq(WU7ara*<@pG{&7hhNlHK_ZXb6h-rIlBsKluj-I2b8hXlwk{zclV%i=W zaZPvJ(Q`1BLy!54(LSBSr;T>$G@mxwrlVXS*>L(tblZKSU(*(*O4gjd5$!fDH6ilY z)YKQc%K4HNr#Ecs(K@|gQ_t>HpUAemMz5wRrb?Ea?%2emae78{+a05MI?8#He$yDY z_iRtyA^Z5d#J=M%Y>&-L{vhXk-mt8HVRcV??~27i-!$}GDmpxzL=?LOQrgmTCY3k~ zc^C*tu(h>^sy#1a7j|%rUSjAea8yH}d5YmF3FjU~B`D~e#Qa$z#J763rMT|-y7zNS zLvMUx68e7N!VR-@aecA*8+0!wJic*jf`;Fvgai$>Yu7BF+}(I<o%1rzqg(zd@_0R5 z9vf4r-Rp46XlMVn`u%#}9+m2~wInyHI)2#Py3l6t)+1YPr}Xqp*}QP?zF(?8KX&by zv$l0I|D(t|Z#TWWbVs?8D{pJG#kSKor%9cvp472+QlRo2-v!-I(|#*kdg#nDoBe?0 z+(OB1*VNLS^()pIaU`C;w)3<5v|Pcb@=wFI{b#mL-<?xbueonyv|!NQa9z1wR~B6A z?aOuhus3d@fqkP-dl&EAj(3~IZS>0Zc1NE76L)0Y2EER+mi*G0+j-Q~jOy7}x0;4- zd2l%F-}W<!!vEihN{DScm6Tpq=MwshYY}&v@(l^CD^@P-Ih^c&-hAYot(<&v-#5XV zE~YQcT4a3$>NPs*Ctklk&3hF~4R0@>y!QI3N_vmicHa3^_}97nn%4@~NQvGh9jh$U zw{~01y;hPk?ZJjjU)7eYhZZi_n$!9;sigP%>K(imj}NcaUb;H9ldWH{;NtZMOBvXC zS8w0*{cFc{rBBHQ#vP%xaxuR@>CgDZnE0fs?D*~FpIXg-WI1xgdL~RvRFYl2E^=p; zB9He0lk6kAWBL#Jp5O3&Z3E|a-MJYP4mxc)C3N?!L-Uu-zY^a4Hu5p{m~~b3V3?(b zcVkyh#?q9Gz{Tr0pZ(sq!*s)qUvau-wtd}q4R;@X*ZL)d;X&w~I-gHlD$YF?WVE?Z ze_~_iy;G%=zq;7o-zwnybE;74-M-*cMNb#~oORNr{*qVe>N_$FyrLWrOFsAt{762l zwpNuRzU1Mt@8NDKz5(7(Rrz;D`)-{YD7;`|s@^IA^W+rPO^db~<e2ala6fYkXwBX= zN%OMaj6;)b{A!<uaVp2`3)~=ihwCxRTVd@ilc=ts&Xy&b_jg85s<<T(=FJ+rF5V}- zKRKrDMbMEcOBPo29Eft9w{)x8tSt|vntnfs);zcB)+s}g`X`;2l&0pM_$d^$i9_4N z>=xJWw%i3O)l6PqGN~O+3fCQ-Yj&)C5WjYTVd%#SUyD}?tA0O_HR^jh>skP3^dCN* z4~e_iTJ2a6?hz57weGs)8m|jQHMdXQ-0Qyb)TSTN<yJ3OP7U|o7PWB7HFj+w%aFKM zv)`--!`3k#VYa-v<-@VNR%y$b<X45cUP<&koKw`|-gD%CUGi&%JB>F>E5w(b*d+g@ z=*n4!2aR_Q|7!B@Q)aWT*mCp4#9I@bEoa#tOP*=9sbRhL{FM9`E0z6qj{mG!()M7} zlLc%~rMlW%9`jj7z1C1EYhjnNT-LhXs>Afwn;&fZ`>y>xBptIt*>Z)5x}DmJMO#WA zurhs}n0AAqe9BJ6;|8w<jvaPyt+d>cyVm*sgQ<rn@h;0!%e20BZpPZ8^kdiBQhlaf zU&y{Ey(v-1CSdR5u%nM6q@27Wyc2^JOp8KQMJkeH3hsz+*`#SQV^ZStPfTsA88Ro` zntxx1fxCg>Mfm4eOP@F%z3|xS;P(l!({h)*Um2mN<GV4ZwRD}L#x$9m?&tS?*Zv;4 z$*SNgf8xjYbJsqc@qv5aQcmp!o2BnX{bBiEr)1nNscLNR6gnlDGgMa8zi8#rtksO# zz1p#}i;MQS{nlOi>yOT=8-C_*rY&u8%5`2fuS);iBj&qY(qC>LxOsj3mB1xQg1h&L zote7-)8<Pl6L-EyHpr`2-W}$B=73T+*Y%t3yva|uFho90Wv;stmwMAFSw)a(kML6d zi*t1s{&2X}to}>zwu8u<Th7~i=G5r?m38lL^jzmq`(E<k?ys?>n*W{^2~R5KT>75r zNL#}(7mtNk=X0J=>S4)R#NE~S;EKX?5zS3TH7+^)|F<0anwu=Y{P0wZeUBD=@#$z= z@kd9aWLZYriK7qen=jmHf1@_7@8S``Ysy?wdyaH8SetdP)SvWbw{vPkkg%%B9J7r* zbsH@{XttHK+XR0Qyul)uxmWqrf=v%rws>#Z&D`<R^jfmjw9D3;-ZF6fz0+CpFYU-e zhbtOPO*5>1WhC2fK0AM3`83Zvf7`kkR4>?jKCx!3sa)79%J0h<^iwdt*RD$B`D=z} zHL~nh@$UYqwz|I@tbX&e?tY#A|C3MinXZoeYHwciyncJ^O?}(u@{s?QF?TCADcJCe ztb6l4MveRZn`6dzZadve=PS;exG(aM;<vt6`uzFjk1nXQK3-U_wa!v+{SBKd8iKcW ztM%z9D_AdlxT62p|3?ou>bYH<n`8WTO=+&f!G>EgH?EZ_sssqD+Zp6utGp2G`|42S zVgUtP2EUMig~{iBNw%~GXPF0Pzs?P)<a(oaZq^R&<!f@YuE(8QkT-i$`0SNy-=3<x z_5R8YKc5#@rrwx!X}9{v$tSe@%}oOpFHF28+OL)UrN6*%6W<ZFoozb`Ez&p5_GWdO zlXa%GW|2>)XN_LYskd=IlA}7O82z8-D6@x^XT#cs23w9S;9IEbZqD23z3%Z;Gp@py zn^t`C7nkYk=}|q!Qla2F!A6zm!1AvJ8v`s1xh#ql`1ZW)D_^Wx{yJ}4wE3IT*J*ny zUw0I4@9QmleT6A_cj;x(glP8Evuh_ZHf$^v&01Oeyf|P>a082txuTR;$E`)Wu2Ua% z)*NALWDu4&uAZ5t^vY$nS3uGScGp=eG%YifKeelfol*Ih!XfZ+`Rj#DQnev!iXo|j zON_p6-7@vS{DN6eB78+%Pf7bva%2Clm$UYn@k1RZ%l}=n<~eft$vn!T2|^p$yBWPN z@JR6<QN0w%G+#<iDa@MbW8zfyuMckU=&-LzoblTGQ*pP^)0n*;3jBN<*n`+>4yR0; z<+yO>A|D6WUdLdeBL<1#kMAvaI(3a>4TE!o?k9mbizEIri*A&?)hw82SavtPWwMy_ z#EdY%FTW0RKXBnS3fywlDe%kP?nMlpi`Fy?yGCc+)mU{(qbhvAhL^`74NKNj-j}v% zONJF|c*ON>o16B+OgVOCMOD~sjoea~KaV&bO<xkaedCSuo)h-VthMr5e4D2v_T#Hg zKdDF8AH+TlF!a=pZ7iEm%q~*A<*Mqvt+O^}dm4V9sHD5wdi!k;enp+ktEsnEu~|pG z)|!3wO~&zcuPiooM{z|QVp_VciucGHgXPb6yy94D=e<CVEihJ;b*Wua;QE4<&4=!p zYnW_Rz5ISk|K-!Jn~saDt9l;PFKxZ^<i6y)7H?dW)m>kAZ<)Muop!jK-*n^c>)x}x zT(^{+Meo(xp#OE3xx22Lzq~oE?`!(6q?ZSzR$u9S?QG#MTKm+^eRj$Gk2mgp^sarx zxckF|d%jlkF16OpkEgC)+Ad+e=GUK>ubLDj{y(*0f3-qxm3Qr?ZRxhQSJrKpRK2z* zV6WTi756SlXRcf<X>zh=XUpy*1?gN5|L$;EYt;7Y%dOP`vFC!$b1ri-bhd2VdQo-a z)YHCuOdU(Fd8{<QzG`yGCDTP!CO^DVtX5@<aZIz~*Ro%0%8@GHu#3gA{9=OxU%;!z z4f?E2b0$xT_g!_)v`Ha`>xvPp`O66A+AoiMpFA|uR51T0{8#Ye-^b18+mC$bslMXJ z^uzy=Ps6{p+WZwa*!s+y-?KaqdAr6dY5nf?_Z*kM_v=W~&!0M(tM9V1{c`P#e*IQ% zIdguVy7_u<Rqg$ZA0o^yjc2;OD=s}R{BcFtXI1H&ISiNigqJ<5y}T#(^mKLGq`KKM z`;KL9p7eeO^Z&)q%buM$CD5`f@8#JoajtWVSBAUVS}@c{E%%?VHrMRkHFw9-Jf~G$ zf#%XzUk2&FFFa|a@G9m<-p3ae#Yb{@R{igs?tbNEe5%t|u647ge7|fO8Q5Q|m{VZN zSXg!Y{B|v+X)mwZ+cru~+AOu4{d4iINyV=VrHyN!ZS~Z*h<z?xmvjE-<>j{FqWu<f zeEXg+dck?=@cvAX4_*aDBC&kFL28$l-_i`dXxuRO#808E)=wvGTe|tTLS^#0SkEMR zPLI~#_J?g3eUd4jmGGJK*^U*_`8n;jzbmdi{`vA>@z<iWhre7)pSo*Pn$)WJw5!Q= z-NN>@mN&YeeR<*|zkPn*qc2h`_`Y0oZ(bLqf53maMycSc<DttZ+bvyTJ#lW3{;^7n zb6*T~bW1D#l&oFxXUfZP!=>{S=ayWxTxDz+C>y7`)9EhnglScZArnL2S2C|s7Ajr% zcJl7h#6<7CtM}$j%33d@S9<SoiEWnmzIEqczTK`jbH(u~R;ezvN$36Ax14_IUfBQP z>+VZ0zRhO8dX7Eh{xsIr_xitfdcQNbk<=~yCt1DM@cHDpz*k30ZGYUH{blxNov*sD zm^Rn1k`stvG-YfoU)ih@cz^AcM%$2A83&d)t>jjG_450|j3dE9?=SohYt1fxV3M%% zyV|RoslRw0F)(%rU$<KNumAZ|PwTF)nrstp?h!ok`ip(-ftQ|lzr8d%_fq)6a?X39 z6T&jS+?j9p$1--y+;*?M8lNh6PGz>}shFKN!J%vEyq$AT82R5gr#MMq))T=D*{!*k zCm37}SQgQ+A<{Cj!d1NE$W^V%9~*P8ge!NMn?*fw+y1<Gy|2LYlZkeVlILD@Udt-l zf01XpZAaGiNkT<ge|0@>9cguPIIy%gcX!j`qL9ba+HCGW-YFrosq6vI&-cYWE3G5; zy4LXRmg%0eRkw4>>5sSmaMiQk^qc$W==)bW`-DHu@Ls=Qc_Q<a&7Dy{7df2hnqbJS zF?%Xg@No?-S2Mf%f;-Q?q^HRpORheh^L&ld(nG$A-_$$0bDkctR{9og5fj$4p{xJ% zsqCw(1YTy{XxOIoI(ug0O4;xB&uvUCgmorte81~v`q$srwis*uV$o2Rn_{*kW}XhC zPg46w-t=nAr*?CEx3y$Nhn$KrHqGwIkK4GwrBk3%?(m$WhfhD?IKb$nz4z%GOHZxp zE5iD3pKI+tB;&tn{)A1o{~JPXo<DED?P}1ez@6^>iA!djtk|j|^Kk8{+RSScYUEGH zJvW=ebGt3Eu>4@VdGfpO7dmDqUNE_4RrlB<oZ*?VkL0|<jW3V9zjP!>N5=Ns?Wh@k ztFL)oIy$-Z)8!D$-4&*DZKR}*D1@ZEJ(Z-~sK}dgruA0HzQRLqb*>~<*UuKxHO-jD ztlngGK7G1N_{31F!e5<PhZiJFpK(kw|AKtNLCFIJ&zmkr>|6g;POrt`nZbMgO{^LE zZcHb<!<TR@>U=YohkMnw1BNkjr#{`D+CTM)v0(Fth~BWnTC4%Lk}|Z*Bj&ycS**L+ z>u6fN!TqaWMY89eEAYG%mLPa$+PR}8&ienB&YZeI^2L*Xh8BjJ3+HaUpJ?Uv``DDT zJZpOEeu^*qwBU81hX3k$a<7vee(kdt*SXnxbyE1hyq+r#iqZ9N_(XgjWTkwKc)8}t zdf_Fjsv_klpY6X^BPz>f&Q<T}?<8iY<2ozim`inh#IcwsNB4z9EZ@>-bMn;MUMs2U z%O!KyWZGD{`|<}yxcVLWu;kDk&PvhEFO_8iKW)vDw9zyxN%d1*q9)R*K0DICuUCB? zOI3%N!S3t%jb2;t2*jNBd!(WH@YHoXQ~9;!)24jMe>7>I!IIS>$vo-LS4~b4;&!&t zFu&8VHBIQHgt*Ur*R4}e-a5N+MP6o@$zKzXN@KzK+wO~pSZ#GJkzaGYR($E>18RLQ zx2(|+_WUWBdqpfeY1T>&qiIJkuPY0@9JEklVb)CBOqC<c{6#-LY;LhP+Z;A0v|?$2 z;xdV6-Hai>+a{baNOHQcB~N9Fpq<nVZnYKKW{0vEEp>Ifq}HxkUvWF}{ELF5Ckn-( zU3R68^Zp8N>9D(8pmV83X!?{{FMij1%w(UKW3uCg)tSX}WUluwD)#%QK9ezdU3<i% zjSs9(rU<QC^!?Jb&qC3c>}Qz>@u)Ap?P0OSE%E@rVsN0TXu+c7MyG|ji3WO6nTmoc zCQnw+%TzVjdm62@JS;=`=J!gY3lHBs?dj^hF{9@ov!TT9+}f84Gmmd!aN}3*I&_y+ zD4?e&?-aw6n=X9r<y=m(+%sKFrR(@Fzf)a!#O%(rnZL~=iV_|lJe*^ENr*dRNsqsX z<6;BpvgkOaK*=NX+Rki9=xno_zpa}=`bUbl*NF}7{}Z<SF=6d}pAr_8>ha0b=T(b9 z+CHOAi`*^hq>@x8*|sU~ye{}5ajucrLW8`3iOvU4v#@wnXx?DbnjCs4_mbez1()91 zFdo%Ub#z~IdC8S&*-9PJk%z4M-Z?A{;89LuNp)EpC3xXcm*#{cBCHy{0{a&5MkpjN zRE=UR-S={$uD4l2&kDPi3BIqx|ER=gxtp?<ekeZM)@QQ)P4Ko1JyoUFa9P=<u`AMc z&EhdoHOw?{iNAK|=*ys2{u0GT>-9gVA1m-KoXSwK=E}l|NfphU(z}g*q^)4!Jl^4v zCUTP}UhthQM_`YCP}z)`&G$rRJ+}$g2sNy_(Yc_c^s3U)MS%y-sH`$jFPXT;E{Y|< zo0&y~0R#l2eWJL{)01~gFff2H7Xv3lPJT(gUS3{KUU6s$D+BZ6vNh=%TlN1Y@HzG# zW$4QP=;<aPu-5kyV=%)9f5rp)oF=;kRAaQJdMyp=4AGj}wB2&n-bLTiYv#6J6I1ZN z$QGNDxj(np<WZl|#n=T>Gv294uFXA{@OG;GGnNLHM3!Ve*Js;|)_WTB-xe@RE$pke z(ANLNW3hNr6kpI<>GcmDefYKa*~W$$4q6kM?xpYG(yC&0v{>8J?ET{RNB;2r9c>Li zPk#8dwBW<n{^PITu%+-FSaO_)>7a5!Lo5r^%!l0%yB{1E5n4L+@u63zlA0zPiO#ma zcE-`6SaXNA%;c2}&$9C^#KYcs`E_TXUZu6Xb?RZmnO(jLLT8`z%lj;g`<$t{w`p43 z{<UAMc4tPeY)Uq|>bt)2`YopQ4=0AtdLydy;Op<#Z@xA5owRnJsc*SfXWd%a#cZn^ zKSpso_k>IJYpqw{T0fs*t6Wyfp0@2#lIyobpN)Pfy65Vexc$+*7hj8~u=jJOd~Z3e ze#hY<7uR?H9Sw(`D%yOJQmb0}u#%O1Z{2o-&hrI!d#=@0@HSQ~{rDn0;Kb|iUnJKZ zXV`MXP3qBBwIkUTi&;N<?Y_8&IqsnJ*@o-y-IO1cmMuPNw%*iy{X*XLTb$M}Sa@Au zt}Eu>LkH!!Y?nQ4<{P@gZ}IjN#2u8DxWA{)+(4;n?%e~baoIEDoHgPy1NSuf?y2*4 zxTPGI?YgHeWY48-6|7q;{+e}Pzxnoa$DV6K6}+MqOGPVL)7Re@?~a|Y=bA(XZ||N< zauuwZ>*XV+UOwbmT70}Ma7+HPnn~Sxs>@p^GaZ-{cxH7`RNA~{{V$Gs`Moxk-?~R@ zx#-Cw2H_SfWhWo+`f12Mp(9E7#5T*EV=0T0pB6=hT4*w=>GV~G`f1O5XxQvx5E>B{ z6A>F3VxgJ;Z9?{fTQ_o6cv>vvHnH3y6_*+0V3okJ{=t;<=gbeiW@ly!X6$^<c1Dr0 z;yjbe>~Ib9_1nzXZ?#zea87vC-0%<QUaNoJb1p9?WasKTA^kVSG#)<k((8EjDA0RB zPAy;Rl2c{7b;FGxnrbK3axg?s;pNMdQ#Cw0^~nbf?FA;XUYydCu0Gu6WU3N)HrsuL z&5^ljDtuNRjT3Jkxb|~<e9gC;9cPqd&1}u2;&Rn(a-1$dFyT3Hi^Y^Pt0v1YE<0Lh z&NrtSs$YcHO}ido_BD$A;pFxp$vJJVpBvaZ)DCI;YR6ddZ}!V(+{4rz!^qkgv;M)k zpFLAP2>uGG_-mnIB-v2(p;>Wrhl$E;7mtd$cjp8|Dzyq5@lL3Y%dL&eu8%wHuzri< z`h<no-(PtBhRu1ZwoY`IL_y-ybs<l;Ehq@GTPARl<HVeKIVJ0Kb!9{t&S=Um6*Nly zcyYeP!c8pCles2l&0EI*^F?^tX8z-cL`C^d&G8Qs>X%G;c*C&rgy->7j~=~pVq|Z9 z&d2#OCORT)#|@TEJ?~mh329i|=sD?;-l+AOi&aL1QO)Q0a+8+~&1%6Rdrmt4>z;4e z_{l|!OYan`_mV|6Hw}|dAJevY`8E4-Y1!<D*DB`TT~!pdW2)21S=x>Yt2`#S^G2#H zC~J2<>atDZ#Ww9MzdhgFI*uKlS`sBvBG7Y~XSVou$yGlqb2oBK?`${Z>phzp+z`$8 zZrOVel`r~@DlW?=D$bWR=v*W9V5!NzsgI3i#PUv<Y)b!gIP&4EH`h{5u?8<I2wGMU z9=c}7hN4*AC))~Sd@LvWD)H?(m*-pJbI;mWw&>E%ZEM!;D2ib6nIU}FFG+Q}tM>M( zlbyEj2wAadlGFNidJ8;PY*=tXe8ZY`Yg`t{TQF-*=L!vrGDtX?^ogN=x|+qdlt&y) z6Y3uOx$(XT{W8H?GWAmQi-0>PHQ4ud>`SRQl`$pG`M#k|>J?A@1^MFhjBYLYettT) zzvR&c-!GJN`%9i(aQ*yuZhy(zOVTf1w=VC=y5Rjnx@~z+-UaIy+G_2~Y?BmSE+4n7 zsG8CkC-;xBTrPIu_Rf4h8(S-fd};kdbKRX^_&&O=?x!!(pT+jo?W09UyqxMK?<49D z&UFTTowQ#0-5ia#tF#l#7G=Bk7j$}betS^F>#x3RX4I*ryf&#@n(w*XH?pyfa@LoL zH`wy!*hk*xc)3`oy;I~kKYDlR&8U0W&E~JUE2*k&y_8MjmF9h)<{qBwp1#*%56e3n zsU_jP!Vk|azPtOF@Pl)UovLo`V7gNo(iZ0%ug7#@_RHI>H=>XDT3o6=V%~81_mO0& zy2>f5r+2Pbn<tmL@OtNZJ{w!DrRAOZvh(DoF8F?N_m0miCdR3(JIMFfHtXQzOI*8J z_8;(Zo!&mrHt(Uc{fCTW{Bxgt<WacQ=x)-Kq0*lzaFI7A&-047jh@pLS2<C`S6p)H zrdeEa`4LyRY`m-%^~Y2_<XpTZ?x97Rgzdtu`?_~5UX<;kUvQJPUG7sw>!NKBCQe*D z@4>`N0=Z9uxc&Rr&585w&;B90`1Dfq^WlQ~SG@Rkfx~86po?+A$<`p@$}=G=7s{D_ zT(YRz+1-}w;N``JU&222HZ6DG{3YsRZqsu2_AgeuSngDQaepr%f530OSijka(~G0_ z&fe$!;meD@zGyv$ayi+B;=S+X=gFxqH1E|{m?wAk)z?R_nf?2dUjBXbo7umA+Xe1p z;vDnj-d>bGHru*CZ{a;%Iqr|AJFT`}o;#!b^7ON6a$OJn;@Dqz^sh<Qk8Hm@z4XP4 zpW$leCU+m+{CoK6s#O`0g&$i!I^MaKZMSyzb%Ar*XWPO9&b{7V7?*CY6BHdA9<l4? z&A!yu*$+3c7(6$t`nyOpHj?p7IK%$}GgbD&#A~6`r>@bydnfRB`|d|fVsob62{kWY zv$%eTSW%SbgDty?qQfHBtg-QxxBd5UO+;+y$xknpzws}>I`#3PBGv9Jzx?xm4nN(o zD(2*)SF4Pk2cP*Ib@{W@smi5CZP!1zSNZqDg_Vp|Yp-(t-qTi|Q4^Ob*i#>OaO>x_ z^RDZk`}o;vcWmg%P061)rk~aJJ*&Or+1J}9>y1}j7dX*Zxiq(u_2izq)Lktx8SxJK z_nU5RnzZ{+VdBe2p`7CD1M~JcZTy%O{dlX`)e7FDdoHES2;Xoqo8#FBFJ{BNO%Ik{ z=il<hiuvH4I`=(VnvYDoI>J{d#^na?X$#nMX<h~E!HU0&#Mf`uKcVALS2_1?fw7F3 zUw61_qD!ZsshfyoV313!;MSwrQzX|1eC!F2c6q$@o14T#j%1m{DawD8bd-BC_q0XF zWyZuEbX{-i(axoOS4@jba&Oz@xJ>gsO$tk|^Iv%wV14J<;cQ!*5|vE0u&TL@v2pu< z99}J?5VyFgqSfipv=(2^+d^hCId(T%Dz;`;tu_28W%kkQcF79Oyv2{UYRO4`<Tc>$ zn7K%Jy@J^K_%n}6zkQtHn353n=%?SurBCO+U&a!3t8$W|ZuO6-gZlk?nU>GOp3HQA zcy6)AmNO4$ANM|O6|ec@=|!ffTLmmPwzzyTb>3F#b3|WqZ|RjUrNM`j`E6G2vN3x! zox9(1&xekeozp&Xu#5aXm@E^xg}uM%!<URH(^B@S=2x)axhc0cVv%`8NORY;2SGm9 zlZ9gXy;5u+9Vl)+?yF@J6!<lQ)nB6aRc}eZwM6d%@ym`6_}ps01pVTFm+<AP_RGn; zb^1MWZ1obqTCn;{>|JpE(#jppf$UY<dzs$(CCh&QbEk5P$7y@^)i2biC$D><Ha)c> z=%RM)Z?jE{=Eh7~SY@I#?dPt8>#u$~(OmM`Rmu0b?!IJ&t|RN8ep<o2zwGp5zBGwf zPaj<r*l)Azs`XKGVSfqU+pp9O4rj3Re$`3q|MX(&7lp_#lfB(-=C8Ou@$^pL(;qmF zttvlVK4~`Jfn*7zy)pZ;b6!q;tj)GRVavB`;ezD`T~5WZY-tilOS$_MeXf7-ZB}0@ zRx<VQ1Bb_UtO5G7kK6uPB;pzUQ^a_w=dYIF#A%CuFU|Zh@8IRdoL{^?s^6&;S@per z^MSeU>MwG?=-jC+X}l-*j<H-Wbb)zC`hmIbi>umyh?dKRUV43aeZljK{8dwbT>5!= z^|L_#`Elm`6`#J$s$5dMy!`CqIhuQO_mzM7GOcpW;pO6IAIsLweK+r(aevv5Qmd=p z&$8Q}zkKvk_Or$DpxbgYFDyKGTt~0;?MBXd{$KW**&LJY346KD`GAZ6&MA*wnhP?Y zck(?H@)!1fI*Ct&_i51iWqn1Q8C}mF1O<q!J*X-_&rjr9q@07OZfT}R*S!aq_{HWP zR8^kmA98K?3)6S=GS*B#UtOT?dc7@9zdz|(2~U6Axi58<SAv#>pPtTJS2@K?U-G`K zjV+f`zGS?ejcwIy)5p>s%iX<S*gn?oSneMCV(-J(N4Q?~e$nWNm)rYl?w7lb%iYso zoPGG3)xW>%Lige8{tM!K`Wt^dy}0h4>^`3}FRwnF$h+T$YsvRZ?FH^HS$hv9CoKEY zu&het^UGf^eLv4=kDsFV@=j{PvMEjL${S~1V!PGiow!V-(eI&vLG>1o^#bjO=DKI^ zE#AXbF1NMxN|8v-m##v^lB$grIl;@#yWPzvN1aR-m|)fDE?;rz#p*~4h8f8v$G^PV z$zzkeWtI5(#XGtKMXSEcFuh9>Sv~#S_IB;PrTc6uUT*yyJ7t;pIc4#>qbsJyS;l+V zB%559elFda-m`AjJ@fw9AF7K3s#t3ee(1R<QuVw>)%6vJT>XSA0ya#ID-XM?R~%Zw z+50rGKdR}tmrdf7wtc_q4$Ag8U5GrMRJO=pcK4pg=Q{O-YInO7yLK0>%;^00U{mk1 zdAnMiUCRqRGrIGNG&MWb9vbnUSJ%2K{j8eJH-G7I-TbP9HhmAL3&-l-I;pB+yf=1V z@rFzZx%~$&cpnw-a^4$jBll>!JLiki$Hwn$etnhtcq+ChZ~8s!{)esiwD<XoT=;!# zKYJCkT&$7q&6@QYi;OEunwN9*2YvXG5pvq|dBbiA)dk6mgWn~YwDwKh*Yc?(<x59f zyy2<E%1b^!&~w{r74|FWk>uhSS<PDAk3E4(vf_6c-zAl-Uc5A4b)Mlbr{nI&g_b{F z`d+#2XvVZY3mc|)NehnKO}wBiUw728zy62l-kRGxE*qX*Jkv_{y3M46mjll}maIE! zeBXT1i(eLQ)2CV2OnorjeRWjQFEOJ<%Waw*pI+qFtU4T1_fY(uVULk6Z|%kh)7_IZ zG@nbe`1hZ>P;@SwL-IMhhlP2Ab-!Qflt-&u)tAQW&l5i&yOih4v!6Q`hj^`@cl|?O z)E`YxZ`17!-p6(Jd44#(n174UXYL)7FA7<yeKcM8SSa4Y#+GZz)7ioYWX0PHpI_vO zdi6o{$x{7?d~L_QBl?{eeE7lQFTq-^IG3~Bpv&<+ufJmtoBroBFHby^X5YUgBi!Bm zzITb$wx6dC>i36bu6)t${_Urf;@_H1fy<N5Z|}0z{cdyU;^m<8kEQEQ+VpoYm5O}f z=!l=<cVYK)#RB(C*1a`$OyyI`F5G_3_@FOn<vr{4L$XVSzWn?7q%VlSio2HWoks40 z>lc(C@U<M*oAN?P?&OR`>N{c<EEaBmbZ)Un)H+MnJC!Cmna_MPbWh7Lze^DDdcQO| z@lw#4;yVX5ro}1QIj>7y^j^X9xpm8wFSbqba!VJcF3A^6jB@%Fv~Za!f4tF;rx!)7 z;y$__xx6^eU1wjjb$`^>lrOd_;<dsj-S6w3+fwr7>LH)gZufP5JiVx>%PGs5Yx#ml z;zH1c-V+Y>4c7g67cO1ey5sW-HeKz#t){xQKc*dAAFM6QSo`&Zjq8HNbIlLjeaR^M zzJ~GLqxtUkf2^jK9{H?%XD-*v8PAR0`8AhZ`OLIq@*;y0lg~#TgPki=l!LQB>~LE+ z?cqg1q1;1@CH%fzc`R*{D00pE<$879!X2Vwy$6>{s@-ZjZno(Km)`jgUsg<<*5LPe zU8A>IjL9T#^Bj|jr`>Gko~wDd;j-Y_<e7T68c&<Yl}tKq5&wmGdhvl5H&f>tS~Z@w zP1(XUz4l4TkuQ=JUV*FnV&5^82bFla>%|+}EY+CW7rif|!t0`#Ro2gY2POwaub;pF z#}=J067BJFp$nfbT(3CKsO$BOBj$Ym5@%n@J`yZ=9>`^tw~OOW<&@^W;5psa{Z=nM zj)p!sw^*!X%_Eh9=YbqiLAylCjjEO;FT1^C@<jnFsgI$DFE8HO7kG!W-0+o?yL-Hk zp-t4SD<UyXi4Q+^tUj((5m%|w^qjLlFr>0Y>C|KKc7>1HE$(`UUcCS0CmH+XQ@>>H z6Qc`~wNHu!r1l<2U1&0^@wBte+>HJ><L?{YF6)V}y!bFxX`Ww-oczbro&R3w7AxGz zo#kzs`(?su_k5GRb4spuKRbP**X@Ckkbl2fX6Lg|!LG{(=Js@5_}KAYYMzmmle_A^ zoQhK#9I_LCEPJTAcx$r2eRG@CDJ^{tHMc*s1g^QKw6DM7)C*48nLkcdUcT7XT)g7b z4~gSb6@&x@1tmQvEn`mN5#;iEp72%ZfJ2bb5{n**77j-*NhN1hrAbTpY){`<vG}FI zg-Kh|<*I7$Ih6d&R*m1VxUJ-#xQlJ|8H=htv)FBqpF5!ZE7?!)u!YjH+wXZouP<|1 z<bLPjUy;8XEYC75<n@_!Vd6)p9l`#|`3>oR=Q%g8J*T}vROLU1AIIs%J<$`&FI^Ix zRvEP=Fum!d%Up3*opsOS_AR{HUY(a({F_Pc-(jAcek*j`9Cx;a&G7$b;3_vy>Qwxl zrzgWI*i-kc-W{M+TIbE$P}udiF6QONT|3$x`##pOx34_d`}Ne>;55@)U7HnkzAs|# z%AG0s#>y|}rfHg;pvc;mZrOJS?s9OHeTla^Zz)(66vN^=`@YTEBP@AFk8aHCv-rH- z%ei2Nfl=;}<!f(WP}H%#C1QPZ#mUS#Uz3R{1<5nLyw<)9SJUO~pRBfu<K{t2n?E@P zoCl<MHwm41X<uRI?oh6?_t?>8cbHv$E}pPTh~#SD=TwtY$vA;C_Lb1(B#XrM`)T)I zyI*Dfe7{BU=F`bnTWxm8g*S5WOb9;m;pSy_+gsVr27-qsnrQ!>@6h?#)7?z!=$^-4 zf);4lzR{jB!PEHU%XJeoj@pXf`P?D5;)dDLw9PWNqbusf+{$Do*KK`d^V47GaAaTS z$uBF#oNdle{%3aK-KE4sYEx%wN<TRNU_o|-Wa9VgJD=bGa!i}`WyilK9VKhG>G7>M z`f*KeiR5ZI)5t%!^c`+(xf|Mi=HVfa<#LTj*M4wmkV(9?V3n%(yj5{oljdwvKHpt< zPv^{nt-2p(s)&RyV{bToXzu!5DIMG$XAf<eVE;+ZR`l53-Lb#-wyOAS;5lO7qp!DT zjolVQmRm0`aO=lail2-AV4i2-9sldn^ld5Ko_a_2HVHh|T6u)o(tc0JH{Y0d8>3Gz zkXW0f@NQp;cG;S~WwYA<EWE*^;~#3FAD7K}BSyD#?k#?qN6iLuzcQAlco{pt;IBMy z{ZzQX)h6}a^MVv!q3IX&We?onR%qb(m&Y$EZF2D%P0!$`Tb2|iRu#x^o@}3<v+VuM zGnIVPWsYx7{aq4%phB$q)2B783x22{Pe}<6F)cH<)nF3b<aB@K+TtHvCAyu99m1^| zn{P?Bc)nz=`<(Okc(T%DCh@I7dQT%H9^O)%|6kPM-Ea9RBE5`%cpnF}eOH*iWV_Bz z?mzq$vret6Z0u4obm^~3S#u)gea*G{Yejdz#%w?P(B63Q%PJ+_Yg-uaFRRfE`S90N zgl%P37e`{GN7RGfA5zj6ZKllrXr*|>?|0&}3z6D~XWz)^>c6*MUGB`&`VETn-3@~z zf}2>Iv#J)v+4hCamlhR$?rrLJ_VSYUq$O9Y7=-`)%FyX^UVKx_bj_7{E!z#2p1)T; zm5KHLxsV0yQ*5Wn1{i#K&@N^8USdhmoc1*bb6Y=K9QL%zOfG$F!Ft78x3FS!YJNmj zj`1DqM^{z_Z2Td_A(*FGc1~O8txw4Gqk(5nhyOqIf7NDIwrr~{r%kwzWj0<BTUKA? z_F&Er(~s^xnjhOI^pyR+XU1SUTWErigN)SF99c$#nWEvAg5eXbUUo3dcx5{!KdoSH z>#R!K6->{%W**`Adu&4XgmnVztCEFWCavJ8oS3Ej;AAGxqa+Rq@sd2}*7-+v&v#OC zt;!7YY|Qzrqpf%LtHX|)O77-`5*d?!q=#QA;eA!2lf`$irhug(PWZaf?n@IEojSOq zw@Fv@{+@4<zp7>J0)N(iakMxx%WS4a!&|jS{a+k%qWuay4UQLGm%jT^fBlqM%h@Vl zS$l*iUp4XDt59_%j4j?;Zs+@|#hcC>eAs?nJMrp%XKk*!Bb#*N&V4-bdY?7d<%Zpb zOXh!bo^!HIYOPh+*Og5f)4d{(Ef7ylPr3Ncj3@VadQ{~3>#?Rsu35e@WZ8L7-BRRk z`QFxhZkA8=*Wb}?f2TKP>x5P&=f}D8voGyCb6lV-e!Emx6;tnWcTGJDNv1a;6PZk3 zbcL}BD}M3LmR+f^<*2$UgOV<9y7k3r>DmP?JDPSrDrwbzn7fs|mgQP$<1{Uc>`Kw_ z&l|EUjCY4;-Q`RuEn!%j_uS{{ZBLPFSpngfE>B%2G1KntNAL1^>X%NpzsdQ(=kl!p zl(~-3tBQ`2ybKH=%*g<q>!?UdNk*OOIG9qK!SHH=ghJQ?zew9(hqIqwNSJ)QBJ;?n zSu)4Gg^yPF#Wm>h{90ot{rWBM=DS-1-~8v>qwTMI{&bd)ysL|+;NGhqC({HrNpJ9$ zIIHxr$SLX1p3?vSJ#DiuXU6XCWO>c_hVcobBG()pyG!14q{IxuYnI&hx!P@X)ZHq2 zk%WS+{7YR<rpNPtc$DwgbUW8E`ICIjlKqy-eiwYdKR&&5f9%`C!M_u}-2NnY=jvfj zvl{mAf78OR+sgf7{iu3wVY^UI&GY4XkCv9clKVT$hMoUqblb$|f3Bp~?URc&{>dwM z$?>|Fb;P_Qp|!^!+)|P+3|+o-PsjFOZHb55cf8N$?_2Kdc-^7qgyomNP1)UZj{beN zV%@H78cBa^cFXL&bSCRd-}m1u9UQOkVXti0`*iRfgA9WS<Dr#4y~$SFcZILt@$<+` zwzBMv@%`VKL%Qu%!`>HJU3BH%$aehI`~~sc6Sr?ZeR0P;{_v#Z3VGAcTl|aqK4)=K zHA`%Ki<FL^g8+;F2?5iHj)uDFzZX`QSlkkSqWzWENj_2Gm8JE(IqR=2tlz!sdT`@m z!Pp~PP1+JCN4zb*{k5*4>QVp8Ct)EWRZ4#B9!taj*KD6=R_o}nb$8S2pFwrIqy5~E zuJ?QRw_?|n`S-hiFIstP-G?_tyW}Q)iTrrgZjXre#;EDH4{jB>e|7Cet?1}XlW9j6 z#IOJN@N3<R__wz;zN|fz_V%(}V}8yD1C@iU5<2lmRoo}BG%YWVp14|Z;+&-!lOFw7 z`srFE;$Nf^DD5C{C!kTm<CDR~nLcM)PS4`_6OuQh;Dz&^$xgz2)#`KayP0_xEla$t z<8G_c>ERF-c`CpA+J-y%bz08r*SGz7wp2hs#8IrGs@C+1_v*loU7vMW{hmE|@Zf<! zVV#s~^2~q6Z~vXYl<(Ujm_7ft-<_ukfk%G2N^DFopFMTTgcn9a{09X~8k0`kT7K4g z8;6a^qlWcWI~e1??lpa|n&-#L{)UfTe~vZG*W0jwY27P5!yFy2OEPuA2SSBC9Gd4> z`Lvm=?ff(EpugaU3HzFk_44@aHnj>%oyqg&;dUOmOXn+=&g}ft)-YSHU_qmVfkef| z17Quzg&YnXWz^;2U`pEPboBgn?Fa4KKVSSRa3FB*m4mC#EPZfuWody%9`gmJCoB!c zkB+tY@}AW>Zv5f(HXZk`7df;}*I&PNYH#o2U*6nnPE3EW%<dM0U--oJg~9cSjiQy3 zd9ReYLQG;^=N<E1J!_G1Bu}THfxg~GF|ix3O}sx9hp2BnwA?dl?Lvd^%I>A&QaLt@ z&5go*v+u57v7{ihedfhQxwrRntb3&sRFM0zIdt-=jG)xW8Hd{+tduijU_KZv+s63d z!0DsWwa;2V9r)R}y{cxOL&B^NVbjkFNvd+@f8F^-fKl^P*m6bpv_E3`UuWqb3as*A zero+8Z2QlLS+Tm^6?`&F-%G|x|L|D9mx<xeHa6+>TYru8ZlycFj|#Ngy>H(yMbo<% z>K*)j#BJ|hKjY%IYoU3kred;BINNj;54SmaFFX@$=IHsnJmpig?DTZIN3JEBw=Z;W zoVclX;<_^_FE>sT^OpW4=Ch==_Q%zSH9Hr+PbjLGxma5{{Z{Hv-MdRw%>Rf>|Kd$P z(Jh<#A-i>{)3$}_OEVO1Pq}L9y2feBwhQYWR(MHsh^jGq?DR4FqA4<^bJ9HdKPOMN z-J9JoXAS4BRfT6yFFibEj>kUfUz6D{m)o8Rs8rKU{@bcj*Rx^a|BT8FiSJhjdbjOT zS+jkDgP`ok?W=3c%JRNwAMy&Sz1rw}yluMA3u`AY{h0z%yvCl>+V)xHE_|=mcX?UY z%j^E3Wpgrr=p<ToikvE*@bP+!&GV&~kNwDY+FRmvxAI$NrP|WdGu!Ncx_^<l%>G`X zbDx}ye|z%V!rkAv`5on7SwvK~T@!0;ce8o3Xs+_FI@^2ve^*x)ghjLMh;LwfBX7W} z!F;SiCn1L|@0NATS)s>_9P<=7nx2>CPUuv4Vc2wU`SIH;!|z0VSa<mJ*H`jE-~Sev zGHO&v@BOy(txK%?bFo)?(*1$%E8FTqgr;WQ_?;DErFgmT@%D`wHxH%E{5JJU>2_KF zbL!t7R9J=RXd0FZ@X2w_Zst9(H`ZXeh}VUN_Fo-eKLssr<5Zu$l&Lmia^oKB;}7o6 zjaP^caOCW561p{CVOql+&b>a$_vR+M&AJw~*<QG+vb>KYAjc$JZ2fzm&!>!69`|DF zT05mlAucoRJ@e8j%q!U3<P9rU^xGtqh%n23O^SJt&swpfzfN;1L#M;bLpBd`J{mEI z9bvk1=uz^~6^j<vEq?#KPBWC@XYi*pcVB+Kbg(LdBje=WQ~e@KMb7K&*VVqgbLPXa zwUN`(L@QrB2)M(xfb|3?!}BA)QnNiCUu^f)4E^k_IcwTX*S4eUX9}C$zodHm{5id| z-N(#iQ<WnhNA%{p$*bOwnzJs8=kx{>QQzy=a?*a!aCP3hKCA0oilNy|-}H$a_P+kL z%kZqtqq$eb{9;4RIuHB_e(frEQ*2tQvG!y}$-=@|Q?sg#uC9;Ezbbii-@Y*2H8-z! z>Dm=-!V;$rpKd#KFEV!KoGk9`1*@)I2?^=VEb@*HoWg#&*;(`QnN5*l(GvuV{#eSp z&rRJdv)W8w=5z-4<*<-Yqe*MlS?xC6+IrJ`-d*vz_sy5BeQ|2CnOJY=%(n8$Q)ip- zm9E&aRYX`)qAtC1+pUN#&Z>u8)Q)iRXncQt_l5j~7nfgV$@D5M*Iu|W=xW<Oos;K_ zWL~LVXjjis@cLu+MIno^Ic9~?#%WG`y*(Ws0-lppWSRIl3{R*SW$_6$ObFm%E0l0i zlsRI+GeIDUN3lh~spEhEql$~tTIL01HanJ`(A8QpXO02Wn+~Pxf4{x$65|g%x{}{f z`}f9f@m;;=S@@5Hs(;ziX42zz^4P1XYb-i$ggv{$e{7oG*}K+<&Ye5DT_8+5Hj6*r z{1K0=%1pV=iC=yBuD;ivSRnq?XU+`w@734RT-`R*c1*t}+r(}DBva(VN8W#nmcO5o zK5fT6NzoaN2G%zdo;vT}{gS8i^j*swmHlqpp1IuGz+84u(5}0$P~BvSdW(?Z`;vus zPlQA%Zk<=-u*?1vS7G&2*@thBXkYq0@p?zJ_Itsuw_kqS`Bol3H0NZGliQ{vox#U% z&kK@h=HIs_O7hf^E%EDoC7vGH^7m4q<L@-jz5hA-g7_ZXC@t&Id6HV;`c1cJbJ`9i z|K+v(sSo5i&hM=^e!<>SeE+`47v_gMzvr|4Z7j(9J-eyS!D9Qna<iikEb>0;{Vi@u zQa{njnJMLXeEum*Zui-iZx2u9UOackuZOozT~yP1b*?wT!ohg$WX9x!hHSGn8u{Xq z=DD~pFE7~Aaz^K<{f6Za1a#K3Jxs}8Zu{$qNtv|BB<b7<W-H8==&sUw9;YbW61K9! z{$vctJ;nKL>!VI<u>TM&v<x+}OnyCK!u}<{Bs0%u^0dY+p5V`s5!xxB-KEY`7E<q( z8_Rma$tO8nPCT?;$td)8LGT@g<Qo?SoVIQK<MHehTj1M6!M;fbQWwqIpBW#{Wp+yS zmWw=kfiHi0A2W08?IY|Ln=006UTj$sz}!Cf(1xJ+rOOKTCoaDuJ#XIIgC1ur<Fpnn zD0rDuq4jK*(hrGnu@z3dj-qzYx{pRYoI4}4ak-3Pf0B(5pLWQ`h2;*1OQtB*%T4cc zpTF9pHS3EV<15bmZ{aPLt{2#BjxAojb^_PrD|f@Rm+2l=`j|YY_31qh6V>_0pFD2a z)VIQ+zefFQP~Xoa!>~Wi3q%b1y<L~==ywP$nj<b=c=R~)tjnQ}$17O%r*>RFcyU#a z;T#=@RX2A0<6OO}OXl+;rNmvDrfZHqmRFfSBkbtcnN#HxcCr{Bkl@|A!Q!dbrT4O1 zZohpg*!Z<_ne1A_&bU`MUc?%mOMT?D|IE+En0c|S7mq$tT`hZE{&IDbl0^Kp-&dF~ z?TX~@Y!s5eYT$3x$njUqG)vEB0e|UwiLiv@!b}1g?YqyEw;ysoP#U4_zUINaq)VI1 zyAEr-E#YQf{m51JhDa}mvDpLZt7ZRs*`xks{LrXkKmK4=?C;Y|$Mje6OlQbhwZ-(@ z+1Hz|+$cQU6Fadc;Omp@748pLDxc@elDK{N+_ckcdVVHl{oS(Z^EH7y4@cjs<?s9t zZ9muWcTQ`(+LF^9u9~7xH&=fDGj)-jiIr7($-6fkQJ;Pt|9pF=XOf+D7q9B2jMU;X zGg+kx@BJS>WqN!w^j;6A12ea1=k8T$ZbmVp(--z0XMOfPIAfwiojbq7VzC!L{_(u$ z`Qqgnp|#(n#8cZd`T4d<nq_|46BnJ^I!W_gjQ6BR=e90pnK|i!!-35*ac*(}ca{}- z{%B-LsSSJg=c%#GiC;N8e;!Y_FnG=SZSJM((S0Xao@&l7|8iPB!O~GpUZ&<B%M4lm zm+a>ACTM=E4L)-5c<B4{i?&%U-@k+LG-uX1u0y*ut+k@oi(LLCaqr-Y83u=zO)M~4 ztZTo%gZIEOriDeW@f@m`xnLbB4hGPov4bbG)@}A?WO!1+#sFV5mXcbQhgdY$vzK*- zif7fbmCxSg%vir__0H;L?q{Ug-U%v3Xs%opJa=m3)TySi#k<QZci-A~_0HL>(n)^X zt#s1hV4dk_htEIG+RR_RTj@=;z4`yMch3GX@Av56#4l|!qi|E=qO0rc{;uBtKmY!; zzd!W%|GF)|U-vJ6{qJ)7e;>Cm{r^?|->iuk-)Eo4^05q1t}ke_z+{=k5Cc<*og{ zAO80L9`FD2WB$J{v#-}bHm`g9cTxGN`oE9se|~)bUo!N)*xtxDrg=T(Q&-$K$-T+7 z|9{-Y=%aC|r=uM9fBw3Aen#?3{p5sO5n%_nd|ZD|vubff?w`uQi?@%i%$+P1zJ1Nr z_rg`Lr|F(L{lPlxwb0(#<s#SeP5#-odN<vzS#qCs_s;<7uX#zgX52fwKXkWdpmhDR zvfxR#%+?iFneV%|EcLP4kL+0A4g2qh1+Bby|Mscn_cQLxYsE;tdC&Y;@5cS#t4>{d zG;3D8m&p&$i@#THFFktepKsjG&{E!gC#HIv{LhSw{H$O6H0W&owD4<xR>$o0`JDgx z)U5io$=7`Un_E3Ax%~gr;YHuQPM6LudD*{dY5bIFk9e=@E?w0-|CQO2Sub90pL#z$ z<S$eGqHNFa6aFlC5&PHf#cca)z5Mt7PFweGx@f5AR^L^pK16L>qFo>C8oaFfgKlVl z-Qw3jnYU&}UTAjx6?>uiedc1m-~OQ%saehbAv~#?`yDQ_r;7GUhhGT|VXa<sVd~Q6 zN4vTl{`zipeOz(jWpC4V$NzO}Djv7gUH|ZS(fp7V$}wRV7wuTGX^)@#ZHpyaHP*W< z%}R5fD)jo)>VQ9<fz4g3Zhe}y?B{G#&490MzE{_2H3jSoe!r@@{Qhc>kR_|krmUD| zs;%dI)7{nemFeY)p{owhx;ka~FCP2wzZce|rmCKrdS{WF^%uVQ^V3Sa>$W>yY_ED= zdUe0X`jsm+wB1+UN?f&Te_-ur=R%u`|IZKpcKPt{{FKM-MSH)-ulT#1J#TaTU)x#x zL%&4v$gixIl-d*frONPd_OI5*rGJ$^wAD?l2;N^&;msem-m=bJymrspcrAhJ`m4IX z3Iu=H{v{&lxtx%f{>p~s?ID+g4o<k@q+PSr-zxRbPP?T+>G?eKR~@+2n(*XF*RLc0 zR^HmQMdtmh>0AY|j;^8$y6-=>ja~CcZvM;7n?C*CB~-KSKI`r;rmOoFT2!PSEYw*0 zOoKO$^;C)I+7C<XeyZ-f_Pp*@#Gje3CL4*o6b@?rWqkCsmO{x!r8ay1ILSKmil;yJ zJ_voFAJT24uD$BZNl6yPuh-UoXsybWIiCM&I!j%2?&AKurMCiEXK4k7#w=tjxu@Bg zr5pY*x%5nLFyGk__3)6ZqKgAx`EuF?<~Qv%3{5os=a#$jR_wZX38~lOeQLj4A6Wl= z^w9b5qsOwM9$V+lyYD%7(XTlfef%Ohf`{W@iMQ3Sj<@*dwV&h9*1DAke`ht{n#EPK zKCV6>{oH%@^)mGWd$|52e$e{pesFcWJzreyAI}d`{BFCgoImD=l-f^GUe@(1qJFj2 z#-r>1{XBlF{%^Z{v!%+68TT(dUcJkOW6w&ypzc#$L8dR_PVdR>x*qtYE^YNH`Tvq{ z<Acv?wEZtD2-?3izT#DjXodU!6AyBN68A3uWm9`|)#bkzT;e-JcCNFWwKAY-b;R6P z>+G+U$-BNf!?P~E)G`16+-)qbSEt5^UvUw;vbaC>mzMaH|KWQR^UQypSaI;P{1?~2 z`5zBzWc5A!wN&(8_{Hf<+yuD$Tsiss!aEPR|8jk#{_807mAFgchZo#>|Akdgw6?cP zZHL9H7ym<U*O~-4vP?f2^2I*OTkY2jy_7}&rDOBszU;pw{j6;9@m{Ih{{!XaWNQt! z%wF7D7Z}{wwNIpe8P`%C`PbroZoga~2>(_3xU%l!hnf2tp1S_OUd6C%(fX^Koz;HX zUo4ZK|2k4p{O|uox-(z2&N};f-CoDi|8c#u_q@)JHT`#dj`wld9sgp_EnR=;)K~kb zF~6*2cKmC<)3Zc<%KFdUI`#W5T|Vvqxtq!U^jpXH<5%Tg6;)r@T(zM+{#negtaUN} zH}9LbOl0fn`{%U{|2W2VKIZ@I#Z<q<TCG@R|Ml8A<tqQp?@oXE!|A>5WHFWp=SzKN zURm&N_oG=xZRVxUMaP$i<VQE|uT?i?tX~;_^~~?7WvrIY4}NjaZF{l#k^WcdwJ&}y z-eMW`N_^_J7qY37+7jFi_e{H5WL>X3qq+O4+o})6e-Aa^-0bsU`<Lz``LD(K_b;9` z!_T0JLF)A%#ZsxiPW~rnUiLU|l4@5M-YT6UxAO7}`)u!LCP}*{yxirLdnPn`k@@-q z^{3`P{l7C%@YCnX2Y%EqKf3FI)7rGWxxRnotxjLuyXg6^P0xNf?q4Ai_Evf7PL|nK zj)v8FUZpY3JZc)Dtk=8#KY#lm>LdTc?4$c@0>5}_9QFME*1k6EOQFU^&Q-tbL-VG3 zmN7ky=U!+0|9QCUe9<KiFSGs!zBib|!TR;j|5fwepFTZ%>h%<<|M#1^Fa2K^CA`F~ z@%8^;-?e;~7BBcwzc>C%nU<;3>+5zwm4T6IkGHK~`D;^VP{Kd$+>DuqTP}Nc?^4<t zq#kIN@8$k;*DbTzwyd{Tb-&8q`ig1cDvnFb9CAL-dUdYkoe0N%zEh6>M5g>defwbO ztNp9gSXPE8{M&03`tOzfw0}Qd$Fomg&2Kb)^`bkA9MZS<p1#siZCi2KXQ|<@S!*{t z<%U0>Yjrs|-{PLvUcn0MuWpa#UXj+{A+pNcE-pBK)z@e5SDSC$|Lbf2kKRR#%<F$# zwg1;~a&7$YH7gQ5eG4z|dbDYi)K$h`OO4v1mz*njzV&>!o!*t?3NPlibdv`=e3=`? zO(s-$vK=j)eueej#9c>fgT8-gxKeAQ^3_6aVii-rr){VDlzT>P;Y-e4cvreeIcn9p zcMi;L-%K84_%b(cGntU_*-BKzoj*wYh2JZoyB>F)!-KwGY`yaMh0iO_5~Z&yR#H_b z`AvVh&b@iQ_uRP`Jk`CM^;RD*n8L<$JmWy&95yEP428l;JYC81E4kmOmv$O2dH0ZE z<!=j*SA{Z4Us?DjR|&am#Lm6B++*%TldFQi&g^qyZd+~gV22xX<7<-%I~>`LZfw88 zdT!FLBbGtm3+7#^jZye&p*N|DX}X7P=jrt;w|Xy_{%6z76_00pah&%+JMkX7z5XvN z0k7`p6-QPt*=4pu+5D+aUx5M7{|DyX`wA_SEQC@MZ-}Qpv=B)Zw2(@jXd!x0p)XE; zmn@U5vW$?el8oFgcZ-^yt`3vFje>nF$J{L@%}6MGa_reH4)()p-rJw|UY86MYnvG; z))yHl)_5~etaGOz@1q-X4+UljKb#OD@bH6y<)MekUM<RfD+T*lmbqF?nju$oCCuE| zZ<53_cMA!(mR)-f2p)c+!F_m!2KVtD8r=tuXmlTW*TGh((^J5q(^cT1(^*gvA@%Ts zp~@k_;~O~KnVgknJbSiS6m32*kE7kIN9XQ5bM85vn+)dkZYs#>*u<gJzsX}wPlmwp ze;n-x8adjJ9OP(kKP<>6zgtPBP^P;;r9kP>#3L{H>%zXIURx-(@R+91;mzA)<~;lu zeP66L^|@h5{q4+zibv}jAFsTv@ZnDGgo=kbEm2$cynXcQ)cYqwd*|G7&R73_@%YKd zFWx`l{8Ic$<<}$|^HcGY{!W&Dy!K@B4D&;~ckpICeQ8#(rBIqBZX&y7e#-Vzvq_I9 zZl1{WcFt|@l7b?B*|~Sz)z!nbBh>vD%$}@%@#|ZkS@BUnYuD(t&AqwDUO=L3M$-Ya z;0ZTo=^KO|7Yk0fk;BC#?dzCylbyHA&VzGnmg`!rNckX%Ypo)Uri!jfTNl1a2x}E- z6IFD5km51XVjk~?!a~vD)AJX~KjWMyw5#ri@5Seu3l|lddOzu(C!w}KeK`;R{lA$P z#m%G+ANejbBXJUUaZgENqhjBQD?k6Oo6BWW8qk@}_v+!!=3f;L7Zh$OJ+ekjk8hQj zZc6!;uqpN77oY5wXxn9Q*nn$#%Jz9KvL|@LPK&GxeR)v)a1MLw4Ck=9%e0)!65BTF zo!NN2mGzsI(&HJg7cd=`4N02TTqW^hfgPJ=hD@`pNuwa&s-Rot`}KaESuoXib@Y{K z=DA_Ny-Vu9uU_QOotXSrwKMO1UE~)}yWq<+tv-Kw9>~tQq-@2-zH(#p#7isIEQ+XK zQa|I0WZ&M|J71@~Ff6I+XW^Nfd7yCOI<E&pyefK^4;&UXit5W<qPJn{m8+-Puh_0p zD3#auwC(%$KCp^O*2A{5ueGYvjw_t|HUB=ZqTL&mx5yp-a_HQ(hD0%5i?quQ34FXN zzb+qe4&NjyQ+^_h<Bn=+XZ4bI5A9a|mT-S(-lw@_-hq%H|D;>h@gk;1U(;TFFzH^n zz1850qKD@O-+1oC7vUTJzk0MOYwJ<#DeJy{^xJWm*|yEqBq73sxsTOEV1_?)XQjyp zgXulf7Mri+{-RXcId93kh3qSTOZdDh)KUG)GHpVYP&fBip<0n=6RZyZIMjEcibwyR z8slMase(O=8XdB$<c0WO=-QMhEe=h7m+K|I!}nEImTD>g+mpX)w<v$LkemEf<->$4 zlPkWIRZIza&A<2RkC%V=d?j`XEK#|!Y;~(aN%ix~i`agulsei<zq|fPtMshS`a^fV zb2sct(lYq}*S~85e^dASodw2MTDR{?4bA0wuG{kP$nAxzi`tG9{FUWS+98&gBvlx* z^^NC)S*;#1+XeW|b&ed@_GT%3vt<HH)qd~EDtRsZJ+J=Fl8UKSpTc%^in*q)KHopr z?Tap)6gi>#B2L6hb*o&8qvN{Ei#1Aof_Jdka&OBHT<vvqrT7=E8+sSKFU-ERZja}N z*h=9q3%6<K2Q}?;U0D30{ZmruCm}88dZ(un4l9_L5C8q-TzQiJsUeS2Y1_di=L}n} zu(nOw<su#Q{ew!)LhcfIe^1-K^oQQdP6b|GtWlG;l=JY;4*@49NX)w}aM;+pRwiB7 z<v~xY$DC~f{L6KY9QWkze7vYt<-;YXNfm-}u377X7Qc9D{ZoC#hvubjRhFyvYbUJH zaGvq-X!T5KGm*Z9$rHPcONxs6&iUzGIC@g`m*viO$zvaQ3j3z7yT-kzD6#X}x>L3` zWw~z`ug!jKacgG*)7w~!PCmXnMr||o)`W5O#a-R*C2nnbSV;YO#H%Mvr{}~Z?bNQ> zI<GKs>#D-U&YgO166bOMp7H)rp-=fk7O`CgLfsm3_T?@(_NhWVO~UVMme_HFgC`}` ztSpbKsEf~d^F%0k&Yi;{;h!zsc5FD{AwEsw=^;6{-5dH1^<<7mi2EhX;Z`?1(|9#v z-m^nI+gD23bSFo=f5KxucTV#3$=q+siaKqt=*)a5BOMcwu$bFBrznwscI=JgV&Z+t ztGU%ToNb+a<6m4|+3Lc3zWZ$7Ke_+p^r3{g8?DcLao0SW`cyRZ=#Ag=dZ#ADSF~q_ z-QBcJV)vm)C%HArJASs6-WGbZAwPQ2w!L57ES8<|J?ZsEzr*}+RFdRDuO{nhQ)i^7 z>KfkPVZJmX($jVAxt3oN<!4MTl;69uXXk>1ZUzG#lSvn4IufV08m!qS&}S;9wBeeQ z%C*RjcG;2(GTj*p8)mQxd1pLG)a0!>v(!=MbmoKuTv93<C$VJ3?e<}A(@vTHV&|K6 ztp;~C3-tXKQ~GegNkuNIqussaLRP9D^Hzi9jZxDtJLsOyeDGL}_t6g5W){7R3Z2%i z3sfYu)iVziY}nw>Byd=hJJBLnQ6^o-<-wX(k2#wK_@BRAV99sU;ei3KO5cS8hXbX4 zShzJ?^j%hH6gKflYAiHYWWExy(;;u+u~V*_{J%uJ{ATAX!4|=B+<{wAB1KWCS@+0c zfye)%rWJfy(&$hw<?&@fV|$#*hl{h>3coCLWU9@a;IjYw=l6yz3O`aDdZ#TkJ7RFA z>B_~NbZ4IPU0i{lS@Gv{J=Q+b^{CH1)+P}rIw$wnx}CRzuQzdLGDz%_*#4tPq3xR3 zp${i^ES#t;GrQ+@zvZG$cH9$hBq+*Vlipsrxn;5OU8zPtlL;?8nE7Qh9xS%yy`nXr zjdROVN1<Gg1$|GfHZ{JoSf~2i<vgRePD|p())ik4IkAXEbsS;h{%A0tFG|(hL?FVK zxii${!-h$0THOm9PbFncIAA2DvT=*R6qe*vIbMk{k#C+1Nfrr;Jim2Yk~g<<yxA(S z_0uK+{@pJZSoU3Zcp$;6a!f)#J+*OH+@<Ir7Ea9;e3um(olQI*DR5qixarEg^_J>y zm;R{}#SR%<ak6NO=s1wTJy9Y-QSR79$Kp2&8V{67dE_i^Y+q{<kew)XWMe-YXU|ec zp~XG?dzl1kRxNisog$qyBgyeqhTm4jwoDc+&$rpTcn!~NQP{dZ((H)A@3$8gZP~MB zOJt$1>s$Tg8Of<Ulh^blFPh5fv%#qIW7xCA2~&Scq@@<})LZ{&W;@$dEvkG-J?Gcw z%U)afme*xo63XTB?EIIpRVY_?iRwJ5`Q@5IcX%cLmc4l9?0WV7l<C*fuKjs?U%jx~ z<ek91=x@fi4IhT3+HamKXvbVM|0bWgUG6Dot-G5Q`xZXr(fD2XE{9uCB3)4^S@+0c z2k*%>J==1Gk85yyCM;=HF+B7`K}%uR`u07O|IanoeQtMdt;_N6Z?~vgwHCj>vV8B< z7e39?UVN&1v2F23%L3J3)|K8o`WD7P@*?3$vs$+t`SRVke%D0BpYs*NSBam|xRJYW zscM>7$h=>3b_xaSE>TSjE={v<T6aW7J-@Ru<m^-5D|YFT9^O8j->cJHO8M{4U6UKQ zx_j5h$%myi!&b51R*^FB;bEV^X4tbqplzMlp+cQK3p@q;IKTX3J$Bh4Nru;^XK7=< zp-D%w39pcZYqN@5+x2!XlXW_IL4mD{j~)M@84@UZ*fc2J!s(SvyJzeJftB7eDqmh5 z>n&_}@#)pHR}=WTp0{cqFqpt5!<?aTVLqGC-yZ!5OB!Y^UDb5ro4DvaHPh6qx=A<E z6?v);cR%InOq|SXn6pK(k9S&Z;hT*Djd#VAHlB8}d9y`8URy_{@2%LR17h5sNyUMQ z{r>|dzx(+0NSghX7jp6Gidk>HzQj5t?Z|cJ*`Cw!cv)(A*3nGGtdp6FS!Xj9vo2;T z+Lc9k$nfi`H0~CgbY$+L3rD}Te@dEq=iY*pw%1eEOe>wDQ!sTxC|6DEBuTwsqvNVx zVmk!pNi@Cxzv9IC%MA}@crDyo-*+r&IKWxO-8tFhLqQjto!@1JK4X=Dy(QDwc*HXg z6!x<*ea}?**uW+yck#gSWT_(`RchK&_m`V$&v^l&jOSc_wy)guV4K9P8v@7w7PQU! z>vfICTY%}Zu1nIa)`}TfigwE)JY**8sx*3vO*(R<KyA)1uWNg^8`s|ty|^V+`|tHs z{mu0srv^!w)n;hPNIQ2OXq%w4@!#PysTNDOtjUcGUixbPU$&*jcN9zeb}!K@=)a=+ zZvVv%FAlFcS2B5BoxmiCYqb)SEZ*&rpLAsf?{1ZMX~qk;Ov$|7Z0>R3!vr>&{tSf= zGuVX8&un{X|7ds4HsAlE_mz#a{+d^PKeO%S{x{VUWh>Vi-#)e}w>pb$3M0??i~}F% zurcXpD15w>BOShbZ_2jb)v|U5i=SnMe?L6Q%KXrzS@X|q`<Qb(ZYE<YgY#>1&jT0R z*qCxN6)wK>RhC;=R(&sLc7XMsY`3-ZO*fyn$#Z)9#P5dc#|t^LPi$MeS2BRjV2+{m zTt*r8426OTY(nj49xt0OR(&^Tc8K+!TsPgXFY~wgu9|y?YqyHr?A*yWx5=6@pJLeB zw}A0@pcIG9;>II|n{(&g%4Iip@vm1_)hV<wy!~wDTpM0*q4Q_9Ro>h-*MRvHL)&DN z2Ntf(jh9U(+{oW{_WJVfn*#Cj=EhlncPti9^nBn};cPnR?6#jbx6L(WKE*IK<YL2P z8{UvdZp@wCX}2H!nq%VJvsyNe+goP+nQcd}`mJk=6<TX=@J;&swzrcc1K3uyOktA= z&sb3A&D@!tc3UWGd+u2Q;jH%XLz8B0I}<oFz<Q@hndcVM+tW^Ld%FwdX`6+N$32R_ zon&YXG?^fgdv3Dl*4x+8Iy|=??oRAnJoo0dC3cpF^g6$#-JW!FTdyI=4;xJ$NVqdM zel(dd<3n0q+Y<e|{5?M2@jI<sMEd#^T^9s<Oti>PiQ2jP+Yxikxrst6i+$8e8wHo> z8D6!Bib;>s?|FQnbXn;+3%;22sPw~=4$R!JWLD}K1)J%azQ(&OxFwcdc3AqxL|}#| zb7y4Q?Jp0jvbOokufLpj<yV8OVVc0Y{u?Vdct2WkbK4!m*|}^}tTT!;4j4{nWBQz- zV0b@g_PH%`vvV)cyyj_~H8)e%`1Ug6wRaPz@5-2!dpb3$_Dn<3%rJMUf|5mz4(U=J zC59mR_O#n2%S`X~M5RZmpV{`(=XFZNB#YE-y3;dDO_@)nM%~RgaB(ghlWm5=#mgWI z=FI?EkO{J2E~hus<uh^@W?nN+E_<@mXZ3W+0KLnve3|?BOaxwdGk4a3%r3nKGJEow z6{X8c_1*~05GeE9V|07k=`FuzGd?w1%V_dI!h^Zd(PV-|&NkolsP?lfN|%|Q1L+Yz zq}RAHak|TF{@%aOjMgfqIqdYw*5I{hyXcT$!K<<i>=IXyOLWZ^Uz>St=?3qFq8m%a z{L*e0%?vYFetCkaE&QhX8>xoJs=QaarmzW}k2imGaptvDke;<hAU$0hR5s}7c$S!e zTr_Vc$VJOd0#5TvalEPOX32_71-U646foJ#B)6X1vaT(bsr!&#C-<|8)2UJYXFQ5$ zUUQaO@zj==#cIZK2ffR$ez<`WM!tCO9MAZ>>-Oer$y|5tin`h&Qu@@yXziV;YbO`# zYn3Oy_IV`}>k+%adZl-XX;Ixm<F&Jo6$)5go|P@bzR-AWbmH^_b2fNC>MGpgqIWqf zx1><aV9WAGuOpcX7pAdg{W1c@-N9p_zAt>Tr-2>T8q36eNUyW?n1=99pX}YBsEG1r z?lXn9LDFu2Sy^$eCu)B8-@`)g`!}d;xRf*7PBwqWaouvebtcTG=1$PgIIwXJTh^mR zjYniQ=UQEUmE*S7c&%#M6|2jyWXm|uaO@6IN|)Onvux`T9*yaly2}`kD@k$aENMK# z1Xi90Qoa(bT&#@KhjX_|)of70`+T;cXy!E=sTFVLvoU#RDr`ItN=UnA1itpk?vX70 z5V3uO_uL<e(_Q2@=kD~$UJr8mEobJwyCwnQrcxenOhA5h1eqHJwqj|br|$eila4rm zmA8YGN4Yci<(dfG@M7-N1tq$x;Ix`H8)QYo4b=^5Zzf5kfD(1C87NWD&N#4fA{&!* zhQh{sAh-OQ1yZ;O6pmFbu}qH->rFi|^K**c<ykpwQ%-MjyVQ`(!W+`&!`zt>rn5 zD0wahrPQw)Z-jQpl&!pc$R=;6PxcCsW2Bsz`wp4}XiH0Zd@(T6t>xU2nG*Gj>w@-@ zf-jt!Oy_%jy#4=2_xfm?|K>L^T5{*f{rOj}wobWn)oIF=t6x%ObXOX$&2?MuJvUR= z<dW7(<F!W<Jri6bChbU_(`ht4^Q+k|3+|Bb7aJC<^RlpAa!A^C(`;_$U9)qBD~;DO zgM#Un{4|ztZK|Etpp0-$$9O@^GSkUyGR7GS26NejE`w6@>vbSaZw*0t;N6BLdisf; zkLKOn7PHLsDkyG!7cw5#kmB%J)Of^Yb8gj)yXLo-9lz;ioMn4qTbpX5ZldR+$%f4* z?nKN^V4EVH^EmT>VILb)a;AdeF;IB6&3Npa9wiOR^IL7-2t~-3tvq=>CtB}vRl*vr z%?`Rey`sfp1!tBuMg?4SNO~9TW@B|(Dif4^N<r?AlAd-&)LO^02V71(1Lw-0CJ!PU zn5QaUc1W5CayqX$C{=`lmCH_JDQhvGB9RD+VO?+xduJ>NvX<gFv#9Y%5h!s=<+QCZ zUOP9jGdVm$C_;Lg%C{Myq^S)m6R&wm6|7m*=yfJjVdJy4f3(-i<uUi<zk6|U+r=GH zuMck1T*8sVrz!NeSGz24$=cfPOIIuXU&*}oj8*MlqPOv4#zeW4zdd3v4Y%Fx2;<)< ztLU1L?lJL2_7)BEea9pxK54tI5ENc1x{{kmv9xocTlbd>x3af*^si}l+ftPv%caS5 z+o>z+x1{c=-c^ZiDmxub=UfRD^LfRj>>2AD>el_G;a2_@kN)LL-L_O&$Z}M&@U@9_ zI=gijC1-9C^vu)fRV?k>xMbZV&7kQL`CB~nBX&t{eEId1(PG!CotspaaLDlGE=`;N z{qy%3(W`w7luqh~s!g&wt`a0Z!{?PyaF5u_RIhYpv%OxHazPeilDe0&r<_&nKf<)w zb*Jd55amgCm{O%y7W=4|HcBqhGyJ^vjQN4BayFmU{{C5YN@Chg#ltVR8`U$0a3t?| zw16jEcFN-^&0I0%0iDu(uO2Sj>nM}{Cg8yuS+6;po%x@?y>Oh>y65pCS+#<be3N(N zwCX1BNNwlYzV`3(|0~M6n~icx1Nw6Lv^LyzR4u#V{A@>ZJ5%}FfVB~CE*!44{_^nv z-{XpmcFs4O5387oANnG^;!4Jy%yyo|_cdPZ*Xem!koZ1L^ROWMQ;Sy%n2yVYJlfG* zWs#UaA*%S+!UJ;FQ*LCp%bDF=*#Eao;y9zV&w~ZBYK14bu4dR|w)4cU{kz=#@j~;r zQyy+;E|Mt>nEKpu#gUEXYZX`jxyQ#^c6;HepKmW5j<f!<@jTz-8~N>=G8+!7@a>!V z)A<a;H5LowldC?L-*9I+FH`n+Lr=d^eMUg!-6e}c{@*F;-X&DK`^}rzCW#iwiZaV} zT^>wn^_Wv*`+2+cPn940{&PwPN%rs-%G6(Oy5f^?+v|}=@0YV%g-cq0sonOJ4oY9Y z*6FI`wzVpLmp$&Y-*yaN@xEPllVV@9nM%R2ZTg+eU&4M(yILomG$YqpCjDjY%jn48 zIngUKC%IMa+<m-FqU`nrsh?&`o(W3_?G*0)BK36{ui>3dic|S+OgLaGJ!yxx^4<-5 za-Dh1b2=W&)CPQ+^?6sWc4xN9rPT*ln;j`Q+Ge45d%^)#=}9|0l=rq+`)~JN|5WdW zz;V{-R;KLSXwNyzw_kd_?y%VrgS%}OVwZkj^4_#^+gg=t6F;w>w|80u$KfRIhcmJj znT&N^lEfrqKIA?UG&^E&yzR=QDQ`L+L?8Q{6YY6s`NVgftY$|xTxbiCFjLtuqc7n5 zF(Ij!l{K2N3+El5epBFhk#x}OH0enZp31iU>o+O3T{Szh@mSjxg^h}xOY?)$d*AhZ zee!e3@1*9|f}AY^jlN<^8)vHQlHV555v3i~5v3m05v3f}afEBq3-3sM?nH?^MVZ4o zE(t4IJ$Mv5_jU1Z6KHc3J7jpliG?kq!=--G3+@Pe?!*__iZZo2E(^A|dVEpo+*j7S zMW8KE?2zFtCzfLo9Y-Q2y*Pc%f;&+nUr|O_$0cD=tA~$L=e{K0Z31nYVuv>N$lU%_ zWO{Z}?~$|Djg~}Sj%QhaHC1%~g~k6OzS$Mn1-|^*K2zN^wRG!yW%;((nSpVUaZ#r? z*ho+Hv<QpnIN-%SF=A?L_NxD1OYQTgiXLu!v)))NsLl1Jypl==&*9DeU(^ho^~ImP zczKA)R%YT#ALZZOjjbUGjm@i0uW7ED@qB@unpK93u#8FLUA|dII5t^t+Mjy!ZmZ<3 zK#T9*Gm>LxB=TIn{&H#=&tln-vj!<wGgmE37f*Zf=#WhM3Son0)@#AGvyREEmkC+W zyEs&6t?ZS<%<gCHwpnM$9G5j|{Kq%z$d5PriD?=4oIh2k)pK5MIGFsfDy9CN(b-M> z(~cK0O=UUXcf9k>dhTU`A1vNToSSHM_~)C+?mV%vikSxtTG(WqGZhSa*o0~`A0*01 zu8lIDxGTzT(ym!QJntS}@W$W#>1#W+$^R^tO{iiD_O$KH1dmd;d(2IYO?1z&Z8J3q zur%hi2)pPIo0p+b*u^I2H|b)Lj%sOR>Jq(;tygSw)Jo-Nd)W5%E}3_LEyzD9_gm-L zNZY^OGrDzK62%?8nsUpcI%aioe_JoVQu^@YH|x2dz2-6B?El;7i^R4|4T;>m7G{?n z5|nvW%q|=_Y-AMG*S<urVEz@=cN2HD?_TomG2hDLg|n{+TR6P(%+bE${UtEM{S{9( zx3$x2Lz#t)haIH~a+Wt9sFU(|v$(N+Z^{;#)t<2lqAOQVwOCml;rPn#+T>lkzD%rQ zI__cHx%j3!XX@84`ibAPB<yF#AF`QQn-<hJh2{2~jRK}^oBWG>CtqRx8uqPoucYN) z?-{o@`S+e`n)G10!S=NICugx8Jo-Ja;`q(wtKv2Zv~}NHzN*cG$3M5@Vdql2(v6!1 z8ZV12dRD~!vEZmvO$mqmrZ<a&Z%27Bo!8x}`cbDQA*kQ)?Tp$9iEih9tDaf>kkjNV zM~DpP@=3>p{R$Oo9(vgy6EWu6mOb&m_l(Qj+ql07@$jT?JC^KbsPJ1@luhs8neR@| z13q}qFy@&0@Y?QHt>e5-p~o%n=pS5sF3H0C70-2#xykk`x!)+4b}}w`w=mWsm#aj+ z-?LuE|B$oYZ<lS(4~?~dyKFmrGktZd2ha4}j)#+$+VwpZQ`&IMNyRL(qdi*e(c{L} z6>%FTu;@j22!(Izk3B79+vS&1zkHS2_m??UmQj+2oBCyDBo=);9La?|{wwkBiBNFN z!{VNT#KwtwI)<lOZF|ZR<&$G9`Zns#Ibb0k=Iz3M?R;B#Vq0ck+|~F_iC0f}-p-w~ zxOp;nNl8(s-?|T$%XV)#z#~3w#>+!;X*)Oc-_(;iE+g)ju#Q{Zu>a7@+e}$&%E}Vk z^g`CB74p>1nX_>H<l-;IMUDUb*69hZ`(U|i*9Hf3@o6vK9g?fsv7vvSo{YP-xZi^5 z-0Bx^H(rg9eQ}7VS!VC5<FC5;W9K|vI=OgHQBmWybAP?J6t<o>ywS?qwqt`!wD`9V zKb+aWeLT|oxZ>3zPMhL~D)Z`R>};L>OK8J1<IlxBt2b|d%&mENmbr1LaLC%1u`?29 zbFZGdl$-laL1O1(y*Cf1E{>JqmI+Ci+w8TbJb+(X=E`w5_TJ>l&1xHNI!?Zkv^?v@ zwsUnGH%u_vQhEB;)T#&jwtU|rxBYHRba6>}Vxyd%&c(}u7VgpPq8ZN@2)&iP;>^ze z_2Nax$1k2N;4CS-pt4KA#Mtrph0~6bRl>0|mm0<>@+FHI$CM`aW$Wn_+-+6O+p(d2 z_K}mb56aZatQC9ldV$binJdoq>|YHJI6mI-y2G;3n{U>URO_qpH_8LrZhu>MrTJ?o zx3NuWVqdzR&WAg#s&N)f&v!gtz$7jk@@QUj)sFWIvOd0AP-s(ffkn<TL#Y4$pKUKz zPnz|9|LW|Z+pE^C+O_Q4?pdFXEuDJ*_$#f|^&0CBp6XxIHtp;z&BIQ!8<%EBq?#|u ziue-vK{NVR!n-=nlR>w2l_S!YpZ1(~E3Z{Bp!?Odhi3ZQq=QV?Y?CzF9iIeJ{yDI~ z*;7($Ro3fOT9KZWlO_1-MeaBnUfm_O$}dOFEWyGl=RlBjj-uHE3$L65!O}UV<|{l~ zx7@#@uymQ(4cD9l1x+^;WH0$8G50+_!uE2)HeqQF8D%qpmAu;|rMIj|c^aB(m}6q* zv3eyhpP;lsj)IxT_J;}^8IM~fHJ3>92}pCSQ8)A0o;ZJ}qp#Ky-)C%^rdtonB{BDH z1)26uNP0!eRFDPl`tNC1ZGJNSY*Iwv<f`KamVf(_{eI0ou<46+r|Qdcxv*vTe@0BL z6F)v{7Jv7>(D$#pw6l|EM9kGT<T<Nd$a7koljpp)XU_@kN{N}_pA5CuiyVKEC9-$D zx9U~h)n2EQBd(qikeD``>9|m^z>GXDq1QeK5Ay|o*mzLnQN;Z<ffxOYoMNZ``}Sbr zGuA~1{yAMdzRbz6PxS14{i^70t({R<bUx2Zboyp{e8-#W#*a6@3kh$2Z|iev-*-dH z-*$bPXaDVZ@!d<>e5JPT`c=B?1Anhud--VBt6h&SUHT-Z`>O8Z63@)i%V}AY4$tkc zTmItqgq^9~vwAkK*|9Am_JRD>!$&{tkkji^|60Ilv!dPm(J%M?v0se0cRw!M;`tzK zi|3=XEhi7(&6wO@eQ}w^JNMZ_I|OsR9xdD#JRv_TFe>KgE3fX^D|r^nESFx9ov*03 zTJx`5taM%Mmq^9cn)!io-FdtxtFoh31$9T2<gZX$;dE!w9wFNoS<yA&7k7x+zI>Y% zYOz;m#Tur)xhtg1byj3@f5}|2X|2<hO*3EZEc&uk>cnQ*>q`|c7TR1b{JT=@Q^|4O z1+UMR+)2=ilPkHinJs1O%D!y>{N>i`nps!&z0PsI`r@l$Z^-e|MOJ<3R_m0nI!t`K zqb%fjXUOrbORfBtSg+%a&0qF7D%e-h@wn{*kjT4K<|6C6h59-krFV*@R~<80_1NV? zp}(|%th$lx_a%%M9cI4War{ca)RleJE?WbZS-(>R84(xgJJInt@AAHOpYl5fs}84L zD0J5KD7_;fz3N!Ps>dl83Y%9L`=wdsCA^s8^?gR&QCsQNf8@?f|4X}Q{&P*?zonZ( zqPLm;xw~loZQb74FCxv_)_&b+xF+jIwd;P#%<ja}y)`_?Uq5|p5u`u=z`d%UDty;Z zKYtjox5kd|+G+9Qja5H|Zp7=y3q`*wzF}c@eYus~;V)G`C6--1ecW(soL!^l*H4~4 z>!$lJo&NRHPl-VNcp2eWPrVar_SSgztUjM8d?RH3D;J*R*H4opqUPWA%30HY_Hf&; z8#Qaz_v`kRe%+{Qu*cCh$8$|)M%uJxYZt9rv~3BK_afh`FaB&iePzoI*R}iFFMrtH zRhMNRI(=p6gEJodhYxx1A7@&=Jo5CWOG0PLtFlhjt<XHUr_Emf*R59<r*HO?|6g}8 zKT@`md*e=Cd)=%F`B%2jOS*BdV}k9TUo$4uZdrDxaLd9cEVqJ+gx*U1UGw6!&CWGj zlspt)X|49&udna?KVa6xr@y|>Sza&G6neDu?5ganX79JF+{7Zn0$Z>4o>^VZ_v&3_ z(qhx6M^hs%pYX}sWHNQhn%oq*Q=g9MMo(d1z2w2%D&fOj9{h(txjn1&sYse3ksFl# zH$(XNij2tzUSv!@aw21L`^JlE@{bq!7WQ<SsT%0snsVgJiN%LD>TFUf4V@>Ln>0f* z_t6Z|T%j4VxszrH=YBFUzx5<JZi{B$&x^}g#9U@iijb%bVVUL@wnT4N_aa}OZsqT4 z8;veKPOMpS{P-0Qf0<w<TTY+8FDiyBC+_@^5fgl8%bs<6wrp9qW!aWJ%a-k8GnT%g z^XmVUR5#|&Ou-qMfq_?-Y?8U`%za?a#=?!W{x92UaCi^fGuMMh4A+zwwUzpv+j#9H z>otp=N3ztvZ#Z?bc1_7Ai!h5yrsTOcod?&wdwS#Dtr*|<<~ef`7fdeZDJW{J^V7R{ z{iN-eLR0^Q+1%barHTB}F*lB<iT5Q>=2qWuv2}99y#r@H6zw>wzuHSHEBS@U!-N;2 z4<Edcd?@fj@Zp3P_YTY{;&G4kEe)P(U*7%2pd>fp+{qU8wvQLp+6phK^(jtNEG+5# z!co%q#p6rgmx>ueFFzVuUJ^dO#KWISSlQOoCnI5<H@EG6jdg1@4okdV>h!a2#X8OI z#F8nki6K*3lT)U+Cb&#-O{$x6^za3Z?*2sHeHvL)(pPHe=817nlQMSNE_iu~Yirbv z+CP;SkF%~&YU49W$oOx#Rr>GqCH_*ES{`m}EwI@n(AX}f^znd`&7JK6^7=X|eU8(< zsTf>vnk3;+&gX7jC0u&(RlXw6U!9i4>svX#Y!onEqkF{Jo%`d(%TAA8Br0;2Y@eXA z>*8GH3)AkoEZrBY+p>^5cBYH8R7Hj_v;2CgNeX?_O*|e*@=mJoYI-H{u9=0W++l0L zf5#V*MK@mU=devzlzFb}@>K6h<+}eGUz$>-`KRj~IiAYh`M9f9rQoX5B#X-pZ)Ez~ zOP;R?ES|g0r+1dL*rCG9PAqwm9Y=DxKNg&Ds(G_%f<;-h2UE3<O6T5^=NvW*tlfKO z)t01YU6EO!JsC9hdOhPovRLh^<A$@@IL|C}6tZ@fw^{1&>e)k|B({WuN3+EWKPIj0 zzMhk`!npS1ENAAnolo+<9L?@%HQ?DQ(DzVGsh~}wZI(yRhMdQVOIm+Oq$(EnY@5Jx zEW$(R@r!d0XSnAtYI|70oj4;wQASwTC1FylhtD>Fshv?B{VT<Uj;H=oSdmz9(rMBT zk2!mM;`c1OxI6Ih2d3DWQf<#)o>CFJ(0<^slhlt0mu3sG%L<LECLT#ToL7#%7BOTu zsQqZ=>0=^wWW#+YiLxk;<F(v^JJJ<}%5{$%mgW9fc+u%mgo~VE?Z;cmik@%O`j_si zn7g2SvD;a_T}Gx^Wf2_5%eVz2vK58Yb&eeN<o;NA#_5qomLlhy%@b78F3eRfnDb7? zH0#)fsVf7GXRY&jBvD&eW#Ppv@17xWJW)zzLo=JF3{UNK71ki}^_L%Z%-(jzUin>O zXvK=m2bu*_nD#NbYY2otD5&^%Q6X^ovCE9yf;ap_m&wm6?_iVT3o2Fp8uu-t<3Qdj zqrVAzYE3?Dn7P9He}uttK5oGqej#Fi{66SORow8rdh|=&wup`cajT5}a$LzZ`LJQ? zs_xf&W3~!3YKNr$Iymoo=7LMctMnFrFFNSN(ib@OW&ZQHWsOHvr7CuKymfhXcm6hk z#^8|DUo+=D&rm3w#wK?z!208tci~YT2e?)l{k?Hc*yKaO95&85%gY|UzN^1opm8Zk z^<3%92Z_SGLJ@9nRo0d7Kjy>|7CiMOd)d0>jqRl-9tpZzg-!Pwe93YV?z<<p=-P5I zrHk7I1smqAF$<XZ^5iPvy{=5mE9R<{o;bhq7|Z9|#@vDxS&BmbD^7elbA5i7&-t3# zj0p$0lhl8O+e*qsba*Y%aZwF&NjT_VYqzYi-QC3F0ozvLWz4%%6|-3L6q)!}-hJ`& zs~&GioqID&Ua<8;s||Ta9$s%*yt<X6M3K+ugYs<K%m)kEd4*p1y>)qUz$%Kvot;}S z!~d<D|FyZ94-)Npg(`l2-0m9xwDAx7O*Z?$jXZwLhihiY?`h!`I;||@8FR5dCat_c zr@&ot!-d=l5l_r2BHoz&h<IdnWaE|83-?2BJvK9#Q}{K+;DDa1g~~cNi%B~kyvqIS z^5gP5i>sw~awk~4G^?<9ZT7?Bx!IAzd#M-v<L<vQGsr3a8e)(w(@{_$(^J49(^cRh z(^s%!sbh<BXZO0~Rr59X-@Pl%WPOLNb8cR9du(2F|J^)ind(@!zdFAe^Oz4Wnr~61 zHKQQi#lm8ly9H>SqslTj3mc!#0+qsJr9NNp-_-rnd0An@f!ql*-kVj-cy9J%#%r@9 zhR0Jc?B_E$ottpu-7C%1jzrIv=EU3<XVr6pd{Z6VEmXo>Eo|2G6sQ#5E4}hnUpc4v z`}6~0+Z~g-Z!ZvgoY&m%o98Se9n1E&o5%SsTid*K^FDnEd@u1Zq2kxX+XpY&E#hk| z6XfgMCdkL1t0dzYQzTpZH(SK^&R^9FcXKDacxG1d;+5Ht7mv)2T)dfjVSm%dJ6C=F zCQF=;aX)k2y>`cH{o2|q?XDIURjw8+Z7vonZ0;5|JY5AUg}3g?X7N6F7tmOk*L);m z`@zE!+YcVk*sj<YnCHxNSjv*ulc!YjOaAmPrEi`7w|L5j#~=S%dHLQ{=c8XMFY6sT zc+u?8Lb1()g&te_RvbROy2EPHj%QZ+U*2!~QuclN0juqfi&kr2zGU9MYX`5L)o$Lx zk|JrL7cb0I43CuFdl5gU@>SNde-CG>uiNMM;&WQZ>k8KId)VA9EZAHvSlHYwu6&Vw z7?8cHW1C9hDUkZxm2ckZH@fFFyGUO*yj=dmZ5OYd+Ya7Bo^t6cHIL0y3{RBadvTw0 z*ORPe|8_3uUboNh)#qn!EzJ+pTACk+wKzX0YjJ+$*K+vqT@H64_1NdV|9Y2jzxyX- z@!rg1PO-F2Oqp~|Ori9n9k0w(3eM(wByD)ShqI33!@R|951uo;xBe9+on#^MFyV&a z!v_{Z4+Siw9!{_jd-$Qi=Fr3=smCjY|FAq_F0bd5DU((y+r=wawv*ScY&UP=n?h-! z8?Vh&Hl9!AsB65Qn_%(sRra#;v+wo2ZZ2CPqj~7yMe{?77xWJ)UbH{-FfpS=xpVcp z&u;e@+B|)y-*`E%`H1B9gNIAEA9OE29@_TWdW!9xHkPVgyq;f*B){06OJAq()%M); zb)VZ_e@=60X--UOX@1<Ae07;DlfJTy(0U~qxhVG|6OTk*uU!1TX<xzj>0Os|C%kxI zry}RJW1ZkHy$t*BdXHYbH&Zb<kn54O;Pis`i_40nC8q8A74_nCT2M=KVo^(Ta$1XX zLQRWvQd!I4!;d)Jg`USe@7;HK*O|NVOsDU%b&BRSw>N@Zof-Rnonjwvo->p1oi-J< ze@FgkZ>wpJ^OG$o>ig@bXTYbYXL#>qtq-{Mv%~Lozoq5z5Or~hr%!|?&$)A$Mg4o> zg^Tr8&9VLVTEFhv+}pBfjh{HToZmvX`=_d^EuUSzt-WE@y|~V+U%yq&2+CjIfAQ<L zV}{G_-D63+dVBVfH@~WOdcA-3HuXkOzW(u#Rn@G0S8i)>+<z~Q|M#o6UpKD2chAD? z`t8+Xd0)S&74F>^CwO>jRkftv;hI$`3(emrs);*CwwOu#Gwo#fTqt*I!mp~8%hc`7 z<o~T$`S146FMUnMCT+bPWrjH`+PjluZDW|SUq74_!TkAr9H;p4I~kJ?%*mL1<V?oo zcFBus@|PF;7W#CXsTx?`nsVgEh4`N)*}uBGUQOEdNW!mmpT=B8p6eMwajmZx>Z>gG zG@aHe7eAfx7yIgsclbj6gsZM4bl-Y3>(;chUozL9zZA%Oc6Fp&-m0G`F5Lf@vNP$q z&YC^DSk<B;CX1Qw$V%R-TVVXfU=h#neSfAeo%-~ssp<~VtlVQ4*X;OG9kcP^tWVD( zZeEbNAi08XRh?6u>AR@1d1fm<yt}blWA!?%^~Z9nMQ<%wWpVq$ob_3&oA2q(S$}26 z{c9`s#r3WI`i(1R<@WHT;=Oz2%&y*kot(UPuU7WD?dFYlK|#1>U))rAnU&k8KP=e0 zSEl{dTTzRM{Pjl~tExlm0`k}Q@BRAi*v94e?y+3EetY(jb-$`Y>o#n@7uP1c@ax=f zQq%vGE>y3`bbdF<e$Pb9AD`EWEnWGtER4CBec@|Qp3QgJ+AJ5QXSDDd>hu;E=yVqp z<a88p+~K~fz+<iQ&dtK+PEWy)9ik6A9+$N!H%bfksmQe&?VaGPYW412z~;lr-~F!4 z`Ji&>;75}~iXU_iDSos$^zfl;%i-gvIoxH|E6H$f>3gwJ<<^e#C5<cJX<fOHC;c!X z<N3W#7xwK34>N5)c-(2bVxMcCGgJ57HkD~D^BjeZmdu-bY2QKrt}ZWaZ!PWDUSIOn z-uzP8f2QE5MsbYUDW>T?n~u0He)F&?@LS<MjpK!;;bF$HJlA`S4)632_)@nkW_A7K zmu;E`ch2Nq+_A%pw{G#8wyHelzjuE~yqm#v_^!W{NbLmmBLxOa3}m=8W!jy)qT1U< zI`1kTJ-l(kqsJ8<osV5SJRhWZcs`nPlcTSCnX9kfa%Zl?GbbD>G+V+E!>=iH*WOf= z|E^P4|2jq0K0QU%#&e3QoqqGBdIfgmzl-6$*7wXUtDuZSQ|7I6m&5J}N*B$RR8$Du zn%-}w=r6O@*^6ntQ?%;GOEyA6?!MhU4_!SHE98PK=Cz76E?0DY<mvJ8gT;~`6(T{8 zDl*t!B=&2rcydPM(T#L2&Nt?*Dtu4eX8u|)v9_2kHccXfONQCUalw8~k1s~7{LMZG zk3aC2604md9HNquUNvj+Ud=6s1eC7`m6-9U@;Li8CeP61;4x?I6kPUT;e5>>5~(dw zi=5wPRn1yFTXV~y4CO09UkrGb@+A8-Ca=-t=rLyP{J88vVw2{N85vwpXH2nf-x3hm zdUDyK=|9|ldzJp4XJ({QYyPHiv74*C;gVfick)x-thd}XgK>+K{9^f2A5Ps^)U$B= zMpsGq_+Wt<>0CnEJ_iqb1%KFhDkErSUXb+V%QCsX3q`}Eow}vg{B*I8vQSOQ|8%@S zv*J;KN7{}XFE%e~W8E}skLTQnIxAPdHd@Ioqg>jlz2x0Psg=KX_`NE;qwtj_Z&H=e z_7!;#b~xO;YWl`(C3Lyfx@)pm@>tIWZ#rV>^{t?7Qtg}tPb~U^H|?4dylK}w^It1o z?A@|v*=6_MB4&s4%d$VSwaoLmE}7c+)h#lqIq=(tiyFUgOfjDq{P~4&a{t+n2Q;=H zdglL$N$23<nl;ZZ=>D`02!0W^SZBjo4euQDQ*vbzsq)sJnR(LA&ud$3IZsk>%b`zy z7`!jq-d~q?Zl&AI<XM4YGxDaWrb(po8~1MNk96B9v-$J0#)MOIk~y-D&6$0FNy4Ew zDH^X_9FF_j>HX4(54`;J>Yuh%H$UwR%xl&B$*b?&{^!7z5X<`-#X1J3n7Dg49Z_2R zCUIlnw~Z?HFA^rz%#@!nOF3TRX;{Rp_~u!P(^F6R250y6&3`&w(oG_@@1a{{!i>Oa z5}8wMdyG!mxk*2@IQHz+!CA>&fnm!}rN30ZZnF2;j8rZj<KpNQrfxjRJx0eZ7V8*X z(eU;$I3=ehl`22Er$}aUG2@Fb+HIN34jBC1{3Xzn+wcpQ=Bq`LK^9i6B8~eLT^GfB ze7s=4<cEYn&?5<nAR!5nph*%rW=)IxGXp>WpD^K&f!&gV96n8^*-l+Yj!k%!=<M-v zqur7t8}*i`Y%p7*vhi3>i$nV5Mb`{gJ98agHQ~@kqa_?`I5dS$J9o89Djq$)X@b!4 zOA{s?m^5M1k)+LnLa{#GQHOQ7G<mq4yJkf>bshLKLCH{mNyQB5pqewBnuTY~n7>@O zDpFHo+-k9FwiA=Bk7DP%`AhYW`hM0sYUuN0%7m+(-!rYY8a$Cuo3tw`bb{4!fgo`S zpI1W79(NBr1cfJ0yz=;k|0~Wf%3oD}1>Ie+%<R-Uw=DUf^cSwLWNbZS7npC2-paH% zrE}wyH;Fu|tGO9fj~jMP5|;2h>Dlwcq;_ZMrc+nKYG=(|6+ClR;IkX)Q&_)ArFPo7 z-8`JP_)T*7GclKdu;;pJl6}u+q)mxVOs{KDoiVXLblJAU8pS#0r?i5NPYFf$Zfe(c zyLtTCABJY1+{T9)eLvlHikdxRkLa@e8IhWm-D7lIZL!XVgBspz%umT(lT4NO?=7;- zOMPd>nmTpmT{D)cEAO7n51O!2*F>aLDdE%dmp;0SSNP=4*tq=JjLa#~5A*5{pEj_T zU+t5-Kk(U(^eL?Gq*FWD-EJOsUi{{9VBH1BWoG9KOpeDazQfi3`LtGW^(5{cE3K}l zKP?EZU3cH)l+5{_O$Uk>>wG+<QC*QarFM_eDbb9%yZKCf=l%}naWD2UKb5ujUu4_? z)rh(MS9jhwn;vd@^?9B3Vx0^3G`vd;PRX5<`ufu%V~Tcx#`VX(!O4kBA7=E4y6qI@ zd;YyZbJ??GQ?WjY)V{@Tk-G1DHytTl{N`ax;J3m{8q*(730!yJ<(+^FPqpS4vY49j zJux?wkUq`p+TWYHbK}IID>q&wzFBEGP3mcw#q|4vsS%U<uimi8pJJ&ek=oep7WrsW z;J1RC8ozfWP0=ne-7k1BA~MHfR`SHayw>cWsitA;o=>mWINs}jU%SdUBEIMHepT5u zI>)ZP;BV62zg|1@<_^yf)mu$Vm)^g=^6u2>M++WvTc^JGvh<%<U+b|8w_7ED?J#hU z7Z-c+{E(1r?2W?=;@=D}v_6)2a)^_s?4e3qP3HUHzH@!Op?=0!S|!_dZiw2pYr_E! z@v!bF@v!U|@vxbF+{X=%wF+1KX=PR~yjnPqtEBMCS~2JAGNr4hUMzLLD-<j1e%aZa z{p-cMj*nkFT)_FI<bq07?aKL)bC<rF|6p5Voypg^=XE<CUV8rPcTMXGsb>4@_e;2i z#X>61pOSbt**baGDaS8qKH)zPoRW~c6lxUu)S=3F-Rhc@RG$8qT02)2G3=drA=GZ8 zQQPv8sZOCc=il>rr8PfN@^JAkua&FbxaU86sns7Xd01|jmw)KZ>2AA@?KL~Hq2H$Y z%f^?^c_K2i{+b;rXjtPfKSS<`+rdK13}>G96TiL$zVyu#k(qMXY{?|WO?I^&RTHII z-Jh)BHIz|)efjue^_>&fzrLO8%%gtdS50Vqc+uh=>)tQnHJqdLx_kAnU6Z9%OKO+r zc0A;XjCZ<vz4ea9%GcJ}&OFag{F=0CU*3Fn@8>3g#!LT6Me|G#GLP|@`S4Tv!L<z& zWDeT}g}+t_dT!zHipkwG_L0KMY73WFc6#-$FAHOozOv{|suB|4r?ji_G1u1UsjYLC zuL^v5+%o97h4(8acaPXdJS(d$d|%o5O{|hp_q1&kUNY}U;VNmCZGm?e2(>4Nm}(_k zc!er&oNIO6=FNf>d23C}zPnTA9FX(!OFErCUqn&+b#>3LgN)|;H9{ow1htOmI*IQ1 z?E3HB7Ac$kpY>FY?<`K~`#nYH!-9Zo^;`c<m?S9|xXG$mGxCAw!ZrUl{nS)7wppCg z_k4=ZheZL`>i_<lG)Xcp(8&F@K4;_t--T=Dr(K^mN%B^pk$bh5*oy#-*Xhf?E>CF- zopNU5tVyibL{9xW8I!F!Gf`Hxc+K*ZM&8y<byb($ywYyCoRpcZ8JXa{aLs>}Qf*b^ zoW&`9Q>W-`SR8PzUT@drNs?uOM#uBK#BO{}t=RHv;pXW9q3hgM$o%FEec*8L-440; z?3$6OkyFkT&X~lyP5hMAi<?u<7|fewsTQ#5z!9g&{_mbs&KS&`WVtM0(}61>e&&=j z26HD_rUh&|a0bMm$vT<s`r}$n)nc86DUC;4H_5&4)|i>7s9LPEAf@pqi2qq*W}=>I z@tK7wjW<F3%^H!t<z8XW6;!!pw5EKmu=hBb73y>{>qWq(1N%T`?wzt`TFR6)$E;Pk z-)QZydVTq~#X_SqS`s&ZJ(2TX!Bot3&VJ$X-{+b%zT_t-u&Wm5EKiy0D|)JGPyW)B zHr**}p5=OpMTA`_vQ>F5wk5FtL}kk<!}$TMVj?T5Ec8@D+FV;sZJZInI!$Cnm4=>b zNLy;lsf}|2Si?kCR7vzHhqPU0tzE|ckkd)jB67hi#fp}IP%)nsGRHYWAA~fnYQHb( zlxpF<LgqO~=!2TZRqgj!ol<vrtdN<`5&B?Lp})cR$eh?tIkjs0&B1NI`A!vHc4WO( zo42@%!*+Y#YWE=N<J)9%WkVJ$p8D!%+Vs->Rrf64ERfNc4S6ti>Z`)Lx1^@Z-9ImL zdsWJfjcZSJt_|t`?Z<cO!c9lZb&-oNeLiTbef9I9Qufpx4;RR6mkD{WZR)Ga$LpGn zVoC%0*!i?RT=4q3=hF#CNjYnc<H78quMeF6vR*Ph!*^$u=Uw~jr=QK(H@8nV-con# z)w2a}y#IDz6yx>|Eq<ZB-Tiv;l}LW>SCw)PFMi!p{H~k*>zl3*FRJ{kzN<D><+)ar z*lwRICwXP_RmpyySC((r9Or&jDe&;(SDTWmKMUsVJy-E(!93%0RgWBP`;~2W&2#tj zS6cDB!FZla!1>5@+mj3C{T7sqJ-=69c6rS!lRdAuy@*=3<J*zo#g8_u4z)4W>a%$5 z*;=f8Xz}Zv&%P{iJL;Hjvof&oT%><i$d<BelchxG&YgE<#SQs~7r)LaKQ>uP^zGcQ zOWa(em#dp<eFM2pe9p%uZbwgZ^sjr~dU>*xXf>Gcnr^!?@T1A0#jh(LSv?J2e%|c* zR;AK!F=cN(m$)4j3t#P$6%tbfGCv&TYQA+7g4I78haV1p{>gW`YN=L!`CE-rHTm+Z zldLAj@6_Ej&1{|QQoqM{^SakPZOL|DIeEw8)zhw6-Z`1-IrotDU9s5Hn&CPtg@5$j zUX;BvuO@hbTE)frT}NMeolf47ld@VZRQvb&uky=+w=D7uE$zKkSKZQ_c>DRUK(i@} zTcf_-UKsVee%}A1Ju)dPFPQH(IK1q9@4A!6XFf1@i<B^n_|?w(aD90|Tje%}NP+(~ z;%N~H+<mE!<0VoPBT~92y!;_<t#Mc=y1}NSekHfD&Suu*n?LIJZ!%c3!SzJxA8pww ziBokQ1dr^$)hhYyR+I3}AJ^R?C9d6660!RC?N}|#WTP#{`({jWPZg+-77u&<S6}Dg z$(%oH7whn>&-v&r``?>A^+gi*1@1@k4-WA(=N_!Q`GegxQiAPP)s4#^<F_1r|MG|a zjSPW*mF%fIl4gn*H-FD+X?{2>wTP$fU8!}-j>Jw~(}H{}jl;U$F*_5htq&c%@Q~YT zN5X}^4K8v$H~XeNo+`CzuFRB$pT$dJb~64xBvhHVr);Z>9MAQ<vJ)5X3Popa_*M|m zHgDRAV&|>lEzJwJtL{ix@NJ`W+rDWxf(~z)-)!_{`;n>AOUiX?=SeR<tfTH?;NpHu z@vz3}nQz!9_Ll{;8BW{rC+A7BvJB5-or6EEK7A}ZVQ^3=+NPssQL_=x_9LR_-Rvw6 z9W*#96cgiaca=|aS!9wo%S8Y3fHui#JA`hYNO!Z4NQ-RpesLoGw&GzK@0^ngpFH_a z8C($xH<JE2frI_9ly`xr>`y)GlpB&=zN$ZZy<cUWj=u8hytG-HTZUbzWX=nt?0~7w zI}HxIh(DY0@DS7G*odTA+|@H)Wr!cIVi%2gzCb8j_R3)?_OBanIX;efx`1;{$pw|L zh?W0J(z}|Ce72RPerT3ej*U2*tarxnN-JyI&J9Nj#J?FFx~SLZ$){y-&{6f6^@?^y zzE{a>nvWSCa}<_%yTG&Omy^A;xx4gqlZ*3xRy=uPEM#!!r_TTAwU&@wewm6g>N+kD zcC>oPY!={;25nNi;P60+S4Ho_fy0$jKP=pvEzVt1Xf!tQc(mnXWngaU=3NhVm|m^- z7d$+7{hKKdzlHx)ujp8{<ZCv2nB;oy;*x^I#&3E$7tgiYZYfQa&yBI@yQVkifOhzH zm5-M$E|=LZBVBA7789{{U(AfhJGr@SiW57l_1-*O$^E<H(V;?{vWF~kI}3#PWoxwx zE^pk}@vxEG_)hs1-NM6;wlU=a^4Dcd`d0JJIuOh5yXf=vm(~yOUk&-cAb-ZPj0d{9 zd4W-ZqK7xlcde9o_Q%J5$EBB@iM3lg6Jxh@Cg*PPOz_#_xu|~2$-@m9llvPlF0*KJ znJpwCmFtxh@g(qJgk<EzS(<Kcv#(eg-8y1ubxXxS@75Bb$r+Q|IWMZo3xkHSx}d{Y zTTU!i+Mu~f$@IEUzuC&EaZm24Y;&)&$?5*`<A&7Bz@4>A)Ej#z&Qme_qf>kP(xa7q z3r}Ac6l=2+ERNnfYZ9AFl?0nxl?9t?6$_htl}ejSmCcu~FF#&LzU*A=zC^w8-Nbn+ zyIf_t`tAi@T~WCrdrAAk{3Y$lMN8Zhf|j@^B`tCHb(|<C|5(|!u&4Wrih<ds$wy|a za8@+XS|w%rlc)EKK~LYUsYgy|bVuEGi>%@4-*m)K=hP&J{TkjvfA{<SznOCC(x#8) zOP`j$+J8zSt~S|`=lp)p|33>DgO-2s&+U2Gy4I^N-Av`fr8bpY|F1OJlx$Fxm(A7a z^D~>`kS^`DsGIfbkqdX*B&s5+R=ItBl%<^<k-U1+;@P~MYql$PE;W0SIFt8hM4ofu znhgtC!fpi!t*)E3Z@z%S($J8ruQrF3J~B56tj(AsU8&Nx``F64?Go>5eXk$CczKh0 zW1N`M#oJCcUp5NJ_v;8ADLm~Yv?Ev1^UlJKsBcFK?mJ1y1>9Zi$)lg!@o?%=yS{d@ zMPW0<ls?{Yva#7HAn&iM(w8na=|C~J=cA+7e?HbRwX9oyI*aK|+L@xCJqur(zm)#m zs?%mxcH+X(C~fy_ZQ&O=sX}wtoN;#7{(SM^sYe<4shnFjZ&JB+YsM^|?Ki8U&$jK} zx2<H>Jihfe6c49nua&W0>yywvb){&_)JluoRJ**0NSW(vbsDq7rX2}wy82?1m3zMQ z_0F1O1xHU=<VDVuT5q<j?*XrN@{YVznd@tO9=!6uynTj|Pra`%@5KktDtM+FnTu$i zDfpQES32KJ=CGi8y2YC(GVOC>9xRybEmKg$|9kG8<96!($=fHZ8+?)$4`2N~U*_)V z!h@lEqYnC%hprFPH=Z*mdD&#{Get$6mVWON*G>LC<N1@qGv%LH#4IX>ykqWa7u>tL zH!F10h0wiG6LpG0^$X9Lmf6fHO_WcMvFNkan{&WW-0zXb%}=kUZDs6FG`#tVCq3d< z@4r|@?f!-PZyzu?ko!R5g&7A=k+cfiZeF>z9lUmJyLb!V{JoXwkuYO-n@XE?^{nKX zo0&}6dkUl_e(mCwS$v1B@nT-{5sB>w4@Yc2c-&&UV&6yDh`3dCRKm{9Os29vMbZ*# z*54I29y)2erld%I^&FeNtA6tigsA&3inpld*^y?b!|~iP@|c1B9EE2yX1Tnbkhog5 zC}*Q{<JmHejVJkRbGA6kOTRJb`&u^RfR(jR(zdPNEuKZ1hb*pMD{Hi7qjTTYGK~$V z_*BDgEo>Jpdy%|T_SnWVe8Le~?XQgT-r6?qyvBRABQ~e~)vMgKp{izK&k|=%{W&8q zweZZAO)P1VkwV5NymzLR{yKbVYYNl+V?3|xyn27~^d;_|Y<#AusE^Z6&*0)oRW-|< z?I-=-C3j6eZg};i@Qi0qJblVb^^Xf(f0p&<TtQLWOuuu6_fE2=S?{!(I5#Hsh2J`( z67_J&U6WVy+&wut;>D}DM&5O2uQJ3xdBW2jd(}IqB$0nMWTnI6<=pBUj<!y|k(D30 z^<UO4#ZOW59`dlCOi?|ZeB+^+!J1NO3$q=(Ot0^<b(ZEex0^y|(`MggE0wv!R;n_` z_H5yS$i`XB+jjEu+`h}!*PGXTAaT3nqn_Ij9?rV`;PI~80>^o`D>lx~a~9e@$5wa4 z{m8~ye0jThdCKQhPq^{?iQG4<o&C0ca>w)3{S&57R^Mn`Q*6D%cjbhAvX$5QB;VCe z{ud_3m~8QC0Z;l{(c(MXog3N9G(KM7v$fgeEZ_gupijMQ#(`jKpGT`iuN^5k!zbAn z{oDV(gZ=XbJnnBqi|1@`Zk$}EQFxEfHfDpfy!cy#KJT&_2U4wl9-T6OssAhOL4Eq0 zwZBwPUNkvB`)*^#jPzaU<yG6NS8dYL-aP5Ds^qnj_#=&LI#-@3R`PcVD~mfC&Ivy* zFRgFtnX`9X)jrSuZ2kU!rRwCArf@gCcg&sBRrUULhbrty5I)lU{rOuad;b5E>RQ%l zbxbV$XfAf<^MBu8TO(Mf7u-3;*%xVfG*CO;BFS3Ee!1D1f^%)QbG9bRr{`E4$du+Q zJk_Qwv*DqHdfno$;f+V-4Fwa|%k5BEWpT2z#`FD~&N)7bxw8zS@1F??oyRQp*MGA^ z%^TTmQzrNCa-LQu{`l>*sls=*6m|U#J6CY2ETXH~>`cMoHrtp@iSpBPEe?oE^A%og zQ;ymEP~y7o^YyNOJpBT~PyftbId6aWw9nUrEbEJPp6iDm{W*JWyyLHr&+Gj+J!>xL zsS{tdNYLkRuUTQpRw1@$-7JfXi<i!rwA#?;+*KQ&Q&($z&Rk{kIdRpg=loTrp3_&8 zdd^<{u|Z<$YQdf}*(Qb#R?oXx<`xw%m6*8NkmvkW8=liwYk1CHW#Tz`)rsfaRVAKN zSCdM9`hF_DJX6h1_SlAB+u6Qs@M?d=y4+Ol%Z3-*Uz&3YYwYvXFuI$%P_FEMnB0~o zHTj!y`G@7M&5W3mw3N3xB2gyLf`4lOzcY{4zW3($oNRlHSNu@BU&H-%!+`~ltgB}0 zUOBAR+?9X7cS`{OVjiu1;pR7-Y<&z@{5W<ehx@C+@db~pr+VvLIb77-mG7^&HGuzg zoi@AX$;0(Zvz{+LuP4CsYwe#UKA{>5)BBt*D7!CwF>kR!$>~6wlCyy|B_{)!Y{KTr ztkzPrdb*_ZgQ2=_kNW<NW_(8-s?%!f|6Z9Vc|XGbbfCt(=NdfvMI!wA#Uk?Si>5HF zFP<WhUo?dyzj%to`!~IZ4G!G1sPX=DZ=U3R?)nYs&lc|ATmS1tx;WF9t=x*gZnSdj zee2W{ck1%5soUFMZ91NIRP}v(s~t=Kl<Sgf>{R#Yl_~9rf8+9T*^SPJxf>_9mml@B zlM}aQ{Soj+WYKN)RzJaA;?_rBeDu5+xv(N2Ld9-gwU}Hl|J%5uej<Cj=Sut%v95d( z{pQGV_l>~~>yG*<-V@&*+@g2X^5~10iZF?JB67X{Ds#E|k3FB#->Q81@MR}?`SV=0 zOg8#oI6p4BpnmAI(|n2bQ@%I*%zq|yPJE}p9Bqq974|PO7V;mQ=p^5DxYdrQovYSH zM(4}N!UY%94|F=s7ZB&FRf^I1(pk9jMM%IL-BWGnou_lxa;y{i6>vxUOX7~`7mpwM zE$r{V-D<~}C;F>pN8}6Phi(h~dtSRPZ+hqxXjb!SXV?qpf~_wC<ov{U{;&vrBJ?0- zqIbt%S3SW#@tsF3zTMuR`BlE9dq>qJz2EZ_a(35ed@X<UU*7u4UkB??{|#OWvzl(n zJaoi=QvB+(0m;H@EBx%IzA|ufUc#qr>hjtk#(53jW0NOW6W6r;vFf=P5YT>6itDw( ztH~wav2MD(Z>6mh{-~eq=lZ`idAIz3FY9f3iHo^7%vLlc?PaQHy<R)%&z(H3SsTAi zeq!EkynEr<c+G1PXAZww(=%sl<~m{b`zw{3WThUl-<h|yvTN4Hx06fqXH0yuAX@jz zVX>7v9?j?$nVqmMDfmoq&OZ6@oPEOKIr7}8v!|C8UVC>)>~>h8Y2EaYEi7vGZ?|}r z`_?HOX{j+@v)sS#QQA(&qi*%Bjz`^}Eq{5lE8gTruG2ox>z9oSBa@ao9$o%x`HL&U z_7Mi5=fnQYI*=mU<@;}w|E2FmENkla#+lrF=6`9m@2V+(7;ZPn_==Rc^{JgQFmM-+ z|1UpjacBG`i7fqP7O}HGskF^3^ECNmuv=wT$%a@VSDBRQE}Xxgd-7bi_pH0WTgNoH z&*Z?RM&oFAxwKj(y@>xa_ViA@Q}9UL=S=6xSQVciM)9gQelFe7bL^`8tv?s;Y+NgF zgng;p--AugcMLZEFu15I&A0JAe^Ph!(US~<y1VY`3CR3!zOj0?6K8voNK@UnGaB1& zvbN1FJ9t=c_k-l^u^j~m^p03$2utLzURb7hWP*K1wZW_d!X<yt9CI%DdFG79	Zt zrzxxK4>tQ?yqUK(;Lr}4)`SUvHAIBpFdcT;{UC8t><7b}dM-1P#FKiqbIRqfi7Qid z@t^(Rv&h{yP91(`jy-QKmNh0!Su4tXai6@--f)eY{TbGgkKDc7ZuK8BIHXr0ktbfm zvzdF3{w>yf;%}W=4wfk%lbEegXRva6tip@r?6n?Su4PAOyDr*lb=!FHi)?X;UpHC# z|GsVNXDm~6;@>T>c(-f9qI}*hb7ItfU6Yxu@atO4ZU=+&m(-jz7W(%cI=;@<xa((G zV`5|MhYc6>e#}S{XX4q+t=M+El|%WBQ;(dP<CnDu%Ni3J*7{D`_tnB(u_Ebw&!YXY z2{-b^ZQg9;wkx~Q%EABEsb{vCBa5K_Tc(4?(Y(ol-!EHo9h9t26FL8u=`i>12M?QK zKNKF)bFs)2Pnxr7O-B-^ZT3^ebCE`$TsW%k=?R?KwxvVBOJe3?0iUhuZiN^13}QBM z%jw-_l@EX8)Udit@rciEfyB1h4#T@@9WQdaVijiOWKUMHnmuE&fX}9MH^b9<20fd& z<=k$w$|t{ZYS>t&c*J72K;oX*4#R_LEq^4W-#T^hPK(oWnzA=cE@^dZx9zb+ZFP$z z%MJQ|$GCPoY`8Q_7+jmCJ(ar_v9teZm|Q~V)b@z1r-IWWD|?=b>4}{U4fES@>yp69 zNtMU-1nz8o=Cbj`DamV5JKIac-X-sy%6=o|siIkQWs7c@+~aMn-P~N?*UWjaZ>gfw zMW?-QnH=M@{Y3cJ%z3zUYIQ~GQ@eGMl?|`M<QDCo>i#10sbSS$#k;)AWA^qHD&6*s z4r$suzr%fh(G?-S9VtzJ`}Sz|S%$PN=DT`Wu>IBJ4bHs<Cl-E+&B>eE5z+f?=M#bB zpHnyb*S?s<DOaO3kLCPi?;Y>1aH{<|H_`TJ?e&Y2?{=o}m&b1Ex7M>fkUL%G(KPSA zf`h8ZcTCh*58wQ0w(FXYkL#x2UUkyT{`gAaGo`8ihSyY$dkRn5FcqA%bKCiZK{?i_ ze?d%9OQfFVu{Sl5F{yK(PvU&`e7lzSw36lL!pp+HiEzgl9p;(-CUK(ov(@{&pLOr| zerDL<{cK@__cKAqeLCMf)IYa3-O!wSYQE#7zd_&sg!z~G+Qzsqw|Hx1by#xxn}=(> zzZITSWw&@g$@xynNx@yaw8R$Qe*ftjkKx+yB`a9=e%dVNYj;6an5XE8@5S2-jlLAF zklSSuBL7z=tK}b`>9K}8T7iN^JY^?YoQr-hnBZM8^LBfy-v_PlKEZ-zJf*U8A8ys& z+J0Q`=5gWaG7naI^L;#{>R$0~Qu3Y?(~k=M)`%DDej+{H=Y!e)i<4b9<^S{a7CU+O z=UP3nljZ9c8}BJUDQCAMMP5F(sKIaDqShC0CMm}hrOG`{%G;pHw^zGdqEq?(nb4zJ zn-4E+yD@KdmvrxK8LflY?nqTX3Rz!xvWENBiP=fr&Z!Yk18j4OR@j+YUEz2wlhw0z z=c*kt8*eTYnsY9<@5!Yjn$vv>E=@E)D%pN&!@Y%7Hy#B1TxPsIzU#DjxNKI7HlOLS zr!k>9S^iI^dFABxKVS9e)_22puMO(k7fxRNpgPv*pp*MO5qDWFP)d2Y!TD?9iG^$y zF9Mup3RVbyv%VtnyC_u9)vtU7%jKfkMxBc7yHlDz_U+MJW);$=$#?Z|O8cwiNzT0n z7Z)Cr_-Os{T}Wu|_x;h^^K)L_xD;^zn|{TA_R^QDJ9>TBzP@Gl=&t;l&U(T4<Rj75 zkK&^)9ZA;S&J!(F|0*K<`o>uA$NaKKnLjq~+pNuETrAQRX~}i?&HGKixK&p>J?6Ln z_vif{dzpV`@v0V&0)F23X|}d*_Oc??=<R<u?yPM;CV#v1hv?mU{drl2N961BZi|W6 zsn0txaZ6he=lS}4ho*G3B^6mtZR%`KWWR0X<a{@4!lQYdl5!FgrhT~3!t&kMW8>Fg zW+NM8g~yHSIV-nhJ9S-GOQ}e9Sl_Z;O{F5;sq4F1%8vwx{Vn}!DlfjUGY6HJDLRV_ zSG~w`lE3RC@nBBn7lCwMi4R|ow6OH|6pMVY%c(MwF<aQ~toEhwcnjmY*#<{UncGxj zOcf8R3J1lQDIOMOcH2`wYXZBn+7gQlr?%s4J>C^bPEE_zmh4D$YMVWOS$|0C1{>Fs z-+L?C{12Ucav{{-*Z$+lh25N-R<kE8n#?I__e%JjzbgNE-xrVfax(8RPVCsu_C)xc zw6M?~GsUCY!bW>c7PgD4effB>g|SMiR9&%3a)N)-USE$3$6G{deNS9C-y-*G_J!jN z!fX;5hXk5=PN*L{sHP&3<h1Xnx#D31;VOwdCwW^Ri3e*gp3pgx%lzr&x0#$j84MMV z3JZU7On2h%_j&PnAt$qqL1M>mwkN_rY=u9uUThI5_c>8;uSG6z=7r<F!fZPd4+&i7 zJ)!;~TTNw0mQ$CuTFQ<bC;sU^FCH)A{OOc@NI<(+?vVP2$7(7TX-@kt%$V?A-{-`3 zQMD(#IfXy@ws8Kucd(%*@J7B<(^<78H?p1DY}LMOxZPq`Hq+pMpK#laM2CGBa?BME znhAe;aH@qT+ULc?7S5lCat|?V_my~b?furHtM{1i__1<ZMQ1Ey-fQjHpKsfD&tF!) z!1&I-eWk(4!LsjevTS7EkuT06cZ0P{xvcTwkL53~If%_V8vZ=*-@SDLcTNOqtb4A( zbG=S~9?Nu*kFpcxXG=@HE_iggL|)Nbw|A|y)U7S+4>$T>&Rx3Z-=#~-oRgL2U%I_z z&wi`5^BFd7J~Y$+a%}i*v3-|+x8{exIwE|>{z~Ve?U{$Bo<C}SH01Ab`PcP2)`jiK z^&<O?<~~1Ad~lL|S9q<AT<MHI$InOAPI`T5pL6l<vR>X9U)(pZnK|9!>hZZ&M>*XC zZ<}n*&*`@9D=O&UBEv6}JL${h_W94{JuI#~oM_G6bo`x;hwQu^n;+b^D;L|s$NcZb znWn@oi;uQA%ew8{{K4ST+Xu-lw-pb3*mL>Tf#c5JobGe14kj-5D?G8%Sf=Ea9G^w0 z{O`F{4Yl<??7J6!7CUgyewjEg&(!{Vi+3i?7V>-E&5~Zsf2*zisk*Gz{5LXM^FPRF z&Ho~^s(m%z)#FqDaDC*B-*=)wGyY3~Vf>du#dw|qyLg^Lz4)F2wfLSwt?-;@*1Kya zF38UN-8p^rZp)CScYIS9wL7Q2co|^(rD%m+)${O<MgIFvTriLSazQ`-%SHQmo(q=o zJcb7GJq8-_J%$$BMWdwZj!w9<WWULy!h1ZIw(b0<U*lNyyHZNvMb++aNnDk)r|*gV z-S%vm8_#L&$lc#+`YNYyXWNo}f7!}?3pdKWFaG?0>C%VO)oM<xj`se|_hW|n-|hu& zE@gA~6<7(B{8L)qu##Ecm{qRkfk;I_iS~zt7jX|BE_82f@1Msi$5<s=(efhpf#5>_ zM)#h5@7$KZcz&*F+6T4u_m{}AZ0EAk$P=$HxTE>uL&5d~hmY@N>Sy@QZR2F4@uB0x zh6BpSl)ncg?09*uY3he12bv$O{H-82Z>MaHO}RBo#ltd=kC)yGJe+!4@mO}a?PQ5R zesN!BR|(wtXOkX3sd7re>JzOG@+NX0-K!*Cm)0Hgqf)czVfwNYt@CFqiPx2N#r*gQ z5?Ha|ujW0Glta%aEUb(^ex~(7U7()G`>q&?d*VAQegr&u^f-B<cY~j+DVO2q6RL;4 zDNUES&E>{%_*#?CkCIJGPqZc!PvkzjOi8@0*Hur>Ol0Seh^Qw*39%EsJGQ#&30@P~ zc_bqC!aun%(UeD1H*7l5n&3K-`{*Yn@wQY~J-IURoj-1bJ`qYtpXl8o3sNt>^N2+B zg@1O(L{lD3H`sTgHNj~j_t7It;%%C)dUDIecK(<V_CzS5YNB_Cq^q9bF_E1|W`th& z$CxIbA~eNd`H9v9ke~M`iMRb^UCO(0!2#t%hnV^$x{D6alCS?){;u)p)VU$9&!u;~ zk(?@&SM%-?=Q``su57=#4_C!Lk8XPMSaHWk*KHR~zL@#S7Fg<A>Z<RbH+_!UN&Tm) z=}(_r|1@c{%FovGn{$^bb+hR`tl8&L@a}UCb557)oYEC6%Vo7db#1}x&3Bvv@0<~r z<a-i+{BZA|DR%3gcQdYg+O4?mS-0T2C*6j6w~v<f>e^2+FpXE4F-<?n=e)RNT20tX zpGU8g?6+r6x9rHjA8_X9xuwQu&dM=+vt|4YTz5_Owe^<uzjxMhitjrS&Ur5SYTNu% znddD-nv%;*ZQm8Pdle{2D@@YL`@ZGfjb(9n7*BnB6Si~V+4w>=_2ykgY|TYR8mE<- z8{?O()vEq5vsZp`<dcGL+#e;+#?1XweSAktcl?siTkm?xJP*28`0CVg$qCb4BgG@X zoYyN{qPPEAc}C{#jepnsetlGUpiM2N(CW_}K7V^}|F#nrJC~~2Unu|jJ@fsQD^C{A z)xNpdMl{goQn}r3SM|WAXfdzCgHDoikt^E8#ZHxXi%Bim-`XaT5cKg-U#k$$rhu-4 zVy6s_IN9=S4Uj*qV{)K?o7eErk{auq=bbp+A~lX?aHmQnFO)kLrO~giYjU81o7eE* zlA7P97o9lOA~lYtaHqb=Stu7bYj6Mid2x{$4cEn_9`$-2Rh}Z|_2Hb8=Dlc*!|dE& zKU{RGEirn1%v?fWXP@G(8T)MZyw6(5a#v@{qTQ`VUp55r+v;9%*XQQFaNEiGi=ife z`|l`?gC5*VBXSq=Wb0fx9L4>0<2fhhHQO%;Nc%`l+i=l|(=1ZsXc~9wjiiM&&vO>q zZHvrkcq?}5`wX>SuN#>QS$6A8N!s3O^k!oKf3@zF<96J<8}2(fpE1{r*H|8<(LYmX z3cI)1sl_VXUkxrh)t=cDu&;ab1%YBa-~9&y7Cv?TSoEzRpjG|!L)GL9UF{M2Q5pwX zxtChxFXVadqs3Ikt!k0HP-MC8l!sG1e|{>u<iz<cQsZb0cj}DfpnW`Nwgogrig_7c zcKVrVra6Dz@@S3sc4A(JSDhr6&6wi9T3Kg`ezcgE;YBA&wTKn%AH_~hkL2bxxaQ>C zW2iYl%spCzpIc{2(vnsqpKSsBvvsZ<cjM;WaL~zljk)Ihu<MZ;2cx)`MkFufS?zmj z`TkHbFN5<=nqd(dhl?hE%FLSCDx|YDpi5Qk)P^75f0YE)ttiO*wqo`X>DdP7XVjkR z7xg<i@6GBDZ+0GH4RgIy7dK<EfX=Bv4YB7MJl=M`^M3|UNh>^@75L3!fu5kwnaLVr zPds@(Z#M7JnzTb8wC+Gb<~NRoi$doa#7wglU2)>7JI{1!-k7>$1+9g4liGR0)B2-c z-F_h(AH~}AcGra5dE0NYHmz-&AeY=7t8ilt7w^=dv%k-237om!x+aAC4U>H_NN!W? zgUe6KU!L2l)3N=M)U5hUFW-&P$Is>z&wd`%9v$DIf9(0rj?I^)wsY6MDp22jFW~D+ znWb{<o37v0$zuLiKl__utZ3x*#|ymk4k(6-UC)@LTXDNc!7wm)*{VN_UmWW^-SURZ z|K`%FnTwO#pP8M_{h+eDX32|{KYkcL{qS;W`;IB6`Zuli?ut44d*Q_G7lJ=Fs&(ZX zo10zTo_BlMx)#IxPr6u)_XlRp?Z|yqmzQ~eVQg}+^Xz5z(>Hv*%_QEGdw-d5^P5YT zcD>YJ>wRP1YQZ-rE>~<Uf0kv_uuWuTr0&;uO5#nnH*Zg#<o$H(nUmAPR_~c=X&Ap` z#)sZ2HSO!0E=}J0(pz`q9dEO`mER_Py1LX$Kk~!UdE0M!Uz4cx-kVbT?d7|!8w)LW z3QdjaivF5fRd{My*Xd~O&y&=z9}jz?dVXD>?)9#?^`@tPyuY$&`qvPd?{jbIe9hY2 zbCoSEa@{lAV<)1srd_<hG+ZFqaOS2TC8wYAY&|wDpL_1B4L_r|N?dw!yxN`f@s@cD zU$G?b_mmgg{z^9by6OL|8(Th_R(y=S|2Fjcjcq@t3oX0yz||mBe|y=hXH%~{(|x_N zV#AVoyV$;7k=1%xxqA7#4HLJQyy}~BX@iF7>hNXLGnpNCYV7@T+T_SYSHZj~8=jp0 z(zHcKbs>lSk`DU~0k2=IbWaX`w&8{M;((V|-yKa`xJrE2^;xZ}yt!OAoYM)J8@1wV z+QJt1tpU>eLY6ykytVC}#`*S&A5(9M`-tz8dvq}Tl+^sHtLFdjTv@nrX>M`0pzi(c z&s2W?*;;yA=-t%^t_9oK^t-H0D-7?fJ9zr=TB$uXcS^Q4b5vJa-zj*iQZuP6BDPul z;3}y-8e!KS9Nn;pO`rdC_J>a!-)NWqnYOp0J@4`bjd{oR{+nv`C;0F3Z}uO0q&D2R z)f4l~F#0op&CdVD%3`%k^=wk-A8WJkHhM7YE5p<m&v$sM?f5j+aLX#A_wQ9>^gbx; z_%hXSjd1@r*@_8;7o!ety0Pow|37#3Im_zRr1r$U`|Lb>*|9I)qR-drD#+Y2x%&7{ z__mF@A^U{3{5i0^;LHa3g-buG+nw!R`SMNpu{Xi<{gzJOTDo6L_50Z~Gu>DGx!-o| z+al(eE7$&RI^5rDzs%h(Irsh%4|%uyxqkIC-De%oeC;WAQ0e@VmSDY^50-3YSvluR zqcE!+OZeKi({mF2XT5HmP;vRo&s*2ZVgwH_=9QP-yMLSM-W&bhJ=ITFJz{b>zdm>G zrka}!w)?it6;Yg4{`qe4=4X$Ej<4zF%;&OGn-x7NZ~x6(4_l_#J-Yuj`?jSZUs9Lr z^!01o9~3S1-+$fd>8kD-b1vMBJL>Y!_`S&7IaUYVUmuzFQlx*5)#2*p?;g$#{{HdW zO7@D!SDg2hy%LPu`DL1rT=enWWx_T^uSEFgS{-Iy{_bH*@b`}gSF-<jamBf$=$gG# z`s+1%dDlLF+<eAvN1gAzzQ{aTn@3gCcl_CYXra)WEqvV}x{33>)o#3=r1@<}O55GO zNr7vMR`9HrUDck)clCH;yVQdT&b);e7P?tH2uOZ&KDRHe@MlR==~a)^rjL3vljeA* zNn}sep1(}$^VFSbQ^Fr--U{2csN-nGmGWm=Q*@j}g7+IwHz?)bICWNV-cpy7Tf=<< z*H7e}-ey%QzVUUoa@LiYBYH{Cue*gD*?T4Sh*Y-UFTJE{-Z+-qSsxbNh-wx;JWXnk zP0qT5r;n?JTQAw&(YtPr*6xmrX<PCt1bbE;>`qGIjpI?y`tZqM51YRH;WH;^REL%e zN}pM%^(9B#@CwhS)0?(*OC%k;a7X>N>zeIy3pRB}JfFmQtuB{m-Kse*6Rf#xIPzwC zdp%MNQ@OL{n{cUk;o%;&o&8(7cjTRGTiJZWHO5$ZUC3IO80Iqz{C0$t3x@AEdp5uA z%Pn{N?9b`K;qlq$F6k}m-`Wh4TJv_c#7n(J(<Kk5%Vo+<U#q@zQ}>Q1lYY9Lk7BA6 zF9fxa_iX(;DfUQ0v8#Yk{;c9_pY`4<or^kOy{+3~^6IYGBbnLjeaZ!wpAoPUJ-xeQ z^3KTa*dsy3x3xkl#S0HbnJO;b-SPNZv69TB*dvD7^Tmpm`s<sBKf0oN(^Y0`_(mDk zPktLOtQ7Vsd?hk@uGL|c<?j*~1%Ed@xRPDs{T1h);#Y#lc9rbwo{=ZJeWstm*_EF+ z99?PbQ}{|w&AL>6@|>!MN<Z5prp410Uka|9HNB=a?w)JUM*el5^pdueewdPcUC7Nc zq-o!^#EGj^c?wRl{EanowV!^*;8LJbmmuHN#2wCG4bCk5CGjeNnWu1tBAfLUj%Jyx zp2nSLC%#eoHalE%mC-@Q={|)QRE=deotheZB=Pg9;Gfrcmd)PXamrWrj6t~|?@6U2 z8*bcjHCeH{Blnq}Q^kqh9j;OTP9A1{y1QfVmWLrzPw{{Her26&SZUw~xm%?xH_fuS zF<Er|?lN7&;+6L_UoN}bE-3fXnjuzqskZmiOQjp9tov2vkna8R)s;)NXX4r~#H?ES z`pZ%&4zB*Hw{GVyt+&trYQ4SxMzik4q*GVc?%P@F{aYs`W{vKFuKo@w@yyx6M;C57 zvF~5d-s-52lfG96zdd@*yoNigP<cjtxAf6HQFkPsU3>H_(N8zeF75gwv4k+)JjJwY zkHiv>U0+u`;hpJn>(8rl%-+{WHcKDe8+AwH-L*%@3YLqR^KZ{C{9L$NY&(N_b|H7+ zVzKQU>$m*0D)yFtSoL@k=e?}$Q9(O*dCyy>>pIi<m(NWd-7BFfO_r8LQ9E|(&3w?g z_sz|JzvhXlrxk5GxbO89&UYCT_pDOCy?WlQ#XfD^2Rri5{M;J1^Rn45>1@+YzMod) zuPI)($-6E+GO5MCbhgbW>DQfW-letIFSh$V#Y(07g5+tVNm;zTxzYbmZQmvS=DAXI z$GRKbH}34)bbH>)+_ORbn?m&-8N8i2;mXoassC=?;@#-;d?)|b?S<PEWhd!(%f5V& zf5z2pYNdzmiF;eF+P*yS=g!al7Jr}0NB_O2WWLS!T;a775)*>EiW2VI`}->WuKT7m zh53}wuU#okcHi3kp9;m@b`M(hIr7NL;625!c;3(5)z0sC*F8P_tH!(A&o^v3^WlJM zb;YYmwKl~k8RK?75jY=P)FQ5DdF<_<vP?1Q*4k+gHmwqVQ~FAzdXCj$J@1(4IzGPj zTc2NB6=b=tcB$P|?UzYbl`CyCBg3ZdC==f5(m8df(&t+qXHRZ*+`ae0Q|aP`S8H5y zZeKO>H}5!oE-2^rS)<U9nj<OqW2-Iv3Rn1if3CAJ_faV4{)(8ZhvRZg-Lw}5>*le@ z>jutx==O1$n0ed1tuj{*pN=Z}{Beocc831!Lhg?%#I|$r>u$`ws@t1eZTUK~Gk1DT zXtT8A^{6`<yRJSuc44oWIlpaI;pdB+#kMo-%`W7=xL<5L2ea<Sg$3S+k2~tkT+m&{ ztT1);#<#4e-)PBf`mXxsX8Ojre`G9m@3>6&`EW<b-!$aCZ0^-{f@wu!xATf$vE0_Z z7#6C3-%hJY@y5!#_dQ~l+$fvAcUol5?&#f}YA2_je78omM8xjujk5l~E@8>H_r}lM znCo2C_;iZuHs7r}+ia8U#cw8+T#0kg+-93+?{-s5ys-OkOK8&V^l3{&Uf6v9`_1fJ z(rxCSn>up&v&>Jb%yggH{>C@DH<$nU^K<`?a(m}!r`T?@ofO<vlv8cu@0)Zx`J2*| zW(Bz?J5rjqf+KsLMQPJ=zqyZQ2B%lNyJEYi;FVq6u2t?E-(DL*M^wj)J8JEo|? zSI_c@+jN<S&E9<<E%wLGa?SaDNoaOhY13!FxsP@Qr&nZ2Pk5^Nv{iMRuJ_X$-*&2Q zTf3*ZA${77ZH~RU<`GHJ4;LNV_IhQNX560GK>d9@Ez56R{Sfl-X2ROCt$VdT=1k^~ zu>E_@XU@I%aY-l5|JHok{QlB(T~$w?xbypim!};(y?jTD_)e*Vr(=2_g|0jH!7BXo z2et6eAI-wK3(Uj03*C3Wo|x$=A3IH7Nawt`=Co(sJldaK`B#5(m0$h&D1-K=qXNHk zb0^dZ<Zb`PF?~tu+*h67p3SWgHTvrzD7RWau<XxH+56RhKO}qCCLjNGOh|5LN>lr- zf=8Qv@rByP)x|%!)S#zYdg7nXy(=RBw{}cl6IL^Sz0jIJalAz-rqu_tDi`t}%$n~1 ztLW+_C;6t(`{B!$$5(pXy|QrW&fMeIPQJ`guX;M~)$Clcxe2|&%Wu5CBKa-!cVK9~ z5A${QtKur96CXU<KJ`|p>)Wdrt6S|@%DHPb-iiD&xU+8GLiL{}D_N}qYOm{`?|hK3 zQTXV>B=xq#H`VWlEdO%$hBDvO2O0ZL`JXjx_bRZ7U#tJH#aZ>njP+(yHk?~1v}XI) zWp7*`L~pw{WiMxqakz;5$}{4e>z;S*S#|xBYt!nTO6y-tt(o|ssC3rquB*D|_P*D- z8(MAubJAMxY<-RU`d=2lh^f$N^SdAFBy#fkbH1!jv#SqWH|%87@6t7`FwEIHb#H8~ zWzi|K^R0F@%(1cWRp$TyyV^qhd#<WrRQt9|*FTrlh2M;N8TT~&fBDU47dJUiI>>js zB;eZW`}`FX>O~4ApN8kG*!OIW^`Aq(pEytV`Jl1CXMack>)vf^O-1J>^aL-Lczs3k zm}M!y^PFA%AN_0(uq>BLS`^%GaB}7G8GrUJuGEy<J!|?jgQHVzRcE}qqPfhnwC$ze zU1$I0?;h{n{D;xb;;VrC+^QD8bB~X|c#{>^roQe?Bxh{QP2;9@>)zP%l%BL}+xdjy zaBNYBpq}L+kLfbWA*OyNPu>Ks%ex;vZE;!d?XI(OyXy02)*R`Xbf!O(&o<}naq%^6 zCX>sr{#~@Dd%eupU-yjir>>9eTr>N^@9NVmbK>-0C0plJx=(Z2Q9IYl(f;<q)m5Cu zclcO}@A8R!zQf1!`7WQF*bdnmosw&l7VQsCmw0f+mZ#*E9h>D>hUU3d9g%*vhjNz7 zC8xzPr%KPw-nMn)s{@O-zB($f+L=?F+oobo!~@5|T?d*UrZl#<2eZoA<?Yb+E<F17 zK*7zo4<1gseegKzcISrAdF=wv@9+tp+a;Sc=VWol{S(dB_q5ZVl+ND7d{DQXPh@-U z$M{co4J(WGMBd!7MKpN*jV0mx@0s>I>tb=vO)3k|^4RBLa8GO5ahZwwLOn}ws;yB9 zoB!Zw_9W9zS5a}(_=!1|tE^1I*U#9ea<eF9^67Bj_z7#eZ`T}goHseg$-}1Z>i*Wh zSC;<2!{@&F{h^Th8xAogYyT7+%z1T*GtIKJYo_1a#J1S{$$twDO3gA`w6c3X-;8<F zZT>!N+FE%sb5f08+@~+?+}EC}>i;h|_-f7f6`Ov@1#_<c<k~bf^7^I@Rh^O*EZj0$ zM{C+o8R+j15YhhR+V=G4qW09Ei~5)TOlna5nbfiKXHv`2pGiHgWgGt-X1)B$bzkiX zam{5<x_KsNT17-Jd8ttSbNin=m1PSZzg>`f^M69@?zKNU80Xu*n7m`pf3vySyy8DU z{x84TYIW3m_v%mIZhwhe`f$m;@XE<|?`g&_`xp5?uub=BW6DKIv&;24U%xwvYb{^e zryBFmDc$DR52e3}pR}|W7N2YoX<o@#>5-uJz3`F+-_ce1rN^d!dSCi1dFw;*gx-Tn zYpzN>{Q5fNlBE3Z`>R-07Bwv1$|Mwb;Hz7koLv^H%AN*kp8X8cykd!$x4&e!V?AxB zeJpwBdEFTgE~USmtIaz7!rx+P^%rSRMPk>^S=c?b`bExDJFA;ro*zFNbEmyX{`}40 zdRy(8jSm?%=gwe%VJ4HfjQ9AAk2_1$Sf2UX-A!P*Y&U(E>&&SJS6f(ueLM{BwD3&! zd6Bq?v)0GJ%f;|s0?%WfXNhYL*7O)gG+bokHawM3!=JxFLV1eQ{~w?Cci&9jWOL>8 zgoI1tFWoy-Jq#LWO-bspX`G^Y)WtZw?cb*by3YK6eO@eH&*}W-XPC8(;LLB^0{Hjx zXr=XL-#@)qd#UQ34T*BA>+_!-yl{BZ=5|K0SIPTY*=D3Ktm)l$LE?I^Q9xYV%{Kj~ zsWVa+GH#15;@Eh0LC^PAT`@0%sF|OFq7Jz&zB(ggtM4=$jb&1)$w{W0e^f;zFSJvO zF5)ma>6DyfQ1qd~R!!pT2N&Bu2pRS1!Qq=0^VHe4w0=^|U04$kozbxU{tS~N$=tn# zH#BCRKYy2x`)TPvr}cC6U)9`Mv049B&7n!`N1Ydo*scFuQQP(4%3%xcuZGv0n0q!} z5csa^-hCl{ew9UU;Tetm1JOzATR(N2IAQ*v^mgjUREex9IuA;v(-zvbMPxJ_6q8Eo zf0`lqOR|)^;x3zn$&;-+Qm-gI*=mvSMEX;d%$5NDcAYE7eZBWF=1G`5dV70+N>J15 z`!8a(gluys9G&@SmTGd_Zn0MjXB#Fc#XVRjCbgiuwe3aDLPItw)$YoZR+9S+^>4Z_ zPBGNK$<EDt;rOKdj_>y~v}bkXxW8DvBw~r1RIEGC*+#c=f$aARwQofg-)k6$x9ux> z6W?ZcZ$>NEhg&U*aWf5$x$IpQa)eL+Yo9^}=VNa%AA@s~*pG7WO<fuBYI#JBt<B~i zzbdj8GR}+0=y)zBmAt9YWaEYX#x<_9drfLI^E)5@dZL}ddH(Ck@Pv13=O=23-M@Y8 z<B_7O<4%(IUOCV1dirJF(d);Vxp_aFaQZnV=ZW^T%bmYG7A7^$Nm|JBUB|MbB4?r9 zGEfvAc5*(m<$}cdo|>aUJI<__9Wkp)%CaMK+ip26j;KS`%E^Ym`L?7SG&td8%d;(D zA1II)ib*BSXg&5LVTzB>io?9|h70>PTwu7{tEaQ<oE%&4QIS*=f1O#+CkApDF77kp zQ%}2+DfTLHYGGf}JsWRblLJcJyc>h&uh5vEUjEW}k;L5BUn|Wd=dACw>ey&`Qet+} zx4A3M@0$`{-dw5ER(@al!r_|DE9}Z<7#uJYZo83nNFx8sBV$9={0qxd9DjaV?0=F; zxaZP&OX1*8TzhxUoH5D#Rqu%-Q}s?FJUfgxJQ<Ve=(i%LD${F#M?zZNXslO!3N ze8uwSYhQy`b9B}x>sAJ=G4)cMcF9mIp5Iyb%JC3x-VNs_<##+4lS-a6vH8Wt=-x2l z*s8^W&zH>Kr@YMgsM_O#Ma%QH1@K4fTsdCG{q%M;H}A&FPRTrmTI$;t-tIZoIkjMK zprxAD_2VyulQicf%lPS}{O-B<@Z;fJb3XNH+hu<}($#P^e_W7s^>*gTro?rD92;Mq z-4-Cvtz&Y)iJN!h4X5Na##-vzT3`1}>fBneJ#c5r|LZfO4Ej5Jt-9CV^Lt#8^m*&? z6P5yzd(3wwoS6Gv>fFMDcS$n-I&;l?A9tQTVW-^fk@E4HMckRW-=)uOET~H>Op8d` zvMFMrUPMO6=3jsGc70^5RTbF#V?m+aku@d9SIoAMwe2YrSo(JLdMhObo5lKUNdhuP z+z%dZ;H;G~Ol(-J_QcebUu5C*$R!fnzgkr$oi4ua#Ch&za`XkEbCGj2&%IuLKzQ>f zrM-!^hT8s{1LTsTH24j5O^#%qzNl1lVRw)EvscD$l}9!AXN2fkJ`ewz+i-wox^87a zjFFe3-v^(?M;`H<-xMIfS=Z!%3^#A#&7v(Fd%wPW(RsP%sK)vkw$EQF`(6;bCROUU zZtL3ZPaF%6YP8M>c`m6cHu+Tz_tVL%T0ePSb#i{QO-A>S@MD|qm1U~~;|#C9+ZNDd zS+zzdgOk5^nn&7$d%v1qbZQq=_iXCaE(q?e>d36z*!n9XX(8jZsEm%i(-yl{ByVZi z!SwyWip@K&Z9D(sW8;cqf7@?DGZst_OfO8$+aJhP$osCL_1BHmg^atRKJgY_cqF}e z_CxJ$ji-C!=HxK9OMc~WwRMaBw7?=o#{Ui9e5to;({`wfy?VSswVlCT$K*&Lckf59 z_<1fjHpk4rcXWqs+7fZmy2L5oSIYOd29;dD&SW^H|MluNiIdG=y@Qez_zf2J3#WZz zQ1_L1G=($y%jM4^i%VPYeV-igT=`;*tIy`3a$l!e^Qv53T{e4_`!da1w`+ArjNU7C z*BIBk%kTdX3itj#YeM2ePTM(VpTBH8@r7r@jTXgeGYpPt+WHr=K3G!sZZbE+bKmf; zrB%z6c0{E)@t^j6kvx}^d5wYM{a>>Uj<vptOk=!l_J61E-U_3|wa2%~zCLpIyxjcd z=c8|=)IAeR-_MqIS@KtE{`cD{{I#(~4aPUKEzM?rPn|BaFum?#=ZYx(<$fO?c7NGv zy*tk7{rS0kBHf$P@2%kz*}M7rg0)tM+uxY0thLIwOXscmQv8bXm-SbHzjL2<e|W_` zT`svnxqc&~#EXmrDpTSgWH=bQ?k^E>ICD*3X1T%49p}^gr6XlE=2`O}_;6-rb;YYI zwKj#X80D<K3N*{;>Kxj9(9iZ*ru*NcN(M(J#<Ap!?>n3SK&40emBrDMO-A<%yIeEA zpSZ}RbVB->&cgPSes_<XEtgB!9n8P+@Jja^FRvuODSDBVd-v@X&Tke{7Mxn=t^5Lo z;?C(<%4(!p_)Y9^`EJ#H;=_$XF4r@^?ydY?@%#$&p3+x}-z-myC+-jKFFa@H;o`IT z>clvglld~61BCSDOXeI_DUzFGE1F-vV8$~GSEUnmZ!?qx^W=-d4jxWi{_b%{Fn__7 zmF*VKt|-ftzcTc*C=v9YSMrKwxlFpkPT&2f-Z~iGEo5`)vAQ40)W+&}_psCQbIlRU z&pnP@e$F|1xm@DP;Qozg7Iu4gpO)pF5tA}!w!CN8>2TrC&aQ>BtNXqA3>2y_UsG$3 z__))#Z-dePybza3pFh1;%X<`j=Hz>skeDygZ!H{()*mZuc;fURYCH46H-9|iECS9H ziA_wZsnhW|`ZMzRnx^)zes_<vEtgAJ9L&G*<Vtsm8GHM(t|sS{EfF`LvdtpOVrS=; z3l|%dZtR^ce@30(sOR)Pw%qI9H$Kd~+?cd_GmA*F?DBvZ-McSz7PkBP-94VRTrQzI zn1AEdmF_p5T}jUQuTw74ZM@^Ks_>ceEqxjP=JqY_zIK0(eSPQd&d;CJH438(_pUCG zE8CqSUma7_uvgFWNKE)erJghMt&i%Ss{J{$JI`L-zWCzn^yk~FF1>7jA|F%o(sSJ% zNw*zA)e`R})t)Izl}lLW&1ZO6)m`G5Rawu%pPO!!`<*`@lViPnXUe|!<*9Ourh7ko zSoDumJo*^(&&Mh^Kjfa2S5Mk+X1f1H((bFq`*V`Emm2KPNisH7wJ+W{eb$`&l9Shl zO#jo|U3)<y{2kBX*iG$;dN+>;OqWUM@a8K#aaB)n+Ri5uwx3?>ezSM~6x{vx{DVJX zzGe2iKUw>1+HN`Fe&Ug9X%2N~kDg1Lwz@zrZFh=%aZFLePCZMf^6=e9XH1_T^(OM! z^X=Z79^aUMU++=U#|3}N1j9RaKb`Iyqa8OPe%p-eIS<oc9#<8L-1GaZv+VWE*=lcs zyQc12czxlULr*8(%wF84v(Luj+`^!qQ}-sBUMb7HJ#B;e_Y*N{Hy%&YEZd#Z7OQvj zu;27I$?e{J8}6#M-&n>{Cc5*}yDw_X{We@$8N8<870+q!m#1g1I(Pb-hpVFXzkum` zmhD;d#dWoRY~9V<SG>DrPrvuo*Zdp2y8eue_WISI@7aFvUM;Zbb$OWLA*G+P`@XC# zvpXer+u)$k&!b1U^b%K26+W}2sOxFixebR-*{<1AB)>Z6=#q%s!#vqJHx5Thf7^Jj zjoD`NLxJ)fi$jUheTDChUz%DsR!+WeE^+Yf`6boCsbPnTg@yJQC?53|Hrivfu>HE) zmygFZ1dm2H8}2cl(f*z7bK$`R#y&}%BZbYb7Ks}q)StfG<~lR4{8aIxA8M(ouRNCB ze*CFr)rq8Cwhsd|{hp=G_1SJ6V|1`=y3fYbs>U+KC*}HfrO3O-6g3>yo5}8d)B4$} zn3;L}R;5kMeslHj_{~j}_l;i>{`J(G8FOyjR;e|&zus9ca7TBkJkyDfLUSL4eXE@i zBj2UX>Yjc1%D#=dV#4JIXCG~Ed^eSAZpF;5xf;*J<oog&yXV^U=#+gj?DAN>;HYLa zYk6>H;pU&Is~_A;I{ry(hx%_t(b>t)uiM(D2~FF1F6oi;hCLVBk2AH}@o00`+N{y~ z@^Ry?3+e}Ey*X_XHoxlQ%c*aETZHu=Q(IGPwM8>=@9oBf=FI}RKZ3SyJkXqw*~otM z854h-E~}i}HnEByH=eE2Ia;=z`7qyhX8yLje0F6!WtrZTS-0GHT-LGS`dfkI$(I+- zY}fpxT70?jf#b=m*Us(<x6%2aP!aOL@#BsI%?~{r+uN73%Gu4^sqOvo&f5bY4!wQw zaO&-Y$FsLPH<;(O3+%o7+{DR#yK~RKb005X`k>urx%tOZLGGB%QNIlTKh&H5W%WPq zSoW;U^XBgBLcME@eQTcouh=Mj(DnMKc~(;kR<h|goi?oqj9GiI`(YGsoZPzW51u}D z<Bem?yY}GdcL&o7*B$ZA;`bFr=PPvWJ{oyZNbkx6*A4sG^t+f%D-31U96Wt^q12ul znRN%X4@{KW<0P~8p!T7SQhSo#XxlmRsCR8nQk}ErVE2QNkBqiDT4kRKciqrDFf(bn zKzjFFr8(MVU4{Er@J@<7bUSFH_`%&lzk<{B)(hRc{>bgaLNW8M{;fL<OO#A^RPG4! z&a>NfWm0s*^sP_C9wq7K3F=*WbnJWv@AIxlF}yQBTE5BA&0|T=DqL(4+bw-~Wz-#; zIctudJ>D60he>AjQQad;qwXlhtUIcE?4#5<(Zg4zj2>UUxi#tzN87av<&sAyMBR~K zyZ-1|Vt{U*9ow}>Vhgf$^AvwwdnC5dUpLRN>Z(C-)r&~yv^Y-NtU_gpfNp8m?NN6m z+(26Dbo1<<U40~$;HR6Xn0Eb<SYnuNo?+Uxf^{`#Rwx?pZ+W?OhoH~uqrFL4x_Lao zS%sesc8ZzHPtGdjHrOb(T|hXiu-jmt*mjA>Sr*}p$F5C?3^+6+>JEq1^+#?O_llXf zS(~1Dq?mX8f#}0D-Z;a&9eO9y_Pdtty|U`+e_gvjF^8T^`x4*esat>hmA%^h=4Uk} zySp3>7T&$T^_M^I+uNl&r8%*O{%pt+l<E4n?`r+IH9~%;)#Cr9PJXl|=TmHFPL+lJ zY&*RZX${?*PEL=$`Q-GfoMStLLQi`XMhI2DC<-;>Tz69KXz9(A9g4H%@=h)5kKQDi z(4Es3p*LGF>_l46)F4U8K96bbizhvOJR^nGLN8L$&m*j5@+8s6AuVC`lcT;qQaY?9 zDzK*SU-s(#Qyn-@n>cL<RTjBza%e-WvRqllk>h^7EH{=;6xeMll-Tc<^d?l>aid%5 z!}N;zZa>nZlxrTZ5o{}#`glP%th4`}6z2tJWyPv26({}PBQIpNrzS+KzIN)cmFSO% z^-T=YAp%K@xs-B(9DB|diOxRCmRGPqsLAo5{gz+WGpBqwc3r@c|8BQ`msQe>Wy<`q zrAN$tt+*~kx+_|})Hvk6H^$KJM^>!{Th_~p{}t<(K9+qlw@>5VtB!@s=S^?7wEAQi z?ar9?Qsan^tm}-0izO~^{e0NKL0Rrs_7QjcUX~ZDCkoV>2rb<1R*@n7CwcXLf6v?V zkFJ-UenI`3q+@(@;1^>F=l?s5?5|EWaM;Abc5Ld)jeXyD25#~+h%(bWc0%oN#LX`T zerC0MR`~5H-IITJ;#q<6)x~MDuHh?vYJ`;|<=Q-^^)H+xnlK}!RYEUP(9I*PXX4d` z@!|SuPphv6YB3!A`%1&tfpfd5(}y5sk@Y5rK13<Y-OD&~Ji3>qV);aY_g9&MYyub$ zJBwCSEMb!G4;6UO$>n4d>e!O5)$uV#FLLvYy~{$YbDTJqg|!FF{BXhFeUJIej-)Al z5^k?f9OjVyDX~uZo@9y0BgfJ>FM*>XYv1rpHhq*h$*p4jWse3<DNe&UWx->aD#vat zxTOBt`$vE*^H&!6)yzxgZNGmvz=-qRNwuT3H&ZGUX3NQ)TGsErN%FzQoVE(3*@Af| z(t6Ub?$|tUQE+L;_0N)jcRo3t{#ksJ=f@y3$+(lt+OKbV`M5WStwMXY;=Pk;E#Eht z43}To!!viO(Iz1~uYElaw&Vo8ne5y4chk#<4LQFoG-fmMolNUEyh-w5N6s+|t*IBC z#aH&kaUNHR?|-l(Cuq(D-?qt{UOt?X^XrE8Y{qjZ(mFN=Y1W*X@+-b!_9U@K(^6b_ z=tdg8Yx$qQ`v0t`wb4;^YxD2UJ1=4({_lI({{@NnUKj4%wMc!=?s?aXJmyW%eAvV* z9J3{{%llz{_SbloJ37ynb?;;3)SEHk(H_o9lUM(zhpi2|al$-my7SFtI~LvG-Jvyg zp<!%6p>LUx{jF6uMEsXdz7)~FapDyBYfg_>ZPshA@$UF|>Cc554V;0g3)ko8T%ICR zvFObwWwn!O);`nDY^y&w<<-r6jl@+cA2YVxvOk)id2anO5&OA29n3VvPN^MMy7^^8 zgjuc5#Ip?Esm3n#H+wEbnkAP^Jmp~+EA%twN~js<u9Is0lTs%yY|p8Bp_zKdz<HBR z&qN*h%_`ala!zs?x^GfCGj)x`=S8O^H0G}ss(RV6V0)j&uNNH)*Z0|c>HarAOk=Tp zectVbwX^NbKiXZ<T-7tXr|V<IHY>T=x2K*qS}-eB^5cO3cai3jBhIF>A1i#_Ykpo4 zj9;_*?)IPSdv)!%<o;t#|Kl}ztM<b!DS~r8X{WD|eLs;sb@GFToS-+;ecNg`y-Zx6 z^XrE4Y(~EmX&u)$Nj{vKbF4yh>cmG&R6fc5bTZ?dcUtYJ@y(P9t=V$tswTaB+_~nH zXpEV&&7`v&{HeyrL|69k>{gw)zVCbLWOvC;o`vCNlJ8C|Yrnne<>P5NpPssyIp3LZ zmg9P=@iEhtJ#u~CO7XJmPpQT8GM!wqLt!@0^VG|SEpL7)bTea~Gxe-M^s0-I*7C=f z7_nMtMJo1rgtZ)=B>H&5fBUGv+plEZUFe+b9USYN%x>47T>Qa*?Z3dlpoa+oojY$| z?LK?*LzaLZ!@-VMMH3o?w*B{1WwADK+8C_Nv)klR;&!(m4HrBb_DXSX^j8)%%Tzhm zXs<bSfyBzNCjN=dSxR<Ze3>neHn>UjWgIyi*!yAg@`((`O@$t{xGBxK;?WbFr7GdJ za_WQR7h7g^@Go8}wQ$Bt!L~@Lj|S1ocF!_Y4#f1f%ve5=<Flzya$^)H|Kh7&9~`#@ z_#QcaQ>iyV=NiXhq27ua3kBs*n+PTBbaPr0!2KfGy>z#pL&Mos?DA{0IyQu|8g4t; z?%8yh`6_Ru6UVpk?>(tOhs<OJeXa=dv)J+)&bc_T{j$}kLN9klzgHdQzOt?s>lRBK zx7lh}W50Onl>Uv2qpa*s^u6flH<C5XxjM1E+3HiFgFEB5*B$4@t-3aZyBmJX_?mcQ z_0@L%?RTTB?CzDl?C`I(O1iO7ng4d_ljPZbKivG?73aOwI20^<v|`cK<A>$e+SNR< zy>{v2!tWmLBK)OC%>P<_Du{Qtd-qb~K&))*j>S{29M8*L1FmAMO@$KM-I8+nwPw$s z_Dwb_`RRPE?gbleZ#!FNv-)31XQJ@JJ=3H9s#{jdUehSEz4mWqka%Ku?<K3FOBrAP z54jn?wPX33Nj2sge)dU=leQnenYC#CPor4A0R5_$PX0%9cYdy46=hTMD_F{#V|9!7 zrjy?%ZaSIHe=}u=)@-?TC)N0)Qj8Cj-sC9^H&ebd{j9|ArA9$_Cc4-e%bY5!Jfvcw zF<XQ^Wpd*FoT?k@v+cf}N^7v)B$+fh$L)sdY{RlN@vP1rs^!sI8{4BNJ$<|^g>{Ew zq@tcjSWEFF(Z@;KObS-V9SIi>aoAwHJA6u$kX}a1gFS8<=Q3L!u5q)Gxz^EtS&Fm3 zOIgt`OXUz}?-7f6YbT~UZS*aDnEqpi8%JMO%cBlAiLlHghnaeR%ve8>;j@WQ(mFS# zGZ#I2Zf2?eShbjmKRV<=@@6ikH(`z)r?ol?JX!a+pJ2@t+j#I%4x0}rf5WCsBjr1q zx2@7Us$X^_E$ovJdvW4$jqJ}E%a!Fmywo`2BI`P1?P7_|o4oI9K012o)#2aAeRO5t zD;Sr!7{<EuTrPQ%xT>$l=VD;PNh>ZxPxn3ZUuYcDTWhz+cdPNS*&)h%Tup@%`rMpy zt`zlc2vIhi_T%MKuAsfD=Gwcjh9$BX^SXg10xqvEj*I2ItkRv3Razyo{mHe{Y6q=u zE{V{d&6AyS`Eb_FFB=2QnAc44m22@{x?qM<B+q2k(}z_~eliT)#N;z!jexO=_93^E zT^ko#X&jxqOlaEbhJ?t{DQXK^4o3RS7iw~pS}3tXu#Hjb;{{)3yI+|q4)MJ$FM?yu zSQbXCnl>Z9BjiEiMy?v2Ajbw{Ee=B;Td$UdS{;Ti;2PE{v^wYKJ#n>=_JEmFF1Wk1 z94>J&3~}diE_sr;p|6JLQeZ=)6_=rdwx+ziRHDRkLH=aZN69^IOg+~;Is&CS4T6*n z-BQH!J9emE-z{Z+MR?Dtb^M>QskJ@Vql=w$5yk$tjZ)7v)q*=*_k}b~>%0aFb-x znjAL?<=KXA-l<DosCL%4$*az0QBIwl)RJSgXSE;0qiJ`9Z+`h0Va9AT>8t>Ms_`M_ zn>~g5tuDQmtnzAqYQ3X+lK%a@u@imemYh=SXHT8X-m>YWveV5ciVJhvcIeF(Tz4|9 zXLXRI?UxCsPL!vXtH$qBeyz1yBsOL8!p%8VFH~mR*`11H{h=^h@t*h64;N#twln_n z4(oV1Ni=a*%F!9WmVXJd56nAh+j}{>Na)#Kx$M;o9k%_gl3s1OWc!nQHw+GDOE0Ss zUA}vnh<W$U%-95nrmt#CZX`Ih_1<KgG$sG!-k#Lik6M$=AM6ZiJEglbeUor}@^aTr zzJ;+;FMGdMD2pyB@_v`QZM*M+8=3ni2b(@f{&uI&;Bsx+@;7nw6YLjl&e?XjTC;gu z{lWG(OeTWcpX^F8kL}!{dVTAxpgWUZtIuZnn>snEA;*YkitoN3Czti_zUtHwT~wZ8 zd?fc~Pl2~ta?B(zpN~O8KVwRqA#LW+n<+b#X3Ob$OB$C<pVh=4dh*l7;7v?lCan?J ztE%lJf3oYM`I?@_H`DIyee=qvjpN<xjz^7s5_zvq9A2!jcaGze=%W7SQ#&T+pIp1L z@A#W(cP3{|yQ#Z#xz(bIWy)>Otv-Ela<_|nt#ROR*7vn{CPl~YeROeMOXwt51M}VP zs%wPePO8mUOqu+sH^*qtRA2u0DVL8|-+W@cET`><#%#fPr_*}QUH!U8_9&O<6WdMR zW!j!IYJ5(rxtia6GS|<na{XlAdv8-Ff49E*MAqL-nP>W0iPKAsjC7`-IuU+cbdr9& zZ1^d)qgpppB6MfVT|2R?f9od6gt<9w5&E+Q%}%8Cqy|aa>P!YH_5~?6Kdp9D?B)~e zs+(Un_?p$`Oh3zDonm~%Z>5OcFR!qMx=Eso_NTa3D1N;np}+UbG?|O}Cv`twT0K!z zRdia_)EUutd>`E25WvX7efU(-1O_`B6Q>PP$|Bh&hc<*M%U#Pna-6A`<;DWReZ5yb zT70ECH%6{yIjVHEm4BkHl;Vw5f?c^%i8s~>@>`ocN?z{9^yZRB$6cw;4erW@YOAIu zM6B8M!O$c^nZ?=U(05CzM2TgBa$B>H9Ovj|kyt6X@9hPTmWxuIhHh(H+ErI^%cmrp zIvMyW*SudM*v2XK(ZEC5PAyaAKuT}Rj74G>jt7adL@a9(I2|gG*vgf(W(t2WAK&Wf z$wG&e55J0vP*aV$BzQ1YHgL@q!NZ}ll{=Ox%U>@&VlHIGRT%7UBXKFPr#Py%B4D-U zN5gGfcm0?qcu-L`Q0AK8VL{nSi&e_<$4f*W?C5ivb0M&0vQ<~%Dyc7O9mgL>)gF;8 z(48Bxt;EI9-<{`k>6669z8ak?feo%!TpJ_Y1*g5xI2N?lPR=az>qF)InbZ3C_dd0H zw6V2M;@PVchqYvXMyy@TkX<5@v^FeAe)iUVq6yt@6+6~V<all>^tdVf<{y>qPnNY! zbq(07YOcHH$c9V0J73;>+{3x{FwfC-$IoOX%)L8l_R<|D31#1Iq$DkmUTWEOxqrs$ z8*dHP&stICofo{#+DGrov<;I_njMUr=I5BYyG8Nlp*S`c=THa37*-zTkOvD_&sy1^ zr^Ru>nN_iBm4cJL=#dv|r_4#3|L8z^ubq~{i`7hBby^88)-&-lhdfALz{SK9<k)dg ztHZ#B)i5t;=M$daQ)gAoSahT2XW$zK{V87**;4-+Z&c<9c_Xpc$a-hX@#XV%YJW;8 zm!+`k+*Umk#CXt0G~i4C<KYs~iW$q8<by*65>{|I`J8(-lR+*=)9kR*(PzQ)lYY-w ze}nOvW?9G1F4@Gsq~kMUH`eEdzlu22SwA(m>2a5Dq0dIiKCNx-%9C{KW<1`I^x4#B zqb8r0*<r?`-!_D9I+`!=COF5gOmucqf0FT=upIu~D$zBu^~;k!*Dbsua$9tE;_RgA z8~QgNv0u&8Han<$blHxTH+alNZXYf^DJI{%f7uO|aM9V1x{{3Ngyir~SBb7U@OM#? za7;i>*XORY1%4ZC=S<D{`0|r+mv2GTMom9Wv%^wHzis#sfBC8N&Tkf0ucv;^{jXH@ zut_I0>GO;S@s|^)?9o2kZRpR+QXJ}F=+4TsIrKr|G#;1xb5}CSMXzp1+QlWYY~_K& zSJphS-;yW9dUweU7HQGh?oC~1H^goHxzB%-tK@Ypvx7=Umqo0-!LwQ=x@Y;+uCvTy zN7EwK-Kd$Ye>3TiPH0Y(YM1ZEh>eoJ{>;w#>^42eur5u@>>$t4XS(Zd>^Uepd;9j3 z?vKW<8#&dq&5o)ZefHb>=r;q$O-Fx7uD`LzTf^*V#L+Z~#W&>Kythf%FBX}dup}wC zCm^ToVb|NlZ~K>Cclvkd%7u-(da2XhT5dLl{-0Ln{xNy!qTg#n7!PuZ2Izz{9ySuK zi1?MCxk1C>lk);^6Q>Ok$~B3XIpS}b91=Hg?RaM!ugvn<#K|yRnWxy~QQ{&em*f9G ztk+VkSTERBE|plZT97|qM5*macCWymOB_eNdkywn=xDd*5^R1v(~V<ZR?DMKHwn4y zBZrk+I}$$yD6{aJI2Fb#^L#gX^l+Wik%r23f^t6E&z*Q?DM}?utP$jI7Ex+D=g=#_ zbAiLPzSrQ(<&O4!T!Qv89|JWv|ES!3Zx+9+ey_ooD;@1}T!QwGuEi>|+%<8!7^=(@ zYw~E}W*d#84lkE5HJ!fc6~ZQR`rmY>A8sM6a?k$izce`ce$(0O9Pyo|P8$Q1dAdy= zC2n+bshpnClCaY4N5~SvHc_dM2JVVU3HcW|;*(9CHhL=a%r<?L*zI)Wz`a$1O&_He zN-Pn)H`Vk}Vu#a_19Gbbn-ZlSM*H=CuwCwE(-YJoabvNmlYyIZ&Dl#FhaGx9aE2%* zC7i#&aZsl>;LJ6S!(P1=5$gpEH(bwbN$7Q}h*>OX*O<n?XUUPn)~y|lf5Vhn@=Xpc zPwO?Xxzf@8oJ)}T_e{4RSJnvHnP#{6pOyOfL7}=&UD4*TyOzQWP5$VMGuc-(ynhk; zVB!2b5k5R`_DLzeSS;9ePb%@nQbB(CCtL=G8>%(zk`ne`s?mtcZfRc{rNy5usuY#9 z%#CANc1zMMH;H9gM-Gd$b~M^XDzi*BaWZsN=6P)LC~=9?kpu763EJJyZaM#5>fz_T zZa->3F1OBXd9e7>s-y(|Y1a+a*viU#D_$%UFx;>^t7SpAn}$_(%fiWSHZ>t$8f@R# zdq2$ZR<?O|iQ}*`h^d&Au>Tsz`%<Zg%+bm<r!H{F-{A6O+vfJ;z%>r}8(>knd#9R% zN_K7h#H9P{j)Z7HPZ;B24bcyL>gK!D*}ie~3h-R#IBL*qz;l%&ezC|To}69*o=Y4@ z9eNFTE_Ag2;}T@vJ>QMvS60iSem9A#>?6+ltsQ3XRth$~{?z%=MSK1=j>DS06&5Q6 z3^({^v^?11rqP$#@^FQljZ9#N#Eo`SrveY~$XkJfvfMXq7oIn@Qi?ZL3wGH`CEi#o z$iG`eiS3(TufUrN97oG~4P>r#w6}j!5Ip<GftBTZs6(MYD^Gdof$xDv0=hNPtSs9@ z914S3dCWr|JnZ3dc^ntacra8nASRIUaH?p<4i)B$>%>DH3LIHQo`)VN@MD!bcgo?+ z)b~$2oP4IvewyieW=eF(0Rv}Nxnrjtd?pKrI2Z)7icAhUU=Yb#llbrY7rDt_iYrT# zf@Yss!*q~kw}at5y$`Op^(rJzUwUqzqPTOHp_;p*#MH$BJ!b+dF3ek8A(0n)<chV9 zyW)+>iv!-A3zR5(sv);6TGY@r|8yXS)pHFwt4L8p-TEbEtq+|0oIcvQKTO;gD!BRh zZJE|bzI}&2Sh;`vaA{QsXX@mw%T8X?s$S(0Eb;F7l8*)FS9MrEbL?~aVBo&6V#?wV z5~}VWKO9-r!TB<?&*_7X`@)KOi$DC(c7K?g8+zo;S1otNin)se?wk#jxc5wBPk5xL z;nlt811sL24b-rEreTw}?c0PWQvToW%v|(=sbnKJOYB=FSM%KmzB88{bmouVI4Rr7 zwpgU;^ENNF>m7X0G<e#JMEKj+cCGk7QKt1lM&F_2jWVqdEBYQiYzY;-{P3hq>w}QK zLyynMv_ACdJ9Is7wbHT+#g<$L8)aG_IrSYXFm!*Y*qOUm#JAw4o<Ph-Zjtb}OovT( zKX|yyS77V@L~)M1TdZBtWsMKF#Xd+}>?^SPl=N-~gG**Jj$|31(lhAU#x2)&lV#%- zo(<eAf8R2>+V4Ja;ksVMi)7&=zb@a_6X4m#EwcG7(_x+64-%L83V5IA+3jF(S1-V4 zGdEB0+opCyGsiVn!Ecz3rtCgoa8j>gMuzYtfm?bX60Yf0NIYNaZ+-GT=blsZN<8O1 z(MrrN)3@Eoxh<uC!IZZ`VXGSwrgCY7t!hYY<+9NUZ|qOi;@A+vsyJ<hf>XKZk&1O! zT9P(Da`3Kj6Lt6y!Ybk)a-dlbGQ7zjD)69@%jr(IV@tJGM`5_8<>92IPu8?pdvUoH zM6=c$3SvC0DO#~(A(Q;|P=N=txSV1_9b2@uItn8-ceeL>o!l#=r>S{l`?in+(<Ma> z=7cx47i)be3}a<Hw?e^1e5v^F8w+kQUehk?DD9F>T$^+};_xNur}b;szrP{oyve+~ z<z~~>|KGN+{g<4qyf*uf@%;C*wb}TMR<j*lz3SixLsO~QQeHc>_FalM<5(BU+x0rM z`C-t_50f7Atdgp6TPr2vyqfLshASR@o1ZQ3a@?>jD?n$B6i>I%VqMR^%cdt43^{g% z^LEL-t<av*+vm$;8?0-2X^Bbq6A$OrY)3b&I%x1mz3$N|x8vWER_>d$Lig$7QokY} zo-V!6=7rHkFOIypv9Bd=<usWphgq&gFB(IelM1dT%$Ol#WoV^#epbxym-gF#?XSzY z8*=E<v`_Ucr!W22n6~`?$D2BT&mTVZ#a@2(rT<Jip8vTwE_?bu>aY60_~X}dfA0CU zYh{+;o{2hL+b13U;J3+U&vYGmc@^yg?kBlEZt4v8h$ua4Z+_K$+J?n*nK;T;H6%^v zk|<k!;IN$Nj~lC-7=DKcJaVw!cP7N~=bm-HPd11g_kEE#os)Uaub25zf7uytPczsb z`_2Af)2aV^xWoTFx83n+fBVs?|7&$t{y9H=#;N~`)3*Me9`^t5`KQN^TbY@t?mv8S z<5J(t^R2gK9(q&%Z~oeUC$&E9WZe7zr}^4{?Eyzk<%~Z*tN)j~`oF%r*va2vlRnFO zu6%s{?Ug6DwNCm*s=SZ)JlC9=CR1Cjx>K|J3A6UeN(-Of#qU?Cn5RyxaZ<J9&!~O; z!de@oc7CYmIp?7B7Qgzuih{*XriV@Xyuiq$Z{OBQcc!?0dO7upjSf%G;{26htx>9# zS0?5k__{bBVpNuD<(-MUU%20o@XR}<^m9tRpS6zQ{K=Pu@=sW;QS4eWd9!l&-fcG% zpQpKRJE8P*iC59SK(l_^^<c9*r#`8foL+V#b?TF4I+OU<sXQ0%xS9AoeA4Vq9(MaC z&B@<#hHv)*u+qY*Pij`Dl-+0rEA3ya@_e@J=EHgrN0g{q-d{IEwyY5B*~MBXfBGGH zv%wo267rFr=gcM3+GC@@4(wQS_>=CQ!Z((Y?WQghODf7zK87^jOq`w$cHRcBqDvEJ z%9go;4RYSp^jR)dC2pqZ9Rb%%3nPQpFTQy_EY*`YP(^mK>Qn2S$17HEUecy9>D-A) zY_ezVwyAk%btq-d*U7wb@lAX-Sc>n|BsbZ!`?l(VrS|J&-nsZD{53@CN4nfa&d{3A zFMj<$e<S+1=O&r5dGdGHT`!B=R6i-F@#v(~)7AHOcX_PYz4>1Dig%iO->s_)y~=Di zV{!SGeS0szGvqS{fy>fqX=!QmdQU7m!*k+K@-pqZ`|&PrZZ2#p&-Tszk*0aUGIp|l zLU;Vj14&8D|1H7-6?dFW&sfS3z3PV(%kx!%o`xGM>yCQo)R`J~y$<#178Ls-s(<KF z77KIusv8<^5s$+6aCEN{^od!Uv{@o?TKq?)Gwa+o6s+x9o7A$i?r8Uh4N?b>EzLS` z@MqS6qpevAt=*PpzrQj0#_g<s$t@J~d(zsuS_f6~K61vI#+*6fW#{>&GcGP{>#t;? zJG@79LJ$9OI1}W%=HctcO&*0e=PNT$|Klhu{@0OP{Ewsb_WghI9{Fn@47$3V%_M*F z?LV_i3NFi^)pWc4s{fhD;@pq!#fA6f&+59}e$xL;Y;o=vckhSu?4wpm<n9HNvDr!= z*?W0w0*x2`nVg{XpHF80)7{Q$(~mv8Ca=2hdPIir<#VNPv!bFd`ObYUB~|>gW|psU zmdmv-u6p<9?Y|#?k|XWPADfSh6;|h;+h&p?wdrU}`n3;!kp?MTH)Xt8HfL2V*Et$l zH!bVvb1T0MpNe08J8jeHS;c%%VsB&8s(T3uTka(!HI}JsOv~KaxHKv+Y2mc!h$T<W z(lT~7zl?g9G;7*&gP+9<8LvK%%6|2_*z=5-ba<!WnLwkionC1P^Cr!lu|7piOk-y6 zO0Q=L6DRGAi1~c@$b9Y|^S`P=LGwM6RyGOftp0iWNc;&8p}(OW2@PC<JRyqA%^@d_ zB#3S@aG6-%^^_|qp|xp7#7YIRFwKtMt6Yx~dYg7gtW?naGI?^2P`>?S;U@F>p55xF zvtI7w68!Q%rcvYF$|T7htDV&HR!?Y6@7fc*Crp5Oy~vRx-mDuxI63CngbT3uiyS%X z%<B2@V7k3WO}N5KF`lVm@$2>pyVdD+UsCK%G~Ms@$Ash3+)F=q&6@UWmXoj5^wZA_ z9u+5sXjkqzezCoKaTKRG`%yvD{T_cJPI2$_NlvzE-)CB5CR1P{w&%pp5@EN_<MNB| zCD=x_-tbT_3s#+S#7R}zVpWRB@hN8x6sj5*I-S&+6Y`enXoRSdfnTdnPq-qtaL9>c zE}}&So~<!HVGBi;b*ybt{MQ(7H{Cm_!J_Av#ldH6vdeF*d&;&i@4;#PM)BECG$WsJ zT|HC#%jY<!*-5jwha$JP+&Q2Z`HXAnnYrr(6N1fdM@0vJcNI#%GU34*sh~N+{F|=r zvRSY5@7gkLDX)UqIoHE7g`|)0E$ZTodui~xrS!%DX<4(6VePtme#h*M7l}5h&P`a| zHtWW+g<{*XSM<tCy-Jwgw##DW&BY>RTHVU0!zGwYXCzFh2>4YXw0Fy#1<R)ef4NY^ ze0S>|7jfO~7ecpJi!_(sIM9CH<i-(q*=--<+H-lX=H5OiAX|3Py*>EF-<<^ywr_hq zMgNM(txqr1{I*8To;9f^%x<?|jyKQKOT2T=t(@$tB6D`Co66FT&r1X)Js-tHHFEeZ zNzD|S?DMFjO~_`-g=bQ6c6*AWl}_f)6#B9<G`OoyDr>?1wpkJZ+Sj5cR<S3?doB$# zaJ1Ka_E~Mc1DmI?eqz%>D<4Cb_M43wclG-&>u!};wq(y1_e_n0F1$-CG++KYb>)C8 zuW4bpv#!mR0O|fCoQ|EJH1l-ld9fW(`kqxZW7DcVvt-sC7AaS;b!U~XSaVoy-ir;b z%dK)APqVnJ`7mbRfoUSIvs&jJoxL>W_VZU8W0|aXZB>apo%C+S-_%Fyi4no^mrtpG z{ZmseWW892Wp`HVSI-lZj`Vo&A3Wp1e{_vUf8))-$YnRAQw=xRpGtb<G3Dr?iyGa% z$$?_*+>3Pdmbpd-&Crv(wzF?*F7Hvzw{xNvPOaQx;iPI9CUd=ZPQsjN!9D+DCLO%x z*IJ?$e|bt~j_38{riy!;GEOeF<yNcH(+Mt?ef_F9M|_{oyQ49Y#p!)zH<wkN2|lna z=dSn+r7{M&C+>pQA<s^8^*?-+rhe6{C~jHKmIF>J8~?64yY0&J%kfFe)>QmhpY`#~ zBvJnVp?dQyFFnc(pM3hs>rH3RntGn;Ef6@<Ul4GnyI=!zz?^^6WOD*!mM&yjZ8{}s zYMWGq-ts*ik-U17t}Spk_SCr+(4;EmwK2q*S7-IqY2wR$Uw-?u_seNz85V6NnKda_ z_{_pKICHGNy7SDYpVN1}*~HRqI_1&b^sKCe6X}=IUYq_|HtSpFnu%X+a=L=2pS`+u zgJQ-Gi+?{Y4svLoH%pH)YON|O=$n$(ZyxIBu9;Ll#dP-6M>=O7|D0vhZx<!F*ZHh? z=DFh?&uxlje^y#wTf9o(wU52<Ik%|+LYJrZB(zNmJQHHXym`u*BRQ&@4ct%WoC!5z z|2*Z)(Hd3n#Ku)8Zv0r(<u*BDMG8yzl%AxnNs<-cqeYD``bze%t)F_vO<cA3gXd0L zarvn_t^E5#MP@c~t~x8}xhF_9Q(#Y+YG%@+RZTiRL5k9oLr)wG5G^tcZ4H{CX02tJ zb>8jNFO_?L!j5y^^ZLHQ?(nbXm7DH$t+l@;6@7cwr%a!TrJKL<zU-U(L%}4{%lPND z620k1e&1iE>Bt{xAGS!u*7S;leqraqjNs+B{MMTOwBfsc>f82}!lyqfM*cq@kQrAJ z(D?ai=S9YwTedX4-mIJXW!kmSV0F99r`k7bHz|3WFMVy4^Tv7pc@=4m%a5+Be>?H% z!FGW+(|pfMT{hi$E9ZDk@G{Zt)ZpV~nPzSqvR?n5DJ1kI*tzT9ri~jp_D<_y+*FmF zm790{!P$)MCqKP?81yw~YAC<4iRk0}z$5uu$M5Sz=Nmek&Ck@_B2wggX0vCQ<C)+b zM(Nb0_uuetINF)ZZFZ$j|Kr;YOIcs1&dfe3c4Jz0*6fI*d{d^zU3D<<$X_6_#$4is z-s|KjtL@jWJnnhZa5^o!d$(=KHQ$t*i}tQOvHPmmuj@JYR!?2G$?LP%o^=ZiJN2x5 zK6u*j2OSlWFTHVqUG*E^wZEILy-5t~e?PTw@7=29N7i`zJzC2ryys$K*LAC$2U9De z130Hkeav0Duta5#fc~8+JZryJ=*8LVI&S-OR`kZBw+(BSPL<i2lPh&T>z~#~<KBbX z|C;Cj^?fv5D!4Mc-7Z-5SoPIQa<+p0+Y5s`?WVn(R9?Df;WD%7D-S0|-VZ6+a_woM z%eD35h4a=|$#p#cTr=mvzG=aCCa3MMsr>Nv{%XyGZEU7XZ!B8KR%YT+wK~ja!q?)p zjdgi@)+~N<onwz&V$9ltzw-mlA|<uiS&~zlgR*x&I@xe>)4k0sd$*N@gw?7tpMK*} z`6wqL?QLz#n)1&Hh4F2tD__d3QRzE*%dJ&d=6X?=a8%xdCDUeGsJ`AEeS7Mxe-1Yn zT))-l&!Sab@N2UM|G|A8{6}wk^fwkyloNiwwE9X+@S6U8n>I72zS`Qxed))|WwT<I zUEtii^kebX%54n#c?+j+*=fK&yDn*lNg><bz6Hy_Y|scYdwn&a>)xYO9k;z7e=f>- zaqg<fjU$O0b;R6rELS**a3A#!aeX5CK=r0w(W|=+n-};MZ3y-Lze#8Pn!3m{KT9V) zzVZ6`X5OD)rhCRr?9~ZnJ{Wp)My%c1YF}Q?b*mMR@<#rj`2NbFWfs0O#m-EcDt7!H z-~B1Nww9@e>8p~eR4sS;O;dM^Wbf_W^w{pcX1rYSvg<D`4w^(h%H6|zz5LJ>E6;hi zKi$hc9Q;Jka`6nE?BJt6{|aq<^s`lV-V+r=G4~ozlfb?oQ}=RexfsN?Y8+cRp>d;D zN>UG3WQ6uKcK4&&rLT`P>BPSAN?Litz^f-==A=NKa3f~zDQAv&sczojmE-%SA+>j5 z$<?~46#>tUd*hCN;)>A}<Db3k>PPOwt3x{yT;~=vCp6ZFsdc7uIc<oUHK+Xk`PjnL zco&xMAsvtQaY<Gza8k=#F`?C6E9LP<F3XBVPI~iJ1#q3udivC5V_aYp+ck}j&ZS&Q ziET|ccC0+n*c{FE=+U;OB?azYKhAvE*(9(hK%k48E9pT?(+msEzJqcPKQE1%Bc#sW z`bhQJqnoN`kzEA>b2<w)bOyCF`%XTh>2dPlvy$hj7qf3my)x$Iz1MD9U~|Z^!0?b` zq34|MRehf_c1OJlteKP0TVb~PLG1lU>YuvOH|ur3?pe_Kz-vnDqpT@M518J(H%)iV zWba2+EzJ)STbh$X=H5$8SYjcoVYO#<r?SbEgdJ@`JeLEQosZtzdtm(=lawf<pJfr+ z=X!0<thY++usBp{5I^~NLSe=iiTz0}j+5ryu{NB0#6eU^LgDtD_8U$SHWx#ZSl&<R zd9-(u<c|d@YIa&PTc>-aE$%LomnjJ~62Ch|r}f<`rNuAScezb|u`q?jc52U}>60XX zL~VV2<%rbB!X-kR3tUd-ya_U5KR)Hm(Lz=4hm$JQlO{^OSbod4`K#9|72a#ID<_#; z3=ee_`?X?1um7Zt_nrCTR!-=?t~KS5bmr3~m(Q+!TM)bF%UQ|)8}imE=XI3#8bnD3 z=e;&KSS!2iM^rnn&E#p8hu40s(CUradGE7Jl<K{&^Nv5NT_yL)@1b3gO0-qsr+`N9 z^c`{?-*^1juw5@kU0R`5y!oBxwhPD9lx3p|!own`X1j_XwGQWcy4q%E32*eHwbM&q zJw0u+Jw-Km-<jfI-kTZP^Q`qs&TQFo`pTw_k7iXw`!7r`_FcAgo$mDK%V({7#miEA zr1*Lrvupm|gAV$854!m8J?O;0_n=#Oq@>C9CsIEzEt@SRR1)gkrDuBR<_)LgVmSiW zueM$)o&9`Mn1IE`y%9E>1Is=L-=3Y4d!;<-_u>lmIsL*l7mCb2osueidgPn*$0OU` zubkfdXl3?&WBq8;D+l~O9w}RxtZmk<zK!eZ=4<*#mtW($7x`Me$l=4w;)gkE?Ekno z-O#a~bt-+^^;3Q9|7X6{-Slhqyqot_{P$F(Rj*!CRFl4K`$_Y2yEXC|``>Pg+qreF zx$YfB|2w+_JJV}cZ&`7A`O@tkpXX-g=B5=aHi?&+mb5<nSX%X7ziUryPDH8nd1aqj z+**=t_nAK@vPkigbAjC@=R&<p$q#at96utNX`1pWa@+RhORJ;p!t1=Q*|VIRnm;)# z_-D*d&P?Bw$k!TQpSOQyYjUn)KI*vl-~q<H2anX<42UsJiMo|Me~V1f)}L)T>-5h0 zzkWY;TJp~=|Kxa8Z>(J?B7P*he$Fy&*A-9Kuxy<px?tMoPnV0rY91X8l>hGKU-M>D zz%GN0;cZ>wYTs4}Xd8$oeRlcil_6NUwsiNJtzmjTYed)2o-SAPMd|$nx4i6!t3Ruh zWoaDL<4v7;Er5A<YHWqw-_+<^)dwG>MXozNBVjUMaL$!PX6cd}N2+AEZSZT)eKY0i z)bg!u_gU+_!%qI>n>o$x&Xi@*JMR=Z&&vB*bXxACPWi-5Gp>gi?)_HiZk97efBT!M zXIpBfN9&#DQ@;Onn)jxeu|JDWyMFA<;oEy!*ZqlL_w?v<*FS{wiY9*CyeIp1t@=mZ z{fDY1lw3Hns8z)5T#Ja=sumIM<<4Eb!HP!@o}4i0(7lF3_c`|KO>q5p%9SUFW0uCd zmPL{gf<ZoKcr?YHwO!h1sJ_HvhDcD%9-gI>ozAyqwWiFTy;*l|wsiOFlTk7GYqp&; z(vF?|?DV$w-uwPF>MhZ)vp=rbc<bA#s=lomcIlpvHns`bTny-1E_G_!IjO8imC0Lg zwrSp<Eq>@6Tb!UtOgm@WOM{~UvSuHaIX3(9Ts*qXAfi2&=Tag&v-Ik{!JO0Y¬7 z<>*@_aMV!Ls34}*XHI}3w|Z!Y>@=+>4@#0tR|Yw*x%jw;=fiT>bEg;&PCWL?RK(un zQDc+9muz))k-wrx4luA98U{G(@MNi<HF^E>Np<prCYc#KG}y)TPB!+QE_(2wOJ>Io zEq2YA$xS&zlb<#uEZOqr=j(hq^Ant}<3w5>Eo_pgSfZe|OS>px;e4CRBK%TI4jjm~ zH2CPnr?Y1Yv$Xz`0|$#O3qJbr1y$S<c=zb_Uh#W8)3#dK?fWixtflIGsk!s5*6RDm znCj2Q{M7s${dU!NGp~y0H4E2@IdAP0xN}X-sjKr#Zus2PDZaf=b9lm?o7VO|T)1iD zr2m3%LwZa@Jh%OP{pD1lzsIJxkB{c`Us7`_2%7DYdEb3Iclq?Qy{!4anv!|BTnb`Z zHT+ggXq>E-@@NWIq{Rv+Gr!dnnzOZ@JX*uGwBYjhO*51py-&zkk-DK%ch<+ij#E3o z$GmKBdlh8U@#^wD&c%jK&RWtpE*gh%buWMO!Oud>GH0#3c;=c*v*qu38;7*qJ6Cf_ zVo&DZ)oyDWA8ftn@X^h}u8Xbi-_x}Qzms}GPFM9AOelUg^(({8GTRWX*X6yFnm+QZ zS##ezapjulTz5^{*Q~MkNS=DCYLbcbzD@Ji8jAR<z2;?^|Ann<`ld~4M>oB@rDwyR z_x0YFiTP_!F1_e`t*7hh#3O?De@}FpaQ0`)hC7{i!<?JC4=FVt-t*mW!#k(*k!dWp zDV4gLRKiZYTEW3NZMEXjAXAeK5xl-SfzI6Vr(Ydu7O|aLow;E8q?s?)rilI0nAw}? z^(>*;XujSx?MSZIAw6mv!%lKs(~vxxrE0vv>!i;bk>A~qq+=#otZ`y#59xTcfJ@S1 zfs@*|)e~B4wNjGTb6MU{UX$p3BD$sQVnEZnLr&-2C(l@QnB{WGjHF$B(lZtwR!e)e zp*3>W-$gH$Eo8g*ORv6lWvAS%9b#2kD|+LkUM<+(w(G^}g_=GSFJ96;<oV`WKvQp$ zc*v4JD#!FhpIlEaU!{1E&veO+b!}|hRxa#JT~+(>)@K`D)fwv-ig24;IpD-=y3s=- zZvPtnkB`otiN4bI=yjzTx3_(rUT640wTV;OPB<TO+GJxVm$xGB_b1_LH=ljltf?%u z*oNhA>#7g7Hd})vd}`18tqpMQdYYAykh!}cFf^e!+I`#I+A8LQb$c5hHQY;huwa7Y z{jFbBvphCL@M?;!T-ccEv`lNmj{EIj*uq{{F&`D&d+@-;vOltKU77crcFs?TyBuKq z{7oiX*l$1Q>PfA~UrtDQ>>N?_K~raEgoK}6>r&pvn2O~)(^+%>toin9LrRiQ#3lnf zotracrpfqldh0D~T`H0mqWW)Ye%-|c->pZygt8Z0-K&!&a{Q2x_UjEPj{+h#ZCDj` z#r5RDgBwmCZQL;B&_9#^e2%V<=9ec;epRBd{oe7t{oJz}-J8-LH!iT;p`W4mjx&m@ z+<E55RbR4BoOu1EX~E1Lni*nw9J6{~HysoEq<HrCwbcs*W5i6Dr?Z?r<m$M2W9S8$ zHC&m0q?5IsTN6Gw%}|u^)e$$5KFxCWV31?+Mwbgg5i*y4q{oYMNgZqoVDp`K(6wn| z(!K>VXQ*e0J>#0yYuWTHY1@LO2HI03yVKLEPn_7#vD^Iu&l@q5ZL@?-q<6ENJ?Q7? zo#^iIcz*v~wG5Wk&NH`FE;HwH>(XsXOWM5P<_(ccKg5&FTg)DP==E9qZKmqPq`d++ zk61Zw-WYZvW{uz$k-S!ue~CA4E_}Od&60GkS<Nq-rX6}Q;h4RGUEl>B9|05g$1J+7 z8xOvlSszm!cj8!gmg|kQgxCn9jb=KQ5wg=_)^K@iuHnhM^n2QmPi+oU3w1kxHcd>L zxj=G;m`u{1^PT#FHDb%S?WDU|=Xbj{O*_;y;h4S|zmQ4SyQZ{7vlrZap_QSxtHtEr z`*R#mXVynnuUa7aLn5c@-t!~roOayrS?BkbH$8jMyI^O9ZieO_uFOBqbNpG)9*TA> zHZa<<e^0fXVumIUf94+Td3W`0B<xwYV|v0837rhKDvnv5j7@0^_b<5lLOp};7uT$A zyQXPQ?*p{I?|P$M>ZtsF)9)+orp(J(=67yxN_)6x!Oa~C8GL$Nv%0;TrX9MtD`DAQ zy=w0hCw9NdZc2NwY{ASOsu^N=+_QRrH$8jMxM1fFoea$!-poJUk7l#>@`^5;`?;;l zxoKij+KY%s_eJIPGt|_$X0_HjRq^q0%<67lcxH!<vA>g>-7+37Q~rN*KL$3XCH5@1 zDWRUBr*@Uye9j~3cVb4L{3qM<r?%Bk{WEpJ#;LsvEPrTZtU11#zlX2p(@kX^!9Bc3 zpX`*D+7{@;v5uu;{f~8eDl=!u^qpuszab?#C!*+reuNyG{I+AP)r#v>KN`%4ZRU^O z+j@e{jeAz7=fP*m9}-tjf3W#t@CBI?aTD?REqj)Huv_vm^MJIYa)nlgNIz?@EZf4j zpA_?#EZBH2<bq6(fQfj!^X&(|2}LVnDr)n^YuJ`?*a=Is&TpODl=gVt0?QrB8G3mf zQC!!X+t)qRjorm^+@`tS=%<f{k-W4&$E@x=r#m9M+Dz>B)N+U_$ltriJ*%_4Dedvv z1se<Pmqe~9+#_Jp^!%V+bGGLl!5)$CEN2gxIvN+oUbvwYb|L4Eh>7@i=b0bdR-`K` z%P)GzJ*&07>Dhyg3wBm0WoX*)=H8IDQ)^k($uD}D<?N9V$IS+=7i4^dOvHtqrKGhC z^sh)(9(LzH=wax0fu~2*L^zq{?4b<D&4ykVVtPcjh_JP65y_6WW$j%seZfY)kPAFt z1Wkl%S<gDzJ8r&cU*Xg-zfMAA8V6f@(kG$2A~I4x9Box(E+4qCAtfmyVv~W5&P@v` zzk5fgZJ2WCSwgbOWS#%gti5ORn|P;Wd+Lby<Y?+-<XlwG$hoSXA$E;pR`1oOX9-gm z?2ORN&|JfjS<?`umcdf&Z2ep}E}h%0>*vA1gHIM;V{$a!7;xdnqCk)H$9Noz4T3KO z%@Dox<4;=O0zn_nw;kq(cPdF}KI5F#__HZ3sc}JMghqy$80W0!qfO6}Iu|V6U@|51 z{R0bGqfd(;Pg)?kLnA{?k3%Z@49BeA;-+bbW==SE{=r-!lcvcFeX36%lWm&#sAYkq zg<gi*vzA#r@n&+uHGJDRqquH6OUY-Kb4eZ4b6or*3S8z0SF@Zw6y~^jqyGh&H{vGZ z>`qel7t13qaP+ls-IAW9&+XQgeDGxd$?)EGmie8{O=-#N7c4C>Tk`SfZ4<}j#p@T` zl#qDy&bQ8Qef5U!XS~@pCytfB&Dzla>}+b0#j?(qi6;*(E^ra&ZxYHED?cps`fro& z`PmJ!{OigqoOg=$Wj;uaNb26PA?0CdM3VQ84M)Tz5;a=|56*p}`y|C6eox~ZzH7U$ z+x=n_etfk~Pv-KWz6~kK(Gf)(G<9~~5S<ouLw3rK%qGTzJ|8x-96l^`bi;;}goFsA zLj5^=TE!Djx?L}DVPAh(Ph9_S5ZC#pNvDhcYv>62@N6r|kE$@uSu7!QhIPIOAE&ok zUyJgs8}id+-tc<seQQ}NvX9S<@%@^^-3gB$Uf!d4F!)5xG;<T3NC~lNH};t5SXK!6 z-8;H@!<0jxUzu++(q;XpKR0{DO7HS@Z?9xMzAT<~s!vdK?c;KlnhOC<-{V8_ZgB?I zJrsXmGULHot=#vYALg4}IT9RtX^+PwizycqnuKSb+Ph3>&Jju7^xDR0!E-JZF{^LA zbEHmpdqKeIT$u|+*Y0e+bJR}PKe2yZh32{!i-OJmWm!shZ=LgK#WZP)Wlz=mvUj#- zN98@<HO+d*!l!z9S(RMdOO9qg*rc~>;*nfk^&N|!ij;4?bHG;DyfFT>uFZua>F}+0 z4m#_WfAlyVT(L$wPI!9B#j`5&GAs`U>n^WY_LOa2_Rdc6sJw?ur`@ht`ZP!5x@doE zw^h#LCO&J6^@sJ&y)>Auovzitp<_pJ?XH!}f`z;n`?7c&?f3g*bLhymXNEB>#>qQ0 z(vB2J&X7BtniRz|y>+EwjM=gy1(q{p4nIu_;tA&xUaZ}bR9F&}qcT(Au&aSxTX#|n z&-BJf!x*(=#|k1N<PN7MyYftLo@yAQ7k0E@=?1G^O*}eXeqFt;*-1M%t{p1~T+{u~ zXoFqb=_73tdfd{<J92coA11xtEukkqJ9$UY4WU>^H8D5!qi&nm8pg2PPTHZd?RbIY z4Z*{yN$EV(TVoAl%=Yy?EZShbD?nGL!|dh^`P?D{{aa6x^0sKUTFyM>U8}Ne&G!h8 zDXkBJcb~fZn9Ey8U)h#LU&&VFyOJ&2uCzrqYH}{ik{{MBIezS}M}M>RL^-q1JzqB5 zOyAwHYQYbQsXWp@=ebnf@G-e`IBoGnIc9!kTQxSfP;u$^$6Ts%diuUtNQk~HG&H(2 z`B2A-#fJ{GuZT@gzVl&)??%DAh$Zbw8B2~InBdXhd2pf}ce9eM**y1myPFv&zTELb z{-x)__>j;U74lPM&1QY=y(J=j`j<<UMwNRN&zG(*GhRr(+;~CxQu5;JCC44NuTa|Z zL2uRjjUUZUJ$m3f<>=AZ8s1#%SFKm(h?=#jcc#_5lj?>~x;=ysKF}9Ay!-qVm-P~Q zW?cXDZn<7Gc8g-GI#Mw6h1}uPh0#3Id)FDp@Yx-^;Vv$pv?HkE|Lzl9izGzm>Y6Ru z+kH<#`trSF1%Z1y9~xay-Bl_nA(3ljsBkM~Vf~hqZu>JPH}AWsCSJS9SM$riN9%?6 zUzlxmUG1Y*U+;sb;#1#W$;|QO$>@5l{6hY;`$hd}=N;}x*a`b5y=`x`+jwd7MeS+l z9nVMDiQ6Xq40>@-WbrMHxFa`X%Ua61H%FHBl=VJMUYw&dzwvru)cnThg*j^Tj&6*6 zA+-EW4o_!tv4KV3*`o_Awu!JE+hDpe^#1##8xf^{^>#_kx)FF=e_Frn){QkH^Nk8? z*w~JE_3Jt&{k(C<eA@YA4<hW$<{i7mZ?@>zr;UcD!i#Td>^gc<PCoo`&b0nD8*6fM zdY>kHPqkQk-r>82nXv7n8#-Tll9OeNWM((tE6mAR(wpqP@cnzO$&Z$mG(Z1bx!3!v z{$d@zxJ=!9uD`DbiZzMvU#+8&BKH2%-_IWW2aVq@+r$0Sf02&bysYg<uJd@#>Hi`y zXZ1O^(0M9-ZnHW1TxM(dxy<H~=`)*YA(FePz~ENOV}~s#5B|%T+?{=KnaDerYg|Wf zo@j~CFEzjAQlNj!rO^IX$^*wOCyyM?nB3}qQBAsku`geq`|OxIU1m!Qj5mex%<1$w z;ql0AOXq{CEuD{&wwye0HDhw8_C+=B>5F~M^jv4l#B`YLydjtCmFS+bc7}!Q%n37( zY|9Wncr8Qt=(>!_jq4Zts+GCV)_K!ucJqdGZqWwgO**=By1v}7kbGIVLI2X@M-@xd zTa&MH&OUT_IhUtS-&5s?FT3xn)QP_JPD-;;KG;*?*7fpZ58JY%#<ONfozF=0vRT}F z@?#HQ+HvDuH-yg5IP@&S)MKMTSW`~P9g*`M8w}@7Omena-1zllkJ`1P#!(T{=QEN$ zZ5B71e(cc;J8ryegH{-?RD^)GquHc&J?SbDg6BOp+RVFnU{i&gu=it0-JZVmErv?- zE*@M_;U=#9STa~bYBihhnf|E?(xvUkjfHr+)0apHpU+6hv02<%__0Uq*m2`qGX&1R zNJv`qX`6xmwDZUAMA)$xC;g1^>3Zs&c<sH`dy%iY_p|r13(o&n`*iCZ$Fv8Fxn@=@ zcM{w6FRU@=PUz914^FKyHsK3J=KbAUa-5@H<nzW!f;K@$UDj8xe`}l3IQ>+Gnx5w4 zNQs}(qRD?dCoMIIIQZl8jM^zZ3$EvDzyH8(Z1zE`tjWH%GH#>rAI&qxT*v0Guvzah z**>!J)BC40KhE1QXW0$ze@mWLswR8eswQ*usctR^IGOV%*ofVK>Y1a)s@{nUn?APB zE!UPjXy(dW<zMkG#BE8$s+632i&NChG-tM+^-4?bo@9ArRZ33f%9NbYl?i_i?qBJ3 z?Afm_r_b~FY?k(T82X>QQQ~pZCnng4+kDEIW4fxvA6!nx*o15n;nS3;FZsA;lHi<R zqb_c*v<HhOZQK`dQb#AqNcy<QU5@$>A9qaJDY3-IxK+5T;?K+z!Cr0!Q5SPX=PwF% zTVk;|h3%Zy%+6x3w8Zs`-aqw^j0-qcTo`gPXvdO-KbfbsyXJn6TUQt@Iq}h|Ns>F3 zrKqjbmb9KU<;*c()#5_$gFlRCl~3tOm_8|RO}G)W^prD4+*CJj@DJSiNAuj-Qx!RH z*QIFYgc|(Y*PrX<wqgG-*JcCnq<$l%qh+eb8=O!2ya_SlW*1>SEUy#0yyy9YY}Mk8 z(FcG0lbv|p<mYOwZ>uJB)@r3B?&rE`vA~IsZ`FiuXRRrR5`Xpu+KKO5k-~EKY3=gw z%Z|%=r9D`+r)ZD4{kM=~n+qZ(^;_?CRn++14nOJhCD@4j@6<EL7*vZ50#3%11RL0i z$}LJ^aegW+a&A(^f)y%uqH@c8t{f;(H8%7*snZi?B%M6v%u(A^yWkz`6KZz-4mim% z@5FKGbCW98_jnXKU!3)$<omiwGb@(*Tt1Yoy7{7Oph1n-Z%;}6R(`Hy-`{VjIeO;x z`z2hFHx@gY^{t-JoUC=^8JE_SLxMl!s<(cQkn0UU$0%x4;M95}#=SM?PM{(?e~3n_ z`=>kAtDA0r%BXo%AK&V;CqR)~KlH@0V$q@k|JIm0p$kRyP7BVzdVk9M&EMN5^{&cb zTeo^b>uRl($6Z{OH`Y7pO<NJb)%|qm_ht7kKfU<lO!YplnKM>9i9K5}q4%cNlZ0tp zJ0-N;YpQHqTX}rK6on^;oH*nny2;S7Ri-CgQT%a;M(fO?Bg-$xuNIi?yILSxGjzYH zxXT*FRTDb7wNf5VllkcRo~_dC$DHr;YUX@cw&!%nMU87KCp2!=N=fSFii}w6WEQq^ zLi1FuCrPbbOE>)4K6QQ06P4$^lP&(XUUMjT)_tn|@zOSxb#4|M>s&1~zPVaNcy|`e z*dh3ELxJ(3#K&PRhY!BxXz#Wb>=SwSihJ&(HFlh{j_l=NKlqn}{b(~sdt<vGpK!mD zjF_CeMb4bA0*f1x4+}P$ADVbbu6Cic#d7~c9!cdb%@1C4upeE=(cbu0kWcuwl8jiH zi$zXOZ@~sb+d~f@IkYIZHve)mFN~YtGV{nn4)%i&IoOX*<Y;ePEXXH(TuDZ(4`g~r zfrZ6NeFJXsFKp7{U)k8Fe_<1!{<TeHUC8|N6D5EAn0#bLhVa1`uRe!*1q)41+QFfA zykO(XNS^79f`&0_%Z?UAf*N7TZamYQXBx)nr5!C;YGA#qNyE)m{ixewOT!qJ;^Z9~ z&yE&I&X7Nxn&ikcy|vXa#;omFfu)4l;ipLzJmS%EuHh3JCtmd2I5FFkNBrO=3B9gV z!x*+{#|vgg2p&#NOyv=uZF9W9GD7z7)1*M2aIWk{+9!@&d9mb%#&)x|?u1w#@uR;a z^q8fScKGD<JlwQFv+eY;XA*ks(TljR9=ee+xwr75+BUa7vz;>pa=j8CiQ9YBY?uAt zkeIt}+0NqZ;_CPLVuxqf&H1s9<x2XkV4h%SVdvR(vwke(`22ENiLAVB>5Q*;t<F^O z$(k81dZ{n;p+L5Hi|L2?o;g{9p-sKI_q}VZ*QgmrsR{Q?TH?6TagkJnexUD~$t%RJ zd4))?R$bL>Ds=T|MCYtSGbYSh{aDq|X^~LRlocY6RaP}VtTR2l|K2J2S{bE4&Ni=* zYZWT18V?FxJ)+Q=mAJrVtD(aps~7sKcB+JVgfvYRnwm7##dL$qqFE7ofx0@ASD1-; zhp>D9)w*n?yQgNkXGqgZp{YrGTucpI7tNZX6R7JmX@!}ZR|vbX%hajw#X7w<gf0ro znY<#$Q8UmtXWELKhZ=#oZ>Fr^E899{_qturnDVckwY;WyZK<*DTF;u;m)BW5HmqKG zC-hgMS?Aj#hYf3A{_cFw!sxBjS$EcG?t=Qw69Urp<E@YC7C3BJ{8GJBzQrMX_pBPL z>3JSWi`zEc%J>CZ>$YW`-r=&ROBM*m2%2=AZc2L)a+zPaKJIGG-}iZ}iZ?EQnclg7 zg5b86FvX_kt}hzjv^pAVxssChH$_-1P%!J$>}X!h_2^MY(~^P+r>?(WrCAj#Rx60S z7ddj^^)h?^KQrfl=%2u!A?DK5cy?;?awWCGJyyHILYlaRraoHaVp`yNhViI^A$yLE zfUEHGqc6nHbu8-Lyy(S)IU2hx6uUI%@SE&wi}~gKukigj-O6iQR|*_V6J2y;iBpcB zv_nnWvAWMcqlE6x7VTItn=9~3s3LPMldV7JM&7eLUqVvnCb%tlyz+%-&vfRd!XgL5 zFg~81iFvb=c7>Q5_^#S)+8wgpz;)H_89G;GeI~uqQ}g;Nq874jN!?z}*vl7o&XbMr zE}fAuQEL0fdG!nVgmp501wN`~%FA1q?e}QuB*7Sdo)X4sM|e$DcC2g@*?#rH0n^kv zjW~^&jnBQ(9?hH-S+Od`Y@Wu<=Hp(^9!;IJv>>!hraUh<>GJkyEtdlKR*iS7CNx%S zr99fl6<M*s$xLq5gl2aq;U~XOS32h|WBtrLnaBPa^GO@tr8Bgbzj5DX*BN&<%j-h4 zvu0J+iblD!_TGO|8#et+TpI3|R64m&c+I7vF43sGgrx_fZ$G_xr$_hv>mM8TyPW2n zmSuU=NY{Kr%oAVV%&3)@EuE%@Tdcow)5^zC`vSL!`kb0iZ{OZxH&ywuqD^G}l?x8j z56rlJ>iD%=InmtnbJug4<jN~WtacK49nzuuo>^Fje<Q={#69B5q7fepu52}$pQ*ED z+Vi;GKh=LWDIL82_LTARRWpvHb$V^^TojZuWkt?B#k`)R=^9cuG`rNwIu^BlU6hf$ zTf^#xT9@9ot|YGLLp$@ot^4U6(q#PhlzMhemQZ+#(ZST2K82oY(mOP6DjkjN%z7}# z#k4SPQPd8Fz}z{LR`9L!4iUeuq9t8_Yid9Lx=q`TJM2=6U-7v8uAHgL4edtROG{^% zUzu>0P0Mxq$+RC%%9EdJKhixbG&5np&$1iplX>6pp5Cbvt@=16mFxB7*+mxWH#oP? z7kzVN^L)WKQ*Qfnm)%?Yw7_eF^qso64bt=eIu-Bieo!?xU$@5B@y47rms(pnUYmq= zl+Nt>qLHW7(HPB@^k`dC#EyjuX7jW<nytAWJ(}CJ<U^cOm-2Bp*2KLFngVjd1eiB7 znI898cJcNpr<HyNdyDea7N{Hg^Km@$4qh}v^D6I|39r<ad6aTrUb3rqWzgM&IV;~K z^@aE+<}J9GnxS^O{(2g>=bk{trt3i=CpK+8qb;gbar4jWBmS#TRA08Le=HiUv~`>5 z)5|q1cdy0FD{Zmi*_2pZo$9B4a9gYF*{5|nM~c7B-4HA~^Lx2({DTIgqJ0hBtIllS z8fLVAtI?loxqZ()bp%|SR2O+{j1b|~;o7n%DYNQyFKEg)j89|UNyg|JRz8JmhQ?FR zmrb&eSktHVGUj}nvQLnUip7!+k1j!VEu|otFqb7qJA_39d1V4!Ou7Ws*|n}mM}Jmc zaO2AH)rto#OqWQkZewd(v9QxHD<g3WQ&sy74O10~m2D!*S1ueVFf}ps;nn5I)tj&J zY{QL-`2r?QUz?6Cd(dcj{qhgXS6hu{CiMC&i_o3SyN1i8!e1{fZ>fyHnhDHZPm2-~ zW^V9jo5nUv>AKbRWzw5=mOTIE^IN6wmBB$n*<}{%4zu}jNPg90Q7;VT<5+is@o1{0 zL4l^hj0%O*`D<>eUcH&*zAE_5v{!83Limc-FZ?LK^(b>`S@#@)Gl2qKJGqh)_BG9z zu~b3q+14q?w<|nc-xjLav`)+AqHiltNw}i$e67Pd9FZ>+Pw&27cqT-kNs`OSFxHVr zCqO`$TlB~wrJHhwhrHG==Mwx9q}X*&D`i1H*UT5Ioy2~HzT^3DOWAtap4FA*+O|FZ zYCF^?YrZ?3!pyJrcCVKC(@7N?S2^W8OS_H--8~?@F8#)v?RMv{7iQj?slP0%aR0<o z$;7=cyP2XNJT>m!as9{XBmPr%6x-eH(B{oCl-iVHuxjGlw1~AwU$}+sYI?J@c>Bby zJqDjn%sn<=rA|QUY;O(Ysr0aFsk(_jHg<iQYS{PjY0-&^M<q^v>nh*glgl$*G~V;t zqiq3UHJXu{tD~JFe{S5a{=IdR(wa5LI8$9KB74P8b)Am0GH=~8IVke3z^Seur?R#O zgxS5CdbM!j8V>E%oRi*)p3X{2R{F?(G;m4$x-XL#)W7o=`hVv4%p)I8<u?gVy)UAy zy`Q&d)gLp%U7v0rnsF*$I$3+aY|rXHWrq7cS+{aN)d}FyIBn9|IraXU-E03WtN4-n zb9>|TsrS|5Lh7O`;(q2nj(obkdHK})dh<f+mVfwhI!KI%M<@T3$D_cTNdkLT{aN-R z_@l&&*q^zJ!k=z$oj3KqSyf1#^^3TlZx=;BE$3Q)I?}*!h0g38o>hO;euSKB^8C2* z)9nN8r}Bm0Ywy?Hv+~cjkJ~=oKDhhSG94bh>3e(kJ)O_jHl==-MAW~Thc?_X7p^~1 z|EW59S(-LuQ|8Tl)qg8QJd#@VT{heiUlj3-dt>EP{gk9m{glLw`YFj1^`9gx)PIuH zs6XY<pR8jy62e{AxSsvo%JJ-%lZbPzV%W-k0;|K~J3`m!A6a!xJZb7{?v1a$wg$b3 zIy<9LGW5u;o3E#3bu8%a5A6Ba%G2|$)u-oEt4`0C)|{RXtuj2{TVr@Ww+6ikK0Bjx zX6TWq`vUPD2^;iX3{QwBwfE|$BsJ)#EZnbu<kn36Ckx_BAB!CeV>U|*XXbkr!mJk- z%B;EO%s-otiy!n(+Uk4LD*xHc=kuRN{N-KSxK{8BTi4Vo=IH4)kHvEY0wrfv=zS98 zYr4k4essDZAM?(5wK}Py57N4~xpH?-yR>PcK&oQK@6bo-Ro+7XBbT&4*x&sn;LrDo za?JmommEK`zvoNDkK-Qwz5mTFJ$|si^UIDOT9+mts+SEF6?waOQsUiP^`b8of9NaQ ziu`w7a{NHO+)KlYmY0$hrC)ALbg7cL;L*=5^wLvNGE|h!%0>0C)u(#<OU@tOyH;uZ z1F667TIKU+_e44F|2CJBALlFE^3@5yEc|Fbah^z>RH&$$m7D6}wsrFERUCC9FBkqe z?a|*T?^fmWN9R)V!{-y_q)R8tvG4EDa^jx5NXP7-;;Bau?E5zD_~E}uNAu6}{eFL9 zGEY`6Q@K{7e9LviH|hGhKU}A1cjgx6u>5{}Lxb<gMo9~a<7tlqWM;P>F3d6ec66iV z4e8_0lKf?&xppUC5k0y~qI2WJx34YCnqmucM64g*;Ca)LY`oF7@9d#Z7G~0qAKj4Y z=}j&+RO{1iy=eIA)PY|Tof|*qhsa1DJYiwhC0Lll)^>d3ObM~$X^9y!vwIZ_bNJYf zZ`>&%a(tT8eTmZ4B<G$ZHyCcJ_w_C)myvc|Z(+u4`}l^>m+s_b?$Z`#(#4N&$nbOo z?h-RQR&eu%$l<36#XQrybq!-QbN(LtE_9!B=a1`~>NF?I{_&dd`bX=Q{gY0z?EhEy zXt(0c-@mT<FR^l5JE7<M)YW1$bDHia%T4*Ir&_qzm5U?q!)rmI2}guk6?ZIE5a|~= za=@9@@S}sH&Yf@p>F**(4#u(;7P>nI?a<Yi>013sBS&TLG6k01qAf}5n<Q>5S5W(= z-O<X-mGpQ)lZC|+1wB4(4=(p7t;r9T*>Fkk>eJ#lXvn(o#$p9FKaGyg$y`Yfr!?KL zSgXKyPNSoHGuNa;mX!}@ROs{{I@a}>%c;Q8QA1CwqtTly>Cuj+h#ygoIWb`Z?BP$W zvmP#$xly6W&NuILV|RMdghQ9b>h5YXtXhA@YteCYlNk%F57)0e;`vA3%ICxW*9J%T zUrc2FznX9MkNA=shyMRJ=G}JDb$2@7KX=)(kLyb;TK{_nuM)Xiayw1-$^SKnS@vH~ z?5baTv+!Dc+23RSS1OG^?9W`$`QP7J_s@Q*tjGT^2C)C%-nQ$<eiM_{|G^geaZCR! z`Cjtz$j<u?25+VRTv~Q(!jUx`><8a)upgbn(cbt`kWZLdSw`%ct3}S4{sM~#frkYf z4Gv8_q*S{w*ypPH!M^6Bf_zP?f_z;|1^Jjye~~mbaLqR`jLhF);F-U{FfhNsz%jqT z&^g~D@tJwuGQ$m?U--AodGOo*x`@jD2fuvxHa_tG!q#PfMVjZ&#(N2m`oFMo-@huI z^JnM12M?sHn!D@W^F1EU&+wl0sQMPmjmD4uU)h@MFGy?rn|Uwcf&P|ClL;4!gzsN0 z;=X^aNcut%d;PMfdjCRB2mhE>Ab9!w&lMl#T`f5NIX|3JbYkL>^gywu^NV#vOasN3 z)219f67L?VbHzO}XUSq6KB+}IdQyvZG`DnX)OY<?P!cR#lCnsLWq<dkfEvM6!wWJK zqnTH7v~!vtN<7$EaASkA4Br}#_U`@t1xr5Mey_4`>d9+m>&}~)q*#4kE*SsRN$8;Q z{wZH$&L0*!`1(${aDCL&O@G?%t2eoQFgbobTy?GS=?LTV@y|=RcRl-=6YXU6oI7{x zi)sHaACWIU^WM?>QQ<jpm5M2kzn`Dlq|;jCn=4#@+AB^;_{-VPsbZ7&dn}K>yz^7p zq!-HUEPFryX;Ww0<oRXQAGH^e=R!9oRQ+hqxjXg$W|u`0U$~wmo|9MGG1>W%f5nci z%kCN5aB#7FFRq{W!`Oet`e&QG;{-VELg(a$K6ejP`SIW`%Q2U22ft6f&+`71>#5m3 zLiv9ko6Mi?5%2n4q%WYhBYI6^&wD+gf0_Lok4kZzVo`UUSwH8|6dyH<Se@fM_BT)1 zUp3fvR$fiwIrr!Of?oa>PPHG0{_OV3W=?E+x^KhbADbLzBxG8iIiF=@rzxN|;|2HU z{QJ6`Eu3OoE3eMdocBDXt<|wHVPpIQ&ow+;EU!;xekz`S=EF(xXM(%7e{bik`gv$i z#&5PmEPrbf<Ce+SzWiwP_SNG(KK4H>^e^ADJGcMgRds<h_Nv*}-BY~yomH)`C;A_J z&0iBF70n%2|KPHb?%SVs$?*@5cfM!$@LpK!8yr2${M_Fxj{*l?O}<qN8=bQ<9u+j+ z57%xy>TJG#84JJZlt&ZWq$<`dRGatY=iF0vQSremPHuVNanSn9>Z)Z+`P>hiUI^(w z{!l7oRsPv6%Od;#tP}9Enx6NBfA?N}mQ~X>toke9bEEprw`7CFptZOB4<@NKu6lcE zS<9NxRf?|WrY09+d40cRaEla*R)zkyiC|>(Du{O0JeRei@v~IcqofU4o;$Oux3aF9 zRuOu8vu+6UnymY6*$aZUnm31fp9-yB{ebsSs_maYPake`Xxitl&%gDf^;OrTXp8$| zH^QDao^Cdp`!vz3P)XoIZGYgq{LjZS+V;Nm*;JgnY(oC2Esq?R9-Yom&+>So#-_)< z0<-rW=w;gf)>h-%BhRG^R%O*Lvz;gAv{U2N+KMpEgF-9bUkOV3St2|y+-jo1voEd- zW4ahmT|9ltG2AI~&gu4|GxKjAS?!d`^L6#sidai0?@!Zncmzzk_BEv~SiWH93%v|6 zyJv@I^?q-9_F(RUofVODu7qrj*=2jL#eCBFhcz3XcrN|t&XPU#yCX}o%fzHD3Y&_g zMc35(uQ_@m;cvCp`v3nP#!Pu#pR?hg=Zb&r?62>)Tgr;9`ET?zBV)tApURTco4@*Z zeYIDK`0u(^thw3Mv*Pc4u4~K>zt+25;JG7eBK)1@?4er6%^yQ9$i#@7h=(_QJzu@# zn}onQlhywlTQ8mIh?jh5ys-A^%Ai1n8@Io`Jz{>k>HEf~wu-F@<qi*pm&{dcO>lR3 zIC;ri#nyyqhlj#T*DAIqcso3ty!5PMYr^Du^&d5P$pH#C9`rx1HOu$&P@EwhP+_#& ziR<9mhC|<Lsyq~D2nPI!yUU?bBfqX)q$!)R)ql(SHjyT6#@70u&x$-0cRUH_dC%Oa z*!sZ9;bFCv>JkATK8+gx{uYs@V#e0%A8$@@Do|VS;gW@H(2XXw1s^_H3I=iXv5Umn z9e3h7c(LJ-xbkafu7lSZTkG$?aPUyH5DloXEYFk=;n?+4bn^7#{t1V~b}+V1|J*Ra z>4N=&4@LXl-rsfd^iMyBhfizFmk8Y9*4Q)WeY;2#J4n&t2~Hn07JMkGlL_LO$08DU zZo3oLLF505CpLe!(Gb}4f!oGdznSTv_WlE#KUryPXx$qBK(bO=<i?>p`X64&$%@?2 zcrIR%x}TqmW%Zxx>z3iuk6ft<P@Ewaq_M1BgxUC%_s2`WwcVynZ(SN5&colL*~WT^ zt!>)!mG>5J{iDk0$s=gebg;?oV)O+b9w8H9X4bP#^S51E@q$-n>SbZ$)9NyRj1I2( zbY{0!_2UycEBy@I7ky4n-4voeL;d1UL&Nx0!a5UP>~Yka@`|Nf#VUVZ_fo5aLY?QN zUk9!9+u*b4bDO2hs?E2iyx237Z|W<S!$-0n9<_{76YdcR{&?*8#GtteQ(T_cUdiY@ zmz~-ZlKywY4B2}>dncb{dF(n<-Of=>*heHau5ogT(Lu++XSOHxW}ZpoTy#b|f4h&` z4dqjNUVS^2(p391==fp&?`hAZB}6#brdA8Q=QZ~H!r#KlHbuQDQvd0rM>*b=Mel^O zH}15t{JyfLXx~a3Oa50|Z$!iiusb^InoUWcl^W!~A?||4HO^U$Tbt67CN7AK(9JLl z<DAtzwdq-s>(42kk)MBEKDNwf;W}Bp)^45X>Bl~OQd+L_iSz5Gf=fA0drj7eMzkwr zh;*}_Js{<1Y^V|Qs};28YZ>eJ!%N>YUMnh4-^0whjrn_%bwJG0yS!#Cm$cscZBPse z%iP`^srh>A4cRkZk{jASlos@J<a6w1=}lU`K=OuShT1mnS*^L?wHg~sCoR~yLoA0w z<${17%jt>h|6bZ5rRRQ6U|q}PG~S0r5y`v{CoKq!5iwyt&T{t1&4QQHr4Mx$ybPB* z)ct|)LtDX~Jz9GEK5Q;lJYBY{xXrx%=2PKvrbk!zFY3MTvUI_k*O38s>KX-X%cq^s zU|q2Nh@7hL_1O%iZ{~O<#Yyjvc0C#S==0K_&-muO&ph>|`{z8(v*j!8Wp9RAIWgsH z+>Eyi7pQPN+4$+ivwC~Z*UjZ#C3ae}T5<B9U;A3xEs>kXXlEv`$uE4ce2UD}lWRn$ zuD(B6dXwe9P0JadUY;8|ajm7x#6|Npq+UdeeV#e(*rzR}In|CSkN0Z?^$5B$KfX1+ z?7DDm@Xf}e18%mK3nX;d+1gGtb}lSRNR0S>De);|y&zw>fLVEvK-WaBq=b;)LD8Q) zelC!^zt7~t^w!9yZ4p9yvUkn6@ULI!|B{um(d)PWD||HHY^$=!<Y?QI$M)&(pZp_C zc>jusqRp(L`>(v2eq&L0eAu@o&vLw%O*mVccQDs6`>1Z+%9)vxi%ZmI^3LM@>O1$w z!n42SITO7VSQpNaR9iCVmJX}pjI|0P#Xk&=c|QMT73Q#XuI)MgOB1{bl+If%S|qhE zZJ*-*vq$8sG$tSE%4$eRRb9!WyT41fGqh%1Xvp5xFS38US|g+uan}E2_@S$>U#zaI zS9rma{b{M}nki!YT@G4*(t8@aep>R&S4Zy%XvST8P-xc@q&(H)^N$xnD))9A*w*~> zk4<_&vTJwi)JeLhBh6On3tV9eKHfShHS*w>fK5wgyqOZfbi})C(}GVa>K~1#xSGD- zyQ4BGKw*Y}K!(ucW~PHZOwpCoS;EY8Ca$j0eHYBJj#YHpncfLb1)GDGJ*<|!rp0k^ zmzQ%=?D1b&4GER1euXvbd=?Zg3=&E`bb2}e{3@2K`WK@&d~$jn`XlgBb>dWAy;oVY zHcaH_cKoJV6>=-Ea$Uf=(>pJ)b(LP#og#kd^QF)YpZ3_CX8-(X<<dPlTu)m+?5TOw zJ!etGnvcd)e|=$Ty3E)ZdUfRjhk{K(k8cL`?q7Q)El{ySI3&Voy))Or)u$%D-=Chc z*+un6P-ty=Z_d;eEVs3I7fv|xEvq4+ef#%4276Kygl_-H)d_7(sP22dNo&JT50Av+ zi4&X(4VFk)hy?k_@M((mwTMW&J9jlFD;_=ial)iSoe%s1-f%=kJe<#?$+Fyu>*&h~ zNe_Gs5}B==n>u414<5Sic<|V}1rHMZ0}3{pYb@DtUfNTeX@B?+*K7}^ihHMayX>EG z#MV)HhjxZYIm_7txsJvczn<QZws7`>n-;o9N<Rc%h^Z0SB66?2y!TP$jbm9K<}MK2 zBWluR-jw#Bv_hC|UYn}0|Dj2((TOLIE#C0tL1IMFM+Kdw1@~uLZ%xl`l9}(fHT|fe z@^eqkIl5k3J$;_Nznio_Le=+CdCkW8d;T5Y@@esm|7i&&tDk?gGrj(*a?hc;QPYAg zKB&&__>iBmq4)E-Z9h!AZ$({8o%3%ili-{{#jed-DG%<fzbWL^R+MSR{P26{nIG1B zn-kJnntcx|$*`sUy{j*5A857dUTp3D&J6-K9h(B~^ly^b@qPAuwYnFzhnJjT{weW$ zyOfWCTYKc!*9Nmc|JRkyWuEc8x1Q(qpY=~$9yB!t$OH>8Pp>;WZ6DLePZLwv*8J_A zBz*4rO3SA;duK_{@&C>Hdfn;lj#+AEn)VkIR|v@PYKpWwb4jms>gsG(Z0!wEY%P7Q z*!uN#-O_Ek_c^VtH(cDg=E~O9nVFuOoy(@XsNT>Hyy>78$oppU3bk+L39|97y7%tw zSP>kd9HEgR!p(B_K#ilZq5lP)GdvkLr+xd$#8;LQ{CPpx@%S_MCZ0W^wW)l!%2A8@ zKfh-E`V}Me*{aR7>1WfiPr4awVqCL2UBOJVX(eB-Cfc6=bg3_=V4X&e;&vT{4M*>M zTHvrjX@W-^@9D+PT-HaNxsKkLkdzSPVQ6T#Btk;$j>XYa3lb7S0}M7=X++Er5Xs@; z=i+l?VHH<ycH&yh#1!50<-LFa2iq@xel-<CttA3Ie3~N3PF;-~6^|a-F(E0jrr@zi zb5m2}LC1qfCM-xu%m~<EsH0&aAtw^k!@;Fl!vCb_zNfij!pjNA;_44B-CTO(QTdY1 zl{xPYR6m$>L2vfyKhDp;ndZvg-0^GQ<T)EG<JIkAygh`hLpsj>4efXotbR}Obingv zCC-fDA%VO$L5jk+LrxqDJGyV<&ecs4H<l`>*_AwUUe+Q~T<<J+@ldX-%W8>_%aj)N zMsqz%Sl+bb#|j0_JCi40Q`z;Wd5(b1H1Ry`Z06Y^9SMuM0!x0gXG&-2Zf{(@Y6aW8 z6%#snwNeuICau?$)9Pq^%9ZqJ?jEgZ@7xEoloqwJcXcc^D~J`mc&wJSa6_bHOiAdK zbS{7EpKi5v)7iJ)eDQPN;uTUq6jtzkjuQzgnDM>IWotoPz|Yp_!a`FY?Qk(Ia9A{J zhH9X0k61|E!(H=TmcGyq<oz;ng<6$&2zTt^Rprlbm2`R;`Yw{1p%v)sGjWBO8uzO2 zS2|plO6Ujj`b=1%rsfsGJ(*?d{TBw#i#BPtyO<h8FPb$&IZ*eEXh_}SBT1cJ8(kJj zMQ8>3>P%iC#>SzwfAg|#7u6SPfttI#Lzrz<R&~x3y6U9w_|&}m%k&j2^AEWz25Yi; z?O|i{3=wWtUDc}Cbm~2WlvW^Tn`cPZL!qk&I6AYEmbh#+@Cx{utHZ9Ubx^VMR9KSG z)dPZ^S&vq@Y%TB!sFW`aS|l`Q(h8B~s;e4}g{~fn?96&NXThg=uP3>v?$8O;{N^3P z9IdjdQ&i~cp|b_npWg|@ihipq?_4)+g-Eu_s>ZECSC3eAW<AVye7g5eUZ>Xv_eDW( zrmo0Ys~zauGjYWly_TW}wfA{Dy$WI%1;tEW!M4sTL^xb!RqN@dQ`JYjg{D4O<g#>! zLLl#)=_}Ocd4_Py|9$nI%e432&5pOS`{w`Xi+{?Wx9s)Cv-|fws4CuOcX>i$N_P8v zmAU}6eUoQyy(c^E_3hOwJGV+@CC;5xWqu`F<DeSv(i>|Qs%^_!(VFXZ>h{I!QeGRP zoi)E@tmv$j%1Ycn>C?2*2xrb`nHon`cvCk^@@_Q<_53-*#@m@QEnDNL46ms{xbw|9 z9?rUF!h`JE^e+W89hExu%uMRkGYhFx&#a`f5_eAeWcYtk+a}QkZ9#jk1Tg!XUOA%7 zyY)kW=TDi3oozxkmjk+<OJzNn*EXwS;X<)EP0jhid#(pGU6=AI3~}a_xf~#T-1N#J zPSvNy6E;hE75F)8p3ByVHq2VlsVtTCa8g&*_s01b1DY;Nc@=s&^Uk>vApG9s%Asi0 zr;D#L^Q!Jxzffeg$&~|MyrvtSopsj)1ldhHdnKSrQp(FP)|uDma)9t;(<_G*Ri7%~ z)#FvYv2vlvYSSwR%6LsTx;pFTWc+`8<a_Fra_Q_V7mmc4ZYgkJ{W-BVfY(<iz?qx- z=ii3Z6U(}fuGZcA_xfZnEl2xKuaAL~ni~0quDWdJtDojE<!TONeEAQ*N6}9U^yd1D ze>hTqQEPtQn>+hhU$d?iJ#F<z;p5#+$LueK1;>XU&wu>pdLS1E+eyZw36=&QZrD5O zG1mS3H9186hsMdt<=%nfC&l(ecb_|vyrFc3jD&?MyPDsr#@5wE36C3PEN*C>U)OD0 zG{Nb6-zK4jKNRk=q`r2@^qTVEb>F_D?ffbmBOE!FX>c4(VKp@Hbi6So&{5}1kbrct z$dQ9Ftc8U>jzJcB`Z8UzMF|PLGBa-Iu#5GbYV1`mdhlR_%nl0`cFi}_w{bDQUwWKh zx@4)9bl%ckz2-r84=S&G_h@Q}|HAz#?3?~<>*<{IZ1z+0l%6m86KfSZ*MYY1I%<Sz za7cd;J#s{gb>oI8$DB2R0_@qMM~+&tdM2*iQ=q|iqOno0DB+Rte%&}0v0tZ>Z@f@u z=c_u|*ezEy!Rfrgiq)CATb^Ee@p`{yWAm?DDrO}DE=|4vCT>*OWI5GEb%tu7W}0`% zHBZkF;bPTQt(8f~G|V~|HCiq@p_a5LBe6^4)(ovKzGa<@x?e7uafnCp`_5hZ1_pOR zmqh=Vss4rMI%EB5pZ<dLGuN+v`2Lp1qo^HQr*Sx%yUKKNcUk0UE>loDSNb7#vCiaY zIkmIaZVKsmu!$=$CP<O_c<6~EoRV7S7FV@$>z)5}En0;0SBH{yo9o2`M+<77Mau2! zI;Xbh-GkkCj|<;UmEx+<P?_NQXsL$K9s$>FH^g0;&%0ba66|<J%`jesQ>;Vjs8FEE zh6oW~9j@-yUp#5;-Ae-IA3gNp!R2dF@xdO+tNyQ3u1lTnYp|SC>R?IzB3Iqct&2Q1 z_=#v<>t58zyC@@Ru}0Jll_woZZ3`rCsAsI1$~CKXa#PylDGMwubTjnMaYS*YACAfv zie~9eh^~14<1YX9KT{(_IP<!cj`9YY6vT@7&JlOz4*$a~>A&QL-jhoQ{2YxpdSB4F zBYtUfq5lP$JEA7y<t)0bw`FV0&9?YI=bHQZ?WQ;NeUY1M9`Apy-V^z9&!_w1g3r|A zUTx@3mpYU6d2QO`Jy~(D7tO9%cUUj3<dZLxP0X$5xBu--6cxDhkzd2^z@iV!->bAd znAQ|v6SgGnL1)v99V<P~9^(C9v&u{3-Kp=#4#ZaS2!DTK7;$?t^R_ipnAyvp+%EVS zAgA2w9&|wJaIj^9s*bL1-Gun5)5PpAt=Hf<8tt0Jc2BdT^K{o0ojnuZ*}rC*clvwV zSDjB1YH=r$BPul6&EifrHfMjz-BF?2Kk?A)zI~f5-bNd!2;?2T(0AYGPonp%Ys;i> z*37J5v{NGC^OLNGv%aetnP+=9mU!0+-QB;2g+uQXyKEO@*W{}Wjlyd^{&*T*xLGZK zd}@--?b{#DC3V?2Y*;O|;Kwd0gAKbyKA7!UJf&g#!?{-(j;_f%aKK0Zg3F$_G9QFK zFtM<&X6$mlY47`|(D1@c*`#*wGq*3T3lh3F%`Kc+WbwWy)lXTws!J~|);;>j=B4T* zRt~>VW|8Ns7{g4KHf&!zZz;>3+}KZE7q~a9%r{MVuqJ#?+=9*DjiwYItvLE<Pk_(H zh&kq~8M_>hx^x}AxgO+_RUns4y~;4#DukKsSylBLR;%jwU6l_m#%!!%uhy($Y)ZV! zV4brkrh;+XHXXtI!?s?W71Hmbc>}(zXXE+ujY+mkwfd^}S#E)t)odE;!kO9RrZC+r zdp1Sr!?xnfryIo=ScLIPSUj4#M*PK_y}L5}4dXVZ^os}UOnb92tKm_G=>Z{4);+xM z^;I9_R#clhY+NK|u;Gq&q<+Ai4>R@ar~HV^j#az6bKSy?YID7>KYjJ;*3#GeJhnf0 z9KPl;*T!Qd+{*I%epNnlw|lgAs?GIlQ5omVw{0<1H+&$!m943<iaFb*s=0Cc|DbGx zk00Xyl|B-;bJm;vv~O3A-tm7M_Ijq@TK@Xuzt#JF|4co0?UWkp%xP}_xbCk1r}okN z;?02I6`7xw|M<4Le%_C*=fZtI_1?KPDOWJ4_V3mG9)HvhMfv`iy8m5P@yuUt+c;AC z#P<Ao72Y|2nrG~`ojaE;-M3Bme$3%%H+nv&AN=@e^?t8EI!{(k$$!~c81w(t@y_?{ zzBhxo&hPu>`G{Td#+*F8i_7CTre<|a+w}d&{+GW>e(%chR-B%@$aJTVv)T29YRBhq zsCcv9c>l@?x#zAdmA=_!{(f(%O#ha1F~3f4D=FOn@x$tP^*X)6Yg^4kjn4jQRm(a4 z@qu>y;z_%1T{lrJwD0SE5GNx&d&$v_k~<#TYt?S^shwtdQ}14wbcCnDVcq(*KY4sv z<DASzZ)%uq-S+Gf@65iVO8Y-v)fciC%dJ^8;q3#_uSJzhJ@|HJ#&5LT_d>^Pag127 z=$_<-0f!&^f0U08)w51gtN(iXh`&pAvG4I~v;2H-N?kkY*R@H&r)N`uPe4@B%UM-n zf}TD7n*@5gHwE-eeP6Tl_=op@Bq~p5oO~9&>1J4(gs%CPZL2dA-Gz*MK9%={I!Ett zbFVuZHq$P?ZR+b6^*q@u&HpFrPH&D9Qm@nRU{QCS_-KlTl!b1W+PRKJt(*7rKkpD- zKEa#$G0WK_6^@$?953Yfh?uZXW;uIwO1<c{pcg;$o92e@3kc3QJ)LjCuM)-B@C4^$ z9Tym*OQuTKAH8N@Vj-5huW{+4H*#65uIbTNFC4aibLIKX9f#T;-73-R^xi*Rxal;P zQ=zLPPfVzQ@O6<Rhe}yDZj5)7dGlj$e$VRjpD(TVo?f20Txt_*o75)OcBxIQ9a6Jy zeEvOOtuCUKH&?f`)o0t%IZO9i`E2lS*WC91-|f!)utM(W(=8&~7X18ME_DBsc24QH z_0B?$+c&seG}YOtSruXQQFG3o*8aqk$J#eMc~Bcs^ifY|=?Akd_Bp;{Mi*7?wWu~- zPwadY8e#OoKxgI-$!R)wxV+8s+Ev-h4^8Ucov7Jbz3^{h_o)dd6DCjG;POF1C-4r3 zH=A6ms&N0|Nv-OMCy!m;@Z>>cMA1hZouwa?w%DunwfLlcx^MJtUSg3<X413z7xON! zo*FrGs=4~yckfnynQ1@!uJ5M)-go!ju~|+DTQtp>Tee+h(&?#T)@{Zg?p43v`+eGn zd)4_I&I~PzHXZ^kJ5Q{7=N5WQJn(OFTgAQar`L4oD=Y6iVRZJFPLaecSG~iVP9#@| z{p>lhs9|EHh>=#el3RM<nHf4>5|du~AGqifFd;cc%(CaaQm9$V#N$VFKFyrs$~bwm zMv9l1>z9*@X6QvuxnO#E{)FV23k=-1>lD41=c;GB>BQpnXKw_>jZ~IB7hkHf@VK}u z_l~HwT3WMTz4$uab!raRYU%r7rGcf%xqELVe%}_+9zI|E?4-!q$v@-8uFjct?xV)v z?DcNzyXsb#S0;VUdYt{$&OV~{K=5k*pBG;#&x_nUt8A@Z;%o6~U#!+_SQ@^xzUS?i zS3fp}y*E1d%Jx|F-t#Q0`DbK&Rh+!WE@{)%<2~DcwXEFsW!IW5OT%^RF6S%{*M3;C zx_oBhS2^Rg_tK|bWk0j+*Mx&%@)vJUlj{#XDjF2_d(F0&4W?$Yo31TWzIL<ZjL~er zAE%6#@BFe=X3e@^Y_rR5WL(ypopURBv+wVmjV~M4n#mfSU#7h5X33e7*?uz;Pp)*g zKYei3n^~Ec`8Ma=O5NsLeP(0k{GDd923MCkKf7IWglTqPWX5IAZXL_7FY_%Urq*@m z-b(EB-L11VbL)m%Th8mAKC|%DvDs#8y=rFn?MS?Acs<wZ;VtoJbJ%z`eDR(-|9-@| zwO$ujo4qYMy3AJZ_LlRiv-y79TIQ^GYm1g#uGO<WzUnfYpO~a9P+h)?<zBkwcCGgB zx3`>Toc+xr<FZ|Qj@5$=zU?v_Uv?}ulihrMnQ&ipk(A+yNtZQ_bDrL*+&<atZPBS^ zwtly_oR^%<XOVqb@p+EbqgLPJd$zo637<CS7|*sRCg(0bU9WR%;cDOAC7Uz*-<iF= ze0Ul2yy#uB?O!&@u03TlyYFT4WzO3Fr{-L4**I;En&q#^b8{`eY|os2d|IBmTzSr| z=hn0Nc4S{x{GMa=XrJ$Kn+-2p+T%rRt<Sw!_Uf5cmDv8z)7et)pIN<b)#CiL&GC`< zBi#4vcg?%MY{SBBk9vQ(m7e<-|EI9sUdyyM^!@dVul2j`ebf6et^Cp}i65>PKVNTp z9TNU`bK5H~RrcDC->m~wE`LArT4Iu=%Kx~`Sl3^DVY1G~m6=oLzWP)Zv8;2gt?WP7 zi`7fI%Ga!@-MnvO@+!BK(A4NUx4OVv8+TP*J@Tu3wRGrm_tZ;Q0^%=+#7~zqRlVHS z_G{U*DeF(4(LKABS4+C}^t9x?N3Ck6zAWoJyYcWc*|J+(PV3G7b|d$)U3HFC!b;!v zGh1JFRGP^e-(Myy7E$zS)4`$*w~p#=$!vEud%NkzGTUi4ww#xl%@>h&S@CqPRZ^?( z@ikjswuDZbQ<bwtW=&b)>fBq2y}rBeoVM}OxhS{WZga-`yJoT*jxTfmcB|xw-R!=b z`IkA_?TnvaNuR8$oh4p=p(t-__wCHfdhNNl9(VZumf8BUA=yl}=*}`_zlcpj8*Uo$ zId6-|ITF>~doyh#=k5I(Rm_n${j#oF{*1hswdUH?Q?I@xTYN2@`qC-r|FhXSSD04S zUyF$i4~@P0Wna{eR}o)t+Q0Zv?!{zfX0r0P>!DY66E~c`^{8cQ?V%NmR<5*)-Fv+@ zY)gV@?LQA)Teij1WSavgFF#nZ#zew&0<T-FPjjI7r39sIr<U2dzkl@oY<G{dd~@N; zYy-K!Jja&LKFGpjAhGqTsl?Vq?SEf<XTQAhNprnS^V)lf9anerOsx&}J$?K{n`phv z!W;kBs3uf=bid-obnnfHYx^~{s&1vo-meV{iQW3K=H`y2J92V@UoKa8wc~GO;7`!0 zgnqA|&zmJ>we64b@n?^u!ug7Bg#6h#_t65svtRQ=u7vIl55D@R?ANQ(9G!nH?tvL< zInE#5H+3EQR9@};>*L(@UG}08G9RnL680|qcvP7AHIHu9@4Z`o{xn-Ue{pnn#ojOT z*UB5j1kZW9XvVQq<`KCT`!?>{xpC1lJ=Ha*o^AY4ICYYVn1J@?wR#_NZgl0aMcI{K z*8KY-sW{lVv^2Eu<<n-FX`=jhuRDfr-6}futm*r(%_g@GIywAWU&EjM>RyuP$Mkh^ z$FGF$54|4~yin^$&Fx~Hyzn_%0=Gq<Z`u%BD97EE-q)93dglMz6|Z*flCkYdKcw{d z!sUdRD#;%A_vgFJT332b@36BfdGR~);<vS|&m`0W+SBL1y7F|PgRLCrmFF8BnCEer zJgIJU`=fAS#&Ra#A0LC)9@hK&W!=i&L(G3!vg$ufc8HzFedTehLv0+F$%Cm5&bv5t zyI*KsnDLLvcgD*2#Qwah6{{Ylb6!c@R>w4-(TYc6#o-B#Ry`7H4$n}u@{w3|@Qe1! z`h|||mGu*IYkxg{alho+%EO_JyJ7@a98Ych6(f{!;5rlUPK^s4+)TZe`WIS?=WgZO zeden58n?HRe_67w%oAL3I<v8Aj?jwpj*V<@L^4k3HM+eK%Qz#~sP^Wn^&3O?_Zy_P z{{AAoB5-%SedGC@+B+;~E+wAuk##$BA@NMItXj<LKm68z?h5^gd#K*TSF6X>et1)X zr4o1l;!Phc)w&xVZ{o02?CxOR)M2UG-Ew)pcy40Q#5&Q1ea7>}r*^aciAxTx{bf3n zd9Jbhp6fH@3g3NRv}#Mk<?}h$uU}my^m&iM{bDWcSyJ~`KR)#QDD$G1Yrp8fdU_+! z$cO97!+?|B+XJ?K`d7|qT{3-xw>f8Z$<z)0;+(60#%IjGb&NaY?LF32W^b87t4kYK z>F#p4dM;*xp*riV3!nFk_ctutersRT=S{^I)8BQwB<^43^_DAc3U_7m^QTT)KUc<h zh@Q6+51r|%7<$!p?az%h7o=@;<wH*LKW$#M<KE5}FFgVSO8;5f`df)#-Lur{zh|*r zx!$TuZ{{fb-gAr3xmF)qG^4Fg)VEah{f7<37lm7e;_t?sXLc6;w9V;l;X7&maK$^X zW4?I)RJt8<_fAOk{W<^ZymNhz&q{kI6?Ac>-u|h-)>+JbS+A`v)%9(8tNz8>zm+!? z+Bu&#$EZ!?|1=}S?nL^h=dRV8zU*K7bpNe)_h04|@on!t_cZMJqTU_1*v(B>#jJk$ zIqjsO?w(ZjZ@C{!wRV{N)YaXYxRFoWTKwz{UfIw7i=+7F#M;ah*KW5wDqOtLYx$R* zs+li;l)2^>?^?S4tm#$5^<U=Xo?DsxNnLf-#!s=P=fnSJu1cF?7PRu!m#8IKRhe<O zro6stxVHGBn&$f}Kd&5Emz=dSGbsAopB3wqpQx)Y+c|mH?Rzzw<77X-dAx{g!NSMY zqA#yI>DLFD*e;8Illk}4!OF-LLcU_Fye7A5T}gRZ93;l5p0e;7bEJ!m_P=$$$-nl_ zl5sbERuGn~7jF9OWACw=b(uO3mK}4-%hY*P_R&1ya(?x;y63BsOCPR0H|3n`?GK9@ zmVLkcK4@Ewq{iR0=;!tKAI3{Rj@tiZF_U`fzkb<&a}77V;C9q1OM1R&{o-Yl<6j?M zaO;)V*ZX}}r{-V35@vSYtYlU0sx+rU!%J6Q@|GSmxhriixZ&_F|1Px_vpLDjPso;a z-g$cHxX||-%Ex}c5v@F6w{oVQw(6UWPKWGHtIBK$Ii#4aDzh>4kl}5WIUDv?RB0xd zhK4Mic|SjhkKImlR-9KzpR4@rIftDV7e^+P$bIfTmp<<z>zThdF0f8-UUsii#z=Gi zVKvc$qf4CBX3i2cytpJuZAQqUAN}2GYn~nJ+O_P<#09gK9~WPr<+^CYf2s71e95}k zQhSb8^=ylnA1Eema3RULs!T5`xI5?bZ{Dk4wC-h^nO`$q@^fYN>b~Xsb$0pQUz@Y8 zX8WdOSLeQaM@wC#%U>uLP2Z>V{e^nb{C`UP5(=Lt$Q_mbdQEzL{>MqTs!o6Xxg$gV zQrYHS-MYMMWwU#QSDdbBtnv|9ao(ko%}1-Q=0=tB*K5(QH-@*{{VtK%5Z|uIULsK# z&~6z1MNO6G|CYDa&RgF<vCH_QaQyB5yl7i)57qab$7J?hpK+SMKDNY4y?$5zzwp3_ zt}6?x>*uCsvH#At`m>hl^@=R-tsCoYdCPOM)~&30{@2FtQ&nMp-Wpw#pHJ?m%slMT zyYf_Qa#qc>4NkN9GtN9*a4hEBKi}-~)%QI9_q4C7RIi`o$hWyWFgP_gnKjw|)B<yf z$*xm-&MngVl@@5Ky!nN%Tgt^~p7V>gOHOk&<vF|P|8&L3FMQ4RS+bs*ufDk5@|F9$ zN#kAY*1IPSSNymjV#)Jog=zO%jpJFpmTNA}SS4Vx>=bkF%3BV}jHgY+HiaY$UN#lm z9Ffe~y(zX>asscnz4H>oc2=q4nd=SvE2TcqT)nZuQi^-#+KnBNQr$CGZfudfxvuid z`L;^Sby;T;|LSi(lREd9&9$sE>AlC8!m@QzrXEuY%hE|}Jtj0Q{rC$1{W<1;WKM5t z|HbER`fQU+vfO2pXPZ5e8M#fxHaR2<el`^|_D|+4-W<y<G4*ZKgk-;O7aY#|OBK&t zYS=$h>hsKn8yj9qaYwG%*zs7Z+j7~)mc=*MwXQi|rhBGPr8?t9K}51%yUDXcgWp1e zuQzRXJabFOD`K)^{)(f@#p}#vzNN<*{r&kUSE~5ND#PizQlD?EF`Vx!#eHMN#tFPq z-4aVT&ajj6elhp$tke5)X3Y9pm3e02@?$Yo8D|#nK320UQ)j{IV@|s=bRLDKE!nd$ zZSQm`?}~|gC#*6$J<soK)%Q*9t0Ld4_wKa0JmYx3)aS}o8yn<J#6ImkrZg`@=h?<% zLUO6QpM4J9mYsF2dWLPt*(!dMXGQVJa_3E-8HZN)HfTz5&s?*y!&9nz=8BCioHrRI zH&{zAOWXTVDtPMtV=>3F&LsC7t8vTLS+M??lUtTfQp>TVwrrimh;7-5yEi3fU6WpA z*sds5EV;(8-|^=3jvF&w4Xrn6Bv!M9K9iW?%ExS^AGtDZudsaD%)=-7joWv9IX}<$ z>dVL{pCA99?wED<^gQh+9%8OCXO*^oNC`ajkw3azC3I`++T-hQB}qwho#*6BpLlqo zPLbsdSGnDrPo#&7vA#L8Xu{h_k&U|DNz?wWTKl$_H*50IX-O067Nr+!7Tox<`r7>_ zjRu+3Mn@7;+jguuA<+L+^3i5fXC}ER`*xf@7W81_LXj1kt3_DW=(8%m_HsOOD@b7T zG7*(EdW>H!{r2vArdeR|H?i>cW&f_2a{}$!l8=f^oo)7=6quhaDO9lc%hA|#Q<(ZU zFFo))Mw7!Lp38}EYEwt|QiW%)gC-QbJiPzzLTBw2>mH{TPLo=F@3~vyf$FP!euTb` zjg7tf=h$yvQD0x1|Dms$)sJf3U$<iQ>UFtZ|9j5+Zwkm>rgP<xCim8=Q%<@IH*r*| zba&*<?@~&8xqR*W=OUe7{7XK(ZQoS5Gt)qBJI}H7?t?6A3}#H2$|k(=R6<hN_Sm;q zR?JMixO^?Ye{ewj_q4OobEULDo%ay0yY*||>L4xY{HtN&>(*H%`^s|Ps`K`JIqm-K z`S-tB==`-^bE}-&IDP##{<F*LO-#Q#zGb&m+h!|~mC85yU-;|Et!C4bxADmydvzgo z9p6>EsCK*0r4kz)+7-D=B{q7r8+Mn@*x>Sd>FJc-N}r1}RxL0QGw@ATylg6F=$xFj zef`FkNXcn-|DskIwx`ZK_f(#H->+<5tCEY#vAbSfSUj7rcGqiz1?_y!Rj&*dP3KFl zdTp?<|C;yor&6CI78=f<D#abKbmN3NsqTt<-|lRgdUVf)h(o6*J+XTE^wh=eOVle@ zq+QvcxA%G6VVT4Kr~Apeaa>7V;$Z8>86vu$iC04T!URjEUJK0&GlH3XE!LJtOl`ON zBC)`EexntS#FE1k4qEj{EIK@6p;gG!DzRnemn3Da=A8XzT(2D~y5cZr<E|Lt6~{{( zf87ztIIx|Gcc<osj%cRdow^rVtgG5%&%M0xcn@E#-V1{Vi};-PT}wQoDtj#ETH>Lp zK`&}1+>5^d^1{QsO#9CU$HSRQZzu$W-r~BFJlUbvjN2rk+rfDoSIApd7OBm#3plO6 z@a?{HdExO|*<Usn7T((U!XTxWFSK;s;Tfe?J`wX<U+&!+)y}tj)88}qquW_u6}T+W zb7y^3=(E7?IIC2_@)EOimnUR0_0G_{Fhi2bcShtcIgxvfx7MZ~Oz!8a{r1WrVLqSp zx7P-b8u*g=UKu<L&@8d{c)vDsX|Mmn!*4FtJxlCc$y6Gl6)-)ODbzZk@z)xGj1yXo zZfnF=+_HN$w_xUC=l-2mUo1bc^Rk_}uIw!K!XRlOpRibZVpaB<%L@<x%9;LYyPJHY z)fdqf-u8`EU&L3OXJ};O5y?2A(CEe^mT^X)QH|%S^_x#q`E1QzTzH=F?a-3##|&(Z zmbCLJSG_V=Hl0tnYP!?=<}cS?taEOExb*Lt!!p7wuMAul=y|ieDhym;7td|-WRrvP zIu4U(tL|}57qt2!vC?^d?9y<BR>s9&PfReh>XBG|xaDKyjC)eoOI{S%-d_4=ZDITZ zK7N*0p8^)h^|QSC9I-&rp3CIXOoyvmR+k@o+Qw(Q@9M(y?{YR>epu(-&$DyE;g0tu zGYZy={E}ayT;0tlyKP#TCVz2`(2B!~jk{!oR~#>F{53})<49)Xu{jrKzux5AE_b{1 z#pdNEYc+Xpu6B2ObNWz8%Dl@95C6<@{;XX5eSPVx4ekr{)LB+dQVrPZz-f}Q%0YP= zhe_J6^;`XRlw4ov&M&)4N_F<3l9X?kl%1<y8!YPQ6aF>zkb=EY`l}0xVVToE861~t zv|1y&;<Qy`)f({?=fjzLCBB(k^-BITk1CE8dsX`(Vu|zo?xmT&i6@F|jgnHumMIte ze7kyiqNU#L3x7_$(F$mHUs_r;Z<meOisSxFUn})4bhI<|zFcO0J#`PCt=fwV&x6b& zUlz<>>+W_&vo*0UrS$qj=l)Auo+S3!GVxldf;vOKJ67zU;jb^d>bGmV;or>$dvAr8 zzSyAm`_s?Wd)*eix+bvVbWP)`Xy*lX(kxON0v8C*W|7+Ly@1pD>$;sf7Zx5*lKrJ~ zG4X_#tlOGPiDzB~Njz;oZ6>=))rkA@>mt{7zUf}SXSQe63amIB*tknZaK-V&#$Pf* z8As|GkG;7(`}L=-e71el?iFZ$v0Qd|!slNP1)qB*&hdYJPshjjhx5y0Carw;r=L@- zd||M8i+|-SqtFF%!C$TyRW8~;?Re)Uzoi$Rr)c_odMh7Vbx!5}zsjYPi@9W<P0>@X zT*0N@xa*DZisOQRx9DvyUGeO6PVnV#{(qc5E`I%`(YG%)cmDg_R6c9(^AR(c=1==( z-1=(LivZP-cDer27oU6inB`s=JekKATDki0jN4W|JFb4~d=U0xlk@AH$HjVo=3I+7 z5YXGY=SrONqt;_d^RjgwMz$?YezEMB%)YZFdiL!-XJXd<*&wyhdHO@EFA~d~=jU1R zNGyVsOG^&VXteT?m~b-1YSH>^+i+=<-k&~~`gmuo*f@bxs#{|H#u*!>yd`2UWti8p zE4u&J@mYWU;-pwdIV%~>o1!8M4(m1UdLy#pIN#qbdhMkzF7AIa@4WMT#(E9QOuN^7 zlfC1${A1NPuOuhE%of$Vc;M1?t(e7*R$nAzxVX=kwY|8Io}sCe(|!Eah4dIrot$8^ z7Z=i#HFd7^T6;e`_~m6xpPuSt?f)VZ!&SAN=RLg<F{@9+s^`+e(-yK-F_#w3VlR~_ z2yRy_FO~Qh(r!4rbjF6=PtKke*>&b})jPv=PtIPL^0Vi9!~vGx)-#vml#?bNOA5=< zNz83q`u(b0ujQNbCF>0L8g+ZWKXu4s{vzk;p;lia);gQkm8?knKPl3J-`VZ8LDB}k z<g}LtiFwbomYCmUT>D&3-Sk<pS2AO|so15>DRthFF7drb-&~J4)HBJY;^)UBOZB_e zdzj7`u3J*3d7<GXQ}2$jpyi?&hk7URJY9L7OSY}&(ri&<HSWubpG&u`{b~5w;P<be z+<%%Kl<Pz?4*B0qTN?hPkMHW<)rV*NUTRP)H|z1E=~5*-0&X?hoY}b7WNoEXfzJX( zcUGywfCZehy^13$zTI|hXN)bCF!F6Tj4qwAL6`e-^}iZ3#+RZt(|sNOajiRHW?#>0 z&SCN-^rdJ{Ws$YZ0#4;k;%a->6@NX(cIJBG2`1TNI#&}9Z3>F0kgMLiWxZ~{$NXt; z8n{dzxHq=h2xpw(ZdBW&6Zzs($l5(iIW=r7=Pq$B&3<i=G>b2J+3Nsb-N+ez4Rdd@ zm@MP82&-E8$>hNf2WLMHlV@8TgwMV3{qSO?^L#rio)@bRcQ9Iom~P+`W}ABGQ1N-$ zrJNbHB9XWL*zn%axiCSOsrRPdg%;T=VH>^I7t$B<F^j!4NLtC4Jnf}HVx`zJ<psar z8}pv+Z`k+Wc{$@>7O6||3k;cAW*K~_&+z?V@he>F%gcrCY+tS<y6DRu`*JPOX?{>d zh276ZB|<tj^K0U)bfV_@^Xb10KK=QmAQP{o=7o+xrqJE;dt<ZmR~&9QXvHH5uBUw@ zrnSE0_@Ozwp+vM|ny*8h=#JmvR=hjZFHHE&)LWr&p{0GP@`4W~g+2FfG`#<MV!~~! zo}G&ix4idCd^quOKPZHXYNBQ}*qr&eWy{aXRnyoSS1om1AZX8N@^I%pz4`7|JQZsW zPf)k&*}3#^i~J^o|1t)%8%kJe=KDI-vG8cg9;lSs;IlySG^^A`rv;qa-uh(^XFliN zyOB}9bcGk6?6H!I=VxBnDk3(o&rb7gHrM6Q1zVO@N^J;PpeW5Mwb^q4=j^X)6>Ban zJf9`Yw&r5ukto??Yc3}q`laLJe&BHqlhkFFH>b?(>o=xznWW5dNIu4GlGt>QrC-<T z%gt4X8*;6BZmc^zL)OaYMkE*a`RVdg)f8H_7%zLzp1+*Ym_^DcY(bW|)`gavRl+uE zuL44wtU{(P;8R}q!XRxGpYXEOL@TC!r_~hfnZ#0O&zEQHW|i9HvcOQ9WtPF-FLk;$ zzVjDv_kPP-B4Oa)F8H}rVzWa#C-;B%kLPx8keu^1Jo1^r?k!U_Pa8V7^LdxP*yPhL zce-?i*<CB1h?R#Ygj)4PtUWx#)XFDf_18<OeaCE`Wt~Z%ckIeCpX4n6Wg9zMrMe@R zY-~9yIj!$ZsZ?>qq?a*uxl(JK+ZC-!B$qh%8(MvlTz$Bq(27TL{oxKytDc!F4!3wl z>BqKZok^Z@ti~<NCv>S4x8%Bw9f?xGTX!D|c^Y?!>-O`LQpGcZF732AT^QBQmtOLs zD6C!XdC807xOPVI5{aU~cERH%62+eFoYS|)?ee)g<G4xh&z@@$2d+zTTdv#KAuQEx zxpHGmu;jG*{Fr3EaO1hB?;A^(yx0)ft~a~n#m2~XyXX>$4WaFdw@X%p70Ire8q=Po zdcEr9r`}^W>oU(g-*t>BFGJ@^bg%23D-mb(dR1#e4{`C!T+2R_Snm1!lg@ELS*tTw z7M{NRf2Cu;uho~EYYsQ)TJhXmb+{wfs^{jq!!5G^jc<ETw~ab)`z`BC`ut-|-?DX{ zG#pdn%hGu^;g}F#dc?oTh-GJA+HTgqyz`H3aYQ?xd&!HUkaoG_B`=C&+OwjU9-eU1 zs%OUH!!veT`OH}U^-}8gV>aJ1&ZI9s#`G;yCuRRJCB6)uXA6!A@lD-uNO{&zsp5!$ zOFO5rn`oE5*x=Q!x4QJjMz?mm>{5vhe(j2{OC>%|<qHj5bGT)1lzyyO)|upq$7;l~ zbrL#{IZeybNt$*nX<D{UqHEjJa$c$89kXA?{G0dFv7N8H<i)4Oe75smUU;r9%Vu*u z@dUT5+ny_lXS8M2N;E5{>$mEC-!iZI&h;7RnR{7nu0)(r?sc`f7I8+nSG6Yo5EuW} zUDLMg{NS@X`sDAOy8T=9rhKvBm(_c9;b}IwUOCSx%NBDn@kFSsTg~FbGqSCGcC7n) z=jo<nHhx)Wo=-W(<d?1Ur01B@xh$P$bB+m}OOL2Jf9JxC!`o+`d-{H6wrcfto01*t zoTp1$efbgAZf9O9QQ+OKxV==O(6im}{!4?0c_-zpTlLJh&a1w2X~y~7Ue=!L5hqgL znkuc!(0R7%n9x4W#Ma{t-%Xws>|at`IW2iTpX|4n7gG20+48-(@O%LuGv7;tCmZ;b z`(7A4TfryXm$|p3a)DugyVU2(B^w)#OL12&+Su{lRIE5AnX}qRXK#90_L+s%%Zh(i zKWpN<nzPK=boR>&kNfy)+os%^Qz>B((yn;8M8Ytp-B7t?hQX$kp5+&wwp=SVot^1( zHccvc^UPyca+VpwxsjaNKNIpiXMa9#E*T5T6Nawse8HtJjC|YWE|<PAc5Y`hE|s_x z+b-zuy{=5|*q;@LThgP>#~#bD{VuWEaDJf_x5OH7`(nn5jWax@yl2cw{Q3Q>QLf+n zna{ytIB({iC+}y*S(Ut8>)dZ=_2uR2!wq#-JTKRSDv%z@6^C0Kqt3_1W!QeNSZO#v zT#Ea}qPhA@Rv%N^m8tWL{cR1W?Z5Qb`ctl7F<t(DbzaTpMVkZ`n*H2w8r{ylKlkre z#e>f*@-Fio&xv~Ln|mvHyYKHeTVFQVn#pcDy-d06R>_&V*?txYCs&@XJbf^)ui5Nv z!HH$De7Cn8x10TKXU1hl{~W6)8-3kvHoctDZZ`MBfl0yr#nYPi%6!kg^>Ci=?mJsE z``_o>dfw;DerMau3DIV<g_oBl@7wyaMS9wtUvsv~ym`JO?=s)@oLf(&XMfv~b=fXF z$Lhf*-}abIFFV%jMsivtZ&X~aYxZc$(PMMA+-MQrpZoW6;;z37R_)sM=&y|M>0=f? zzj9y8A2d4lWJavriA2Aj3e$H!ZMJzfmH*Sscsq>*$Da!(v_5TC5}V53H8a#sBQfUY z>PJR9-*jF%Ww5Pg!r>X89Q78TX0Pngmw&Q(M@_(izE6&dw@<UX=4i`{Y~ETEaA?}5 z@T19IH-%SjO)dVZ(C+)RImT=n|EC)Pb|;>%{^ZE``ZRlMkCwd1#&tCTXKsCR<jg)5 zCpv#+s{fL(<yW6J%Y;qkFN%z`JCW@AQ(^wor_D^$rt)(|M%ig3dESg+^U;<THrkux zbEIdJp`r2VV=6vBa$n2u7fH%0&iUDU)>`I=@9X(fglqfWeq(6Q{l+kT?Kg&g({Bv( zOTRTVZ2i_S;p(@Bj?ixnGuFRh<dj}Bv+QQRZDZ70vs=tp=49{}+|1$s5RuRS!7_uN zV@Dc4$IoMO0)<!Q1d5N!O(?iID|2i5=6Mcl9j3ow<cq(}9HV!O*+%aMbIrNi%uMHQ zGCQ5S#jJGh26K|%ZDt|ANaMGguU9c`T{UM5yTzOh>^o$(vscJ$X8$3xm7PUqBYTU? zHg*@8P0utF`meUX+4id=HcWo=<yXRGf1ceh`yuWYAK_iZljA<+@Phk)cJuw;6cf^S z@hxZY)$-~?F~_#4pGl6XK2&pTySh$-Q}rPywQcG;NkP?zlH9hc>nx17UN`mhgR(h3 zYi(+83qQNC+%{2f?``2{7x&vH+D*PKEVf~jZK9&~En%^Zdu$U8Pu~)rwqc9x^;5|n z)_%*bty6u=SsZa^p22k2x166NZp<^7&-#{=d&d2F8z#iP<?Nnuecpx{JKu78&$!%| z{nU7ita|Y3`)|IrSf0u9pK<!kx0cE?8U8cQfBDw3@~>a@A*W>^w|QA_k~UZ)8>o2r zwxFotBH2Jg<=cW&4IY?J`y26={p;LW#WDX%cS^K-@86c=#%*YMZW@1)MXcS4rLXf( zu~pjW)~n5zKh4f+qb)D;Dfs7tj^|IClj5fEdsRe!TeYJm_M1!A(wb1a6AQg>wyX~Q z)cz^`(~GcwK6ZCcv$KBDkQceMxhCL9`BQ1R;;l6Shs;ygcO5^vNj)h0(bi9neAiF2 z@4TZS|KwxtPlfrjpEfh)P37m>8EdEU$nU4Z*3EkIQwp}f3H`V;;G0X<*WKZECmz=Q zRG7~GwAn^(8vm!t5Ic<rg+CWeP=DI2v`>Hb>4wj#$xeRLdOIyb&D5Ux+?>%;y#L>H z*?&hwg6FR*<gZKa4+#Hi{(seB`>n1|c7*C3d3d`>QO~(PTJ6YU|D6K!_dRuD`ZblC z^JToAN|M9Q2{Rg>Itj7;y*96YdUdMN@~}+@Q{&v%COe;MU9mTAr$B$=Q>U7?>D-(W zfqE(_DLW^0h(G-#^m#>$$DzkYkMBRun>l%f>1)rO0`2clx317y6w|Tl&8gNEyVk{Y zta^2-b;T?Y<Hf1gBQ`qXi&pJ4`uY9mx-*_vUVT{=)3NIDDISfjMy0yqi?VhUDXwbL z7GLygdy(R*Ds6G0i>uF^5iD5lljpo{dS}OuKsBXjX)`-oZtws3n6G|H|BAJx_gAb~ zwQ6ndioBdBzvusN=Br=cVJ`k*^8D@po;tT?XTQ3anYAwC-IXbiH|og9ojmk(p^lB* zi9^rpHfCh3$zQjA&3fPH()AH0Vc}ud_e9^F(Y1T&BF9{P>DR#@=jzS+{K#)(#;SFz zS7l_a`FHsX@1AY;Y&VrwUCz<k_&<DYz3oPqCG#_XhX(QmU%s$$(I?q&|5j-{^_@FQ zYRbyh_5HT04_V{>^KI{rzVat6)i>66^W~7g%kK!a%<d|-&;Pq7RVzzcO8b7Q`|bF< zJ~z*2t;$;c^V{>a^<3Mwy_<XLR{5&eJ3fA{zw}UEB;aqf>C~4JnZF+N9?I|g_T#`4 znX0-E>azbL$}HJtE<K#ExkNH)nw<05OX)Kr*?7!PPY_I#G`ysf>^3v?P)72%m@3J+ z?)i&9zf3M+tnJlXGXJ9M7xP^+7~PhOb^q7e{pInsWS-+o<#)?vdqn+veEs_W)qz%b z47{i3pS|>WS>&%fMyDHYr%4ta*ST7DPbc}^tkgrQ$!W32B#mDi-g{B=PV&5D!Oa&= z>m*{2gf8y>dF+MIy3V=fmlCHw-WO?Q^JD#5d7mq(ed%JaKTJNl%Vv8-zqr_I;}6W6 zc_Z>SD#l0V9AWG3y_vC*bM}$nI{8<la?Wi!uDtn6WzIB4|1(BUsyFxiIH;36Z&vD| zezlo?F0*|-J<=EHF8lLz`J%mIuQ!}eiv1S7<~U>bSIdlzjO{vRPc|HNli7G<#$vJA z1!v}XOkbP!a^qQ@+HJE_5Abc~xtTdlaQ7LbwC#~<YbK}un*GzVZ}!r|oR;;KGn026 zwK}sQV){(6*M_H)YSW@~4#;%#&dlB@_*us+t?8(mj)D56r%NKM&X{}8?@W_4IH}{T zHaqo*$mX7zS<^T#`xwogEjDZEVWr@IpNcPMb(cmYZ`8}yz4my;o=Z>HuCmt+3tb?# zIxC<%G<3z$RaRG$gxOAMJ3Ktkrel_VGr2SJmyYS_hSW64O?PyZ!)BzO@!ISYp?dm@ z_=&6Yy#1UWhF@ks{WJUL*Dr=*t6!CNhkjjgbXC`tq*}FzoC7Z1yfafa3QpEFOY1tS z=3}ZJ^w$3@Hf*$Pd*rIWD?OJLUpCoXG9&L)d|lqI|M6$SLO+PDJ{8m*TDtP+szX<j zLQ}Igt}@vg5js06=ZtT6=+&3?hH95m+bmDZ`JZ)PWxF~O9jo1$ochbVEh{-~T2{Q; zbAA2dFB6VO-Lv|#C1QG<*lWX6Nuh~Z8&?@^jR>6;wPuyCZkXtDvDq8k<qTGaMn@D+ zyUKS>r#5a@>H+P|JTH^-0$jp3_q<G-#(CG*XzuK9vzFePKJ(M``Lp|?*Q|P=8#Z;R z*z3YmNwt2_IR_-Wc`dUy3O?5{d$#AOT8x2uP;TPcptlKUgK`tj2EC0x8<ZP=Ht22K zS);Vsk*oHu*0~(tbEfd3j_kf^pQle>)v!Gx^kDQFEpOeht4qaJa|L&YPF;C))uk&* z%4tz+wET3#LYF^t*|o;Nduy|)_tr*J@2&Nw-dk%;y|-4Io}TeDZRNLhYBSIIu09*| z_O#E>)8~Dob*?3@KDz78#P21QuRq?cH;$gaTTHs(e3J9K=$s?W-My6=8#(*`%{H8v z#hra_({ZcKUn29TF~-kKJ;AoQ=SIdf!`Wwy5;yByj(>LMV}zg6zjD_~B~Xa&*%Hwn zF82D;)AMJqEna=}SIOoZ4e!LHFC9)&u8PX>(eL(s@%Q;Lmt8ga=QbVZPkZ_KiVky~ z$>|B{X_AG<b&}`JPCdju+2{E(yJad%iZ8QX?l^JYce&2Bhg**BnzJ#Yf3w)@;&VyN z=c02?ICl5$$k=EYADweZwRl=A-^``Q6E}acOq#~%e%9#8j7T>b^V2hg(`FU~O?#=o z;MtCxX?)>N{ya_XyDhf*TeH|}BlmshKVM5;cl6hp%{Ll;ib-!ekfbaYm2*a^+c!ez z{<M{)JG0JhI&Pl!viPhH^SaroCwMpa{J5r*oHr}=kS@rLs$e$;f%8&ScWFfWM!na% z*B)zkf4!Nokx^RLEM@Xhx14P^X6TB|-f(q}$Mn>+mmBZs)P~JSJ>a#OXJ+m+!PRGs z(zZsbt(lnm%X_osu^CI9?ZNqc$5E@E4H45Pf>UL!TXc>?d^fLT_C~?SI%a8mj;i?> zs9##TKeCF)z<YjUnxw%A9cQ-LsYe7h_ef?<<2($?=W4T-9##RnEv-`bbaeasr?JuZ zcKu1%DCe(x?YVn5Z$;WhMf=E{BgNglm5CcU^`CxUAN1Pj=A6`i&9s-sS9O?|%}hN} zvbkqQ;xt3!vqp*2bRP3x+WB(ooR$!)l8MK?<DR|9-k24)IihrP)S6w<x?y+MiLHJY z-W_Vb=IAQxYe`pivp2q)H}!Srm0cyK-u-!LFE8KKVV*ZbKhDGd^F+bDXFxe!?Tg{b zlDu7cSpin&bEmyOwkL#j&(T#}_mV;*vo@~M+Zqu%BP!>BW_Re)l}A_Ix{?%Hn6+`0 zl_^FBGJ4V!x$5rf$W>=oLo<+Hn6&Zck{!yRRQYAX`>T6OBhohNoz}gU+<Nrank_dP zLdB#v-AYov7Lju%s@pe0{r={ar9aQjN$uaA_OkfA4)eR&sVA5>_f%v|GweTO^l&4n zM5+oFy0r79HaJ-Mx=U|lZq(bYb1ixM(O+*i-e}k>CcWu=lJd9coHLBwzBAJNmYinW z{N+Z*G`rbnj1pExw&fU}?pT{9x%sS)@V5WvFO46+RDW62V_?-j@snrp^yEpARz7Cl z(>2px8eY|@ZJV8Xpky=8%*1Je#%GPvrbViqF-tq2I^|+zkD1kcLpO1QYcHIRNyZ+j zSlm4`p@dVIS8s0HGl{u}W1KI2ntb8N|C}jV_tU3k=_ljCpXdADES{NuX3g=M#h)V+ zN*K9&^-?BYbk#B2HAB^HdO_HqlJ41cTNRGy7#df;G<a_BU0mk)EFx>;D!EM&q5P3+ zR<-MdU3C^){YtSr^y`G9tEx^Uh1#ZU<YYeESu!(wn%w6zm(rUe*>ns}PiRe(+;~DK zS!{OdA)(1W>WilTKdB)b_gCHd->2X}`QWn8#ewnNr7zPr%Kg>7mfmobZO?`+mMIgS z-sm_eCT)BqNw{s+<~Ns)>e%j@wbb8yGtY~>X^OUIj211AJod%tbj!U>KGXNe96oa? zamSqfd(If%Pm(<svF3DS_g9Pbjdss<%^oxzZHw7*qhr0U*|SMU)nd%lFQv9bR`r;8 z&kszKG`OYXd~8PQk%-MblIhbpAA<_@bu*S84h2WHY<KC8b4ju9qShR@?f$wme<LHm zj@griN8M~T-I#G)Z1#sEb3CT!pSkpSR^+c3^V1EN(<DEwjdZ(Xa(YH|+RTFJX)nXM zi{2P|w|_1A_sQsZS$FA;#Ep8^y4RAYAN}=a+l_`&G3ia$la#+j=A5bP_O(dxTXH%) z?d8UUI<;oAQV*zY=DC?OO)&b5QQGQAwH)J<C3#jE)A-uKg?af?F4cV5WzlO+^K^fm zk-5=svyNH9wxexlHs0viDJE@vK1ul5Y1WLaSiaet-xMCvsqLGUdcbfqk7eF8LG?36 z&z3~0&6$+?>uQq>_Y;*T`x6d2fy<z&N3GUui<oXI_Il&Bq}pqdIR~n`d4HZtQZ|do zIpfytdn3hf$!Vp{Um|j**?FHaN|+Vdrel1%<7t}Y=0iHdVY5=K%z4ed+vS%1dHQ@= zqu6VM6G^db(QA$ibbpo1+Q@iV$85>&qi#JLZp@e{HrwFT9FOVq(q3LXty5byJJmsc zGtbNHX@Y;x7%kf$smAle|I+3aC8B2xZ@rK?c0%1Zwr^$H%MDj_V)bS&J)XMx%g&@} zjNxaEo=9)**^xHQ@cLP!hi!({dda=#lIL9f*<-$|fzwUg=;8|{HObgBDT}>lBo(|g z`Lgz+<sB0hU3*Sj6YqBSw3nZ5=-AGivGn}+G|3Omk!?1nr#s}&8a<mCsrD!3%)HaO zi$CwkEwL-_(R*OMnEU6g7fSCWW6#ts_O4LaRZ@ET<DC~WeBGX>t+yUG_HN&s_HxsC z9ouiSm!4<b%wv%;O|kuqQPSqfV={)PTNWRCvopugs(-E9^UY^pFm02LJ;ArQ`$lGo z;qD&2#O(){7N0X*Tx^l&5mk3?XTmf-@w1nnwnf}{zI0X9k@BKXL87*4E3@P_^GN1R zTlL7$JM_bhrK`&Sb!%4ZsrStMYLQuDx4cL1!Iq1ya}0NNY<3ebKKDZCT({m|wxz3z zkL-KC-|duyVP@s>S2CMRW~5EiyL|Ria$DrDGbX1SBGV*|ZtEyNn~{1ZX0y+X^fU8T z75DgEwRBtlN@j6zY2U?_R>xl`u}RN`2(wK;XZgAJTw<eQ=1<G#FXu}xJ=~x6^3xd| z+d3of`OniNKOE9=j+>QwM0;~cYD1)2iD}yTrQH`RZGIR}{#=<+!r0%V_hjQmR~y4! zGv4>=J!HSQ^nTBoi@tB-|Gi#VBJS>Y@2Nz>G(P6Dmr^H0R{0ot&;OeyS#UtdnQvC= z5yj0tmO0Zn-Ft2pzf3HVv+X^%eELP!FJ`+Y*tv;cy#6BTmt?F{eY5xTIg8z%7o3@} z<<FerdpfduGnSrq-TdXpEuC7unW+a-H}mXFnkE>2*65k^W}h8tXXc$QT>RN0vBXZj zSMR};i>-6ac6AuLiElptLg-wl-rr-%eXre~7dZPw-Fq65IE_#H?4{JHkyUHVyyu&y zNp85N<9uyq>XE9=J&_sHIHh}T7XQ5V!e*b5)qGbs@eMa#I8Bp?JrcCIJ2I_=^E9vC z++s7Sxrf!9FMTRLm$$j(M)EYh>a&*~Z;A+evh=*hW*&*$X^P2bjFQ$w9_uka-SRO> zc7oURv&YU<<rrGcU+X5m;p_{iZPKwv_!f8H%q-#D?J~V?vf*)-jqiW1FE$DUxp7A7 zG``JeFQxWHR-G~Ro?n?JX>ec1S!_n?5vR>Pktx$SyL)dI&rB(io7{UYz3U>YkLj)n znQr2ScV8sANyQ%WX+B-gdjEyYG^t6`<`>6x_@{ol^l)k9u02NH{d&)?e|ecTjq&ao zqb0i|-M$!{o-r?N=7+sMEMJD~(lJiEws`u*pMMf;(hmeL=Kgu{h0;99*faia(?7(N zyfhan{v!5!!v9%nwMUjbjqO{Q_R`>tPHfxkrN=oof0>y$jq&&yqbEBe-R2mao-sLX zX2Gc$w$t~yJ->MVMa?hi*aHlUxg|471e<&G(l%UF<uTk*a?UchgfE@P^YnSk@H3Yl zu8Q1MlRQoC`k715cSW+@F*rRT|E$rYWs%437@cmpeeBK7Ii^<q#ct1w@4aBslZZXx zy14tttrtmplCg&ZFD|`rbEfe43z>bwlc$wiUYoV_u+`?0$oy$p*Au7NNuM!FSRC1w zV|2RXY?|ceqdLOdq&7b<y8goEo#fo}W{bHa5=#`dd-am0UOc+SY*&jZD3_e>J(su} zoJ$%atz=BRr#q*;EWDvp+czWCq5R|R?Uj<3&gv-dnw9D!zS*Zj^<V7#kX>&K(yk>h zzxeZw(XNKOZsMDczfk%n6??{RvG<Mt>Gk`WE3a={mi+j<l=iAsQpGX<clyb$+xqw9 z9;I22_q537dEH38q5ma#b*NW`WB+QVuRE14G@NGQ-Ki2_>d0;KY>|VoUP?x&Yq4ve z_x#sa9)5bhH1^zOne8fX3Zf6`m8-n@IJc$tomYgfgAm_K^@kn*g!&{E9=0?(-H%<z zaWJpXQK(EpCvm!>&^HOK#QFP#_$2fmP7oC8lhAxPBT&dsLibB-Z?NKx(}r$`_>xuM zTnarTXJ4n5Fh5<0?}z6h#q+8%ADs>v>Z`6<cIf<<eZOmzO>UpR=z56Hzcu)`-rXe` z{qKany;OYIFi(i@rRu|udqRCLl^?bw9xCPEp~k{)Dduq_abZiWnCFe;jV-m)JS-Ac zwm47ov`E_7lKjluB5~=3?-O1-70gJU+9Dg~eIvEE#rB%ljr6%K%-6gvQg*c{ALHKJ zxkKZ^jC|Hv1)o1(+piV1;A$z4ZtRtN+G`d$v#eUSFMi6F)egm9CT%#(zjSp5<L)m4 zes7ID7RWKPzA|=L!1$MS)imBlw=beAb~Uohx?t^m+2`2{y@2+-nLbZ4`{a#ho=7%b z>~Z61LyN7MS43`vip+<pEzZ}xEt2N8BwzE2nEGaEsjJ*-SBDq7qg=zep9iddp~bm- z&6Ewtxj298OfNWK#JPI6*C9b~6`9Quhd8@i_Enb%{#qAyvGtJU6|G$khx9(HzA^Ma zWLK;zW8i*BakHw7q4yy}W7RnZ&M$VK*H(;JyU1JhO+nZpy>yi~g;9s>maE7V1RYXT zSCJ`<Ib?WTWlllJi|Pa3AcgKKZwev~Wu4Yc>~9wOc2nhH!(So3o9c;MpH3<`Bgd)! zM(mf|Cnt`u)i3lot=~-9aI4+xM)K~K+HIZ|39DP2w|Q73ZN4zO<2h6BPtOIM=WqQp zD{ovCx+VQ%(S<wP#6?%!>K5}CTO0D$RrO7Q-yyy9*5K89S2%?B>IZBE%W{Rhb!A=k zZ4pywbztMFy%}xGW`;~XeB7$^#m~ab>7PxGe~#z6@lc&}x6Q;2$6a6Ev1?bADR4QY z=&mYL=yS;Mxayn&rx&|d^|d5y?V2}b!(mO%-E*dGI4;Tgd(PB?1D2fabEX#@F;={r zC2FhUs$Qe}CHAd&Yw?QEFOv%Rwsyr$+i>fQcf?(0p|EHN&eeA(wp=~tcu3G(WsO$> z=W3~#7rXt<L7{}m92+AK*?Ft3S*6a|t~0&h2p8w^HB$->X)S!u7~7h#wQJq94Tq&T zcdwbc;kXs&?={mmteT}Nv&r$0ptP#YX3s;M-YxsuO9Y#)YVC48#22mlW|QxsEYYbO z&hv4yznM~SLXXq^&9n`x6k7b;_WWAvmmJ4s^6;pBx7hV(f5b}TU*5X7$<<ajz*Kpf z-t@K6SDJ;&EEE!_|2?!a){pDT;}(b7K5ml-GaRmFDP8DLX6m)nxzOS)IV;WRxMZVM z$=~ivde1Zame2Hgvhmho?;B66IjiqX*l<3Xlig-g!3k$hcbf?XXKFds?+E{Tcggj| z?x>jeT+ai#UzZDoO<vPto9BJw`Lq`1JTHqU(VXr%6AI2KajNTx{CfA%izBT2H4~@x znduu&+i+H&nY!V;3@7`UX$2>AINi@oDLBK!sqQ2G>)q!dkU|qqYo6&FPK$6>`%K$# zUWJq0XG*~d7Ebq`=>=yjIMsVZf60At0x6W>wC<U<;j{*4b<dOy=Q%jpeWn(ih~spB zGqK=I9;f;np<i;JVn7Q0IIZ7I*l@axvpQ$;hVx;Z>^Tz)PNZ?V=S(U%<F;@zXbHiy znGV8re_T&Kn)NP5{Qsl(B|q+eTCs51!d;ub$%@tgI&bx7vDu{`n`55d7A-aP72Rr@ ze>F7R@crfbD-YkNmL&ULwzhmG)pK}`f3)?Lq)X49%+O72kDULnu-*PrL7#k`W?}n# z*LR;Hp2!v3P1|u^Wa8?^+hMOo3a8HudTpELaU=b^IuqXv9oP0RljDpQ?QcnD^RQU> z`}oY)fw9rCk-?$SXSekKFKpi*pCfgzZvV=ii*^PJU*61adgc16)i?gw#s7UIU-o17 z(PafLeUkFshZ2|R#H6K4|5+y$8+zyel9DHuOO@Z>Q(x-qU7GmhuBpV<j`U0KMBMJl za$3*%x2A+eq@Zu*eD6DnT_<AmI_^B)ccNCV!{$NaZD~i>JE@+xqbIuFNNyH+``P6X z<7O2Zqu@h=#wu&3DRHX%{I)5!z2$aq@p*6ed7rDE*q)QSV)p4;y4$?VR-g9$j}cjw zH?{Tj<(y`2<@d)yYND+^%}bp%?bV?ZzfUH}%uA0usoS$hT&S#KrX(ZloX6S|t#ib8 z-s0-Ilf3#w?Y0h^gw0ocwz5y!aJ-K5_nXND2jV!}-%Q+aE7Qv&ZF-AvS=xH{+b3>M zk*s|8dg6A&>VVrPqNht%=Dj{~d!l55?xBZkbYl3@q+=v1@7IM{ecE$rqWRy=mzFKv zW$<a4WY6I%XTCfTb-O3RY3+0FOeO1_<S8d)-Ma3iww$n4>$sENdV+bH_t}z}DvAA_ zLf<6y9yWXw;*(T=*s)QlPg40|%f*|oFPeRtRtqxux^jNzw*}iKZZ|XjwCCEy?LUkI zEp#Tep6&s8J>N0y$<L+A`E|=OSL*fbsbqU>VE);wF7e6Pi5r~1pW)DWAhX=%-G{9w zV)eT2JZ?Hsd#>B&!JI2T(_4kU?NCgd|5}J|huXsl`6@Dnp@$5^Rpt~}R)a$Q=9v?p z<NNm*-)z3+c5l|16Q85q?=3yUkS)k(p8Bvz`}TuFCw5PktaN;NV)yLKs8!s`@8=z~ zxO09_P=G`wzsj2r2F6TW7RSvdTJ!vHdZbtD@~-fszUsT;m?w<S{|99(JFUF(t6ab8 znpZZQ>^1riC&;VF6nY#ov{sq3!4_=)&iy*Fl?Pu>G}j7V!=9_0Uz+xWb**y#5%om{ z5_;Uz6K68nYxL|{I8)Xw!s=673OL%OlMS-Ap4}4^AyIi*<&DAL$(IBJ4p@qH+(}$` zB37*PPV&YRwN>3V4_wpKr#;U)IU(x3Velcl%_=em(T5a^Rb&jq4;g+|nPXrNcERT} z_snW+Kh>RjlY4mE#O+$fpAKD{_&qs|`?iwIyi<psw&%EUE5F~}@9iEJV)bbr#0k|o z27LWS>qSJ}?m2T>+nlhutMNd_)>wJ*0okgKJ4<(;u-(;pXZh+A%)3(ba^~&6Gd=2k zq5mPfze^{xe3@L}5zp!VWn#e@@0Y5=RjF=qSIj=erMks^se0mj5|sGE(%j;%Y`c}V zY@67^QwotQtTb--A3Cu+;M1+MuKaJp{y3TOovy$5Yj1a0@coSow=G+=E@<lJTz~cG zn8X{pQ#Tx*6fYA0FKkZohjyWI36-MhjY{7o6pBoZT-LQ6Yj-k^o#(Ok`;ITqnZ8MC z&Q(chX>o4zwn&=MlHBHHk$9qgX7*LHH+8>0pV^wVqJI6ZjjR6c-6d0NXJXlYZ>I6S zx;fWQC&*N#oqI0Q+buV<ZM#j@YrVwwyqT{r|5}l|^2AjOp`E9bCRXbR?L6-^kzHrP zte2qXS**&N&sxSYQF2@NPANFS&FOA4y}&2_rK<3>)b*jacg&c-H_Y{M(!7f+ePf>W ztd}o4YbeovbLQuru8)(#mOa$vKjh|pBhl^IuPt^Q()va1g-Yd;+C}|_O5bN{erk}N zx%%QKg`8bis`@j9zRlEn*q|xIH&f?fho?~AOpS*voHw(tn(67KJmcP;5i`f!s=c^A zuIkwJ{zd1cdJfM#^K;Ll!a}RbH>VvBS+4S?;L~~U_5QDuS1~J<-_S3bUaR!oV$RNg zYx`Gz>-xx8y(DedoCzC_7jpiVnOtxnkh5K8V!@F_&f_wZ3Jx87vo%Xv{Pw2nt1@D8 z%&pqzg2F3c%jpD}vh;J$#e2Ko8}XjEe|qAh&mlhhv~~WB&v)n-wO1>Z@6;~pU+?;^ z*!>CP_N8gNY^H8F&M)-sXWSu1e-)We5r+i(Rb)N~9OC5PbbVEB%$-wls}~g(UVb67 z@9dn9Uz6uZvpp`bE#9{vBPQmwiOqi=rQ_y8Wjki=v}2vK`1X0#cNapR=*7Cc`>16c zFS6sj_r%q`Wofg{6;Ia=dTp!cedGC}7G}3?wU_pCw11gY;Ns7D{L6#_r|<LA*Ij+x zTb6c~zw6jhf4Ao!bT%99tvgY4JK;*6b@9Fp88Lq*oXk1RJmbCUn-40*wNdg1?g;Lj z74P!SNY_{|O8$V4=+5&Z6WM*vE!q2&@k}zPBv5(tIp7c@zluyz{2{^bDl*04hdA#; zQoxtbXSQldi3|6hOLR(GvbT=K=w3p|*Xfq=Atrjq(u{6@sz~W+k#$R7=l}ZgL`S7^ z35}xZj7r~M=oiheQ{sQ2ZF)I%N{elk_l@+H7Uni@i<B8H%57d2X&o)XZ0Vph_F^(9 zjXiRD_OI@(3||^sLTG8}@wV-j6LuO}hnegPUF^3t{t4f2mv@`KAKricVZQjsV_na( zzNIutYv0dZvnns`d;41X)maa(`gXnhwc%^Jg;dtvRkM!0I`!<;s#{++`jqww&X-zx z*kg0Z^;<f&ZnKx3pWz>E|9ojm?z)Y?{BQnzc>nE({o5DL+Es34y5aHRZR(y|*I7B2 zp51-5_iAr%PW|1pdsc-1sol2MuWONg_U;YQ-j&YBr_IwB-+B1ib@46Na$jA!5)d06 z`k!xhTzto^Rp$3zMZ_;XXZSUk*NW%z!dnw-f9-1K+7}%=GdVeMIro`F=a;9K_?-2Y z&%BU$$^GWy*nOAIz1SF+Z1>tkY(rSGVz!Bxp<ngg86TyBqxbaRlGD7tt&hRf_}bpk z*wFa!vrqb?{&!u@o*Vb0%kS*hQk{Q4=9m9C-lRK6;kd@?a)~b+YsyMZSFe`%^DDEw zvv&QmRX^X#IOp6-Tv77w*|KGQrB~lSl}OvjcUbpYYRl28o-Gmc8^xpzqE3Z(*KXg| zWf(L)czs^it*B#lf7V<5xg553+1_Q(j=k#YYhAf+<-Xv>QRk0|?yd8U^)2qTdROYT zTqO4Gn$j6r8&^%!+_Y7+G>b3bdv&mD?nAMdq>X&b?W$@gFJE4>de^zNYcd{uasR*U zu)Y2xUeVsOuWp2xmu6+G$PfAZ{EYj0^#zCKg#3IK{qkaZ_xW3smX>%~su#6?Rx01A zP}JY8^!;bl6UOOwRkn&|d;Zqt-nwF?SAXT(f&JSy8q|jEjlF;8#+5Tu`(J$69u*o~ zENdNSKWDw}EM@;Xm4x>Eu7cpwwN-onY${o+5zl(3=<E8^haS2G3eT3-el^SLn#p#P z!pOkxS@GLn++H+2?=YXa>YJk1i|a2woWJFPA8%{m?F$iqmqqR2KK*5t|E;uZeec7r z?unkvxALl0NklNu>epKLR;*o@d{RBP^39LD+U03^`{%8+-gkS-I>)n?*Tm(c8u;R0 zUPwKxzP5Si*QUKUSFYRi?d~DhU6;#d&epo>k)L^ZnSa#tdsCJj-q&|_{+7C&T`&JG z`?E3H?&o#cf06S{uJ01{)pBl~x^~mXU8|Pu{PgzKsq%^sC-=Yop#R1&OYzUU#n+Nn zm}tcwd%vwT-g0Zm{>YmPR!!xpvHn|kg-zBfC+GEp>>0_^9c0V6Lv$OMzTVIdm|x4p zdqe-i1ZJjQ3yljioSA$r)|B5!oh<k2nT1k7`(mb2OSORh$4p->6)!YY=f;;*`#S4! znmlT8NIu7D^6-)VR=(<-YqKA${OM@l`22&z0=@67uRd;dsMX^zd9cU9`5c$YqfHLU z>$prFO7A^v7yX6rx8=H*>K|m+aa?)2&cRlW>&o*b4$OX>CQr6FD4*jnd1l?HcITqC z%!bhS1rr``t7|&&aGFDG9@mw}(U5&Ua*b_gUah&@ag(Wcrt*cBopZPHwdY*6mU%W~ z`Fw?v8TtX!S(&~@tk@rMq^wq~^X9_CEpz3!zANG0knH+)_Sat7(8$dzieE2^Ht4nY zHV??Zc5VOhN9SDGO}F^I&AJvJRC6JFvfr=o^;dUsn2BFoS-t<4z4f2lOe^w#I9&A+ zeHHrZ_3W$v!>^f}Ez`fbY1hYBr~lu0c>m<W{8hZwxrI5?@Bg=#{pY;%#+H)Rfzb_C zyJj7J{p$6W&E>!MUVivL%l*e|@rs{M|6hC<FDf4xd4FTFYwT6&xwEXoOja^;Yn#~C z{eN%$CtGpdGCkYM<!#Sa&01EIaQ^=GFzuNKeoZs}`s?rhHy_lU9FD*0(-qvid3|W; z-@Me?*y7~9QLCl1uW(eXUu3ve;Mbpj|KELh@7s9xt8BK`>ib!1^0KNHZ;K0Mt3B<u zy79uwT^BNc{rzWO^25CCg;(hOt0il~woZCw`nP_*q-N5S-J)A9*|wNpNld7AzWU@> zs<pH2yV{$(QZG1XuX+`_<<+m+>ItVNaaDateP$%ay3#1Z)#lHptrzNL1B0hNKJ;E? zoteb`Y~2ms6@7O%72MF~o?g4@!wr4z`OTX+EL65#d%EhxRT2H7`Nc}%Q@c+%_jTGN zwVy~X>#|9_{yxfFbnTv%da;HoGRBUFIE7i)Tx<6fDx0B}IQ^y2w;3OIpK%EK+&OF8 zy>;tWtjb%nw%%LUIaTS(q%XU#t=+4rbbLpVA|LyyJ$+G6PPwdLUGLL!zRO6Eaqs5s zVQNY#IWvo0&S(h>8t?RRIrQWH*4#<AlrGKOvTIeJ?f&dqvCp@zu3WkBgUsf>w@$le zXG>pU39euaom(HQr}8Li=Y$#FPo0GHrgH`!{XMlvk?;Dc)*UhW;*Sa!$8?-uTcpUi z{d8-~9Zhke!u>HGXPS!?Io(gSteAV~Vv!=>>(i|(atn6~^!Gk>sxh0&&3Pk6PbI~B z=Y)>6`?G6#E(ryNXg!UXxp(`m9NF7-(^uAi;&0{7&i=J#(bM^S)AyeVX+PRHch>9s z0jZ(?6ho^%S*=TX-LmL|&x@=2x92U&SUB~i%!LJAru$Bc^&bsfwQBYH)5nY6dKb5^ zs$Ukje$}p3FaPfHIu{l7<6Cv+^iR1ytJg_SyYBb@W>kOO@6@zKT3y*|YMN(H`)m?s zZXR~!%F>qT_-${sxC&+Ozq%0<`o86k*^CZrHsQ^;6NJ8<ICgg9^#qx3l0B#Enm<}3 z7_1U8n$Zx;CR}tQL8(u|=S*O;r-hodslWXBxU*mGzx<k6*-~}rRjgm#%$;wq+`V#T z1>0t+v&*+6N5@p<ELZbOpZ>JT|GsN(<+|!+J}rkA>PO#Sl+m5Bre^yh&#PCq_T75- z*YVQTmscjdnp%G$>c+Cz^?joCCwwd`*Pn|G{OKoq`u~MrWog}K?bobZk+W{)`sADP zM!x!!TIHLgqSOv0dd}pSel%6dMr>;5hnaC|N(qiLJ0|?BKamsEtu*U?{))7F@<xxJ z+&%T|RJpcwn8}kF*(H3%J?B!lU93D~WHo=Lo4CQ@7fxdT6gMvoR9>oex4~Ce^T_Rc z?DIbT7cYzRFB6HBi*wC6axN<^y?(Q`A^-0B!)HR$rqxclWgvUGWP9HBgvwXyVLnGX zme)Fa=%!5g&ZaGJNLrEklY)v3hsp%=6O5nkDeqqHbAMOmtCaJPf9_jT61rW>zckeJ zIR6$C-j5$%N-%2I7%elr|KhmZwa%EtwI@ukb=*nVdZJcGsInp5Rqm1IME3}dPZHcN z^Ah%%@Jh*hAAOl(>;Hr+cu5wInfHz3YMhsuTn{Old08CN;yivs;UUZG);|8Ff31$| z#l1eYf8n>r+6zxCj_nHky1UZS@48L)>VU+vD}L1;w)#>Kx_~R3WmRG10=DTauO82F zV4kzK%qO{2RBFvCC;8yj1_!2S@oZeorJS?+kc9PWgM(YS-h44A_hAj<vTN(#Jo#Dv zeh1TUoL3UoJJh}r%xJiKRWCzlol~>;>I=uYLnRWtMcXV6B(D9b_+~@jjcL#3E#xod zefjR#slZ<G;740lW#{hBugLYNJGwb<#ss;0SLgg=toXU&*y)t&-S3uNPAhCZX5=Y$ zJ$+C1+8?@}-0PMueq}!2`*x{)?5vM%Q+bwX?Kix8@ux!EbKP%S%$D|xuXr~t@yEGc zOQr`cFPd$tzcT0jqNfaTl2>=^+GYQDU(rXyr)!f_7Js-r<JdWK3I6t&u4_yCewoyj zNXWa(svQ$-_x#X&q4iCq-K)8#uX()^r+a6<DbsAW)q9$}-kbHt^B_gPT_G*A^+X>} z^H$yQHegH5zQ;ja<*{Cm=Xpzhv3(a{cWhTkgL;hC5sT?v2KSaG`4p^V5tcpm{X=(h z%)Ub^*TbYH$DT^)^1f(#XepCV@k)Wqv08_Crgs@$UMh5^XvKlUIn#q?JPwj7jy;ty z&->?&hckXE94O6poVfZ+;)IJ+<?BCM-s)YfUHY{?rEF=kaxcHE+5z?^&)v@w_a4?* zBfM*ZB)|TSDTkD%U#hsblxJSCW)#!zke2iqt%H~Q5;ND7=C~}sDyg@0!-b_LVmqcB zteO7F@cdGyGo>p9iet16<xKA~JiS!tOv#za2c?d?y|n*Xq}eK}w{+v3r99JiPU$a? z)jAO5e!q52S&qx|u5uY^)`+J!I{ZZQt<AVT?mO&KaXv9=&r1W&IGI>W-zD>J)nu1E zuD$t%@srg59nVa%r-q50yx%+{Z|+R4*=C-{_jqfbDbehW)JrwEy|m^`p{CWdSgj*A z)4L4rNAZZSdf04uY1+^2ykb$?cNHJqm;7~#!P2MNUCFJxy2bg{+dm87GLP|kEI!?6 z$IBqQyqzHpxAjCH^?AGQ_#IK|CB5%oiT^F5`Iqdn_s(DS>2BB2#j$=5d6!?VxLfsZ z(WgUA?b-dj5e^SK1DVAxNSGHeHh*Lm|6q8~%T_ABrsAyC(g=OsJyyF6`(LiIU;n?W zv?!xyR>`8Nx2F0}v9nzDF|NM<_0IsGO?5g`(_7V|%>}EnWVb$3ICy%ow#k<bE(@m2 z;|@t~cHmvdedV}mBijzG3j*ORQV%ydB*zG4G<AncRqjv-Xia9iYM~y`yqW21q1ysR zKW>vFnvHH2ninM0S!O+`n0id^9Ct|KrqbWa%cUl>WPMoTQ0pU-(ZI>XYZ$jc@EE7b zF<~a(g2h%{N1Wrg<}51k*H~Q`zJRTq<<;YD4$OCiGCF26^?vAfNd9B6>!Y5#+wI$z zXPdr^%G@0-Rr7wD-M-?}47cwX9SPgs^I>iDu^-2Dg>wqMyu!?PE<F^UxANoCXsMcq z)9mcZPczKlncCrh*XWRXp5)`??LHfBYHBwAy`?K!SLWS2|IVcc?%Tf<UeRTiDLgIE ze%I)b;`W}x3%bH@3cPkn$QF3F{>@vt@w_hYx4yiXe_v0(iwa)*Q<1-#Z3EZtpZfdM zYaiu5eH^;#!#oGmd*Uk&YBdIJQ@+5E%_5bw)<JoVa7NEpCf^MUy);YzIV|9k=L$*g zbl?@^xN_X7k*&gOf#N)|jFxm3smGCxY8C6eH1F2NE#T^AS@p5Wfj3TMMgLJI-Vc); zoX?17v`lC9^7d#{tJv!GlI71V2hq6tJs<UjBzre5;u6-WpYyk+>Q}u}$%;J>r<5Go z^Ku$j{?4VxZs%ow=!^ap@oF05z0%VHr|%dYa@*eXabmREjVDt|?&OwvxAwcvoZs-F z^Xm#vwcXVo5^py7RsO$x&2G=)m75nYF0SA&Eq(stm)yG2EoP>HVUH3ke{OQN4~Yoe zCmz@?ca1wF(RnLV@6^zY4{@TuDwc9FuUU0SVD)N)L$kDcHg4k*UbFhZ{tK0Bxn6Dw zYn75-ec{1ktuGr_axv$uJ0u_<uBdDBZ~OnXhj_|LF8a-iPvrXirZ@OmVvcA~U(C&@ z<h)kNGb;_`H*4!0Si{9_xcQLMnH3u(zRNw+ag^70w@!;`)qL~d_NBa=afw?R))_>Z zhfC;A*X{{BDeBh!xc&G3SrayvwAUOK{G0Svck|tiOAm>ZeeyNk_w2+2?Y^3tlYtHT z7F-`K-39F)YaClHqxz#o@fPQ9i$zQM-KDB679ACJxBFHol3?$y$X6ipkiD93cl0e= znbrF%Z=V<G3!ibUbH91W^u2R>oB7S>9LaKSzw+<WwZ{)9IEeCbhdl0Z;O*nOa=f33 zw_xo@m#7N@`F24|1@BcY`PUb|i|gx$ZM-7)Dwq79`dFqS;;YBu4Z)8RwcWXHTU`3l zr~J#X@JX_@J7ZaaNRqm{U|FF^vbj6ww+$aP)}*y&bi@}e+0d`t`&UL%=D~^M{4%T- zCjvX1Wn3-J1okM)s9Kylws>Qk=%S~W`eii#9GQ6Ryo{vG;}gdV`<UiD&^Xd7$m3d3 z+j2L!**xNY?V4nj166KLISDF<*n5urm@tt=`Ym&G^2*qjw3o_mB4&n*HqI1m?w9=d zkyDw`E>-17dXLMGITIz~4JJLvtQKH<f6Mif{d&Vi8zu<$vi3-vNk4Mj#*OJrs>%@= zHzl7Wm18<?LT9$HKTMt(+alC0*`wi;?vgB}%oZ&9(Xe$Q!(>TL!`Xsfza$p6^b2}@ zd*jFwktfcn@j1C=lbxH!<K&i2wr(1ilUp{~xH;)0wrsL?)7YHcvdOAiKr!rwtCQD9 ziG^SK1beskNX$t(ay-+GX-=Zbkw`bCIms%=D&2%)Hn0mOE{#?BR4`Gn)w?GnChf=r zE@iuQMo!nG+?-<4RSxmGCCy1uIp|w0V0dqH_Yt`~!$k$N1$(V~B<`dhId1F5^yTka zHN|5_LJv9Jl6sO=4*vLcJ>6*1gWBCIj~r&HUhI;nq%7rclw{B;C|@0UmT_N_%8~0m zE;kN&^yEu;et4_=)qcv-B`0Ru?lE+F#FgK-!anHU3EMSOS`Srkx=;}>#<n`;;bYTC zmI&o;f$UVl#JM_2Yo@z4@jmLw*rS>^;oh^!tV@q<TCgQTY>L$Brc23@0bM%WUXxQD zS8meL*gMg+>HHB%!;0uT4SLtOQx|S<5R>|xnrPrJX7}ur(gB&s3!;Hyf@vp}j=4mt z%uqbn(O-Uq_r)puSTU~4DGLol#n^&V9wu+nVe*;e+M%8*n7B+Q$wrks>QJY#P{PU` zjJnG6Cbk~hyy-%PpBUTu(}#{LMY2>liYdlTacw!espI1$9U&dTbd@8!AF*vwtBe%m zT7SanacHE(8nN4b8+@J^PV2Tg*d_S2dKv5c|Iz0?+XF337d_02(%pZ&E4ANj*-KsD zt;?oG@h)F`W#!V%qUmdTSNgneK5LPE@Y=F<QQrLPdUDPjvD#(zziYL!bY7Z(*Zc=N z(nMEpz4QLzoT-NegDmn(m&~>*I+!*2*tI)%Te@YIIq$y0QQgLuFZ-#X{dDMxf~8!h z>%tZu+^Y4Za4(mp`__cu*R|hEzdX3-!FGA(k5re#M$4ApbiTh>EI{IS#$lb5`nj`% z{(s4IwApjXVxeYG#Z=|q>lQ{8bCu=u3q&6H_Bri28rZVkvg;$CJLj~%EyoudOzmxN z`=NKc&rA2QN3x|mn}5NR$3>6lZWdwPaVW53wT$bIV}U)dWmI<r{`ZON(}+xYyzuG? z{T%D4_B&5J9*eoNZMXbX*uI#d+>)!Xe=$dG&NJR+o0Cr;=W$~?lcI7&$4%)>T8rO- z9+ew;@;9WWzj=2-=j{gbMV_}#b{x}l<0&(Kl+3Tp_{~TtNnTm-o3YSi24&8=4eW^q z=k%OTuW9blkV$fRY@p2MF7ffBtukX>ipmlH9+w|8CrTWb@GQ6^*V6y7OfX7vZi350 z6=kXA5{4FMJNk`#SS(I^bQJfvSe*Ch;qFnnk;AWafMqv_Zd#a;(@g>8DQt#LKlzpI z!i=3H`IV=<GH|lwQ=W3iz$voVwnISpt<si%KN<wR5+oL$X%+N}lvo(kI*~zJV&R(> zK`(EKg*7dLUM_DOT`Df=303}>BiO1haq-6_!RGZ6A3vHZGj1~wdL-?pRCC&+XKjzl zjWm9xV=B8n-aNE+6Y0B^z9Ax6PkD-#;iF_bWkxXrp(I6R!Ffq4$1eA%R4n_`5$7f3 zvu*dBii&IZGy*I71bd%L8b%!H=ogpZ{Gg<)C}u2_$fs;L&0x}lrxSTs-}_a}B6qAS z=3&s^de*2rhp$XrwBU`OnAGLeL<46ryR=hE2XrC>_Gx!>=$}+NRu-vpL-Ab4F^fXx zUHjfmX+5MDnek>?>p{85A2+nS8Gfe-ChgZzl9}MzBcC$y!2%0qw#P?#UnJFfh;g+a zomJx_P}$I_v^*v5iJ)4i&9Rh;>NA4gX3RT$H|LC*abSvryPKIx`O#ogxxC&LL9Mr$ zuI^9{Xx=U6JNeib>uL9+_dhnt{BtpD{-k5iqSD3RMa~SI==Co}_txf;59xg(eUZLP zUOp()UaWQLps>uc$%U8hZCl<JTxzsu_tI-u{)Nw3XC5igYy5R@pu(v*&7+5JPW)TB z?P#XlxyY$0@kVb~-+z)@)6wadp%h;6XyQNHtioSEua$3A6)8L6l)PJqXW#VJ{`V<@ z4~ipOZfJ4udUHzYnE57;4NL0|AFjH?{rHIFLg8E2lYC2@etU^+IW?j6p!lW_8-2y5 z1fOUM;*JbxQ{?tCNpbwyW}#f-B%H2t*6zrxODZm6Q@T$y1qns|u+ZZ63P^FR?9$PQ zoyeN1yJ^7_*Exb#hTZ$stPH#7_?=Pe-{8K+{#f2b^&3;~7G>uzUohQ|W$)Up(-QYO zw|}d;@#17#*ayDF9~%!#<b1ePzI&CL^~WXp>vaErZeSG&<B4%dzIlL^=icw)Uy17O zjpx41+4i}gdHRB-$Luv0ZhS01WkJvX{+G&c-@Yx){hD}d{++PIR}5jvrx>m!e42l$ zdDFq5?R)O^<;8@AE(|Jk6tEI~&YBoh=(qH~#Aet0dyJRlu2nNvww5wi^=@UZYBs%B z&-X8P?b_mu_kZWg=GxBPdXG;=`f#Lpo!sa6=6e4%XTNMS-M)pt^2F=h?A*NNs>k;1 zbKDd(<9(2nf9RA~>px^p52`q})MVaUX&3GZAz#hRw|@Qh<x*C&nArX$`tgRV3XK0Y zdHUUsiZ;G+``X3Jv+H^*&*ZcH_g;3(W{w7P>*TMiZhfhJS@Nx<tkS}it(pD#lx0^B zzpibTYCM!(+x#LVh<)$T=|Z-1{Ol!yA9AJtTE5FJ{pG9)WfE%F+T;DwU){3$AQQf7 z-_;+hUfqB7bD!h>^JS(hzn%MiYt{-~9WU!Y*3y?m9v|K3SpQ;vLsR)SzN6fscbqG% z*3YtPVcnB8HQaXk^@W>OJr602`ub*{<9_KsuVu<FuRM2H$4w;dmdf8YCS@+};7_xg zmZWn>>GyBsTst+_N%U@_%fq~1E9dn5*}8P;>ZM^a-X(z+uMN1KziJO#-u^jtLBXDV z|E;@!E@@u7^KE0;o~U!~`@NQDMpeD|zq_|RF>7mqRb}umw!hyW*caTneeb5p5?S%% zAG)gA5{oMTJPEmK{e>%E`F{T7iuRCO3)N4@XW08%m+1dpCb!(RUT@>S6<h3uPs!e# z`TpN@$-syt;al1IhKn}r$iGl8V!dhejlYjA7Cp6e4!Ii9C-Upm#!}PiyZ;ycoe~(- zs;w#;H*K$0Kx_6_<z~Io>!%$ax=EF6f3Z;ad*zKwk^Cb2^q70UrY3wy72Hx{Qhnog zD8I<J>5a$U87;V@$bV?fT>np8mmD5GHo9;lhK+4@mqK>>=M7a0Hmu|^xu?n8ynXY6 z*wnW*Q$i=OZrP>J+<Q7Tp<pG)rm}guS|@H*uH!M8r_bE%8X0hU^}ZRQ6Ii9hPaSwr z^E{vZf41D0OQk<z9&S4ITqHDQ>Uk~Z=Id>VAJ%_fu>J4_tGw#8-JkcCsI6Av7V(?j zcx<JSfkh<C%@uuVm*=x`xu0@)>>w%Ob4Kc1(>=a^se9AS=e*x0T-p0G&pM7xs`}J{ z2hWvGNc~%}LLs{%Su#UMm$~_B<>AZ;<~2r}`JXA<O}aXRm8<=<!{Y!+2^pQHH4n3I z?%3q;uuAem&_*7fWhuPrj`u!%uRQ#-ga3)?Gv&B&HmTpI4kY9Yrtt0$37KHJXAh4_ z*p$YD;gyG<cJTB3-hOa@)q<5PzaQKd#l3CW+?ov*ec{W$K5slU%V<GsWL3>mMp?}n zQ8`mfpUm8{(<Y!|7f;5`5H>dXWwIxm@A0|H{?yxibYZP&_F9WA>!&sz{JQ4luZ6X{ z3nDLjcWG@}rarat*cT&%8PiPX%0Iu`buR9<QS_UyyCZv##_bPglj7c#C{|rtCz!%} zUz^!#uGrR8-gu4PgSXZ^Y}>m}P5)IoumAb(WsQfn7+shV!^XD#littB!}rBRQ+W4B zvPtDnZ9MpB&qI?1o{I(ZWxuUH`2Wt|?f<JK{(t!&;LXe;!oa}5!5|dv6E#=qo9O`t z1_m2O1_n+BPKKQPl6<|)yyT+L5LO1}CuM8WbNdf=9dh7ldvE9ZF6pGt%aFZMF8X0H zw$m^4np(^~WTExri{I{7M}0k*?C;A@+Pme^tW^sfu5S8#_14vS(PfQqE|q<kuAcfN z>Tv7c2~*iwU*4(l+h=#O*YRmXLQ-SjTkFf$L*!khZ@fG8Xv2;5r+HVnEME}ltDd2@ zYWe@|%LA%1k4*f#F=YNhm5!ZelIKMF1%F%LJFsf={(1qo7oyBl4ypzmj{jx-TiMj< zp!=>{8+xs8ykDp1AuaFwDaY){apNi`n130-{{7+bx%V#*0|N+i!2Da3k_h*%##a5m z38rRq(;2ebbEE?<^mW{3h)-&Wx8?EVDLCM~_{w38SBV;#G8?9}-!9(#;;L8omOoN` z8<d|VITmI;uve%LxN%$MRn>$2vs*sMYNVM7z7O41B;~z|&sF7p=vJ8}j0+j3G2Z#A zvEp3G0%LCvAGeu#!Mn?L8~@o|^H{A*L@nUTn)C(tV>9C(-fru2Is0s7(2>5c%OZp< zZ+#VT@jtpT&h<-(@<ikH`mHk-I4$J&JhSDs|Hm&2wOq`W1)RK+u})tl(yqyFali}X zu6dQVTFVkFj%{1K<5_O?zByl&7r47#+44HFVt3WfvV9Mo<_n$FEV}iT&&7W#Q-~7d z#2O!`6)R?5w}0^P#hrz3A1t??cp~@ua*c)R6NM&uaxS?j)FD%DtI_1azaYcYM9C|& zX1nguzOucYnITMT7u<hp-d>wt!T$bTg@)g2cQ5q|=`OAw0TbK;O1@aOrhIw#s=T<v zHq>j`w^ylZZI_LFt4*J-JF#Tn?3WA9%}6p^AAc}YrmCuON8$BuzYMMW@4uaWJaeX} zu}!<Qvf~+(>*W`NmnNv)UC|Q1?Dn5a#e3s!ynV{_jb|kbS7(pW%9=vfS>IB3{N19p z*kR6{uSyedub-r|Pbu`gcFbeXiiX0~i~O}-Xz?wyEZxZ)IzhklczwdI>^TpM*(A1U zig^co=bfKua(met6R}z+^<7&#uEjYs3RP=WO%$s5w&>2era5JEl`RSvJ>IY=!v9uH z;F|J{Rvk85v)i`Yb;xb4VG}Xvo#Y-;;=vkwRDIg@116_@`*ReXU3k;8yj*sdKA(42 z<o4V}J8mmv-8xqK>}a&il$yk43L)Gt1&woWSD1<OD=D{6KARi&hw<;T56XF)a?ibe z#JNLbONdgQ%`BbCxyzTHS)#k7{l>+Dn`yf%Bu(!uJ3Hm>mMIrkm^yu_u$t?$yF$BF z=ZZp=Q>vP)Lebp5WtobuE@uzFJX85mZPAejK^u-Z^Q5WG-O|d^8FhT~#b-yQ1>ENg zn=W*c`lrOqv-HuHttU3`&8rRC*l|3*rr~3p^{e|$m8;G^DJ!oFE^Bu=UuMgEpKHmy zqjPna$UU7S8|7babN#M#hgA904j(5!m)@^jF8*83=Ip*#Qt0a_Xny;v^6XW=znx*) z9r%JzcfaO!Vb^nIwkPkbi!WT1yT*Q@n*YoDY|Gn3%-{Z+BqTKXT#iE3me;;_()?bP z@=kBs7*|rsXIZ<u>#g7szVh0wd+T<!e-+;Two2sM{6))W#XaA$`*vO8JcS~r8(Wm_ zZ|kp7<eXZ{lm9S9GELawNoDIpU1`3wTkDc8d{Q?#U~u)e3A45S;hSdDYl|3vvo4OY zlJ;A+^TBHdHDgV-{o0$KiNvI6-QV-N>(;wvH>Sq!*MCxy&8=7TdarJ5W{;Du-O4{z z;+Y!-a`)Z%ZN;0vRp94S?H$j&wzIwc_Td_L1pC*xnQrrgPc$#h4VSu7A-M5z`ILvA z35ol)zSS!;DL9<jr1RKDqMu=6xmfE*dD$!frGi&3o_cIr|F(AZ^6&p7YyGr)3qwEl z%P-ii>3d0cyZWBWKm4oS#fn9L-=!O2#Thv7`^Pn_UVnZ3UHYR+#r<1PZsu-2DL%<c z?#PQ`ue-v$^Bc{%9{>8tyPq*?_MsN8$bHTplMVP>V<+pZzq==9;`e~(TA$XOl3n>L z_26#RQkyWL-fere?%lon*4_Fa6XR*qc&nsoiJN#f-oBP%eyrH#T-Us(3!lVojSfxv z$*0J1>4R8I^|twUW91&2KTc**xHaXr_3riC9~vj6x&FR8@9^Zd?Jk!3bG9w5xV||j z%x}3;>V45YC-yv^a9h}QrA1;5+cDF5&C6DJ{WaUCeA?vf>1j>(+Sm=vXZIc#`q=d? z)_VDZ_K%7tD{HK6I(C%4Uzcv~5qLoV@mhfmeD57M7us})3V*aW>wO=f`0$}~$sU%U zLh^MDq7!=G|ELh0bM`T3#6)f7eJ&mP39hSpI{t4oG+Z;~!3ViHJ<mUUP_&WzT*36{ ziIv>%56lgFIH&U4E9FVu4|LsNe(|BL3-9sfj+HU?Ge620&z1<Yv*}<cJS`CKFsCA0 zsQvo}&CqXaLp5&gO#S##Y0>tr@0N7MWa{6a>XUlcGnC(y>qxW9sfUI!2M$bn^ZmDp zN7*`G>Fo)j3st;sO;Mc~R9BJR8oishu;Mj~&t)HtEiX6jS>>ay=#{5we=ms9xYO$T z0+ZeaK0#i++r18KJG(QfAiLK!=v41^p92MF!&^RzaV`|f4EmVg+mPv`s*)L0_;DJC z&~@S7z=GLp4;SuOnd-7GD)C3(n*3AqmZU!wpJXGaJn_!5O>Bh~{Cjr&Gv8HEKF{4^ zkNXo7312oIVPTKQmV4HC8?4hY+ozmXTfO(eqpII$m5XNE-1AawTC^f5hf8V6jD>=N zte&$>*gkOxusq6e>C^lybl{@_PqP9~vjiKH;Nl6zZ30ee0)h)2THGvmFdbUH<mt8< zCDS)#{|PEr2)%c#Uuwn0gN!?lZ>e~3WIY3;_?Dj+EqEQ$Ui^5np=8FJQ=7{Y7&d&K z?3`(wXeG7C{*zJg;>s+enf4q0&8=aG7hqoa?!oR*#b5dHEwZM<*`1B+_0Jbw@jqnb z*|@g5MdqyG-&-GR&z^Q(Id8-N*VbFp%@(Mg@H%ewp7)!td+a}ntsgAsJA0jcIP1Vl zW`TT{J!_t-Cw<6$df|vRGb`iAh5EC<PbvPwoat3Jsj|+jKI!7zX^wK-+dUOF$A9-( zxpC#qZZ(rW$7dzq?Qfi7KO7gm;`e*c7l+&3m8wGAbj7+mTo}?`*J#P@a6Vq|(^4xc zGjDVE;w6)pU4AutV}8e(;1>(_87)=%!fw<X=&<29zsi(-B`KnB)@}~dwO!7XCoiy> zF(b<Uiph&>jT`c={@Xn3Qmp0=hdI%!53Ds1|56fhZjayD^G2SlL;l{E5MAnja^Dlx z{@jP=y~~?)RwjJbR!U}&+W4kHHsau=yF6N7W|_??DoNhF^uI&qsgy}xzZ@eYo6FZU zEtqc;{!HOj*h00mjyqMa&R$$@(Q-tq)nj9;?;W1XEi6ov+KN|fn&@?ANpS}&dt%@G znN@<;F{YiTAHH(*3HIZC&!H#fuyW<UH~ku2^OngyIydLw4$a+eb$NByjAksERjy?t zkgO3BUvxQV>)o5pR_i`0&2fnoioKaDw{z3Xn5;bM@T13XKGHn0#&J<-`;wsNzV=_( zo%UBg(8$gT(K{;2w6)!=(8g@ZEE8AfsaBJB>UR3i4>~n5^5C^8FPyGwUz;3c5V7Xm zdhHyw^H*LkjdSVok8gO~)>kmU<Wh9Q<u@-_nPN{YzVyRKesZApkwTwZQRBxKrE21n z*_WJIIyb|1(T0nG_ccCzUUXI?{$dM5j809xRpN0YzqKj6>sG9_3<@yHzHZw4=a_Jf ztiZaRt!!u97d*IC>*==P$^}Qwpn%pXC*GVpJgZ7@+lQ95qOH#yxg82(6jtnh+PIAK z-E`l3oOAsXuKfS7Y_qmXt=R3ngAX|i<Zec7=3HiSCBtu9+~SaHymH5O^LXfq+8;@C z&YYj8!0HzM)GVHH!iw_jiBGGHZ)Th9<^CmRZNB&ilVG~zi#;1EHzm$twQRn>HtpV{ z2#4?k?EjBcJTSleXZ-@}%Y`4hXZ}mD_<M(;gyjs2lv0Vx9{UX^IDX06c3+fVTCdol z{6K2c_KEw9<~-uM?aNbQ*!n+q(aL#qyICHe`_6pPM((d@^D7OpU(Q>a-)w%kdV~Iw z@5kBr`d)GJOvo$<`6$ez!}{x#T~9)3MCKtTwk090p{mAmEn8zN4?DJMC@j@73`mum z#Mh;M!`@u+d70Skh3!i;_q}qHV&nff(@M=f_T@8)h|YGlmpru_PbGYPb9(n=*R#uB zPu)0;edaBRxn|o=R-Y2y=)R_3v0L_&$F)~CU-R=FwanS|H)iv%IT6y4>nE1Ka+1^L zO`ONB^T@G&;Y79{|K4q$!_N0_s@1o+icJPN>|RZa`VU5V2^?HjwUmvqd(yH~950va zweE5aZ|B(TcPr+ESk2;Iz2+v>=1jI%u|7+hQ%_vWJ?Ey7ahva!P_pa_+e0U(N{emz z?{(65e&_Ui?DE$?Ca{G>FxMN$r#sJ#I@Ek+r9}(x<l7&ox+Z6qta)=x@#%%a3i%)6 z!m-DVgXTA7w#4+-fA_v|Y*AO>6hpHuos*m`UU(lUp0&%oY}M|b7a!b=66Y+n*zC1s z+0R4nOTLtEn04Q4+r;<&MW1&toGubx)A79OtG?V{RlUG7f?K*Qjw)!&e6`uj7+`j@ zFkY?XqTke;njg=2B+Pux694k1yUrdSw!mkH-f%pN)hb+bHF@VM_w=lm9Bad{_%<Hf zX}rAUW*=v>>l6nZd?xs(PL1cIJ&T{J?1uath0Klqnp2WkYtQx1UDmT|_Lj*Czt6W_ z-XU;aRAbkT5YdU3FZmYEnDNYiV?o&DPbO<N@|tV9#4MCxV;9(UCVsKQMb^|4EOK8; zU-153y29r!XK_pTCtqz?UkTDTj5LyAn#aVz@Qagyffw3WiZ4n@)XOT)&x3cE-cAYh zzilAWdjF?r_*AvVmdi_~O!?LuC^30K&$h*r1-kCs$hDQR-aOZ#^~d|ou@TOZn)%fd zl^Ij#yqAlQpZ_G0`%UQU%Q0Ha@kd=&@kpz=u4U$r`}}L-nde=;>BsEi?m1ks>j+fN zF?qoL*yqmssCJVE=W`3+Gw{}~TB)VUnGpGuD<)K!&$H9ji*wHA5YHEvCM^xw|1juw z>^GAslMai9R$a_}+ckBDhX!-HtjmU6>#o_>A9g0pIZ$__>-Jj-w>(oD_S?lJ7jAZ2 zCrY2&?R-6C^Q(JD+pNNm&%a>kGOgdLcbRVCo4TpCQ*ZI79h!LZV#LCV^{lG|nmRR) z_?o1#?d#`G<k~Q|b=}U*4;Q>DP}$7G{m5sEeOF7s*=0%xnLh7KlM+aj>bLaeFsnH9 zG}dYAB(4kkD}`cj6q&AfsGD?BHf$gJmtb$kuQSiASeM>=Y_06sEp>HwY<Io5ntM7% zs&j=V$KgW@>>H}z)HCgtj7^U`H+hBJjm_)y+j{SW@CTIMFP-#kqP~~N!N%wxM<f1j zyJIK&DX37_`l(&+Lk*s@udO6zEKj!7w3vU`yf3#ZORhTR!ucml-yBXb6gwTSzxmBV z#cSIy9G?08QgLFMKlA!EFAsL#*~@b)vEu@#u*e&Ey&W%0*0q{+p36L?)-y@k;c3r@ z6y~kF9Hwi|k_rpje{9vQ?F$nn@1C1+!J3aFyfZL=!`~%qy$*l9^rB>~NsRtieg6O7 zesE7uKJ%74Z*rNN_o;>LeHR&e%?>&rd%1l317r8S=gT|uN@UO6+5WC}n`qhM{Pib} zg*47-n5o&-X@BvV(%g4dch=Sf)gJAZIQ8e}+IZ7-Ed`;!I?hXfs281or<VJFX2T-| zp6Jz8s;&#K#$R85{<Qm)Ya66%&D~z6z5Zy9nxYS$Ih&`*$H3qz$jAWi{g<btArf=! z-Ajwjg!Z1lEWtAA<LxsymT8&KUUhd>?Y}RXjBF|f&zMV3d-|#+I|R+oo4eSa<<I}0 z_y7O>dA&aGsQk5Ex9nc}=e{@pche$S`uB?H@ST^$zIh(Mw(ZOBMDFm5Td&!@-o5+6 z%vnLX=ZZJ0s;V`c2j9~Z|E0gS)R|rNZ>CSFu^&_8R?&qk@}Fg`bNY6d^&2<m>%K{0 zPTQo<@0AYS+wgVw>R8u4Y3202OHN2jh;PbodD~oRwCeM>g;tX9s((M&*YkJV^*F^# zudKXt*I6z(y1e*UsC=aTmh-oh|G$XK=Wk2?y>~7L-|L^>ZrHDTe>?f_iF^6|f0cjl z|NE`~72|hhi&gx0`X8)_E3j``{OjOfj=oo%-xVyj>ECHgxF>z-=`3!oK!L57O~swx zipmBme);}xM@D+ye3f<YQ&y<n=HJ)!rQGYc%X?qdm@1c$oSzGtqOb6B?O7P(6gMHf zeAT-6XW@Tmf7<$HrK53P;?+y{XPv5D@u{V`_Nj{LQK`~dyX|?!Kk5FH_~9_K__?Gu z+ewSg#VQuXt&L}FnRSw)pT#M>R<73PvSRFz*qip2Q#>Y6K6sHv$?PxPQzvhax6>5u z{r1!AruOxecY?>9uQ(Min#Pr*QQVkpbUi^}>gIBm4a<^bxN3O0H-B5<u#jiVx5yJN z#jERAyZf=m?mlS5zgYL&kFtY1&Qu5HZC@yV_^8<#vy{VDKG)_IUV0v`$-!=O?%Y1} ztjw+peSaT@Nnbvn{jO`%ov0i765NV{&sN+IGM;j@|E*r-@5J?D(~Hxu8)Q9Bxx0#S zcE*Jy>o4n7*MF;Vy#4pw?e~E*pHA!ln>n$g+q|wPu|EBM>=wD=@27ZQuZk(Yc5wGD zY4e5R540<Pb1W$N<#mBeZ`+yM@_IkE27R2Hyiz+M@S{oik{pXy&wk{vbgr+ge!(DZ zm$puCOLK_Dt%+~a`}lmaWv`uD$&n^)bpF|-Cmg>RwRkcYdmR@1>*iqc^ZD&g)%9_E zqmC#~J|Nt_nl&NN&FJr&Tibr6={>z1;}aYw5FA(I*>Q~h4D&ACHEF!N%b&SjsAHX0 z_-XSjW@E*<%*O+dD?FaH>+l4>nwYih_tGsnuD&?7+bV@scG27H{@K;C&Ar?fU**mk zS{yVtx@9W=O61|r@`U_a9=%tgot1Z(GcU88SBU$uLLs;GRzd#BQyc2$^vM0IyR&y+ zae<a|`JuaSk|!j-y=2vH9XM5ff<<lay!+Q%0=FEtVq!>GlG47>o9Rf6n6t5#ywXuI z^K|buIaB!G7`*-d)pv9G>fR^wez)4)v^Lu;=pT|ir+<e;-{}oLC9~(brS);OP0e_? zqQ0YF@^RX^15FOe?gAGWI#k5kdw)kP&CJldxuEw#Lzhw6W5r+fnHyi9h)DKv-7J`y zQ>(c5Qo-ElaQoIrmY1{D--<EVJHMP*c;=7P%MEd_TrBqYxVL>jU9n{6{vIFp|I<Hy zW!1dO@UGKl$BMKyOdC)5epnH3(8Ot1i9v6e3ukNQ0%lc?-;1tonIQD~hY8oA%riF| zbFGAS&2r<_k__GBth%<R{KunL8Y|cD$a*Xz-rk{?t@7`Ux6CR2kLL{dPHl@hvh?@W zN7E<7**Rz|naeNG_4)<#nk<vAjL&kuls-RmENS}e13PlK^-{B>+<jJe9lLmW-8@a^ zohM4KE;*dD_MmOS8mmvAlZ>P|15aou9Z@{{Um&pOE!%m4)1TY81CHeEchf!lMv}`g z?fhcJ^KU9sl+Nh+_^z$c?OLtxz`6OQ`BDe<$IosrKQ4LaK-uIx)iPx>LEfArYHPZC zH9|8|m9Oo)VET8;sQ}|!j*d?c&D*i#p~;IcSsn2<Mo08+@)Q`G<xY&=`~0GNpsV!0 z9+Sm0R^{HGZg|@xd;Xt$cl4g@<vem%Z_8iK_qW#mc(B0qUZO{5RC7*@#mA$+-8xVB z&)i+z{y;8sO5*con^iZ@)O2J-EM_me$?SJ>&w5j>GS;0%&(<rPZ~LijmvX2k<+Zu} zCi|Mb(*L!eR5yfMo@U;2v%uP6-PDWu-+D@NuJwidjB&bbw`}eT<v+gr4`-@>yT_4~ zFP<i%wU=Y^)r>%yvS0pTKA#S+^W7+O+IMkLY~cMq>*jrRjFnrCo;kxVy=}gfZG`0H zVz0G(;t#H!^IiMI=7)c~_-B5QyD64dWVs;p+((9dvom}XvY&n~`SSEnbfL~{h2|4| zIn%z+*w~-7<1go@diUOmF3TUCTaX&*FfUYk^MmGV*V3LH^!k5ZbL*!%*6l_2K;HQd z^3Lysk^|SbZnAY)J?Amwy_^}c3gM@p2eQqO=-;-hc8%<OVY|?8%zIuPYtR4SCOMb& z6USoJc?*6vvhP*A!gNkCwIbZ%XxtIWDiv3y_U(xezqU+^Y_VqY2sNDlfzRYm)Az?7 zJY8X%lpj0Xzw$MGcJ1bzD+~Eo-dNpHzJ8YRVRMP?4CfD9=a!nU@iMq=6+f?L+1q_V z4{JFVU-)sYHK^RwY~AjpA6>7+9#mF*e_AU3dhz}X{?gxG#6L=1ap;qG$dA2Tf7Dm_ z@BVbb?t<!`34)Oyb{$t&&#t`U!@4lMzS8KQ-B&S>OFOo%Ow)e1LhVym&54TG^GbPN z7MpiiHEv5}(p+BbQN73gF>juEpF+L+a<}Zq-`jrXWYn{j&J(!FUodBn*=NQ{DZhR) z1jh(I>sjt$@36J^e!Ba)$D34J7e{Yhw!h+enNga)qQ}XXsys$HaZbudAFJA&l8AZW zE6B7bb+=N^SGm(gSE}U`(>lu^sJJQ~cbD4mL0#|T`I0O14&AzWXZCIH<2!afwK%^@ zW!uvmSAQJazx(A&i??6q{$)$<72^yLH+^<??c6tR)=y{dlvj{04wK$i^5<T^;kuUK zYaDeApXR1<WnTSq@rb!eo2iOdh{N}oiskbk^_bVmG!-sUVOt@X91@sy(QS)r-_+@m z4c*UgDBAnqa4cf5^S#rfeC6aBi?Gl=bGCjr^m}#KOs@2Wv-s0RPICn1PJQj`*7%(y z7%nYYeWK6i#fMY73!lHf|L9eu(=tbE?;9nW>71!P=IM9#|KN1{yK12i+ld!OqG!sR zLo?KlEOj+hG^(xQG|US2?g-c(rj!0%XA9@Mmv0U)aa2~+iv7j$@#vPqQ~OrW{qgIO zfM@He7iFTo7rb64wRyB^Jqx_Fw6pR^iDr_V_1h|k+@zz8e3d#YlGKEbFLjX?OnD_D zbWq}Q=am&&eF1yJyxRRUvm;;6dJwp%x3FbPW|GX>4l%Ds({4mvn-d))lC;8~J<mva zQ;dXitVQ*&-&}sqYaN46b39HLzaKhbD!bV#|CNS!jjsK;+4nJL!ugEr8|uFmKb+(B zcc1H;fZh3qtFOI{{t~u1?f#<cYs0PO^sgUVJb%99C3TC-^V1g}+SU7j=e)~=><jrU znH6eHvD5pMXB;*b)X#A_ujbFYA@EAKS8Bi9+@OWmKQ4~33bE*$V3ymvSTwNb#kn(< z+jnRj30TOd)-RxS;oz5vZoF1A+&rf9ExUMWSu<GDU@hN<t^M*`TV5==vo`v3;l`=o zjl&k*yKO)F`Fp`7kH1#y7|PB6#CxAN;@-w<(|(k_mORg_myrB$?~hp<+V(t2o$+e# zv}Y^&9a`o-KePRMz@Epchpv5btmlyvdNPyYThqLK&N<R;Phxr(38dtT`1kBjztexG z&5gfb^R(>63CV>#j#+%i4Ne$Zw7GTdH`J-Rar-MTf4`z>@$+lJo6GXz`!*J<7;c;Q zIpwjn%Bvk!qA6MF(H+b8KEI^%cCo<2jLA!Ud1oBBxy3-Fe6`ArljSE}W}KAc-aKh< zzk$(HnboT+&rO=s`?=Ia&AC^E&mb$oq(rhi-$u%E>SFoDmNR$CKlVKKyno`+h&ra@ z_Q$S@FPZSOWzwt7)*%P~aVQnCx)^8%IkL>{)p*!qe&x-fYvRiSctth0CB&>>q_S1u zfnc~(gU3WZrkt>DE~b>)z#6~lYz9%u*NPRNeKGv4=kmy^BOz!>3x}0yR7b*VZfBmU zD;eMC9{(+AxZ2%maZ=Bg2Co=V?j0hU$&3r7N_8H*<_=jQVCC5^F1l+*f?)Vz6Qe2< zgWjN)pXzIt)pxAYcay*W(nxQTUE}415cN|(1^P|iJG)fvkWbny_|JRGAH_}kG+Lz& zNUL*;JPMf8G|j%vBZghjr)!FUWBS$%#iq6TJ`1JRwJbcus=m6BvEAZxyx_&d-@{9` zY^XUSt*2zWJ<yid*F3=VPo`gb1|L(%-#>S*-}pKuziVCeajVAKq~{yXJ6v4A5!oYj zQ2F>#^X;dCPKxaK6m;uT(A}S#HlF<Osr=rVl>F#YuKCy2=J#IPyY98|yUw)>KHuDR zL*(TQlZbH1+1Kt%&Oi37=e_6p==)N$mt_Sfe*S8fS>JV~>(_$6o~NYx^Ng-pJgr<} zeogdvnvuAtob<eMjUL`L(>GY>_D<evF8n)M*(7DvhUFdd)!wb!IisJal$zeSC$;=Z z?&p-N+L3d4wrxMAy7JJUbKd5CXCz;*c)e}ATiT7K)43Gyag@zGr#b2Ci{`g$FM66S zKCs_(-shts?=veeHajIxWZ3LlbARd4Gcz|a)w|A{vi!{a=@U3^m+#Ta`p|k}n$)b8 zY3`*3OS?^H9O9SiNLb*#qr&{pMjxxNMrWDkK9426%r_zmcV9J7Uhlb<|6hOM4K+<R zL)%La5AVMzq#G1;deWTz!R}qpeO?9?ZJV4Me(>{>u!#xlifWX@nqC@7Nnf`t{@Zf# z)}*&bU#RyU(D>&0mhYZ_cKm|1^2H46pG?>^_g4PP9m<Xe7#L+#uQ$DaFLZtXiceQ7 z=3dKhUp{TgU%xDIZq|~Ha+j{FKi4evw%R0p)b?Y}xmb<W9($JC1vf1b?BD)YpXL1i zThUKXZ7SH7@sBOQn~_O`Sp+_RKCR7VQ4|vcLnJ!`13LpR0|+oOFfcSUf><fZ1$q^^ zIiVq(kh%0HWoy!rO%ROsiQ)^oa?^{EfgzKLfq@&vgbpSK@O*rHaY<>CUK!Yw$7O5M zK^WbXHo1Vuo{S6(i<uZ0_)tt?VL>q^BL(6dkRc$9ZcuYVlfNz_1A_)5!XS`W8XB8e zp#~Ks7A5Aw{RJ`vgwYM^^DKN62?{4h1_lwRiy#I)VS^f!8IVy~>|ao#SCm?ilURw@ zgYHRIyp<9R4CY=83|uJ2Rj@;h(<{zMEJ(#`h|lUT+ZVDhFqrCs);}P}mXZS0kcyOK z97ZrOfTHX7hD(!fXfiN>Fb9e|KB+*BC`d`dVFU-*h#6itj~KZzFle|T(hMYIe)>U; zsK~=>g2VxFg%Aq{27e2LKOiPVPKBC~3L4emWMBqG4G1Hm2jY*ysyU5{`V0&pjOvd& zXQ4(Emn7nF1;mI~-VeT8GB7ZJFlv<O{Dm5kl2$<=FeE}3Dho0&Fz_>=$LlnhA;~$} zI1Pcg<a!X78}Dfb1`tN|%ng_!MFfoCHcwC9Ey2J5!l<4RImrmlhI)B<IeEo+LnQR7 zqN5})0|N+iq9hK6M^Hm5l5i$4Na{FvGHcytZ$^eE6>Mk;-Sa)vjFi+eoDP8u-J&k* zK~Ctp*31y!Ko<F6Ets$^m4TQ6No2A%P&2>_XRsOpaR%xLGQ<c->FDVRF#>5k8IL)r z<95jANc%v{(F2d-p%}r+26C+^gDAsFRtARbC}svd23M0ne;@CP<Oo+ogTjD9SI>fC f!;FFu1G7})!a#TDa%T@Gi$v$FQvIM(Ge=th0|uEa literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_power_routed.rpt b/loto/loto.runs/impl_1/loto_power_routed.rpt new file mode 100644 index 0000000..870d969 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_power_routed.rpt @@ -0,0 +1,148 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:25:00 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.131 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.034 | +| Device Static (W) | 0.097 | +| Effective TJA (C/W) | 4.6 | +| Max Ambient (C) | 84.4 | +| Junction Temperature (C) | 25.6 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | <0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 198 | --- | --- | +| LUT as Logic | <0.001 | 72 | 63400 | 0.11 | +| Register | <0.001 | 89 | 126800 | 0.07 | +| CARRY4 | <0.001 | 7 | 15850 | 0.04 | +| BUFG | <0.001 | 1 | 32 | 3.13 | +| Others | 0.000 | 8 | --- | --- | +| Signals | 0.001 | 167 | --- | --- | +| I/O | 0.032 | 21 | 210 | 10.00 | +| Static Power | 0.097 | | | | +| Total | 0.131 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.017 | 0.002 | 0.015 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.019 | 0.001 | 0.018 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.013 | 0.009 | 0.004 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.6 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------------+------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------------+------------+-----------------+ +| sys_clk_pin | I_clk_100m | 10.0 | ++-------------+------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------------+-----------+ +| Name | Power (W) | ++------------+-----------+ +| loto | 0.034 | +| tirage_1 | 0.001 | ++------------+-----------+ + + diff --git a/loto/loto.runs/impl_1/loto_power_routed.rpx b/loto/loto.runs/impl_1/loto_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..06a151669ef02d3ccf876d78130a3b61090a1060 GIT binary patch literal 68741 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^PUm4{;9?MB$;mItm)gq7!63jO!Jxpb z@sZJ#fq{X+g25F;`GSbh|Nrajqa3){!jh9S^GZY+7#i$l_Z%p*QQf*q%32qs#1zD} z1xYw?crXMo#DWA<K}0Ets09&F6B0`+MAOoq*~|7WpJJox@N<S0!~_tRI1}=XjYW-( zgB@h^g_^BZn>GpCKuiE}i8R5;RFr{1!9f<}E>#c#F#*IP(gZ^bh%Z1|$TR`uh6DEG zxWSQ&JuEpn-_Y1p^z4~6WEla8&U`~7(OENRl4XK37iU;<a$;#kd}cn`VFB?;Qc+?q zBw4MOY_voQP7s$+ggA1s`?`lXh9xIMj36UMU`CXbkR2M3@Jvieh6DxI^~E+w{s3_a z_#=rSOPPzUD8IBMHAQsOq^WkY3=9o+sv;kSY_+%oit<xRlS?x5^NJQQa`ADoIp^dj zXBUI>ECZJ?7k6+@W^$^6Pkwr4GFXt6ON@)hCp1JMu^1}4;ytqjqXHY35En;KYI<gI zNotY6g?sE0j0)^r{9J6#jzK|@CIYXjB^VXBxp=r(oI>5)1r#J075G8Y{v{cyMIiea z74Cu5vj=CU=OyNVL>Lqpxp=skJ@x$sE-^|lD9D3zdlO?~a6xKvW?H3!OKNdudY(c+ zetBw<f>UWqdTNP6X>n#=x{g9|YDs*4L26NANoHPpd~$wXN+!sY#R|G9U`_D_AWiW} z5KT5^i8-aI3YmEd;fW<B#db=Yn7Bf@1S*ov6AcXvN|KAyjg3rn4TZRp^K)}ki;^=F zbEMcyDho1`6LXa0xVVfA42^UR%ykV7g^)s2ilxj@&p=mmR<4t&n2VpI1&0HNP&Xql zC>@D1Fr09d6*;@YNp;=nGmg4aOp_c;)6%v%So~si63<Od$t=xP(C~%O0Y&*~nK`MN zzQ)Fr9Re7l%()~CjY{$jO%*f@jTB1q6%0)kd=e{Di;6Xc1Ymj%4U{Sj4U{xxK{hFH zXmA*CByePK7I0Q@G%#{>Fmg;_<dD+lVhk5z43lCia8qJ*)MEC}$TbBOw%{b?y7Wp$ zurG)k3L=!`xVVcei{q1Xvf~Rf^MtrO!AwH~gIrk#1_ej0-`y-+Uj(=qgczh=F>>*z zWR_(Xr<NAQrxm3Z#v2Nu3n&S2un6&hLqmW8Tv#aya4=(tFbVPSCQWR#5KsWAaZ(cC zV8jq%5aQwWy})P%Hpjt1Ns!|{yATiWq}SpQAqEB|0S;Cn9$s<fz2*WcvJ4CYPD+9t z_dtq3u_3?>T8(fP+nlhqu78-Bf@PluPWD1UR^bczFLAg_;Yn%Q7%L0I31#V$u;Y z7m$)=V7TBYb%v3PGdDk_G$-FA-be_+B*B9WHFcH(oFI2OLOqBq0`<;~51TE)K7@NG z@$@AN0UlWf1_zKfkh=uxlFU$jQ@H)K1<Yt<FT*_u3OsNifFi-c0m(aN@rE$(n8h2C z;2qoO;}!y3;8X$i4zdW;J1g2<(7YqG<)DQCpDY7I0?a!(KcAQiFoQe@3V2ZD=qT)i z8%>mVK67$$lw=kqrl-am3c*=Y*BQCE5=%?+a}!HI62dSg`UpXs9zL?c)(nwAKne6x zmY=x*2PhGNTn<X0%-3tp5y1{lj!nJN7O3&pq`$*lKme4|K-xg@rxtS56p_+E9(K63 z8g4XE9zMdz1uCZsN<ewfPzd3(<osNyAWomb(*Zb%fqeGtww8qen=Avv0ay&2INfIs z6M;tMuPbF}KGXO#-5fP#vZPHig=rJuKudJcRDxug)MG|20l16H5_2+B;tho);n9RH zh0{wa2Dgyx1$jx*^R<}(t1JV<0+5$Lku~v^fVlv-ECYjqBdqMO2)b#G8d>k}OfW}H zoopKNXsL7k<8E_U!Y3-St}=3Q=A@>?7nJA58wv@*y$2V==`YNv`fd3ao>CyCB(exJ zs-y+>qD7Tub2VD7+xl;s86v7ci7;t#h6OBH66LRBj9lDB;MPD<YH_@gkO<soNTMY8 zZCxI_m4Kft1H&9p4FGad-?dGa0$Q>R3>u)K9Gt;EeM~?r?>pEwTOzc9GT6$e`%Pdq z0Ip<+Y#Fj|Q3|4I!EY=DJY^XeCV^Z8GTJwT&0N4rmVqGy<RVrfUfvsRA1nphKqW1k zGb~|6IQ1Yo60-)xo->>s6|4jbKs6A^Hc%?A5|g(Q$dqMZxC9ab)tlKVQ_WzJB)|c3 zQRB<)<^qwj3=Eq<YA|aubX|fROhUZ8c>(QK0`ozxmUl*uB9KS}s7jO<;^F<fpUGB0 zRF;8Z1*qr(MM(4tbt{2bSq6p)AnzmUR%o#ZO@A8lJhrfWCCI@j#LIi>X}guca**Nb zAnW*rczE+Q55o&sK@Lzz9&<9X5;z7@<OWg%3Z%(<L?Hp`;2<=ulaYf_XhJ6=moTLL zs1TG`lB%HLTT-N7tjWcus^F2Cp25ZDmYA1cTEfKxY1Kk`tl%~;7Z<pZs}NFIkSdhN z#bsz{u4iUqX=G%?#c67wXKY|#Xl}~IXb3{AE~UA-m0Zkz`i>H;5}Yuoz^K7ws<5Nq z!b%TYa@Y5dH!n_2&rQuMamg$$$Vse>_jd|)i#Cph*k__=Y-wg>X3WKDVxebdXkch! z1hS0LkPDxEvs_w9w9f!ypMjB{g`v5Dkueviv6-HUrHQer84>oKtgIx_KBHKnTrN&a zOFctF3k!2YE>2?;Jp)S<3riCstShL@BGEd-SfNBNPIFT|128~l5{|t+nVA+=O7PYk zQj`U!=A<T<Kw``g>>~?sESVb_a&a0N>6sXsTN)b>;iI*xp(Ogq3}T(Bp0TN+DJXrI znd=!E8XFr~5MkX_eg_h*GX)2rsR6bCH0EM7!kZkWrdtycfCjNbao}tPjv@nOCX<l? z7n31=OV%7!x3H3h#FAOOp?+{symNkOUP)?Ed{Jt8v_WjVAq8n5alR@MUVx-(b5lJF zb7NBjb1qJEGd%+n3u6;vQuVL(DkMgVNvx1R7nh*{G*%2zg3Qo@i_r{kv^<zDZ(+p; z2{Ds+BmKZ=13a-zu#jWk#YIFA8OI7maB&)$=$Tp?nVUjl+0ek;z|4q?$<UmO(Gstd zw<T>bw~~Mtr0@oxA;j@nxI%*wTuS3BGB-!gGPlx#n+$JjfznZOK}md0YDzIEA;ss# z8^nVGDvpcO&|J^l#Msirl#A2ENYBE+)Wq1Bi<q=JWmbbZp0sKh8*d;~&BbYKq-S7k zZeU@|#c67$XK89?W^M`22aKk8LusODjk%RI+%HJ2E2OdurMfYTjnB+0E{V^Kw*Yxi zC<eKN<TQepn~cU>jK+BFW?fJQ_ZlcsVatXwT%5+ndKTv9My4j<Gz(1tjOJVfeD)zU z6>d6~Ol}Cu<bGfyu@oYPCWMUtz0cDeHAfi6f+NCM&&1rs#Lx`cXi$Mfz`3d(E@Vf9 zB{(9gxHv5=^^7ge4UH|iI87}<3C_sa925%#3f&{WEXlUp6l`}5I0YJ88XFl~km&L0 zKTXW7Y{*N2hK69<W4Snu4fQNdjf}yqElWLfLvwRW3u4m0Z?Xx2RAMAl1y13HmS(2L zrd*uH7J7!}7UmX)T#P25u*FwWbnt4D9k3=~uSIZi8XD?ZnwwjIDsB@CJxfyqbK(lX zh`S0n3qZqIAs;SILnA#?aN<XfGElxX#G7wt7RZ@f@p{H5m6nv`=f!(Eg}MoOlWp3Y z7IAYc9?$qB(8w{wuqrN2QzJcdLknX=3ocGG6Fo~qQzHXnD#mxJA|wX55xh8q1b7hC zBNm3Bh8dz2!(?X2#bjiNGKPu01z-L9mzfoJurqjg6Es>4N<bE-dZtE3W)>D)oW_=V zh8BjFmf(7ZK<j*&-a9kWif3cEe~iHi2vj#1>zP|v8W@;?dj{|XWB^LQ_)>3L+glPX zN7N1GV9P-fX`p9eY;Is?0<Hrs4J=JejX>FkK#?Tmd6I0qjlgz?b8(pI85)^eT7XJJ zXuAj0HYC_`FwQz;hO6aZ6e|?N#bKdmU~UfTfN&Zc=ouNBnSv^FLt`#R3%nU;#^&8- zc<OQ^P+eZd#c5)qXKZ3@U~I_6X>O@!X=q|@Xh}p%{a)p65`zqp_8~!*%?0w0vAMA^ zxE%oxGf2M&dGsE8%5V9w&CE&-ZQvf<DnhibL2(lwZ4@gM4b5l<1_me*#ApUe9)vB+ zU%Sc7N(|ky{L+$W!&sqgE>0s0Jxc>KBWSx3T+G3`SA-2`&0kEUPYgi`0#w_Ynj4#e zd}3mxXJTS%VP*m9>JZ4@m2bP?2?9$C2;8^>RqGadrl1PVjEmFAQqRKD*wo0Fhy=l4 z(@tW7Fn|{x@C0FGs%L3rU}1#Po*_0t>@I5}G8m0xL8YXLfu4b}fw83-7pJ9xo|%!Q zrLieE81eV#+`O7d^r#8kqmWV()QC0FGc`A}Ff#`SvZaNkfeA5z>}*#Lk3uZXOrzL% zBcV*>`V}-91WS$JDh*!|%wv*iMtoPpFjgoT+z>M}F*UWc;Nmnf&@-|yGBO~hs`2_0 zX=bH@qa9Y1nr;m0(nOm=%P3O=Ju?dnQ_ygXg@vAhk%@_c5jds{@s@yVw?vW{Q;7Nu zQa^+GQighFhQ^lWpqMhzGczzUH8%kjy#%ThnZ|H4D|xsF5fe3_5-K>vF~k*Aa>Rfu zNefFu0|O&2P78Bb3yBdtn1(N|to{VzO9_Ut@y6ijG10TMG&Qq?6ne1k48bn5%ng4c zqQ?LfJuzGyrh2BvrWVGgpsLEq#0<oO<XL<kSmfb_96*SEt$%!aQEF-)c<>68P0bAT zjEoH}jV!r1O)T{+Objh8h)H2jyxmERd{eY+nhkD5n3|hdn3^N!DTv<)7wgw|yAfF) znZ^p0ad8?N=vf#Vm|GZdahe(F85tUZQ@JImg2ES&8RzWGtjw{cG`C>i_~O*U($u_? z%*34d;GlSL!UJb5P~3q=3&O!oehVWr3qup|@Q0;^v4xolXov<Wg}b>aV2mAuCKizz zE=Vcd6=X0d^i1`PO)QPgQ95bFhMvhY3nD|$3{sj~=vkN<8ylN*aT<aKaZF4NVKp$m z(5ro*L1HpNG&{{e%?ohtZ>VQzU~X(-N=`E2n4m$VM@?dda=`5vb0Z5w1JK|L$&IE1 zL6T-x>ges5;Gp<q^hT4R0n9d2LsLr=NC5(?O27pO;T+I%M2uM5;C?eTFf=eFE5*#1 zMzn1faK9NC7?_dex9-Wr+Gb<~ZXy~Q=~)_^8<>z)mbiEc5t&FVV}-)GIE?iS%#1<3 zKBNW!lc5=*Va%ZS{5U%!#-K4+4l_Md3kxH26L8Pc)Y#n2*aBoIqY2)ccp^K$nUylU zjDio3LZ{00gPr3OQ;I+hbw~m?H8wOdBrBlGH}evk<cuLhXeN55rWU3qCg7?V+Fykf zQuxY^<O*IhvImkN!&sma(nQa|)X3NbGTcmJ?wVb~OJtfbM<jP6153ypA&Hi0#1kE^ zNVXXm8k5zOuZtwsHY3AWp(HL2OFauCOLI`+k2Ht^ssQk(%cr-wh)I_Qu#{qCXlRP9 zaKe{T4&7xUKczqhTOpak%+SJ+yt;HI=RZ>-OMOE`QZWFJKqA5uk|_w6`Yx+~6Kk6# zxazjF&@(YGvNSOQPgfWknOm3<GXxP9_QjO6;VMK657Ohz<U*Q4Kq@H-k4o~Gyfh`g zt7H@_R0L_3fZ9W#VO<kFb2Ag-y6qkcFHNnC;bkZCqzV289(01h*wED63^W>NtY=_i zY-|Lsy9o>xD0)6KCA)NkG>bqZE@pa`21cfaMxc&?fvJfJQGMJ?(;t}P8Mgv8T!j)r zIo{IP*vQNPoMw#7ObtPEnU;h`8AE<wH6@}Vf(+^y8tWMwSsIy<RbZZba>bOm;VBDP z>0)4LXa;Kiqh~pMrOWXx=gE&L$haw_bTKtEumE*((Jd#O-`VD$AU3}nAxak$L-Iy> z{%ku=tZilp+YF4%$*N<`mlJE75u&|pU|;~6N+3NX^_LNCn@OxtDY)x~WpDzV!|^4W z3vI_ut<2y>3R2e%M~M<`7#p7%ZwT)1gmZBi>X}=bnwl7Lahkvj6(&PdP(u)(wO<vF znj-ZW;jKW>B)JK=8aLB3Hvu(>K-n8wp)(T9(=nS5m|Ce~^G&dGd}<!7zyvL20yT}z z^o+psP$+#h6VPxHKDV>{*kNjgSkZ|Xt|*8%h|kP}cFaHq8$+g!No-#oGu%pKvNke~ z6^i2GFw!%yGywG`IE?j-Obje6!9zv_%Jtc8OH8d~;X#G)g@1feY6@h?B@$dySr{2v zf+E=1NYB#3+}H%1rU;n+S7W}Zl@#1`q+}KB9G?>(CKSuXVWMYXW^M=?E;KUHGcg2} z+eD0}b(>BxB`}%>UgCna9SCW+;EUV~Qj<-s^x+PNCro(v1ioYkv|I<45kNH@XigJ- z@(yAj;c`=pwbvB;oPt5DP&s%!$lS!jz|t5zGH7CHVq#28vnVC3-qgwh?ipC}FOE0T z$CbN5Q#0{~kX}hNDDPQX7@C7-w++p~L%N`>4Nd^~0x?Cq6kok<1X=<C@|B^Uk)g2( zs9#`V4qC}aoUfL8<&ftqNTC(O#c61!XKH9>2AWm3G}5y$H2^JIfy5O)Z|#*$f_n?g ztO>X|0`ZoCv5^_5P9w!zJ7wd@^A=<#A)JfDK+n?9(!vl_7Q@Hii71O3tL$->#ip?F z3Q%!oKvuoE%+Qp`){j9fXvPBj;s9`whA(nfD`=C@42N|1jZF0{j7`lfK*hABo{^=w zxe08bkZ_*uYLX|h<^<<0$ZSFcXsF!8%)k^h;RzZJGBY+Ms;6UL_SOVX!5Sn~0y5du z$i&Rj5H$8<WNrx>u_K(gS6qEzf;4tabXfr}Dhxr>3zh~J;5LDwsTpY1u^Dn*if!ch zOxAr9D>--xg;Css$B%PCMuIwpCdMF^p^>2_Xc-=Oz801wuo-?X`kINA0dB)F*L@j) zhO0s2@1PN66C=>HDk)jGtM?RHVF>BkL%eBWVQgvy@}`lgsinCA5u@*!SsO|5CTO-F z<jrKzIt484A0l$vY7?YJ7NX?<8aqZ>h8S%Enxf_~1WnjkK!@;P(@x+yJ6POdTaI34 zH4`~uASXvq10@|EQ-*qmmL?{k^(~~t6tj6FSuq7$dPt_PlAjji^_3wgV!-LZ)WiUk z{5TBth)xG3*Rn`S2cSuQkaek`#Aaw<VvM%Xkzf>s6{nh5sl&4uMiiNVXO}<|w;*dk zO(X+7Q&Uqj17lFz&k{7z4W5T4(3Cv2HqZqBAb^n&ILNS;5Qgx<Xlx}!LahObK?aVY zQc#eYnwy#!8*p(N8tWMu85<F`tm^CsKC+S>q<3BhvewMN(ik-1LyEOoOW94xY6n6p zt87q}Vrgh*3>yA0G}JROG&Zp$rv16jipj)E7oI{eN_VsZ7`$d72Gou*GcW?}A^~kE zfephGOpZIJ-!Mk1N-&b6F=&<@oKVaxO)WsRqoJNTqMpK^P$o}1Z%jf}0&3xc5<S_T zsWaJy*E2>S&%}VzgORbBu>oX~45@HM_y$|y`m$vQ0n-hjMK^ZS@vn*@WV$gtWGsw~ zOhK)G#PU+Ys~x7KZ^G+#18B$?=$RUuTYzS#NHKlm!;N@NHvyRr&WEO^M&?E&W`DPx ztBuLZhmdhph`koZhM;)|Qu4pA_&oCLg^Z0u?6ovC1WitnV(-#Bv&pm906e?|&OOEk z7NCt6$V2i3i-L0#`tZi05omf5Y&tn9aB)m4UegUhX`~d~_%<>$0(F`!&GgKT3=BXE zPzm;(13%RplhY1|BoSPdIYDdpJu5WESDBllROaBYGchuNHS1s_Tm;*W*N^1j4LbvP z%wWmA1U=yxoQc<TQ&7x+-A+!bn#LH8*K}jJ+sO%;eL_5VO*e#_Ze(t1Xo0ek3RG(1 zFO%JyIPjWog3ok<;V$g;-w0pTYl<-4(7*)Lt3nzOCzzYpy?Kb&bOX>%RB)^snwo%D zFOy<=dHo^0rW+wlH#Rr5#0Yo%HSLC_%kY|Rf-s#7x0mG4$7{MV!gM2JW6-)n#2`K4 zG-H#F-*f|L2M@Fo9b2s851C}EYP@bYguC6q(!dC`jGq*@b25bCHQfmAc0)2k=6;qB zUegWXrh_UkP$vP&?F4hv9T8i+rkf&6H#0Ug1MTdC5BCv{Rh~d)yrvsOn}XYZ26|ZB zPOyA8IIM)%bQ6TzvBoO?G^4Oo6tC&VaMKMj^D4n`7xwyZh`$swhJ}oYnGvWhgtW|= zU@7*);Wl2=jS%5ZMyyWHzlhg#Ly+mIpstmHiJ`FpMw-DN?ngeIGQ`(7K<ep(w<T~G z=ow%QBK+3;ShowWCyeoVf?)JcbeoCS6G$x_L(ryWkSD<H8gQsVSWFg{Tueq5Xq&OH zjVyqUIkDpMj4vuKf$S~I0j+#6v9L6<G)5YDgN(}(9&831r-^^DCh~+-F2vDB7M5lZ zmZ7N;?34r|ZPOxPn<02O+YsDRhgxS~XkiMm&cFaZ9zreaK#Ogm^Ug5q3_$BipbKBA z>^mdSmK3OUIUqlonHiaxf&<eSyuAmy4~9tJ5sEs{z7S;F3`|TwCnkW>ppl6QXjd>e zeN)Lc3-}bi1fv9_1Oo&rFexx8Fe)%AFeoqxO*Z3@7wR+P;_%e>2cIp%#RFmmmn7z; zBo?J`@wpUbmZd5LmlUPurI%!Iae3wyl$Iz2Wabrfar>8+z&Tt_nJJk?5D9)ye+3_Z zcTZ=9VAmiQ*I+IVPk#j$S0BenE*{WsP6Z$TaD{;IAed<(sYSV50#MUDa|=>a67!N% zxwyawpD6ex=B9FSL)aR=9#xvy4^iQCNi0bOpR2+p2sO$zFEJ@6RY5~llS=?(hk{FH zF+v3F5)=`rHlO^&6a|d}H%%@s$jP4y8sQLjVrd1K%?m#O63i6@9ZIR-pQoFgky)Ss z77}r-C`m2KOUzLKS)7@d2s+-6OBAe7LBT&Q4XPHTSm==;7n4U27l%)nv#)=!u`zhX zH@GA+;9@l30_{aN;9~O2=VJCxO9L}l{QUh~x%h%yg2LiKOfUi7GzUt<P_3Y0H4_sP zQ0`%M436}3Mmmzf07)Gv^?|kE76aEMsOk(YOw3HUAP#}c2+d@|?-fIkhsf}XvALdw ziGi`P5f`OiF)%a%XK%2Np}}BeU}k{s71*gcSZJg(b&zEh<TV&ge@+mvwi3ZON+;SZ zmS$mNVxnhZX=ZF@Muo63H%3ncMkXevR0^Aat=d?_#*}tpV{EAhnsPNYq(az$=Ifxf z5G>t*hUn0;0CI{zW8+IVw{pa>hK&jB!p77{&(hS;%#aFU1KK?gF9aYd$jHLNm`dr! z*~bfO*cj6=Y>Yvt8G&YCC@yb6+auA##?pXVc}nN9H`cH*qG8yW>seS@n3$SVo~MkA zFv=TaLsM#{n;fH9tYKqF!>}>ZGc`6bHaDO`*ccc=auTSfg0PG%s8&xIZ1KPvHU_b1 zg%<qyIKtuz@)``LHXj$-SP5cOfI=UI@wW^t$ZQ!PP6VPjr<$8tK*AXw;)dW=mS`os z&~(}~au9_C#Xd1GfYwlOpMV(DYU6-=sltdThMYYYZ5}%sG7Jn1R+u4UHX1TG(v0b7 z$lwSWlUSh{v?*th=2$5%XbdeeD=Bj$YE|I%toGJevXk*>(3s)~8l%ym!4))yqd|i! zXee&<)w9~$SP7uF2!z_u3ove7BSRB&6ANQA6UgDP-~ld_@gR<%kPygl7Npq)I>i{N zRfcq^9JKI4G@FQHnIM^lO$WqUxD1|VF9&ux@Ho&O^ENXpcIdHlLhU0wAUI&B`3X&> zLP+o+-SeS<G^l9^y6OZzLIXWF8y=Aaq8+NvjC#p~8GrJy0L>eLZYu#@Q6khs({O=$ z&cJ|+IoKtLxRAing+LgEU{NQ_fEz-r;JGxRZuH;)<$G`+23%r*=F`X-66Y}0Gcq*+ z9cBS7JP=0~WA88_{D{Fa!qj18VMe7Pug%jISX!||4@MH|q)})X>RDQtm>XMCK3HJ@ z+7JTIdXTU(G_asjXm~F9WMRb$+7OTenZv?L!e_V;b6p^Hpm{Fnj2C#$3(RLwU;xj9 zK}gVy7z{HgFbVaraxe(BvvTpf6{Ug>Q%bH>fXqCxIhCfRr516CL#Cr3JO!kACSE8T za?C#$ms4tv0_+_B;LMWLVlHk7S0BXVVgVoL|DPG95ChkEC^aQm1T-PdXbD<xXb1-2 z=^mk2Vzh#?iy>$gF*FB(%#%PXo)j1~n7~)yp%hC=R5jipX}*OOKjLC0$dq{|iXGq! zxVW%+-wZSp#$l{yZfF9^XgEC)eeaT`l?a+G@!*qE3(2#J%{?bSDKQ7VJXc`VU^e~7 zaoEC25}R${bF8rjGw5yz<X{FTSa|YPU;-yj2Jj>;lLCX#5jGBHq5W*w=RHZjJc7LQ zznC?cObML-6%9&ZmX@ZZYz_k%OS8>kAj8Sr90uM%0Gh%8P5KbIIR|7NnVYo0){(du z2xJ|Zdx5~#k+_crWF49NXu#HyxEljx9eKMkaISUO!pOlQw4Pdbu=rzbMG?1hhy|&r zg{>UI>Fg&>j2uit_nN5Xa568CVWRWpF(CWsd3g-TK000=1G0{em&bstqw(c2AS>v2 zc?`%pI$j<FvX17L$AB!M-Q_VL(`kHp49E()ULFIokcyYbfQ+N{<uM>TXmfcC$Yi=* z9s{zBhL^{Hj321WV?cJ(?(!Ir=`_4N24p-nFOLD4H&B<yfb1Ty%VR*658UN3Alqqo zc?`((j)A&724p!+E{_2jOufrvK&Dac@)(d|1ATc6$PLuGJO*UefL$H~vV5Q}j{(^| zP?yJm?54@(F(89!cX<rR^ntxR2IK;|T^<9njAoa|fDEU@<uM>@Xm@!G$n=4|JO<<f zI$RzDvSy$zj{(_DyUSxhrt{F}@)(d+G`~CsWXV8X9s{zQmY2tX%%|PuF(A`ve0dDW z3R+$s12TVLFOLDafDV_(fUKeH@)*z!2ISuH0<xVBcf5eC8Q42sKrW!$9WNlu=y%5p z$Ts@j@dC1qes{cpY@^>DFCg3KaK{VC8d}`(0y39Acf5dXqQ@OCAbSS*ju(&<=yt~o z$TIrf@dC1q9(TNe?4k7?FCaSx_>LEl6X<ux3&=Kl-0=dkXQ1wQ0ohHbJ6=Fm(ff`U zkevf{#|y}An%(gNGMqklynt+?!yPXmYX<m^7myR^cgG9JHu~N10<w*Mcf5dXqsJXD zAbSSjju()%U#Wk`3&>cS-|+&ngqC-_fXt`;9WNkTXm`g8$aLD=@d7fLhIhPxjHmw{ zFCbfKaK{VC*nzm?1#B<P?sx&2J|K6zfGnon9WNl$2jq?yki|5-;{{|q9qxDmSwq)5 zUO*Po|Be@stu(pg1!OQ~cf62yFAB(B%I-xW>!K2nwW}3qd{GI=5*l7q0y2I8E-C?8 zOVmXrxDFe~dlo9lbUNG|0<wmfn?uOD$^&Hh09@q(vUVV@@&MUOx2rrrmJxN82l&Kq zQZEVtnNGXAH9)2ly8Xfkdi#Z;9;tV05M#PA)N}(qQg1c@nNGXAH9)4*?rsf`=>u`M z2FTulxLX5c??BwG0kW5NcWZ!5r`_EeAkzonZViyNbhujsWDV`^)&QAKySp_&rqk|j z4Up-yyITWfI_>V(0GUp^yEQ<j)9!8!km<C$TLWY|?e5k9nNGXAH9)4*?rsf`>9o6B z17tew?$!XAPP@A`K&I2~ZViy>w7XjaWIFBc)&QAKySp_&rqk|j4Up-yyITWfI_>V( z0GUpQyEQ=8(C%&xkm;n|twH`(7$CdArvVYVPXX!n2IyrGWL$*-w~anmVGwT}Rj$Gy z+B)i7g#ovYP}EW7Dh#4+BjPHI+p8HlScR^xW`vx|gL>rz@?8|dki&hzcTFg0_?8su z7i)4sk6{t2;bQg)4M9D>hSjmSGA|i)^o)_7g`v5Dkueviv6-HUrHQer8T3#YRtZk< zaWY#px0&O(7Z7p0o=awNK~7?2yuVYZTQul?mb~JU_{?}ip=#W=b6Q&J85&wxm>Y6& z8k^`DSejT^nxI*JciS2gEjNq>pK=4X9Iw|6&GpPoERBqexIo8`8XFiGnwz58el2J* ziMAUf*^bZa78ZJDh6d(FhFqLRMtUZO=9b0=XqM}qTSTJepxg-ycl=&A(KEI*Gcq&g z0^P`AW@un&VuWV<w!;fZv>jCP!EDFpb#qfa3v**r19L7;b2B{y6ANP#^jNpJGLb~f zL3tNuc>$gzXKtcrYHns>X3oWFWT^+f3fdUW=k>k4=2kkn`6;D2`DXEk`UDfXG2TRO zVG268A9SY<r<u8)p`o#{kp-HK%ing9=v`B!WQNbXCKh@YmWBqP3-~O|^-RHsZJ}Ad zFT9Z$?;;Ymkx(JN05;VF+hV}Q2|ouN&Bl+t73NmDkN`G`H^LRbNU7OGD4#eh&0)4< zvr-$Im5`IdA>|fw(LomrkqgQ!+&)Ac35a|NB$^jXT8quCRN+@%=cJ~@7nJA58|nuK z#U~e(#OI`@6hklS62g~cOfB_H&5g}KcV(Iw>6w_AT9~1ySJT5e@FasL0uf2Z6mJeO zHPAD&urLKx0~Qu~21X_(2I!^H=KE<R<`9JCCU`A3G0-zGHZZm{<Knb5&@(f#v@}Mq z1s45|A<=R~X@tLQu(Z%KF#w%<#l>l2q-SVkZeeDL7Ol>bek59sC>xCM`rN`o&(z%9 z(!vZ}6k1psn;M}Pg&EbZBwCKB1PEAes%L6sWM*N(#c6D*XJ}z)X^9@}Y#SX(v>XxV z_=DZTQqS1Z+|byPi__Fn&)me=$k-e$*cBJrkZ3ugxH83CS{a(@SsECbf-aD=&@(VK zF)=|8^@7Ew@a%=B0z$+y{uFL*s%L0vW@>E8#c6DzXJ~F=ZefV#TM2msvVCiUw=^*{ z&@(kPH8U{g;xK_!x9D}fFOLDdHo)UsMDQ9K3uWRiD?x2JSOo;XjTg<k6X)s^E-FEl z0fF`_sCG3oHa0fr;xshTGqp4^HAHX3sWYgN9kOP4v#%Lw9?#Iy$dZfG#8S_~#L&_L zJqNwlR3XuFM4G}M$ndHi+;)b9H(DSosml`%WKac2D3DF`j7^New=0`l>RB3^m>Z&( zkpT&EB>EF%FQmDMznC&L(6cZ$HvnA%Y-p}$X<%t$ie5EwGD(wYIU*?=;Z4bgM$i@; z?m(XDDMdJtLB(Rcp-=|yOv7QOX9R8>;<o9Jssv%1K=od{A^rlvOwZKN%nVc-SsLkC zm>QUwqeo}-I$?PEho^Y~vW%EGG&a&RFg7=^Fy`VkHPf>+HN&*A`W`3QacCiwfIDD` zYPl6yvYT5;BO0f{LGjM{rFkW(MZ~n%jE(gy%*~BVO~7$yXkcz&hMq+4v$4Pfl&B)s zSSTL1pNJ`8mD(8KUIN*O=_P#aYk0ZM#fhsyulW9xnH9kXJ)$*Yh__CrnuVaO21%2K zlm;Q#huBjEv}(fF4Kp^>votj_1`lpn>X{pY8hU62v`ft^Ggvna6h}Dvxroxr&_JjL zPqHvJ(X+HPHM0b@stok7CPcm+&&{kX;C+;$)bz~alA_dNqB?;__-!}RGcz$YwY1>k zgkO%0=Hd5yo{(?51tHswEsc$gEx?%&YkhdO>@oSa<4;Y7=AcHbr3vVAZ6iGk15*=Y z^fqPOqK9U%G6odcNEs5En(z(T7#r)ETUZ(xn1RQOEKLo}G0K>?9rw&g8zn>}EOWdK z9aAGcb3+SbLkliWGZQ^aLsKII^fsiW`ehO=M>GITgyL`~Y7PrM19Ni=OA{_mV*@=S zLo-v1kae7W0awU^EQvQ13gyCbf;e;p7IcY#sey$dXdn<?3ZbRje9gmVRszA!@t~_2 zK!=8d2RQMDnX$2+iMff1p&9P<xb)dJ62lA;VU~E?Ek>ZWu#vf`0oZb^1;JmR31&!x z70LOz1tqDaMTpUgvcw!%<wu~*F)%bVvw&EIHQyz#>LWkjnd8s(CVHl(7N#a9xU<E4 zk!JF3Hx<g^Vsmy33W_wrI(!crVKX!_G&BVD0SxtwOe`%e(Hm1U-d3AgsS>zR9aK-o z8wyq6&Ip`_272Zu76z8aT$~o>posz#V|1&Qt;;jBGQnE9y9N8k7pH<QE-1-N%!v;U ziVqHP3~>d|6vP{v5(;G_Lqk(jJZ0;b54q%rvZYWN9)BB|>RA|@npuFFSC)E4mgeRr z=zTn$PuXTxMsR;8mX_q_CYGee8|vfrwh8|5Hq<jPHZn6Z!yVqs??#gs-o^y_0)|HB zmKKm|9BY>9yd6w9_d*Llyt&s{&)Cq^+zeE$80#6B7#ka*k4)4*2qHgb&G7ouSkJ)B z*wWGzTuNc}XUR!#!u|v``Qi<QN^z$l4ih~CGjl^w)5OR`&&1Ho)DXP_tGnS!P7*T2 zn{*8g^ehYw%t3{tnUS86p%Lb|>LN!{?S&Q<<+#1eX=tctXlY^s8r#EFR9t2;F|#s; zXBp&%Al^J<f#1W1dS-^kmgb<z9TPn>10z#&^njkYQ;)=ehBlAz#V=?q)5Ofu5OfoY zk-4R%33|!6yg-#K@0tqX4QC5I3o{cV&`3S5aMszRLYBq&D|kagJxg<Q3+VJA*5=^y zP0EC`4m4=-Ry&4zMux^F#(0XsIogWk6@!LCsrXW{nVylQg@rk2*wjeR(!$)>1if%F z7FIN~QiP{sq=Mf+J|{lCC^a<?JPl2t7B@82voJF@Gco{oT@1`E42{r}?b+ipglloo zfCzzc9z#8IOH)%5LoQAe90l&oQVGHl2el~;U+@|0nHpJ|TN-e28k_2wm>QcIpf4;~ z5-4tFrG!2Bl0gF?nR)5p@QdeSa&yDl0wQXBW$QmNcncjgo`mT8#22NegZ3?CQo#ZO zQ*SV<@MdTe&{f@*ps_Jy6FqY?6U?c%&%3zD%Fsqac;**5O!Z8SO)ZQ~xi~EJj7-cd zFs8urS8$nGX~3fjF}Vo64-7ni3htJGBP<Db!T|NCER8Ke^KFKPdZuO;W|ru4FL$(9 z%&g?$mZ4;;;*$KLRInX+c<iv$votm~G6Q8OBRwNfeT$x<L_}E3thC{Fz&jvii8+}m zkZuTU3=UdK;q#NJo~5C&IjC)HXryOpW^8JPUIRS*@xv6CpK{`hQd7Ww!Z$=~pl4}l zX<-P;x(0ei7Dh$}=)?V7?>?In>{Nk9_~Q+Q@P(e4o~eZe=terw)SRiYxtTHg1hC$; z52i$h9<&*VXU2xZ5HxOtazztb2beARxv7;p?#KdN!<KFk4fPs+tI)4BLbK|>%oD;^ z8NsZ=7mAj87Dkrlpt&Jj{rZxwJH&<}wAF>*YoLi_6JyYoSB6H0mWJrrs;A*5VXv9M zyheMgOkq~x>3(q->lqmt8W|XHaax+`nHw1xV9dYVOT1}HPE*nh--s{=Xl;<8IcWIQ z&>WoG4AFDj#g|u1iC88CDm@@0!gyzzI6y@Rs1d*gYCfA78k=DB<lUy9H$@se#VE4y zHk|QJ=W!V7q2GmtmMzMkoFkle3}F#UAnjOK7$e_dhGta&>lwmU8N;k1)U-0tGc`1~ zFoBE;8yJ{aSfEdie7beYl;8jfWU;1DF8<*dL(p0hGZRq#4O&)WU|@_<M(f@_U}~jC zU^vDQT4)ksoq>^wp*f`8XkcJqh+a_Js1aiwXkahikQm<?7#Ny@dh5`8HPIWyrcy*$ z2VK=G6o<3XMa&G-)_>DXVFTJ&8g+)TR5TxTz#P_+%b?u-#IzX=WAS%W2u~6hShky5 zDdJ9Zkbzbsg7FWr3Dix&RikO=Mi5TjM$q0v5jgyiTku$K$OMnP8=D&&gC}sI2@f;` z2f8g3wnq7TkvU#3g5%667VmhFk)DC2fq{uRxEF6?U}1?~QZUapGPP2JH$#vc!Jq~l zXz4Se2~4!_j4bpl4a|(7qvh~%C}iI?PSYdoJHuEZ;_WomvotcW01Yl8M<ueIRedsq z?KB33D6YO4XmlFl9o!>qTmOk6H(3!u8jM)9h=@DfHW8k2VC@t^wh3N)!q-QZC4$=F z;O-#t;b;h&=QOrJ8$(16$KT)onc&NOVBeX<;v4AUFw`@(Fg64YfE$9^L&ioJYvlx4 zzM7CV^lpT&m?UCe@mtt66Id~frI;ioQ5u@-nVOqen3|&|7v#Vc_PIhhFii;trm2xR zs1(B$n0r_3CoeF~h|htBSdJ{0-~?aI%L?6h!I!m@uxHH(de+j^(8Pd?6PIV(e{Cku zvj##b-~t@wUS#;JrU~d62usjFlQE9LGg-dPCWHphic-@FWDGL{OJf6L+}?|2T1TGu zOh|}J6Fmb{BV$k~<0?%R_OB!ym*ymt;D*L}#zvM#W{}M*kYW}s{YWq`By6VzDRvs^ zSsI&zYDh!_4b4sqw>gCEw2T!h1}7aN>V88bJ#!-qLr@gs3R|%QGfk|Na5rzjC9I(V zX_lH9S{Rz6%{U^LL>f6Wh_KX<G)qm*3@kvC7r1=-ZP#=nEHxt0Qe#6SP!|H1rMB_J zSZYk7rG}=KCTKH^$id5QK#Zj(BwC7PodvR`m&A#&)Ra_9O$_n1v&y-NvDA!ION|UH z@e~cZti)JqPO7Db2B0<yu7vc9kr+!YNVU`eys{mar7;Y|SW04vWNKhwWRAAv1UVsf z{hvlSpBj-^BAFT(7=ot3aQRe$C`(B#kqitB%<$yX*Z+v|DXArrfq{WBXuSoF&fW#0 zEG4x>0uP_yZh9Uj%2JX`Bm)D`^ewJ9-A$CGrli&3;Dz3JQtwufrFe!5!1bvC-ku*3 zE7!rc5HtRPzmG}8R5bsF2_}T5MZkUnkF?<IV;UOjSs0mF7@BZ#8iBU57+aX3Pbz)8 z(`Q0(LKD=cEd@7!u(zBEuVFal)nh`^dLx+c@C~#Z>Y19Gni%8hDLl7tHX&!A-CPKt zcL}diVVK@ThIb8Og>rF*GH7_8zy#r;U->4)O>x0O7q4}M=7Jika!IkyAXX?Ax9<oq zT0NoejIY~n6f2Z~+juiQa}!X9hl>L?$Avb8UwK2x1lMFIWC9nI3Gjp$;TgyqTJofX zmr<-xIzA5(+WoTipBQo(f_t3GBvvS!Vv97fj4`4Oh=CKG2?1XbTE{2JBZBNJ++$)! zu|kQsePya=VrF1!0qTY0nEj4<!;CXQfJWU?K;985!EFWM6?9guM~q1?6!E7E!Yc$N zd8QZ>Rks-9jVi*+a$Ys-5@(S~ES_;0&_thwg$1;`hjnH4Dr041q&f_FWgcXj9TZid zH8Z$Jf2d%KAyKwqoMVbs4oQA`XN1o$Ms%^om?&Ee4NQ#j?cuU3yo%Q^CUmjI6lM#a z(v<K*mCR!sjfl!Mrg#%GmZN6T5<t<<d3XcO0PGz@8ruR%%!F*gI8YePFLMuO;Pne6 zG1J->NMa^ri>a}>g*l#G5%*vE<Mj(9G1J->NMa^ri;0l|tiXg1vvNvsO2CHcGgm3$ z^$R4884*Zhl-dGGV}xumHn1>2A2LSvi;CtuL&60nt!;s%F+#Q&nVT9~;5iRv_ueab z{Q^m2w6+D3#t7PCXkdaq4uBjlnX4Az^$R48852ljMikltNn?a;F*G#+Z`Ma1(?Ir% zie?^Ozd*_{TH69iV+3t6HaE4zo5n)$`UR54Xl)B5jS;lP$k-Tk4iv71-~X4|;q?n7 zjp1)z8t55PXbU8b5wgX=(!dCGCIc?N?3lof*DsJXMr&IjX^fyP76xXZVHaF}nHu)f z0AHC5Nn^CO1(L=H+G1vGXa?GajAMneZpdN0eu1PhLajan3T=U;F@m<3m>GelbaCw_ zzxlThuU{Z(jMlb5(imY|42=!&#LJx0dc1ytq%m6C0!d@0u|i&4Z2lz~sYS(D_k3`` zrUgL9SHjrP#xjEh1Nh8J@KLSIVb0D%5k#5=Hx-*n!sxTh?tU&pQAC=InB@koS_4&u zD6YgX8|3N`>X^-&3qHjVv_6vhrh=CHfJ}{}j$6gygI-7*K0xNDP{({(xcTsLF4$%d zkS(#)u|*7S3u25g%-LCj0Sp->7!?>4n829HsE~_6h(U@$DWxd2Ait<2z97FmwMaoX zEi)%oAt%2iA0iN6lwVqsnxa=!P@<q)kc2E#T$-DkSX2p9QIMpdTU1bitOBmLLKl=J E03}5eY5)KL literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_power_summary_routed.pb b/loto/loto.runs/impl_1/loto_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..2b02b455206007fdfa1447fe53a8829f894c0408 GIT binary patch literal 868 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0EfugU#+J&)@w_wo`c-7<M^571EUFvE3@nz;MB_RdVtk zQLC$x3=9koOXV*;ZMXU=&%of|a8&h}labX_HIVpIZ3YGghOIgvvegJgUImj&Ei@R9 z+kUlRV3^``)Dpy=Y6W6HwF0TxY7HWf+JVTa_8{`93y9q63L;zGK;%_0+3F2ugUO|V zAof=<c{B*bo*E1ymxh7JuVC_MIEXzp0z^IqlUpM}?A9m{c@<19jRvv5g2|&XAokQ) z5cw2LZjA%6TjN3GRWP|U0mS|aCXXh9*i(~0<Wn%YH5tTiO#zWt!Q|025PNDmh<pkr zw`PFYOS3@aS1@_B0K}eJ2qK@Bfyk}pAhNXrL|z4xUn@cEqg5cXwRYX<GmcA}88v6+ zIvwp~6m#)&eA>_W|9^db>oi6wrb!M<=P-g49$Li682(@dBSXV_MutPX8JT_Z%O4$N zWbw#M&)9T`QNSg&I5Ry@A+uPaD8IBMHKpkUSjnXmjB269sYMFK1*yrIX_=`h3gDzt zl98CFU~a0ake{ZIoRgoNUA*WVBb#q(N@i*9r*n*^zWGI|3SdPLHJNz@r6t7*iAAXj zxtYbqnR)37rAWpmgA?VUD=;G_U1ik6X{`~=$dc5eyu=)Zy!@2Z;zzfje!0yk<C0pI cnVhPSo1c=J1F<upC_kk%8I<rF9x^fj0BSq_Q~&?~ literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_route_status.pb b/loto/loto.runs/impl_1/loto_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..3bad29fc4f6759fae0384fa0334177deb6bafaa5 GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXC()1@a648O#l2 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_route_status.rpt b/loto/loto.runs/impl_1/loto_route_status.rpt new file mode 100644 index 0000000..1420bf3 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 243 : + # of nets not needing routing.......... : 72 : + # of internally routed nets........ : 72 : + # of routable nets..................... : 171 : + # of fully routed nets............. : 171 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/loto/loto.runs/impl_1/loto_routed.dcp b/loto/loto.runs/impl_1/loto_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..e1ae3e0b61d3c569edc2dca185b2cae9f4c30740 GIT binary patch literal 234272 zcmWIWW@Zs#U|`^2P>A-4nmeo4sECPyVHrCE13LpJLrQXiUPW$BXb39<^WU;H>3gSo z7tb~jX}$h+{e=x#;))X1es2pWF}5T}#T`Ce7S_}AWCoiuo59xqwVpcui?+-RG>hGE z(e}CZqd1ji<?XS2Q9)NFb@P_&TjNo_eecSRsxN~*H!ouBF7^#oeUQSsHc!QM*W22e zuQsM0KGG|Dm#3k-?1|g1pmpw#KYHw{S$96^wqKmf8Y#WTedmjKX7F5K(&1#|vtkNm z{ri6M!Hh*cjm*jmv|fE^`N+(F%<YIo_Zrt3P2YCveLr~p;)kTUruS!vgz{dNy_9_= ze2UsydG=Qy7M<Vb60mu_hsU{1*ISRA2#igC>G@*T>KFG)Cbvqq%sPAI)XHVSArH+1 zEvnBH1(`%nJf(Tpm#fI)+F_Q*SwUr5sh6fl*0^{-JDKV1pKY-EqLuoseG8{8e{Vi} z-Tj(*+w=GII(E0ooSV4j(Sj|D%rZUCU5h*?^UE^JY|E{WzaqE$3&@^+Za1qjwfeiK z#L-<}(t<tjzPVm>yLZ+jjq1cW{$tInS8x2pe?sP#yx=wq@#Y^<3oNydKa+@ke8KPC zzO(<2bNJl9CTHRFEWdwixLJ|wDH++L7TOtmT2HXg>5@FW^V#e<TkkEJ)G;$$Pd=*S zrccIM3+02-hRf~iITp@yC{C12dz968vz9aNz<vg`+`#&->t_!<kW`K977Y9K``mih z=<|n|_4|H&@G`OKF{vxz6*8Xp+ag*upql;Q?B)!+!xG!l|NN1j^2~oe%g$$;s+DeY zE$(lN7TNitK=(R_MSgjBSkg6yPwRsc4<7r+VQXIb+|O$JpViBnmM3%mJp3{GOMyk2 z$@c}<V>j@hJoIUjL79y113mG|>vebbynOmv{>QfKznM|fCtuK&n_i3z44F&}4BQNy z3_1BF`SHairAc~a;57QDY)yLY1V`_~4g#(3e~K1|tjLX85?ZbG?NW2ZstyI!oU-L7 zFP-(fG55-|`@3g{c?j%LvCe;9{a$r4r%mu(tJo<#myfsqysI<!?1NzG-1EveA}rgc z8gA(3mMeR4ac)5GWKa1XAC~utOZ^Ucg?w1FR5{|Yr}vltlCI0Y2UQ<f^-N4FsMqnw zwHPPgKF5V7hd8gLJX~;isz|Rp|Gup;i})3UXZ~Qx<$vMekk?}4<d<$Sq515#?A@js zHcq-W$}B|*YjO{;)-1T(#`WQfMd$P#4-}@n>c|t5Yw4ZTx5VK3)54<MypES?lb`Y$ z-~8|}GS5TqvE!^{GvCWv^X5JmRAE?u^H6f&!lzrmZ54dGLVfQ-$CH7DD;}*b==fG9 zX_5Q7ZE>ZzaX?Z`{EyzZ*@||3R|@9rf9C)4pa0~4hmtlFyu7;mJs01zbuWz^SL^Lp z{mF<L_HA+jk3AU~7#1@zFz}&<eMSnTh=2xv_91BSues3T;u2jf#H*UHamAE-)6S+z ziC@3FVfV&o_sf+sLbq&he80D{U%bu0v@|<)>&FRu_VFC9yYv3=^pGI=d5YJSnmU6v zykX&+_Ts|3jXg~tF5RfKdd#5qbY6C&>K^Yz-ZKUIth@fpX+}omMW5KL<K2Ah;m5A9 z*OIgK?|strp7c;*)|uy-0t>!f+P<L2Tp^a>L*klt^#$At>8_9WUNm4^`S6Xue}Lr1 z+5;`OHmUTL^KU5qrx&?l;^8d!wP}~Gc5Po`)cb$(#`8J5;&fI%6!7}Icx4&S-ssO< zqFs6q8_P?MXJ<Z=KFk>^wo&>am(XijcAfs$7f-Ie;o*O<*R^1u=7E~|)1Tgp_AD04 zH1$1xbeiZhhj{K}k)pYA9_MCU-IKEQ%^_LG*w|I;<O8o67W0JLE@94>_GxC)Ub%Z$ z<_B)n&~Hv?^4Dc#V9;Ph3jKn_qQqR30-8~S@%q1roQg0*3s)xv5HL(h1W^ixh6bDR zdFuOnZ7g?MGd}j7Tp43<+gT#pgU2Cqd1QOt?WFs!7N3u|wF$3T8Pfi8mf{(g3!Glp zc71cG?kQTbIHBl`&6(pSt1P}e>Wo-vQ}wVuIlg0((sz+1j%U(;eOP=Vz46wY4=r6z z`WM_Dw7BqjzmK@S^MJ!y(?da9wp_FM{H-YY)`|_B%#1EcVO+s7^Gt7aFMe=QA)j^5 ztm7HNI}cU!FWg>ZB4w=ke8I<`G23QY>z&r(TfFrN+uIpDEpI1=+$%HvbHF6+vghQf z{CcLV7vwB>B;dIt=CxOo(goKJOP=kYuc}Wj`F54hIoT{mwL^8gft5uS>r4^u%)HW{ zZs(`<I4n5+z0Ks4=re^2RRy=I{xvhLM-AaV&%#HMpqh}8fk6b8zB2<dDvSLKO7x0S z3vv=G!G#Q{B7DsCcoWBI9dDnL;Tpz921XZ+Lp)Z6tnkn}d&V>H#Q9(jf8W3lzH34Z zjDifdY%nt0Y_uWdLn&t<!%ERf3@3LjRnwGUO66VK<Fls6*THBR7f+1yk(l5WI~h>j z<ep^3TPeZ7VD81hzy)=aUU5cZK`PuOb7QzmW*?n;O+Wh6kL@YF^*2xYHZ==<GN_E& zG}ppLC?&GbH|KOjnFoiP#WoKfzd1Mf1m^i2(sqbm5F7RDk#95yBNOYcR<qp7FOJjm z&Trbi{Cw{BEzwm~FXjbr$~>RPU;X~fpI`DDbtL(Ye6Ra_cCYd0`!lQ4CvC8Q@$t{k z=wrunl@}{lukSBOU*El?od4Zvw<vaX_HsVIlyFy}<llUK{cmpBN_Jj#msb9G@nT1S zy3*sDoo{|@z4_2|tEyaoy5_&<k#7&jFUr;S_*P*Sb5o)9$FJ_C7uNl}`I0}*KDJQo z_lZC5#!hQL?yFd~b$fF5ChN<S?#QIbE#6~(Z>r=iTZ>K8jn8s?;Vvym$|$gqPKjH* z$Mml9Z09vcR=n`onqn2S_T;%A-@L-IUO0(ob^Ht|wz_$HmQVYP`zt&=C3kOWiJU2T z>gA{O8HUPBQn<CZSG-D}@$$svJl}Z-wR*f>-fCjvn7QTLm1lh}qTEuBlT{}etlpBN z`J0Vfa;l%knH{-1Hl0lf^)PN<rogP2cq1ir;|9Ty2`<kzXC?b7&GS1FJ$Y@`&LUsa zZED3Y9=Xprd$94_k&W)&_0ic?#qqzlG0e_3Xv}@*%*xYdZ>-5;F*}_l#QV4EUzRiG zOkQF=TH6?=JN3%xmMv*|qqBI9-OLNCB6PQ{R^({e+?sKjaZWDFn;tJQ!!LKfL_6gO z9&MQAx@zep-D7F9tNOYmE*?(seo?$NMK9aGbQ_o0hNW&>bKYiTZ#HeYX`~%=p)@>S zNiykl>JiPm38gzb0s>~tU45;f_<rii2(63a?|C+hx}69*dW+?zR}`nxw<XuZH;1xq zKXy~fduz`7nWw{~6WvujYxts*CHRV>r4N0+5w-Z3uefe;<X*vTY10fpN{N}SnzO?| z-uW{hvt*1^v+0AF-G#H1tb}U!&Ml5uoVX=vwlLpDwT)h}k~hVYUboB(vr2#cQF->; zmH+I{&0<yH<e0LVw~fE?-?p7^Y?OVR;+JX9d*}U4OS<^R&o;%6CFwQUK?g(MF!gAN z?)fS*BlL|Ecc)j%V!<QxC12@Pgyu{Z?rgj1`sL^?<IZmt<>i}<o9}C$d35bx2(xK~ zcb@SxwaEgLPi`ssveepI$n#uOZ^O!ffUwO=4i-1O3Ojkrpul?9_AbXSp&QSh>wKV= zU+%{;kx%Md*>4xUG9`<Nx+W9fe(OAwJlCyP_Vh-}*G5cl*IxU!D&=n5ynFNBd6fpA z_nKz(<y*-fQR&uO&RN-aLi7zoyIvc-R=plO^Il5yqT=oaEs?hxPZlrf@8j)h`?o_P zzTxZRiOwH;A9HP38??}|?Z#3G&#WywSQNLNUEy$YjsxrMyeXwijBZ!mGK`E#d$Bk7 zT15GTtlqCh!AlKa<Q(|0Sg|l8_F>G=ZCcX`*V!nAU$WYMGraCX=Yv!4OVakIlxJH? z8`aGHTbrBvG$+F2ZtR@Y-i8TAFZbQ+WAS^XwOC2;{aaD_6^nPzd23X2J7{m`+ow6( zAHIHd|Jt>fb8btv?3)v-yOcNejnrb5ySF0`y7g{yNw<G1cJ3R;<0(neR>2?l8Ew0+ zagaqiA(_qDWLI9aiEP5}BCd7+>Vi8HPw!Z1vdAb=>+A|2uBD1<JTfOVGaf_*D>7f7 z$tAhtUdXJ=R@NCmS}%zvm}|)7vft=+ioCADbAL+kg%4+!2_1g7z2n_$soh#P*1F9U znXP?uiW*l>#u~}r;hUVzMECznTzPC(#=WU;I(&~_yS?O=$Wl+%n{TCld7s?pSk``p zW%_1o)5T7C57t#I5*NN5D|K|`l~p$bb!t;IPHb@7*mQTTWZll0JZ1f9M?<V-y+7aF zD>d(a*88uICQdl>W$WYfXWt&MTli2j<JqEfAxYe+UqepIeDgfJVp3kt2d8hEXJ@p3 z;rmjPw!Wxre%h38N&!dT9cNm@x_nL`<KoTiCWo&#U3Dy<Q5$8f_4wu6yPfx*q(x4g zy7_#<^?5Gtn<o8jj?wueb@rwA^NsALi><C+p8oQMTYv2f&ck}MGP57Q*}Nm<(`xtY zTfdoI-*7&Yt-oBw*mmyBbv`xG?o#19+H6x6W(q%j^ZACPZfeNUpu_tX1THJl3uvef zG(Pof_x6(IdT+lqJxk@Z-~E5%b-(b6$jF|#H7~@=fBmqjn|*BlwHMd_U;UA8Qm0(+ z`8fV!g>Jp?W9iBX^-+)Yv;Q!C_;EA#dwsW6wdCgl{t6{I%?v^RmG8d#{O+D!dEu)6 zzRx@Q^&iPEko~vsSo@FbCihOAR}Vk@r&_As<<IZp-kN<&Z^lfWvCbse;d$K4JIj+L z*LB8wUNh0ucCo7ayLkKG8sq<ykFo#EH>vY_Y=8NOn%%MFKmAhw*UtDT*gO4myUD-) z%U0W-X|T>On)5xpWDl2Y{bSo>>kqQVG`=(YR2O$@{eqX%K5MMan6cm4`PbaLsV{l- zmOkjO_*12MS3B)jBXdTI`hJi2a{2!Yk6Hg%Ema@%`2N)&{-!d=lcoOa_u4P~Xm0w? zGOD*f<7+N+<Qu6D^F?9G<^uD7+SYurU7}zy%k!F#5oeQ6lfq;Li@3>eOi!wCfcX!m z%y=R+87zK6g~M;9ryfG;htH<-Dw7pXfR#QrKUp`~r)ir@ypOm2XM2xJHWJH>{{^j4 zH7|K)?>f;S;@XCl^Dq7TGx6B%AI&1O!#|YWJ8}PlM!~C}{igR$|J{<h{?SUwZ5=me zM@_8WJa6uw4{LA#-NL%u<lnAi{h3dG?`S)IWU*BI>HJ5Lbxw!x8XY)&BJJMg<K~}V zHnY#4zxVr>*H=nA=llB3-E;qaI{)5|Z6#%&-k0BbyL@fiqlNz+1Rq~N?`81HYfq_E z+1%Cs^K16RtbUesvp^x>`P%EVY{e^Y7fuOom*MN1Z;@qH@%cvJ(*-5d_?}tH$dp-B z*ga2p_3#c)&5H$FIM^R0xUqkJ?UlpVXCZUv<6`A!JoiqWJz($6&Mm{2S8P#nF=|nL z_0x``05fm)bW52#?+QLl+Uhcm+02`LD<6Bgg^W%5?1Q_PHGhh<ig-~_u>9~r&TO{# z`xC7$q`!QS)84#WhHqcq*$4cV?4p}X1PU!IUKM=kdivmPj0kJm%Y=!C58mZtUmwNy z&-VMo=FKG=ZajP-*WNr`m;L=yyP~H$vU4666s$jd&|HVT{!DH21sz$PLW>_QhY!x( zIrq<r{m;@4q`yp9dHA5ZEMMKXcZtS6Yx`qNz1dI8@Yy{rD41R<7Ww<YjHS&_`Pjc( z%KW)AtMM!Eq0J=$&kG9nA3mtB!yYyx?EIyJJNel8Eo5ZQ>Ry~8y3BNQ$%aD@AN;+) zKdZQ4yU$EJ5&8Pp6ZmC+EO}}ad++X2)m^a*RO{!qP4k+%lwW3AU2^0v(@x{)BtGx@ zvWTjnJlRbp=f59alD;YA-G(ps`MmG{4L*12yT_cHliqD8IhFXbexJG>OJvo*y-mqn zmO69GBC6&xxkmk()-!XaPN=2M->Zq4uddEn>a21u=VU>|FZ=eTx7W`7@#J>e&4*7n zug-pE^=ekX3}4^n@+o}p&RsRVr6YT$(Bj9X@c8YPKOXq<#O)NTuZ&#B+T|bUQQcb6 zazse6Zu*X;PBv~U{Mk4b)+yR~XUr7npM0X_hfL>FBNmS<trcCnLODLNIx#!dOgyqc zhM6^Pset{GiLEU^gi0Sf{du)tAxibg{{8(i&K>a!^Aa<Ac3gF;d6MXo-BQtX!P2Q_ z%7vYm^dy-T>*gM*yd=S!zE412y`)j#zmwRxNy!RMYX$bZJ#tdC^L=#F>Cc26f{J#| zFO-b0HSPMV=<g<TH|%5e+9Ms>r(N${e_vJnEVkj1AXoBw>z=3Qx0z+mkz>5@L(Sb{ z|0@H#vuR7eDr~fPEN;<LU-4@0%=025^`*ZuHXfTTd+h%+1G}?|U611HBfgwS=6n4A zwSnE)on4QDTg1}$Tul7)ZU4<)|6+-S6+3?LOO;F1|2Zdre$LVip%$_9n%-2ILi_4B zz1RK1r))?Mu=(lzr=(=7%AY46pXZ+9{4zCo-sY`7e-B+tf3>}g`G013+?MFycbUIO zo;tjPxA@fkx4Ql}=3YATanc*}%iAKvZ@O?#T>g^(n_<n*PIaAXk@!uMeeNm!NfAC8 zJx`5G=BA49)(-8PE{#)`cbawOO!AC;qkPjvTHXKh<@Yzmo~iCGI<w9uJ-qMs)bzKf zxXYfl#4a>;;&W81Dr`BSW@Y@zr1rDu?9S_NxMVXnP4@ZzXwPKdk^@gO7sV>iPRSCV z?PYdR@6_clt?{>=o&@eLdgFV0g52iq0Zm_wrno$sB9ZE4?xuOUH}G9a@)Vc55~;!F zZkbnm1M5nYr)1ofNR2UfTY0@VkgqIxO3z)1)Kqh~mp6L@=anT-*>G1PHP75l^G<J| zUU~AAD|aPQ%gx;~@AU@mD^H&C<E}&~Ltc*;qq&=Dqx9lO2YLgiJ~*}_rXV@Qe21Zy z!X1fFp}Za~*UmszO+zh7SqZH?zNs687GDZW3OQ|MaK}H7Z?B|R&pjbkxBhm%GpD`o z$W(Dnk+2o?>bb`vJ7fCPU6x-CsT)@P6rNG>syHET*)`SUg8bz|i`i{EFLKXg{=CW9 z;)|TRVb$`<5_@M`8t7{m7r&V4oAl+6+VRY<!4iMJ^-flfJFnulKI_!|-D&o%m61DV zJNG9EEk17avOkVhX2$fFdVLkICrRvW^z4z_D>Gwy=nl&-Evkl9w<by07F!tTbMLVH zVx($VwQ-Wf-M_LkrnBCasVbNxVe8`Av+fJunbTT#WvbjKN$l14?2$8;nK8Zfj!c!@ zBnew-PM<x(mInHd_4+ElPL$Zo#_418-@-tDby4Aw<h~;>Cb}nG`yM=Fx?~>T-isbR z_wtt5XV-Ck-n5}xd0w1~o4?Vf`Cny4o~2&Z>#GQyD6x0TiMng$0W+pw-C?2bf5Urc z`rA{%--6z)u=qFCiFMVM<g01?Tt0$z3Hn#+QuBXK+M87!bEF~Yg^@yU6zeVFGA7Y4 zlM=2beeVhm72KzLX=2d6{P2Cr;rF&^m+YVDwl?UkuJuFS-Jh6uf7%vXd2nA*iSlH( zwOp^KEBR|2GXB=}_DiSu8nIoPr`ClTe$B16bu;Jp-0*7IZ5B6{U(-A92P9S8{_)rP zk@=J?uN#J(*-C*^Iv(Fv=eufDcx7rg^9m;!ZpV$0&Pm*%od@{33s!TUSi-wh(0{Jj zgqic|;=MIO+%CNTbFGqjQ(KeKRi{=VgR4%f8J@0c^)kN@sL6R^50B`o)=63{Q=CEt zSf(;+-CPi~^+G74(~2o<PyRAlXzgoQ^KF6G#T6zD&XNma_!F->dCIMt>U2{!OQbi* z;!L1!$p3>eO9LjdFA!PvE@58KiZ#tYy%tvSiD|TUnOS&imi%stGR@Muo3Joz;V<!X zVZjT2i7R<6oFp!$+4@aD$L*;6gzE|x?pp&i4hp6A`q@Nuc||(Nxo_~%EID?dXlcMk zo>D=}+*JY(V^SORST`_<icOf#6tPldm&gIFkW<W`q!?C*av#-NqsYvi8fUsHc;;8( zo3YiKs@0?2)xP!e$=$hVAaF2k;=1M8eTl_WZNBzw3Q4<OVYb_R(bZEmDJ^UHUcSjF z%P4Xe`N>%MykV>0&UGcH8>+VIY)MyGmF8;AljOB<E;Fy!!qvhilNwu%uKStqC{22! z)arlzj){Vs)~xd~nx*TeRwm54_H|SKys)S(4SP5pC(n|&8<aRVs>+Hxaqledsk1Ni zbjeL{*Ukyh4GB0@xvF)QzJ<M#a$}Ho%mR^9)ddTKG<F_v3vx1AEwL{o&Bj{inD!Ur z<KFQb!=63MjFJxJ2zYIB+T$$a&shw!uc$B0lG6*?yx<AT$BrI{UF#jXvlenQt4wh+ zXUz!Zian^fGOW8VA*1`m;r4Y8Hbnkh*lo5&yLH`z3kyYd%{hG3YvFB^oItL-#}@`` zmdLm3Exiybzw4@lrlEruZ+o8W1>uR({p;)sIJdT>?9KgQW%O8ha?;cla`W=0YJA8} zl{7vpv^wC-<tY8|8Af(rRta8I7F=>Q;$<wyuPIJ1d4Gxada>PDHLY)6(}N(_#Vvfd zMS3Th=Y(_FZat7HxbxeTlZovwr%XAmY$UhxR9j<5kJYZA1EO9Ft)z^+7Cw?+7s3_# z#bAYq*6hUPK`YE!6_*Ai@*MVBm?gf+YhjScx*#r5s}0`0y?T2$L~E8TZWCO3;i~w$ zFfLKK0$&%o6Cv3LRs_9R%kj<S$(EO;9N*khVgh0rle^qhIo||dTk)siuh&8)=3}D0 zSyD3`mMSS^3(g2J(c1B#VM(XE%?>jqd(OQ~hf1?pLf#(ux~ae7UUPGo+xhB(=AajI zdGkV;moB&3;i(z&^WfQ2np0~Ex`S4nYL52gJY{Y^<I;)j0K0Ps6@wRdYs#qknm-A> zmLNNGF1wog@efnhPum{i{Qd+_Z`|b0YuDc|Oi@^O%1!c<+wrz_g6ambddqK%h}>}e zsm1AjqPKs}q_Wu;_I!;n+FK|d=JqA#*QJ#YTB}0-y3RiG;6mQ@`)fO|`u{G^&e|i_ zzkJ%ij7jtNe!if+ylK(BU(a4n_h<FKp}5vB-o~cr?FpA}2P&Se`uOIbPf6}Bb7PaT zg!d_BGW_Ratrxv!tI#wNcg&G`U>C99D?Y44Li$8c%Az~`&vmYgiz*yvtB_n1u~J|6 zK=u?fNu92j+UoAd*vnHVnwV@$zpqldtE`|TCE}Zx#oFnIm2dSNKJdO}S#nJK(^hds zG1&*A1&M-3jxowLbaVNyiS*Oq=uVee8gr8|j`O+RCGj_s(sl+`+s+w2l+C*7Y;bSE z`fU>FEX#g8ej34;;`YtYCulm`xreU~-FwYmA$mph-#^2R3j*^FTvEEmX&)$ICgzwU z@!;x)l^ox-Lb4Jf1;Y$iJa^dh;mO6{F%gk7+ULtAgeJ=Un#;bL`*T70xpvdm%Gc~a zm?ENQT(>!JzUkMDeO_!H$!gQ|9Wo?S8;(@y#>CzD(#E(?V3G9KuRGs%zBqA2WnSpd zy(Ulhn0(m$aQ@cFvh9m3jwyeb+<$*>r+!oYrCDDi*8P6acygm{^JJB6OLrRy-~7wI z;rEFL8EntzzU%(3dRBJ3MBYCOS?Qma1%I__GuJ)ND6`o7ewS@l>UZBXff)wd?+I1J zS-Y<<o$lWk`ow#oxqkZIM>@^(c2-T@b+$vTQ@{OftH1Wvt*;e=D)L=F?ywS<y7sE{ z@|h1j{|~+6s)&kSt3P{Mf9SsMy+ZRYa;=k>o7J?3YhM&gWbMVPA5s!G**LKMkg|xI z(Zk-cVUO56t)?}78>)VIC2p#;aea7G>Ew^tqz^F@Q*16sJ(v-ea?Lb+x8S}%AHOFl z=QovaUHa?h<=mAaX-P#nHrjjEG&i44OIsMfPj0n-Petga&iw*v%KId5ox3XTe?OMx z(}{brhYqpraAv-1(Cs|C^RvQEm-rd!Zd=4$tmJone&<zs>JzuZ-06$M+TuB;UwNT; z-S2^PV)Q$U`HJ_0|IAc>swSbmr`E9dbN7R$nyYPAoR2oF-=MjMqmtW1%<;&VDGi^y z6p}V&wthB$dG_bxqGN}*H>yAU+`7HN@~qj9S=-#==iQgAof`Y~k%HT=w2BQJRn4CH z?dL@u`)7UOt60HNb!JIC&wSmg->W|7bJwio;O|}5?)M`}@Qd2yd#v#qRSsEycRzfX zw0nko442$huJG=_TQM&duul^aER9HwWs)w6Zd#>2J^7Bw-h$AOc+1$@)caSqTuM{& zJ}m0`WkXrPl<x-{Y|DyIPvnk^5jT`uWBmJJaMV1-*{64lwtX_Z(pabY=8nN?DffAb z%r(++`(J-?z5LZD)h$%CA!eU!-ue1xms8q~I_Nb^f13AmlIyXu2eQ)Vy*?JDTCdY@ z|Mutj)=Cln1JnMfL>VZ>GOqlivRUTzfulb?wHSU(y)bqDl6{u)E$9BI7~8*T>Dyy@ z<ck^0-%878ciJ9a+9PAUJT);puFvwt>IM4#-wd{&(z6ISvhMJsG;<SCN0)A80qv#^ z*RxkQtb4#`wEehg!Ig<x%hXrTHR384cMM~HEBC`iFeTLbU8}#CW7yWm5_f`U<jkA; z`@v<`Ngt!%wEyOwe0Fo4%sX8lv%`r@cEKu=_77C<nBV?A>(?Ci2h;9wpX3owxXtaU zykBgc&a+E7b1(Y-v69fsGpg{4<LA$CUBa;S_YoaFr)3>-S^nK}<_8|=i2JmD=`F~f z*PCOM*YvkiBCX>t&tzl60^YpF&i;u>203}Hhg*YrdW*c8XLE)BIbyTqU@!NVtk-X^ zPjlhR-mr6FN7wCBA9(+CuvK@zOtx(|`E=Vvg7bxrt<lW4);si1*Dl@FUM)EBfBe*x zp6OFwf2p^~%y=Mm=u`i9<(O5k>pt!meer1Dgg8U1O~w;tWkt=jHhBKY{N(m7wJI|@ zTj`{!;un=lRxT6Yb&D_Dyf6M#HK^lbk)}z3TB}=}R;${($%m}oxi_jEJpE4|b*92+ z^_T4nSr`~hbwO(hATzUi6)DN^d5XPJvH7<=L~8H->za7uxN5~8SFx4+eZEm525||S zLl<W~Im+zH*pstdTIA{e_ctzRG#tOS*QaxlK+<8`eb3KW?sE>Bx6xN9zhYAE$%-eN zZpZCEUG?wnggrj#-Ky`m>^rVKZ(G=|e>-B<JYVa0y|}$j`?ksSLNW8oZz8&fO0U&e zEBY?eoo}BXJel{9$>Wn(i%nELKc<C;uf1FT)3bYpv*)Khp4T3BmDlk%O}sAc>0htP ze|fpe!`D5oHnC@}-?v-xUf+FBhwin_Gxnt1=6zrDF(#;Cfy;w4@;^SS`EBw$&;M`U z1d~4pzwcMG>9Jb8?(x)+43oS=UzLA)toX{#DV9CSS=7JU`P%X;>B6U6q+`|i{!gp# zU4FlQUXk&~SOF*5)(;Du9_%PTw_K0A%I;;*Y@0Km7eBHMzLfmmE;X{|PnVR>v7a-l z4u3rI(Kh|b#D9COCHi<D*TkrCiLYpB)%vI=ao9$*M{+~wKH(mV8l4#*?XNH?ZVBXA z+QfNAId0m=FM@8~ziV8+7BwE;<#v#1>JQ=2MHdzF>MT|2rSu#{SGMW=3|^qxw~T$~ zVqUJsc~-M-?_WI2?8hI`HsR-cf@YTWe=&%)Gh)nUoy-#YVB6LpSEs`>--XDW*|B2g z>y?^Xx{o>n-g;d&xuhfEvpthBQ#m64pZdL0F?H=vo2-wXs^2#$O~Tbn?-3K9@A)km zRaqCUM70kj+>1|k^Oucs*~RX>;>M!)M;F;EsCybK=uh8}VX=za!sKYA$%?kRb?WoA zE(tYVxtAw?Z}rCeUss%UGic~K`{P{I`9Bj>ZJG?%|F4oiw%|~t!5X%6irSx|&%f92 z-TtI*{ZuEN+;5z10?*e6ZLG1A@Mz1KS5Q||C#%BR7NsrDqc>;mp{xf!n=Xn?7de-v z{n1@W_tIITJBMC9>e+nga!LHN%yrC7X%GH{t-A29Q7Eo$&D>9w_bl?SZ)bmdTiZvX zjp^`O!8JlNETq>xxS?TjE`N!aTkLnXHoo-ZL9=S3F57dxlRtOn{1Qn%;pZ<zO>VQE z{l55JuIBj-vIfqLyXAGBH}9T2iS5+`wnsJpeC9ngm>8(|{N|)+vm6zpf=s37KN7C5 zT2jfjrE*zQKw~jad#Cp_uD;KA<vEYXN|?mV^03^uI(r^x-n=Fkux$5Ku0G$NR{L5V z54(0wdE6Lx*@pX$4QnRTa|u3SaZOQ~+n-8#q#1l;dOR%O$@4U*p51)#Z14oNg1&<W zx-m-*WNDmdu6p}?e)v?*lOIhbERJ?QI6cMWnYZ292h0;nFFH6Z4w=XPW!Dd%O>>gD zn3r1#W?8WL+h22KX6y~BlzVc!>1pMIA67iGA2~=|G2yrQ5g~q-S;tFSoLy8TSULXa zZvH&~;|0gf6Mhr~DlNS^{p`cvY)$F%(T;N(GTRm(N%dkq>$Y){>g=q({6h~O9&$Oi z=?!1qeftkzlC?QR3{DqJ*%7ibVdk^hS(|*={&frJ`8<(1T^9ZAF0;S=wk8+GVjlO- z?x|XR+D13o60;v4a`7sR-)6ZlU#{XurnZN$!O?;#J3Lk{vbdk6nZAR!x$U%|=HX_k zw%ZTxX?h4594?r$!{X-$dsunK0A308wfC>&9W4e15awWjmS+ViN$~PaW2^q(1vx($ z8Ct^_Z<)Vz3l>mFODt{ptNq6Mz`o|S9+B%jG=IjoR#bfnIBjmeU6p6s!_JjQ7_YzX zGwMD4q3J+iKv8MTtHWG7X4H1o{{DWiT5n#py@yrTGs}e7`}gl|3H$D6B+H`762&r` z(|D$J#;p*Mx^o`;t#tlgUA%u+{z8QX@!fTGwx2%!T`exz?S5q8#r6C8yY6Ie>F>G| zTW%s&+F@yNShYY>|NhcFcTV5^bibPK^}UJ=eqLVAW~Yr8bXd4gIypsqaQxury5;Ct z93UVg@+7;lAwgjQ?-!=C4i5j<b$hM;+<#_;h_PT8)0@+)vstcB3%j;H%G%VhHX`U( zsCR0`zpG!*U5vP*`0T-n{ksl3+;?|btn_^Sx~<o4#s2@Z$Nu;8=j#7{a^Blv_aSfp z$KKbU*WTY@_uuaSj#C=5UHn=W&)&Z)`)$<95Ur`F=4D^|`eE&Qn`>V`yw?ti>a7o1 zIw@6eYxdrfwRfwlKwwK*#r~_6o@Y1>g%edh8vd?oZ`pE%f07AT?Y~ute;3DU*RPSW zD0pz7@$kfU5O{w7>#No04S4P3tXMQB`vuxNIL!MKs8l<}lV7$frrkwpqQ{eSAkg=* z!bYzD_@av$CQ`ls<K}d`?vM~K57ZMYeP6fwlfaZ!5;HnC2xVFyd(6FM^C|(o6;S~T zmpOQt`p%tKu_R3<iO<#D&09=6WXjS4k^P@U3QyD|?^kNKc2A!8)udeR!&Aoim8V5Y zXD-mY+`jyGVwwGkcR_FT4!*loHuYX;l2LoxqzM!5yZ{54PLQiio;?A9Ki21V_xE(o zaq)e&{#M85M`tXg)sHn6c3AAYx5lx0|5e^Qm5&y$SNM4s5+Hw0HHFq+{rTyY)WNUX zyO(~|Ht;^O-YOzIEL3sD3Wa4$7A;uj@8|0yKW)mS2}=TBtUvNx=lFi1hvo8;qL!Vr z&ODtEAaJ3--{|E+=kx1z+T)8W>W<#D{I{yz?&11_@s0c9{r_<7Q9R8e_{UFcs@Kw> zm;1dJg9D6hXP|}%SF6*)3(r4uKCzpB{(1lJInOKSJ+GXWdh__y)X1=1MKZ?=WsZBw zy*b!wy`!L?ySU)t_os#C0x#tlV;9R_fAK<0@~%?)#Zn<b)7d`HidH`66TG{y-k+=A zGU~<s=7zeOg0^+5MdX^-T`G;eE()^CK!S&@*+D^ogXLe{{`=>jfBsoxcb9iJQ~$ed z)^~Y#@7h~G_lfM?w|{^4r#G4>Br>p0lC%0!@WAn1%HO)Ho@-ZWzWy9v8}RnZ#MRty z{;rxFcv*Dkt^@x=x9>Wzc;gD^GY2Ji?SG{*?fakbd3$c}`oWf#?!WJf=*xBQqo2QA zVz=w{@9*)gjt|aX|2A*?<+~eRudiy6s$<{7cv?ibZh8IJ_{sa3@(&0doN<5c{#Wr^ zugR%Q4ZHu-TPMqF?X6#mU(dJat~z2EuyWzvd45@IugfhKNj1K5>e{I*ld?~4HeSBu zPoBleV@a(uXYop8US6^}C$}f3CznTSWmsF%8y}ZV$GA5eZ(gr5$1HRUThQ0_jQgv2 zrR*Mu&u=s?X?0w{v(0p?#Qt4n*Kft{o;?!;HYOZwV&xXwwKr+I)6rfoQLTNYqPBOd zgO43c&Az!kPRwWH<-MADWn5-QXFZDczxXP4&;2YO7Y080`3BxCp`jeB#0@Uhm74rN zcp+22uGHl1zWXx+ve(A$zE<V#1_EYa@a?_TwS(sBH-zv1de<^z#taGVZ)>|bo7KwH z_LU0T2;0Zs<_3e`-(&wIe_mSR7<Nr5gDoj5!bPil&a8+nKdx?_nP#X{wf$50ch34? z?NfE7qWh~N1tnFazdhh+J~03M*4<_0<>gQN*_lDWI&AgTtgTr(fs0%^g;cv#JSHeO zHXYf|A8YXT>ieJXwf{Bk-}mkMpRaYbKcn<-oc8`UYwx!3H%C9eDg}eT9fHbkJrW<^ z%m2R?pxN!G84&RIRfxM^!A1U~6DJmD>#{gL``!DMXR_;(OIvqmtEgD%fkATM?&#{* zcbm39TD!I~+xzv^SzD8IBfPKOii(P~*4EbcKB5=J$0>O~^vHI-Mc?<#z4q2Z^Xk*- z;o`ghTs`XHG1uVZldr3HvvFH1rYWs)nb=%;ebcX}N1I(9?((j$oLKtz)tRRp^Rmm| zzI(TB>%W()K|mV}Rwspmz}5ZjH~bc<6?4A6Cvd-tLrY6bYn8%P&nqc<?GdlG`z>K| z%ux@Vyz|OI1+xx?j{8&c{A*ta+i7ZQMsiK7TDs(x21r(>>z0m;yWrw~vRojLWj@z$ z`Q?}U|3CQ4bT{fpMr!3Wj{Ao$T?&tmiVW9F;@aD#^y`B{pwGs2D~_leg>xS-xg5KD z_vd+F@GsUn7i6Z0k(H(8PT%~?d09e6P?2LkARrGaz=|@K|Nh`uA5k^^$<n2jnVI^! znn%6XUkaNwH9<Afvy*-5zgY#ETbEh6+O}Q@3-wf7v%+)Ns|@SP2^xJpWfLbyh#p#+ z6_gwwoV|9do71At7Z$cN^A|l|F*&Y6UG-y(O2qd3h<V*EPj-5|^V&Lj#mN}HqnGA} zfXb<hFQj`H2U=LUFTNnD<(&9}yLVy03O%jAf*&;_1%g9XXbJ@RUXEWpXVJV#6PD>u z@!#%j`}fs)kP(|A7Cw4uV{|KE|F18dFRrL4-Ce;E@nxC8x4(C`?p9X(2`+O$<=oHv zPv5F!8BKR`i=38fsj=HIaPm$WA)|L?pi)mbS#i%?7vE<=CnOF_@2gA{Yis_n`up#F z2kzgKzW09G_dSn&@4USjSYskDd(i!NN5z+*%+NfCx6Z<sE=^w9`SS3k_5WOgvb-iQ z@LjsXgmYH2aSl`czHKXO)4xdNDl2c#5Z~+SYJGj@{kgC2>^!&NoN85m#9Z$srFwzF zdFRe9yL0F4_M0jD&dfYHWhSFs#RJEwTA`~#R$g*smo2&A$R4s{Pe_8+Y%d?zWlpJF zHmUxd%l8C7(fm9`vp}c#fTOl+SKZPhK?#~Rn)i$Ey-v<;v-MmO)T|K@bIOFhHOOlU z?*g0GQZ}zoEHb)j>~b;Khp)8bR3iVT86msMuCKeh_1dmpAyuy_8i8gv5}J9<Zak>w zW91Uj2-vh}i_3=hyV&-X$2PyOeEr_{%pp7RhTkd6t3N$CDXhME^7WHtO4glo5BSY7 zx#{~z&G(*KvG7h$VKqbH8J%aIq#jC1JEYRgV{}5HnWtp;_J$2P%vM{%Y&INX{qW`n z^PvR_^X)&r6nyj~a^ZQ479GC!rj|CApNWCHudR#SeeKrVc1AXdga$^o<Eq}%bRst$ zSph0Ryr$HgzpGL_X|9T&l6vLj1D=yOnmtlf3?_+t_N2INnyA3|ukVQaJ>?G*m4Bb8 zdD0=Mym{h{j+`f^n|dXF%JG+J%oEJf*s(%kj^~uJ?`j?~zB6oIJFuO%Vmtq<U%&EN z^(3o?x+(6-JX_;s(_e5kAGp@GyX<WgD5q-&E%BJB<k}}5Q*e+~JSIUWi(BW0*dDQn z2VnsR*F9LffJu?nfK}wjoTdkLwRVgXI0ThkI^J~rQK?XEP@Ukw#98xJprXSjMNWwS zrt>{T=PIWbkdhPZoI;>d@qY2!=5)p*5w9;L{q2~dJu_;-R29cha+TLo|Gc_&^+v<B zy041`eUG>CE}WQtvCF3V;O4x8n@zPgd|aLV_SV+y>+hm=UppoLmQO&zp@D(%(_VS| zx<5ZYKCZT_`SIc5;p5-$+}+4?KA%O9<6$|w1N(z&$99El2hH`h*W1l={$Tt3taZfp z{J5}hKbiMkt7o-(aNxDWn*-+>-*1g(zkkGz{lNEm+h1Q??A|YDyYIQ~8>jtx%Y!eQ z6<M*K-{pToz2e$Y|BmD|l}~TZUq5ul)qhj#h37&awq(DJ%6@AjXH)Uv!NJGXk@o+u zvS0nRr}6#U8yl04_sQP6%J}+*Rh;ZbKH1HDvWF6G-x1sXcH8!~ym{HbzsnT%nIAuA z_<Ta~0n4H-76~%a$9t5IDL$?!eDLG@)k?YQjmM|+S6*wi*?qX#=JvB@w@ZCy3g>_I zr`OuN-hGkL<AtEj>N_7-Z?9yS=le}Xwkf@q;|agbYd)LTxAulFcI%Zg&5}t!Gs7_X z7|-KgY4f~0I|8>P9&Y26HY@3{v~Y;+eg9JSPo9(^uhf6L$Lf!#8lLV*&5<-pku<8& zoqcB8%^OK(Gv1~qzg`OlduM^bt^MEQ>Mhy(q)%yREMKM~Bg)s19^3l8;##FmxAGUZ zd0%f%JbeA|W8qf^9xl}FG(NNNNTKt|Z>v|o+$wP^HoN@#t-F7dkANyX9tnel0}YIa zXG{ZuZ|^te9e&>}t{2s_?4p^}EQ5+NwfS@RrhmL?XZ^ced=t0$dTw#OByqi4Vg=pX zkKR$r+ZcU9H|o#t!(uO1-b`3&waWAJg&$YbuW;;&HI-)j<F|da30H4e{F-p9_v(Mb z?!Sn+dnH4pZ{>k7cdqy1+&5kw2zV7Nb^3yO$hF(k=k@rl42}qm*gtK>XYCJd=6X4G z(~lifYxe2+wKkiD*)3<oK{ky)Vc%K0b~sEdb5nDBy7kaz?m1TjoOunl{PJqx$$cMw z=BOl>Vb<+O5f9jSO)h+}tv@8taP!)gBP(`^?QnLFX8kg2_cx6dFNLRlc>aqg^2FEt z68r1vKjyhVf0gIJ7BppLXX4AK1PRtdDH9yq_BNR4pE`9VtRwMbl;RDR14$i<ZGX3M zay(8nzk7j&naAJ^`;Jduo(`{C#p61bn#nY-RZ4i;#I5I$nbB?U@W6StS?-cR$rDf3 zvgd3}Jp7FF@D&^DguI2VVsR_E{iKuA4X&M8(4pJDhAm~|0VeK?8nYknOFD3sOM8vL zdV|L4nT$SKD;6~U@ma`P;mPQ&xnu&9j;*54nyC!BA^{GJQB5L}jOud=nsihXPt-67 z`xr3(iaGqv#DP<FihwWkv@gn2q}fytwk>+ICa3$YtK_Y&O{Oa(!u(D@j>=wJe|O<x zUG~2CyKT~DNmmvaI<wuLb!+_*P^)75s#9x!eHRD`5%|AXbEU=#&9IJZk@ml0^bZKX zSL4uHyDvV;KjO+g4qw?lr#72{%9``$FVb7;it4@^8`Q<BcQ_;mT5kHfwRA4SIkva2 z{t2zV^k|l!XKw}5tc4FhH*x3Qu;4K~VQ_eIurQb2g%3`%B~v;W+r$Gd=xwRIHuvo2 zcl)9@r=6W;ntf$O;9|GlStgm6mUvEP<CQYWxUk^oyz^&K0PEzKE81D5yPUad^Ip6w zF}G`a_LJlO=bbxu#>PDJ;ZmOw(8Q&^;eyjFVK0w^En*Q7j;$i|e47t(s!b_)c4nq= z`Z-Xx?2$B1JvBu$cv%mJQinnlhti3Y*g({^6^_P-?np6B2w*VEcy^^HfI*03gB$Nm zlMJ^R%Fb;(l13@VdL)h0&PW(0fijnx&kTdaLoJ=%SitP%CJ)X?7$!b((MV>?^N}!2 zJ7uHYBqKA^FzHYOBQqP1ghBkinxCJZc8lxB?Wy>9X{q;gz1Up`4q^kg(^+;G++1|@ zw75ZG_>PR1n^gJEi0j9$J5YFYQ7gB&Ud)byo10QkPt%Rwl5ug7Yxgt(Cl19H0jG*; zY~b=idqwwC`sUgZoAyLjcH6Y1|9yK?Cqkwr-L{hT<+nBK{~g>Mb|-c^q^^W?C3F-T z7??N|8UpqdJv}v5JAB{sJS@Qe#@Xw~@@&^O*ROl*)w%3wSnGnWONKqqm@BT?M(|Zm zP2by`Uh5jY(&qKL*zEH1w^7+=>pmQ0m#_KID5@Q{CSqgIl?a6m%Qh_8uxP`wfQZYQ z*S>IOzY;M&=<_~k4GaI?lN)@SxR!FOt-LJyvUtwC*US>Ttly|^ENy<X)2@LX)Jj@z z`rF$%*zRFMgo~PJBSTrMMndBg!=)>NMdVuT7B0+>c+$}R<p483)5HraLXQ5->SbVH z^blZST`bMO!RjcH!ZSUhN~D1`G)bw+%0*~mvt!dt6@d=MAPp`<76t|e9tOq(1{_-K zl1~}Wd|unN=9}f~hksc^*S3{}z1}j{w$yofK4)jQ%nAJtg*)}jzT8ZD9>Q>$XYRk# z8)toAKh^&C!pBpjM9+KvdVEfccNas`5l0_U3&|;~E?2l+=DnccKKtD7Me)bytvPE^ zy)b1?#d*`u_PUdEV`m>fwrI_gD~VGNA7AYB+P*X^<z7#pd&Z88J;xJ%t=pyCbX?B8 z)R&JhCxC~ujKxYs>6o|8PoKLPdsi<vo${FP-HSI{`l=tuxw7|mu|~A8z7Ooxz2L~; z5g*tPSn_P4-mGQfVN-Z}x>O@rtQYaE>TV3&$kNHPCh&BGRKs2_)|i&5F6>^{7X&VB z=w#7Ze07CX<F76ul_u6jHOv)1-1$E2Tg20q74gh!?YgVq56dO2Ph#vWS`&EM!?a;< z7pqUpR5x~y>k5k(L3A$w>(<z`Awst~gW*-^6oo*Y6{##tD%0B@H%Px>exUyF(pO%) zBwnWZE#9$*!y6(mHpYmay3+dL(T4wGhJx<y%CAgCmg`;nYq*55xl}-S*WCj?&eK$P z^KJd45dLo7Q?*L1*u|ld%BdW(iLd+Qe3!g4Efbp^ccC_ZY0<q4Ww{P!G5n`;b9i{~ z%}iRWZEmwe!(03Hn+e*dpRG^Q&PsmI%$&i|rLAi%p&ff{LtL52`Aw()3zz#$?J^fQ z`X}Jo_p-~?d_4bkqeSE*vuiUJ=dFGC#CutbN~hV6V57$kNx{=&#fpP&?pt#G;dR4Q z7kkx$uY0YR^8}nMkUsTkm)Gt`f7k4fdmV6WrQ3$<EAB{rUSX9UqH*b9-Hv-Fy9z!& zae2(i^-ek5X|4amn4t2oZC5IH_)6J@KD#>Y+2K!~?%Up4ZvJyY*Ni9B#^+4)58Jyb zrgv{WxcOue`>m3y;2%2<JooKlXnar}ZOQJw(&d#@U(kc=AFoKNxR{8%d+zyrVRq=; zp9P2CpVhHkexilx|BU7bZ@fA!3;njYEWb8KtA1V1VSyE%tM;rt<0>f7@u=WI(DMfh zQ$2Pa3g!BuyU%KJ%ZuO#`M*~*XWRXF@GWhcji!m?!M!&e3(FR{#q=zVK0LW>-K6=U z(TNpXQnKoT(*ij-78TeOY)>zk;4vxez*MGx>s}T#Uzq;<>`$TXlcx)vJY-QSB3r4y zW!jaq?B=`Hp43to@einwFAO+0cLj&|<||<@?#v4Qy!=#{MB3-D+*3Lo4Gtp5ne(jK z`7%8(ajgqlaQ)(SQ&)%iO!b@nWp_L~%%5()`AMgeu-BhXzOK#6p-+xkY!#iirFhky zox6COb8WPuXR<0Pbhye&?0mW^exvdP*DIb}B{!PFXV3dLIr*EIqONnR^<sm0AO7?& zUY#{@P1@(BBC!qb$0OhRtDFA{oGrB=@xGyY{p$a9w_Z(s{XTqUzWA+cQD^4Gn63O( zw>b6l`%g^^5BJRa>>=oKx9W^sXIRpf74n<kw2FCt<YfKl&dwxrEN-&CmEt)OSJ!Ut zm!5MxtE_9X&R+erIZK-D_J@#nMxv~2O$`&LF#ahrX%N2=^3Pd%YDrXU>Zibv?i(3A zoxAOt%Fo>ldu?6a7V@Y-@nwea^p$UXSEZicq-}gMD*amKiyw^+v3?c-DaEn64`;3V zbd5juTWWdg@g=i**$<!ot;8L7b-{Ulg%9ighQ><FezseCUHL~VK{4AkOBZU(+?*>b zAZK1T+1Bvx@>v>FBes;DH%wWv>a+9AFVQX>cSP$v1$Pz}xm`RNa4JT|NBH5QE-@ai z1M+_w?LU+sn8#Bs*t74gO5!B@Isf_Qa|eEF*L%_S!Dz0tdD8EVud?!wsmjf&im%=w zkjY}cZSwz>i8Bh@<<d`m7Y%p*6c({gA~9b1>eZyv-Me+8oT~XeWG8xF`}`wnNB%-3 z=Gl^QccKa>2)W-`!}e%vOn%V1rI&o{EgrplVkP}Lx1-cK$NTzyqax<4)Nd7jvlH`I ze|d78%Q+x#V)eS2YrY2;x!+Qj+`0USg<)1(d|pZOQR^LC4b37GjXs{t>9$_1^gL=# zyLPYF6h8Z3dnZm!=kg8wsBfk4?xg60N$d>TZKdg)Pj&Wbx}L57xTCV_(d8!jmJOCi z%i9H(*;#w!C2i=57R@{Lg2~?N|CDL*{N1+uJKeGxj=z|+d+LQ^dHtWAW$ng^($xi) zGWT|e@hD!s{jtlJ>)HvaMf-%iR&V2AWtZ*C=Kr31Y88`8M|6SLzQyPKJAe3oYY<77 zy;pI6MPbjhkBzacSMxY|{aO<XFPvtV*btQAX)?<s>(K5V4a0(GhZeWa;jK3}eC@Nl z{tu&&vAC(A`kbV^GW&<o-@bOnT=}^$OaAj7*LJqAKO7EkiQwT&xEg55!{z^ITQHw} zB=@Z~8pZ~ZwR=ALZrWSvFzx;7WAgr2-Xt713jb)Q*Y)+gsm7NNty^zge*6CIf{0um zvsAaE`(LzhsNaz5o))4j7MAe#|HLXzPXE<ks<Ksuy;+&03PW^erQFPS@}I=uQDA1t ze6dR8%}tA=f|=W1z4U25r`PNvt@pD%YtH}e|2CN#Xer6mI6q04|6o%@%WR25makG{ z&YlidV%r!K$=SbUH_H-dDHC_&RHy4vQ7<09TC;toTiGgSrZgA%Q)``8XcYZTJT^bu z@5hWQ&lYId@*RKXlr!H_u}$z);O|YlIwp!-IljU9@KZaDb#l!~UuW;x8h$RvaP?$n z2MYz$>CeKZF`TWPd77!)>)&7Z(C*M@d{6YFzMpvhcx(Ul2TU%qpX4m;7Iw-=_cQ#F z(cxSC+;pO3bkWzsk5R!au`=gPek@v}{GP97j?>RuQFe(o@7zmVXI}UDThU?_?vS=k zY0KBmv(nQ%wwnKa@~`#xG9i=7neW+rH~hLPFn7}COIkJz64MTM-uvX@ddH(RzQoC< zT4KYB#B?8q3f47yk1M+E*|?tRsb$Cg$9<3EcHR3OV#wQe^xo#%*$dy~K6&4M;S^U` z&i`#(1-CV=*FEB#wd^{NznDUQUieLc%M0g8NO>yA@3Q^OFm2M(`*AlrzW?TFRX7$h z<w8k!)ekpzlcIULN4lmO>xxvao4Wh=>_eYAUT?W0EM5O&W@8L@Oo7Avq|lzIBU}mh zO!{|A{?irpH}I+FFiEgco^j>S{DrkD=YL*Me3iBA#m$H9j<+hWf8QorqU|pCK0HS6 zo>$MlJr5<N=Xf-{>PhR|_V#>Tdx`Jy_@#F198XG$g+KkIa%7Xl*0OFMx7U1!J2QfM zZ%aOSEm7`qpY8pT`&W64Pai6ezati;U%Pr)OGj%yPpz!7#$)5tyun^uvSc57GCQpj z3p=C2`?*DpN7cydwZWcVQ~CUxkM+08mn&!dYI!Wmw`bPB4~v-|u3ubhx-Y)__ce~0 z3j{XqK6Pf>y4xY8-?n|ZDQESf<;yCgw>r~rh0QSH+v48#Fl51sqlHgQBHvHSz0ml4 zL#1TkiDZifrYSz>TEaNqyqNIqCFAC=a~L-l8_KtAmtSV|QP1bv<ks6RH38MpX({Z% z78<uAe!52~&e`zp#<N?Sl+^xixOQ@i7T59)^?B|^Tb`VJx5U4N>t9&+2^MzIlB&8X zaVMX1ik*v#yDT7nJVLKkCAWJ0&Ge)duaEWREW113;3b3P(HQ4t7v`23)PL2!IyW-B zr}>idjfa}5a<%hr+B{J5-Sd$BK-!HR$;unHE!-^gKe%Jp%!-iaLPqgyk4q6vr#GGD z77d<rF#XPy)QXCRSt~f(<W**@^Sa!!t~6HH_r*1LU3K}*9}Um>WPiF|k)N2IY<_t6 zQ^}UQv6a`?ED>I8B)OD-?frF)v-X>Rx^^*e+olueM80_FXgqu0_QhkJGxOYYXF{6S zZhok)e7DNz+K1TK%pYfW+|jyQG_CIaHEzpii4FRtb-TA;W?Rd7L7-@gkFn^wrkVpx z`x~Df_`G^^{zCcSsdu-FXO?%ea~M>ds4X<RyN@OL<OeCv?oaR8UpM|(RXe}l_I;(u z%~SR&Z%S=ngc`_PJG3}oSWD)Us?7F@3t7(x`6_#u_jPQ3w7p-z`00n<{JzpTQE%5> z_#vUOx!JqMdUC+#qKvq0iy{oaPgxkNsdcvYz5CB2H;?~W`)-No;x}cJi~i2oF?D** zhLt|k)wMj*TYlY_?#a&HTA<c+yMy~vY}t`T8#+ZMA6Muwxu(6GmnHr5-wxM#i+<$p zlaM%e<58GQ&s9~fW6X8DTMoo7IFfTuM0i`vkJ*B^w|{F-V5#`;_VR6->g1Vbr@p;S z5!RmkyKAq|{&gv9Y#vu%PMhh<r?bH*!!x2zd*b@7a<}y!PGs4qu3}TR)pZ&tUq^iE z@u0J1UMH7D?fQDPdE@U4?lSF#Ki!rsTWfI3?#|4hQ`%)0b~uM?3!US?;ClbUhtG|7 zq{M8WJUhy9HU3?TX3~AT8wdKX&G0n!<~%*6Pt8brhRMv9l&8ijb49*xb=%dQvE=wV z#+T*>$L$`!-}~})M%uN-mHLjgQyb4enf~vi+RROD(X0mkdnPydpLkRnaN^BgX|2t- zt*lNKcIFAIefo9iA&30glAkiGj1|`hpD|ROW^6t`>QfB!>AC~IBOSkLDp?CN3O+R# z_H8d>Y}8hJw(-xKb;g40CUr-f`b?QQ|K*woLHoU*OyR9~+oYe9dH3~#BTN^iY*m$R zSudYG&n4hfqVsz_<_b682-BxF*KczkoVVyeh$h=oWzjVYy8bCI-B#SP)bMOU*R`Ko zEBqF9bX`)KKJ)yl;sXn}XmRU>$ZdJ-Cpl%pEc4!r8)drM!gfVIkY@QP%XuYf>7@79 zbgaH*CvGjsJ|mx?J;ycR&a)Zys?teI&B9c_cKDyE`<ZA|^f&r)wvpk_@O7Dr>wopW zSrzfZ=bZPv_UmuIehn|}i$0WHwf*hIjK%6%4!b*Srul83{4%3=eZl^v(dL`Dre{Ai z6wDM~qL=txxWOgHA@ZL8y~g`<K6Fhy^k?#H#{<rX7-q_EKftsjb-{oBBb!eaC#v&S zU)<QHy^Sfu?19}jYkonS=)-1N2k%vEPX1{x5zz4B&IQxn`V$&&XXja|?Rgu@y6U~s z0=}yX_6vl$=UQ*GY)<HT&BgvUQS;NQ>wQXTS${5_)l6Df^LC<(o2;F6S?~$PQ@8Ff z5o$IF{Gh!0bKizo({CEakE2Ab7yn)tC$X!`B0;FG_u-lX$v}xPEv=9<Qc2Br8xr;f zW&Pbcqu})oN48m4KIk7^^Y5(kku|I0HN(0iCd^Per}5aN)$D8N1jYWIX*P`wX0=Rz z=d12HR4&r)csJ?iofH2o`Ax*kmL=>y-jwxUIrs7U)dvnum~ib8Bg65XjwcuLFRKo? zW_YQ~a95MSzR3$WMff`~&PkVKy!MFoL)4<AuYnh&6iWXoOgwre+(FxT-<4kWrqirP z3@)YfZ>ibek)~SIe10w$Yi9Td@h`6bwjDYl`e@&TqrZ#S{yp}ezu=HC(<{p<{F-cP z^V39Mv9k6p{Qmv-j7MHR?UxukJ9ga=>GxUo=#;<(juk#y6{7arJd?8@?dQ^Z+%d~@ z@4FRw@=duuhAfJ8_UX;?oby@ZUh!|=cbBF8iw@J>mlFlW*mx(gZIQ40aQ25}%x6FO z=__{lCw5gV`dsa_?-a}Quwx0o&tE(%o3dwVEdN5m<EKCVNZjIfNnLEZqVv>1Bir(u z*Bsn0i1zi_KAWNH_9OV^p*d6E9Z6K&SH<;pzieREqMuzU(u=pWBsFkqIB{my^yRtM zT`zP<5D(z^ENzj{!+MCdEA3&5X4t>is#`WzE_{~gqSM&){A}vZFN-&a7&iYafAh!S z(z0+}5340vO~)oYsPq0<c;cmzE&KBMe=?s$)mEJ6Se$&J;+yum>KWm>dll`s?n(dm zZ_@vhpMG?`m@_~9U-<2Z#|&A8CZ2t|P9|@|Z0<{?PYl!Ta#@xCUe!yOda3bLq_BwC zK}UNZhE2vIt~D_(|LqPp9Cg@LpV9G_$+#}yPVypqjc@<%)>N$UVpwrl&1N~rg@+3Y zj>q5l8#nU{i$-2heSTfb_MI+2OzwTJUR`h`;-TKbS*Hd1{xc-jWdBWH&$YX2+3znx ztp|nl4J|&|dlzb4IC7%;?#zOQM{>_@$AwH}<v4x+x&MS8d~XiSTO?y`+3ECdF8{Q| zSkv<vhvPr(-|+ix&9%3?E@sZ#btL1O>}1Uwv$s^LK3r!kuFSJNl6Td+FDITe>BM?? zwq(ti)E%0)#xga?Lr=t(E7&NoZO#<QbKIgAYs_-KnQ$+N{n@_l?bX^(FKbIhAOCw? zvU~H#X1+^*I5IwcJ#_NVGO>3VuXSRtRrqX^Z{*OpAalXz>*-qz4TjIx&RDZb>~KaE z<6@zxIo3ZmZ`yd?`PjS1f5i7#f0g<ov2%B$8kg|o@2_iXXa7*teEXY2DyMM5homL) zS8q5v%+)s%NvU0OIJf6?;Jr;w`C&U9Kh>RR$=%Z?`Me>8^Gb7F`@hA#IhQ`I{F>n> z!hN_&L)(72)&+}&FZ2Wpt9U>B6IJn@Ey<<OeSF`Knaej$7gF8T=*IKZ_iNhaNnUb4 zW^A!CO#1Ys@kK*l&h;k;t}F<>?{8$pDY?$3He}PR1O4r*?#c$v7u0xZ5-6hYT`n=V zdhcoOm#p1s?2Hk2s@3YwC0*ZK(b$&Q%k0eY^y&#?-?<-I9KD@BcgutZ++P3hw3vX1 z%k)Y$p{ODjmbaaOQC=sH9;r)aVmf?JS8jUKzA&N3A2z*B*8IJ(Moo{+A?$I6>(TFO zk59GPom;nfR^GES>_tbFPgEr8g``cjy;XPCc)874r9a0GUf#^rYvlE?B}_zV{|C{B zpEKs(|7&aARWZ*+>h!1HlUd6)^1gfh!|`<d3AW2uU;pVkrdR&P^XC<beTp-tor_g^ zvM$W+|81$~=Z{=DzwnK6fz0f^#T|R!mmK(5;^Z(-Z0eruU(RpD>{465KHPamet*!x zInuS&oK|)gpR=C)`t|tmZljBHZrWwcm~g2;An1v5*Tjbp<!qJDt7t8nFsn5}{d?U^ zi}*yjV;e#e-}m=?xnla?H%;rmcT`DRTpi~+cP^2mkMsK%UeXBItoA@9??cySUfn~F zB|D#=$^PNIJX$)egz076%4lxxTVan@F1wttvsqwnddbW60XtTtxpZ#*ZpzKVz+zXj zQfkJFhdQekuHPJRT_R4z#EET1SCp|*t;5_4g<Dj`&ern1S-1QSLm~G<=?{z{rNwuO zdXoP2|0vbE#JG3)43XfVL>V&y*)M_`EB5|US<tc8_>knEjV5uYEK-9D&SY;p^&)as z!=g*yT%NpL_@$wKcc0CTCv#3r5d7-+@a_B$FKVr0EUaGV)_Y$QoPX-B&iuCxPB&y9 zPAYBrU02}hZsF(FxZL*O?i|VBuSHMtDtI(r&pzjse%9g??-K5pW_1^eVty2yjuT^5 z)zHYi|Ky#Im5tbr6RbyeUAWod^t1RC>*M;g^z$*ZCQ5Hg;cM@kcyIkWY2RzA2ZW8+ zWy(yxcfm#SkNHROy$`;If1TG-_*Ub0yy`yfUH^<6Gj$JMeBFNJ<yDExCyg?0vR=RO z_i@JJDH&VO%qd{qvB3SXZ-=w}p{*BVEKY8f{~{#1K<TRJZ_#w?=M~De7n(0e&z`7Y zA^!f3>Gg%CTi!oi5~r?tzI0Zx@QE)S>^xgv1U%TPck2JMi{TIU9Cp7vBkShv<^SEf z@-Ive()fJN{K^8SMHcDPGK9=8Y5kw}_T`mr6X*W=zArd?(X*w&lc$~3e7r~eSP|1_ zdE;fz_slVtcz>zob8zcKbIXVeI#<^;SY|)&@VLC}h^M;ysvTeUX{{5|_|}}tb^XAP z>ihl);Yat^F$J^x=$E{2DBd#r;crI9d-|@G@>^8q>~&%}a6S9BlFj4;-&Hm|*dlVd zF)v5>WT)z?JCe1@H~L%4_tsB#H1N2!e(^0yyQ;PGdLP@XPgGA>qj_cJo>B+7Wz28& zu1&6~-}~!T#-ftHE~g*<nq7J!OI*z+_T*lrw;J6i-lvD&zaQMHer>O8$k&RQL36FI zuTgdst$w|qy@BQ36yIx8R{oMy6u!0c{L;)6sVcp$<srd&6&q~=n!m66KI^ii*=e4u zJI^n8DtLxzHdjM{=EeT7Lz2Z@4lTyhEV>q}?#>BraaY^v%h8_OoE%!rdZ<!2B`E1% zp=x)az&64EKioLZJKQ@TKiiFQ#fu$%CEL@Y)Jt}~$z5E|bdFzv;fGFZq+7R<x+}vZ z`QRU09;%1G;Oe`YXKVNQPe6?0(mgfIx>v6WF8rtG81(dVWY*gg)4mnY<X$UtGsZh` zw(6X1Kh?JHe3ko*C-0KDIqNCk>~p@`E}hkIFx{*E|E%wVB2V8uEt`!$&mL8~eWT}Z z-mcHH{#)fqscsh)C~;G=bp2@Pcct2OrfbUCnI1RYSEaaZXqROU*{G1_lXZce!{O5U zw?T`aC|#c<@jtaiboYY0o-9{RI_br3Qr6nAmiuqKe);`HMhV}TZ|OQ8P@QWWwD88+ z#eP+buSw3Hz@vFrDOcT4;?FBaN4-LU<Q<WGCDsfI42(>S3=9kn91RQ%qCPC|5@y|~ zU1d3aTQPfSZ>fmw_14YCflvSN1-c0&e4d}bH%d!4OC#X)!lTN&HoyJ1^U2y18@{sH ztQBFrH{Ct8aea|j#SyDlg1dGZZS9$5dsL10Z_b<J`M=e^XS`&GErsO(FNIw?wQZ%5 z8v}!e8{|+x(4lL36?yQLune3I-}Xl!&YUtdGE6f9ojGM<W@s?whQ^Bg2ln?KxZ7{O z@Q>wW<C<T7jx3G$)$-)I^LEZZcu({7WbN|(x3@3KpJ9IT<%Jso*%KI~dqu@#l`rNp zeqCUfHr0Of)OV`go$WT<pBE_16g@H7WoChP{D#nc@0rG{CU&fw6#t5KR=;1u$;;oC z1wQ8$*zXv!eaU+%rjip^c-GF6DJrr&yVmJ(NZ}vfiJQ`<xb65YmUx1zackjpPac1^ ztp(feAM-oMIX~(MkH;hR)z70senflznmo1l+JuRsjS|bFi|dc)@(aH<eYmpj=4lq8 zY3uw>3+O1UIlq$OfKi5zIa8k+Q`YfZ{i5ZyOB=r&tWnI+tl7VJ75_B7KW7)OyHJ0U zcWuSzpSPwzZCw-o<jNPXGeIx!=BNp=S*CIQ&Um9y|9e8xN$2*}7bY=ns@p%qrfS~= z>u{HU<u@PM&S8IQoVxgn9j{52e(98#>yDqjn?GZUs9u(|%eVio%V(eU{r0xo>eF)@ z^H)=T-uaf}(s;K*;YRd?3eCpI*Oo7v76owXBw2p(<I(tkD`~?I1_M{8f`%^w&*B+o zWZC3c)n0o$J@UfpSo^0Z*Y+&^BCGCryhv{kr@>d9qrG2#88pbscO`qLF5Y@@rya|> z!a#+>r751t>!-8(+b`EKb9lO8W31w5YvmsY<yE-Un+xUt++3S!buZ%l(_@u}jlK)t z&T1BFWiv5mxwQQh&p-bs%dM|ZI9b-5cUSUX!NIR{{>Hezm~+hMeuZvNf%zWA`z6v< z6=#^Ux?0zJ%&T*0+@I&Kuc!8Q<)Wlzp7r*t_*q%RR(35t-Z6KL%KB5koOZOfUdqmK zy8V!ShRs(k*W889_ZLS7zu*tu^yE&!6B{WfnJo+XZXZ(pe#ztewMzRZg=Gdztv}DO z|F-$&Cv~!F>LJyGEnNx;roFd?SsG%$t-I#L+?LF(bmozdq?qZR+22lh6^ik&H2yJY ze&BnSsdn18Pl5hjU(UZ0?}~o>d}(RkvMv?r4T;iwWSj55X_t2r7Cx{3#s0q2r^I#6 zJZd55XFoVqFq=yuLLm9A>hq=@Lfd=l9=KM|fAi*5>B8EUi^})XggfLmJ@UL6am#o6 znvJt>O+R%Z=+qbE^I?zuN@5p$m~vEAWmDWFx16p1Z|gt3<&TI9`6D(-NzL%WLcf1P zmO4E5>n|>5GZM~Rb;YacXms<vEgR#jFRG{UGfeb6mn?VvrQCY02F^DX(FY$)_`PY0 zu-#;3^>l`yIg1=m&32S=<V;bh`jjzgj?k(F$J4eYFWRK1c>d;r&J2rpo{TcP!tAPl zl<{vl$Q3<Jq^N9B`da>lAEOHt7j#XLcwzhR&%DF2$w#{m|3Ce{V{V51)M-|2V&~Yx zcR7kK`z|aNqjJ-eE4?T2`*gh<6{$yLtbgZ-DA}aU+_x;J@Xp)=_k`ykySyXVLrn48 zwxCn8Pwz)P(NdY<^8T($yX`Tbv$BzHN$I;Kr#;)RwR5>`-c<H|J_SN?nU}c2_b7W_ zKO*vTVY+FAz|8ykS7*Okz{Yf{;pfyA6PsjDDW7jym(968_<Wr)QGUBfL|=jCDS^-1 zSDks{Y&f|p?r^%+QuZT-Iet~<!FjLF+pS*v<FNItjKh^33%<_1WYU<~deFKvS8mh4 zR?oK;if>O`uD^KXMel<9KdP9xBYw`2_VMMrF7doFGWHvT_K7w8@find-3+Vc<;(eR zO*_9+=<z>xo#f8&7H`+T98YsMFoj<Eq&_Q<W6{fM{m)e^($kn4b>f%D7jJC)w(tFy zIUHtP!XI)wOnMx|uP>f@V&NLgS?`Rk3y-IK(@=}v$eb^lu$q7J9j5hVn;0G%g{)tZ ze&eumhW3o6c`poRg%l(&VL!Dh@`>x&h3s>jRgXK%r!}-nT`4(NGDBs_A7ur;DA~~c z4|-yLyk0l?*Y*W1Tz{7BReTl5wztgxUB6zz)l+pXjR#_nFSjnd6}s8vW>DQvol|+c zl~2s+=qg;TS}gS`AzepVqaf#B(DN8WlM;m%XZ|fxX`R(C9|&x;PvkJJiw-t&NoUST zT&?D~-sFcl!@|vGe`5<zq%=v`DZkcQThUr1Bbr^CDxNyo;YICJ=R4*Tlm3M3d|`jg z^uI#O@$ejtd6B8Eiy!<rX)0c9sQP2pM%RdYVUO>r-AX;RWAfisyelG$mtH*Y!5ee& zOJqaV&wEC{`}P*d^v-D5&=IqHRSBcS?9!`@?@vBH&mQl;H(B<9(f3Y0g99fnF72J> z_e0!IVUhaj87oe&4XNXsTiu$OXCkBTEiUm=W=6&FU$&VickD^IrV$g^Zna{i($D|% zCY-J;YSMR#Xk7NCroG>@hIPGGl#%~(n}opi?{bgF&Zx5cxXXFQ|D)dXKN_E_s9&nP zxU6=`cE!Kk4(CJONP8=Lp6qpA#^J+rT{YX#>1ZX_Vw1auZuh*ql-mUN)tgq7?<_ug zWaop!`dO!!?Am|hK)i#}!;j(5+^%i#j9K&L<etcP->=;b?E19izWg!AY`qVWsrC}) zvX>7XlF!Vzk$(J4=kkMjo$V7>`DOmmc4a+U7yOW?{g0E^>19b9=h&5daA?R%t&_gL z{ek?w4P5gQ-PpEqP0F9C%q_~Xr8el&i34Tgbp?->mpCPr?{|_)Dl{#>ak0?qzw4!R z^`P%QKXYXQ?R1mPl`<lfT3ZaOO7ypDwRv7-`t8JIlpdqIiKp}R`SU&7*7CFWm;Dnx zZyn#iVY>VOt(r^n@`Yauo{&st40PR6anOauXj=G^1oxg)EzXI#CaiV6iU-c8rMyjN zTjMmhYDcPdmGru}lJj-PEiXhH-Cnue_cO<wy#DsgoL5gK3P1b3_O;0kX5)mVGHeQK zG<#h3Ysfv5I=)Zh*niPOXEPVBV|SRx=U?9}dwl+r-<eN0$qP#|EZKhL*K?jJi#`>6 zI9bZA;(hCRaLwV1g-*>mtp!g4Yh%*09|-A7tY7dUZ^k15r!T!Z=7!226t_I&z4tfb zVqag4`;M@*dr7k+H?g)Ht*zU<zUN!unZ1ezN~v-YLXsw5vgWPkv+;PvS8KfUT2gab z4^z|hlTTvwrnx*`fB3a-dfIQPe#u2C8WVV<h4c5$>y?bGOEg#A8a8=*^Fz6l6T6}| zr4}mx<-6=6dP^^vqabd_bQ_LKx*c|J_kP-GraCbtJm|r{oHuNI_dB2Yn^>N;&o)1I z-rTEi(v?GZV%t@XP1@uZr1iPn)c<bMw^iYrxWoh>F_W49?oBi;o8>ZtJ19zQ<;&Qo zQs2W`efsV`Ieu4XZt~je0y*cHC1t*v@_g?*-FdP=?bW}ft5Ox-?GxG-tbcskqAOuV zB_9tRh~rwfdc73ii}_5>TGv=4n;6O`pALSL_A9jK#||g{ADc^;{%*1itdyAS_{S#C zQ0_ikm1^&b;HS44Z4QN4weoNC>}a?qzg_+LLk_N)p(WO_rp9_&ieI_*81*jq4m-yw z5b%TJ?5VP~B_-)o0t{I=mP;}@e-cVg*51EG>Q3LZH0_#Y31z1gPcPUja-zui!SY=( zn}yA!%e?2zpC~ZRPW;$>QF8~|hrSLPO#)?}#!;Nyp6@mli{?8nI`rc7;&4sPa7Ba9 zNx3~IC-(1DdgsAabp7Vp)FYW5(map%2L@Dz3L6$gZ)vXIn|<=dQ--UHGq1W!R_}0n z=6dae-0GDE(`QLK8C!Qqs!W<}9D3L!>*EXwvAw=GnBtELMXukhx8tLaS6;o`RkN7u z%@b~wbURt9tx~D7*W_JL6MDS6&|i6;<qe6Qmj%}~Je|hEQe>XKQZ<#`M|a)ou6L97 zX)fn}=<y<H=`D8tcg#!Ugj2(X_r$-o5(uiWe4TXr)yLBGnrod*S6%O%`yhVl!Jf?J zqN<G-FUNh=%d*?fnDVk-w`5_{$9g|oJw-zf3lYD7AcYBUgI_F?e*49Lif6H9!2^$$ zI)kcb|GI>{?YSO1ct?HJJ^Ay>PTog}vm>9MY1tL%m-gQ4mS^qlmjRlmc09cE_Mzr` zXVIjCjB#_8zq^0e_1$0NU)dFWsoK#B`DaDmNE$zWA}+RZuJiv%n>QQg*&VOGWo49k zX2RS%m7S`~oRutpCF&XqcuGe%Z3}(>|CE%)s~dZH<Q6>MC&QE2CjDvs-EQ6%$qC<% zFVk+GyRq7E>AoLt4HZ}4-`x_#aGNhLDqU-HRr9r#E?>(YUn#k{wXWdQP8rp*fMYlR z%EkFt9naTie51BA*`M*<!re;MCm+d1{n>gey!ukQA)D#0Svj{RvmTq<Is3KtUxS{M z+F4iS6?a~oc7I*mi92t#nj7b=-LvMQf-@)Ev$!3Bg8QG920py0dWdn=A!fc9!|Ar& zcWZMO22_8RxN%_m9qx<uuj?<ovMo~laHQ|_tgM2jR4cYpu2sdaJC5z@nd;6RvG(@o zWfGw?dvhL}rkmdGNLe7nlqO=SB>Vo_fyW1Vl^mF+9#8c8a`*h{mwwGt#ICb0;N!j# z{XIx}`^-Driaweqg)W#fd*AsF9Ny*o?{78Mo8Qgqw<`Nb?H{olPm0#ZbXMpD6mwcW zI={&Lw=UD7+|QiGULQTJJD>gaWM)1paO&sg41q4I)fK1p7z?HLXlxHV@=}B8!vg+{ zr`;Dmy=$1+x7@F0eSz6u4)4dW?kPzxmzIxtHgn1Gi)AbCt38}4TJ=lx*16a#PvfmM z*K{k}eES`F$n}TlT8o*f--Rt1RxaJQXsN#WiUU_~R~f%j-1g+S!Gy!&FLrM;y`tIj z*f-1me{Is#$?tAvKlzZLJB8C;|J?4$8<Z?O*`lT1-M4QuIcewr{PA^FyYG`<eBu6Y zF}41{WfvFev&US2zTKR@H&kMw!o@vRtp92zKMLkMsMU1)*nSsv+3Re3_RWmk`0cKx z)mq1W*ZNlf|CGUcQ1|*og<3YxTN>@sSyl48XFQMh+8<&RvU}+ZMN6SiLR+6nZqPH7 zmUlaRsFN}8gy{@btzUK5!&SV$-VnH_dLcS*pPEuaC*K^8&$f9}Zzl3iiro52_h8w% zqjMyA>lX{WpVdE?$!8g(c!WDw<KH_!V>PSRF$F!SEaI{G*u`bN{7_>6`?T*@T@P%! zRexdfVdmoOMhpI@S<4(`G#1HS+<(MFe^JKVC4t_?B9X77zE7yxulG@<o2mHYWPvGN zmju@)MLsk765jE4#l4gAwtU-Ouy0nE_}Z4Je0kH8D;@v09+|jrUUeU%Mz~vtu`X-u z%O|SuXSgUHUT6QsdU;i;Q@O3-t3uiDPYaDU>4?i^=}b~J3_R9ex_nheQR5pA&S$1P z_3K1eIV2j*n{p?&HRJ#KkXyC+%r%l%3R656IAk0TIAVA6gKXcc*pIz&t`pw<`}ARQ zl6C&sb=;RX{kitkC3SvW(;;P(c+cl+wQjR=c@>BU2fe#H-*-dqqfMeF*N;>x-#K@n zYfk_3Iq4EoiA9}Va(n;ZQ7hh(E%Hz1pZsN)N5P?I)SFX}#(&!|JMOS%&692K^Q;Xk zdH0?AA+k8`$=1+%ho6!+vfq6RXR(yb7P)=EQ$_CB`8KA$WH+{rOF2*J3UT`#{%G)` zS}4l(bm4WwXfHO|wU1v{OL`@@naT)HUw^qsukZ0R$Fli5EUPPSM=ZY;WX<&ZVrW<= z>x|XwRb6IQKj)rc?O5FG#u`?pWb@9$Nxf##4^5v<t9hTxJGov|**k0f>Z^=~Sr&@X z3v$x-q*h+a-OI`quXx5bezuL7*z^QGgNr}@tDjELYdY{g?qkl<JtcPinhB@YoII_% z`%u}u7+>Qv4u>^)gD-Nu%LrOumAY>4{?dP^-rl_XJJVtzr*4l9zX@X#ze1APoIM*l zW*YKrU2>si{z=Z-;#W^Ua4Xz7^6_9jTOs3_rRCpjb)IoIvg*G3?Gqe$&x7&zmSvsk zjLm;;Ra9rY{8oOH&##fzSor5up^DPhn-Xfi?$eBg<W{yk6I?a>Yx~nrh93$STys3S z_HNqUH(}0G&KZ{+ejC<Npj~0IY2&o#%?2euCoPf+<d0}F4ZeH$ugaSzVp&r)&nZi8 z*7#X}KZ~P7ZTAQ1Yi0ubFYMM2IiVzbp^Kq4^qipV%H`qr#aVewuX+E~uD-<0BJnqN z&iXvzmE0FRI3L;d@rCuU+_`CMZy{%86FgaA-HF4OMHlLp2V7sdr@du<gz-MzIa*wD zHT$nyx_a_6^u2zO^pc@5=;GDPhu-fC{CoDC;;U~G|8$$_)bUym*^;zBR%ZUT!F2~# zJn33c<-Id*gHd6H&pnP_m4Js<FSuVgs_cEb!r^S)vSY7hUd<EkJZ854m2=H!mkoC> z9dF9ZywvHv(AQl0Kv3mvrEP_8(;M>mFV?^EnQX3hEC1JefonxG-DR?pStUiY^O{;_ zupB(4WvBMX%CO|rgG(o7?qOuukhkH4`OlAj!G^YSdcDi4@>orUXR#iBx4i5&Lub{` z6(?L)f8DwAxxpI2iA@Ku-uG(AT7S+<woSL<-b`<{XF-kc-&IE4dL+K%xcJXUE32G> zk}6Mg+%}r^+$XbqmGUi5+nI}1)WwgapVtpRs?_+p$=UhIk(O&e)DumG`Pi(^+c{dW zxEy#J@bK}WcY)7)B*TRbqn_{Gy0T;1r}+~WeQ(>}m$YaFL-X(b@zZ5B7uZf_e{ktS z_e#}`6BMQYW-}hXp|wt|&2IDC8{fo=zdf@#X})V#<|p%Ihg_Tfov=Q^I`v@NTFq+z zpU1MMzB#)3#HvH(;!>^m`c!LwzH?1KP{~`I{V|w-R^}Pb-TB5ZO){6Cd{MZ{Xc>F* za`yX&zJ0E8u$B3^c=w6tzido)K54MA%{pXurB96IqD$(zfZw}{1RRX6Myxwh6VDj( zM&yF6>Eks!TKN<k6klAbpL8VHy+}~d;b70vKBEMt%@6J8&Aqx_H>r8X0`1hRc~!nY zRxVn%c-CCacP{ns%B_2}4lB5LOR_H88&-XtWftp$MH|*8yw1>l#o|5RMa1u-*SuYe z=2gy0GM1Ts;jm|i=Jr)4ofci~@%fTU$>G<3HlA`+Uap(B`qa<oyDPqEM|RKi=(5g} z{@QN!I5@0XKg4KFCflc=P2AaK8z)}tI@bC0SZZ{o>1@79^Xo45WL;0<)%-d+zb8&L zLWr+X`+MZWi1_JhFFbDk2;&$1m(3P=d$q^Td)gOY_eh1V(mOe2S+wYE`xg#s9KC;n zxCFZ!zNJg+NhBQDB+gU)`e#>d@S$t-I>ps4vK>DkzaZI8wXyi$yDdgf*X-uzb5@)b zcK=@KK4-lH-H%Hi6(tqyX7~QyA2)T|ymyTq=G*2oRVYtjU7`CZZFMl$E8EY~0+K9Z zMhBcL9<e|AVjy?0&~;tZYYxc;q5mRguXfI3mO8WI)KqD&+oFE^iw)gs=JriAc<!iq zhGYJTBX)@|{d<<qX5ahP>Ee;=pC-03rmouI`gD26(fjOMHeab=ix9Z~XL7%ktG(vF zS1!@&4GLSbw{sm_e7Rf8?p0R8Zdnl@lY6b4CiZ+*su!>SQCg~IqL#6L+e;ypq`Cdl zA_7c%V)efm>OEFl9hSh*{Xg5{s|DkiL#fNoT>8S*`8@Az?D>``@dw?J$4e$?9O&eY zpU<xlQ{SA%vgO5o-|c^$ds<A~kF6|9J*B&#(2cWZk9SC1fm4-t+}WNrm-hNLpNmNq z6Zgx%Q|!IxiP0QGrB&Qosui!51tosj{a>0m#iWYwd+x`t-&WWaub=9@c)`S13~NI- zF)PQ}a)x}^zBQMf$%&i$@_FHFOBMy%sTFRh?>#J?|E<PtwVP6_olbMzzDH-?|MXs_ zx@bn(2}yO<{oHc9b{9Ejhi|z3wED2q0^=6~!tZbOyjNwI@y%Ofxt&p4m8EDP&*E*{ zOM7<<Zcz28V=qW==sPC3D9t1#;>PEBjx(Fx+(jl_?b@mlz<z!E^6ov2`~N&yAv9_4 z%BbH<@8%gz+r4$7&}K!AKF`HAE2~7Ge{lTRv_<>ZJ01IF7ps`=8*UEVF?(5>!=-JL z;(dxIc5GEns>oD57TkKE!gQY6H+hTmdmNr^Q9hYclBjcS_w6$u_9Ux|Y%<WQei=0T z@12NA3*H~JVd7NKlDzPA`jK7VWe;4jJ0Zk$o8iBaU*EaUHzl{({`FQDl{l?(<MbA( zb3fVMPmDj2I`vbV`Sa5kjOV;_y1(+~3oidFXY6OR?>L^dbp76lD^`xC5m)-J-@dzb z>5pAj0h7)4es-*EKKn*aTzknK72$0=|NMW%X}av<x`mE+13#`ho3Q0nZS$vD)q0Aj zxD>>WPuqNQDtq0kDU};P%5H91?t1Cs-eaC>$<}k1J(uWwtI?stq&Fd?CfDT4@y^>` zQS47NK1J|EZ&|Y0+@?|AF*M}qEp^Y5{nKqf-B7ueckWtK&f(lV$#Opn{fBSFn0!i~ zt?gkrcuiyPE1~J%dL*2qXD2Ncj7_?Job}A&$FkfhC$zG@&sjSq;u!DCs}HVAd@m0> zw^r&%mHO?=b9uCu20u?f$xx`$U;eW8ZH|R~>cS(2Hxf4&Usdgya{ljqwc@&0Z0tSy zn~P32$R3ig2>$2k9=;{;MO?FE_8(CNroVy5wm<T>T4Wl3uh)gE>WR$f2j?p#l7f#; z$ltoRszPANmzq=R-+e>1Ukbdrzt8=J&0mcZ-%^fr<u!z>r+Ur#_;V+lil$=RN?WaM zTuHBw+Jt%c=A{I>?s?U8EaY3ULCgjL&K%<#rO788k2y}C`%&DycFj9up=QQ4F6v(# z_H4AAR(yS}f1K@?i=6Ym*niUS)CqcK&Af8b+1Lo@*NxNved#(^m5{Vy*4M`YmrSJE zw`}OkJ=+xaI&69U&6Rt1KE2^A`R?kPMbAYoRe1hSE2y1XrdY)PH753X$->${mW&ZI z-7}V6U>4At&Dtmt<~!|l@xqBeQo@dF%`cjMKl%rknbnP_n=Zyb`_@+Vwo>!<?W4)g zy}3QVV%^s;N3G|tzViRAz_QrjiC6AhZBEiw*&`}v&CS2OJMbpw#g`pn9ep1hH=S6i z+mtF_ESMLvsdUo*jZM5_*NxPpJ3>|k&Ae9gE|KAb($zF4-$*;H$ERa7vVJlymi(N= z)R?dQ-|~fUy{I$8VY`3qQXE;FL2tPlO(yMf;SGCxI=^74%)uS4N*qfz?t8uT)Rnm` z@7bc)6dwBJF1F{DTvSTk^94Bz3-p_w_UQVkZ+Wp)EqcAiCXIjV#EdPDCUxIE#vD6M zMdIUB=2bWC!xVZ~9$3xUw{~^s=lw4)aelchGsi03YWJge&mX>@m0sTaI7Z~8jS15n zi#t4PCqFBF<?>`(<FvVnd!AO`W$F{P-lF7vDQ$tTlx;_m(F{quxCK75Oir!JZM@!~ zs>HDI+mEe>?Urs@a%#!ZBi?+|&YZehSzdkZ!>xF^+{E_nzI(nE6qJWDwJuj#=x^Iu zkS8~xes;lqk**{Ef1Q^uevuTlDokVU1&d8ltEcV?QJW&${bhZ!JQKq?CuO$hS5qG} zYWGgKY_|GZHk+=Yp^=0@zqH4<pFQ77EI!;@`)$Lf;~d*=uDe@WetEX!l}cA%(TyAT zCtAGsyIeH+pX3~_jd856m~wM>oZpgSCsZaMc3V06m)!YyXAAoX!T#9>)kb_1LYBSO zTisf$>XoTv`sOxc9$(nj;F$?apNQ;Wf6Bk}SNFO1bHm?MFFL03NMdS=`F9z&Mu9NJ zwJ+pCKYk5-Yn1ssKyBuwqnEYcKCtvQ;bDvw*~QXy>&oK~{buDm?|8WRuXy|TZ1*g) z30V`EPrA6hv*J<;<%;`0b)V?u>Lu+43i;Qv3?9AMGmFLFZtka7iymt;RIXL?S*piy z^H`ZoSCMkam)nnjtvNNLdAa?wz^{K-OqrYXqhQ^wC%@`HM#}GF_<JMYr}@uUXZ@`! zqmG#U`57jlzUk1cTmPp%;+x0RyXdNL-QmBtrA6y6IWy^BT$I>m!_=SSe^#PPV*h#; z&nG{d>LaEee*R{6Xyl2<@5SH9rimVnF;8VnVO=V)*YpQ>w~%OaMu>+^+V06bcekZo zZSG;et(-cOC2XgUPg$Qj)1SBRyx-jrN#V$dpC`5UNzS^eXOsAug&EJ7%4+J=tISxm zr?=%=|4ylYtIo3gn0BE|KeTn`mg9}fr!mEL{bo2J>R91%ch_UT5TP^Aly*89^O~_s z9$ztc|Hh<-x61^YWeZ+<JZm$~Z2ar{x5r|U+?PjFQ)9w?-{orc?^u0Tj$!v^<;qB} zxOJKhzWimM-?_f+^Aj_bc0c*R`0wQdzFo!DT6#9~k8Cpkw0^~;4yMRuGXv*si!Wkm zu{YA$@Fv#R(SQDo=F-J%#mZm5Jv`@nYUSpp*)4acJe~aVxvg2v+Q$zWWP-oVSs3(i zF9-K0F|~%sh$Wx+UFTivJ6k8EedO|rNR|&e0;?Qag(c%0!Y&9dnj#Xs!>&8UEn;@K zXn*0mQ;MHMRA$@l5x5<(+Gc)mjp8&5j*{jQmxE_dI;?lL5KRnH%azz7^TB~*{ZSo> zT~nuW-F@Nz`^KhM78A97xmB$;hV<{9l6vB3Fn@f3L*I%EU)ptLqb?Obin{SWq0G*L zLGbaeoo}RF5614^roZRjm6iLhZdm9cc2{Mu=l9aR_aYwHel*xIQ9Hn#W8>~pGcB*0 z6#<g#3yj#hjz;|T$m&-A#p-_YY);SIT;FomC4Xwpw@-MsYTC<rsZSMFR6AYgb9Kx% zd|s0ouwLOFzx&>e8FweojO@?Pyd0%{df~y&d+zL;x0(z56%|yNd{tpV%IlO~@3J-S z?@H&euGZjrTb-}ADk8$bsW<*s&YOP;O|@d*pDHcU2xj)+-lN66M&2>AefMOaQx);Q z<oFj~ees9Ae2Pq2M!eK3F`sLh$Ff{vem~Pa_EIi1%-irv?Is_Gn@>N7^ez51x&Gnq z(tY{bFD|$q+qc30rR*$AAA8>0%bfDx-q-t-Q08cu@-oFVMkAQfOms<aN5Gm$LD^)Z z>s+!wl(!v;^K#nDJn_q(Q+J*&W;>aAZkeDSubRd?{=+>5u@l@@PwXpu^5OdA)2Ex} zwFJc09OK=8M*8~>x$Q2?N-Bev*M%92{&8RZaAw-|thslVD8JvPr>HgIsetbX$vrzu z5`SG%JaV~N&a0tf=hh;D3g6cn-&uC$ya{}qlEUeKA~*Qnj&csU8LRA#?<)MbaD1iB ziU@c6CE-hVU9i}w#`#%4<nIUfD=&+EYYnC`XB}9-`cqjA@1b4AT1P|H1#+tJaojU= z7mHh6@Nscw>n|IbgXXIhpIglN96M+EFXhu}Tg;amOIGqsTOT?9w{>Oclp5U`Z<^O0 zs=P6CdbIxmD>L3y#Vy?Lv?G`fUYCBb>3M<N1D<Y&B?ZS{&7YrUZu0ko&t-kXbjJ-# zSC_}`^~<?Aja6uRj$EP8(~}ivUWhHIv^IZY(*5eDuX*^Ra*GSOr@MX`uG-#MAJM~8 zmhEzt<LjFOUGuIsW`;(?rh<q=N?o5~nN|b^AN}iI7cVSu_t~B>vsF({7I55~V3p$& z^Ko9P{^2a{xwF;(Ox>94`ea{UR^pBQ{q@YX#U1l`S9KO2?zk>;Qa8*($MpP6^;eON z_I*!vyqw=9Z2n>ymG{wi+kN*SiDh;22W)@5Ht(G{!~4~`nwORheg9)G8d%Lv-#znF zz3k)QiJXcz^6eD<p1fF|{b+M}JuAaq{&%-{k8~e#+^1HOXr20Zb;PRuYZ{%*E~uAO zX&kEDcOtx5A>qmO$Sb?Tw_jmkZ}+K)jJn-1^~K~$_xwur!YeB_tjsf-x<qzi!UNyQ z%{yG}Hl{WHH{8F$^%v)#!;&YXl7%7;2S^&UJksk-FM21kWM^xK@Ne(Jf9qDglbcW; z?eSbXVd<o=oQkWZo3*R!C*(>h>~bryQkIK0a*tfh!4&%J!h^Jm%gc=?@m@I=$rAqd znP^9Z_qP@8a}!@{`4oyB<eax<hx5OQ+pYZ)cJ?fM*0@CEMzH{s)@Nbg<leZ8v9FmP zOzHAibIzs6=VW@LqxPj&JgevVoj$Z;ec7$!^H%3Pu#8dj_j|GG(M>~5#T{{$^+tM0 zhgGKdam_sQv{>4X?Q)mwhK5tzvw95<bNgTE$O~xim?sc2=f#)(fh!+$wwLfe3qK!r zGgf{77U`VdPO}oeXC4=L*%R0FcJhaCBeM*(Evl^6pJukK6zZs4bnU8-?AL|+ylzw# z8f=tW{Ijs=<%dae5`|&zF$)8WM1H-uDg8gg^OVXfkA<~cf@b_W!T7^U-T8X;ou;=p z{ED{j6Ue^Cu%st>{TD6y!s0U(!Uf+HmriJM-F{^Yze3Zxv<yzs7E6{3>pRXfE!8-@ zZ?>F#=8GAe`z9IfE!khSwP0`DzE3S_y0^CMm}Yu1<4W8fcUSNIwQD|~%=hw{@h+ew z@jH(M2WCFZ^<s4<%%vmx)a=A=v}9Y0mR&Amsyrk<brZT6i5Yj(BGXxsgQQN%j; zLBV#e8K2W4-lb-^pPHF{brxHBRQ7i6Hl4=Pb0=3nXKM>f{K8{)z`reDsm4-pP4nq8 z<BtL_Ga5c`o9MW7_sQz|(|ie!e{Y<&?i$CMxApZ4&($cNaFF<rx@?8nL$AhU{YSfv zkDcn)>}V_daPx1G-GcjvPk3`l$bN4*<Zv$Y=-sHTB?jvg`s;Ui_^+IDahvD74-@<= zJ)V8O%#bsGs^*Q;@jjo;FXi(XIqvN-Gn}2jOXkv<CRby#w+Gr6)*UjQW8u*Cq-|o- z^K!<cE{ZXYb9a^4rkD3RKKJoAaWE`X`Mb)P(cK{Q7Sj%`Cye$dHg$Dntg(sOw`lQ| z6%tjSe9eSz-TE9nPoT-&ZHtCyR9ox*jrUWE5<+Eu_MJ_8`QftXQ}_E{HTUv<dz|++ zuS#8WU-J>)UwNtX`S!Bx+V#z_-DkJrm8kQ)+;dmB_4`KNh_HJ$Z`J>MFFzJ+VEno7 zdF00(hhh#D+_qkK;(o?fmE+eZC=2OTzhUhYwSUH!|0nI_^sk9;RHs$8&%bffE%g)s zQ`7BTVgDnNl~xqph*4Po-lw#WX=dKiG=az0k0?F<To4$+=XZT^zQeDMpL^4H^a{C) zckDhOz4C1S++RC_b2di*zdvKW$tmaZ_Kq2jD_(u@2+UleQF*7B-^S^21e@BKzj{U1 zMzKYwQWRWQO^%33_I{pN(&pJ2Z0miO{p=&d%x4$drX4!nvbHMBH$Cj7+l~{f^vqV( zs+c~i-(IwCuI#RpKeFeX3ygobYyOPn4IMq#+up8=3*R5JHOaZ_prFn7>D#NSCZ^rb zxcH`UGoSoC#|!-%-TPY?-g?!M{beIt)ji&W$G*-wUog%1o_X{)d5b?)0XCneG;g@~ z+oAQrKF4IAko{cWd$$~!%x0vq;{8jH@1JgmS~B~5zuR<6YUU}=-S31fH|#l;Vy`c~ z{i|m7?rV<}50xhO9nal#!oz7>%an*DmL-)J_UsZ+PIZix(zH0ZJL;>+gzTP(@M*2r zte)@MpCEd~-{ZB!tGi`e@4lIF_mIt#msPW;&zoG?aou;h^s$+KQ}t|w^P<=q6t2JO zc21POt+jfQ%AII&Tedf6uX_L2cT?L?IbZ6}kss_ECR%F;eEsa$vy$~UQ-a;IPt%W? z@%%Y8X{nx?pvK#`0%jROdpxHsIlE=$otw%N)g(;A44YR!IDhlcdXZz{QcL*epYfmP zsFXSH;3=~?aoW#s*lM3-PmwXqZ%kePK`DG<&6Wc^M_9Sd4~9o{bLTs1DsgO7GH@`x z_uryWiFZ!)q4J%d&+g7XlP(tc{{EEUdf!7@o`>1Ec&0FJk!*IFJ1OsXLDc)(r!7Sf z{SEK9oq74Ik4FcCm-5|wg&s-PwyWU_Hf_}Y@Wd;Ydk$NnRxWqYL5mLK8U5GQ?nEY3 zot%25Is5M8WtDc8PbZposZ?M6`sLeoj#jB)i;8W0+dFQ~aw#kLv^wVX?QP5<4}HB~ zguC5OEV;Gm?~T)KTC>bH_&-)q>wJ)Gd+oY{=E^&jsd+DTrkLOMPE~ynVcBOO{?F0> zen6U2mqT*v?OSJVU%v2${TuVvKQ~;Iy7Ira>@($SN&4!4HYiZ`xxqq*ANrME|J<g$ z@b_QPwBX)5hJycj-=D^9Tcv$|vUPLxg?WPZc8*pmg>McEt?k#hJ=*<iRqfT)o;L$7 zExj7H+M>PM<;51qoD0Wg#a79wyIgHP!6$m+<P~|Iw+9W2Di3R`{IzV`p`XSu=}92h zT%KL*jO_arg_2(C&0snmxl!*EbG?1^TIn4(Ev<8>$jh%;%&i<${M+(<fYwrXKkK^t z$E<n0KP^gb)0?oj@2A_h=qZ~c^ULMd+<Ue8fBt68kF(~za#q!y`Y2&7+s3-5>{{$I zRK4xFXP%#2${+PD|J;*(+pZk`C&)RIYw<F!Pw!dN{I{+U+`60f@znIHmU-_Fn5^@g zZ_?j3eO<lKHP_FncH7&W-wNGUvzTSt7WeVuf7=b#CDG?=qb%b;$Mv83pmCbx_|lC` zbA1fIZT%o2^XUVNwMeH{pij-^lpo$t9#6a9^#1buM@+|miO4TjaB7|Y*-PK-$>(|Z z9y`WP(v@V|<g|HSMMlrtZ~hL3H>+N~E-l-BwE3uE+LrrK5(S&{nu0x8uJzq2;<nI` zaVq*3b9&-8y$^4-_QmPldsICC?;byW?x*V2i>ItHuv5Iza8T<DUmQnNWKP4MtB01q z&<S46|2H#+P4vZGvuy&NpEc&CMfZ59HAPueW()E4%f#@ByEG{r6Q1q<sHfE++VI}V z%nz)2Pv2Y@W@}PPaGaC3F(=URS90N|ccyRp`Gr&scV{d(Wxg!^h{O?p9fh<_s|r3Q zbBm|^dwIXrxzH<}%gB84l+!O<W?z}}?hx1X5bcFb4Lf}{ajVbvexts$_l@fBCHr)u z3bqFtG3scD3IuYfWPE$7JA3X-=Pvz&%qLhZ3*QC@exEz<fX%+0$zpT;rac#lEe_hS z;`NNL&X2#EerFEcCbUIDuCK4gF@J&59GMI6dZ(}`#@YV)Yrfqw{mdlC@79|x+>g4z zacZI5r5RCz!rbwj4sR6W_FLYwVBQIxi5qy|*DpVK>y2R;=fCyMtGS+7Z(c20@J==K zaguI_@`nd|m)+ng{Ve?~SmXVxl%n9-Q5)7=Kl9?Pu4K=j!a_q!7vu8OYFYl%Q@1Ug z*7mlcvcs!YSXM~9%x|gNw0C7(|zyB%-$JhI<O+-u>4${#!(d;V;{6?mdjG2=1& zW_9BQkN=k2S?YLwvVVRz_P+Jzr$O8HY%2A&nxWrVtY_JB`{-ppHj@X=M?c$geV!sD z?P0EBq8)W}CCj5@b59h@_$^HI{-V-gtq{=@Trz8?sHEGER@JK;q^`_A$=kB!TCkPC z(#alf`R#imHKJ}*guYnNdtQ&(`b3V;YWvUa`9^CVJbuDkt7ARWF6?K}jLn<!XT@5$ zXMCIEXMMk7U!~n|gLeYAUVV`M{9116q{_;tciwrOur+$3CbxUvs=6$zw-T3g3<_;L z+Ai!~c|tNL>T>7JC#*G(rBrmL{bj9YoO^YicZl8vyBv`%!u#CVINx>}{-2Zb)?hEo zw=EUN4lU!{lr3xVpZBU|?XQcD7t<#iEmpIU^j6$Hy`mw{@mBSM*x2Kr-bY96=QG*q z#Vxk!PU_U1mlsY;xhMSKub8eU%aXLW6S<do-B@(TPxoxM?VcMNrID7)???Z;(4*Wc zeBSoP+Pi*LYp$Q$zDQl()AOfGMAxtG$0b%RS9>;WHJ%>dKEXR`Yig=Z?)-H(xz;k4 zImPSE)Aj4Pu-`|aL*w#eUl|Si6i22jK@kE1`)lv5-v2dl)q%IZYxeF5e{Az%TF_m$ z{f1BdZfKfs{E~buCahoTdH#x2j^?mSY3GfUUP#V9_Si(gzQE$*sn;`q-`=}-$?jQf z8v^Eiu{N@cz2KYvIM;mFTeeSgPWQhGVdh`3F8*NoUiTnY-|n=yDM|O<OtU-k@YjZh z*`+6C-|wDq=CaJg)BS#$Z0wC{%*;lU@;2Nu%lef2JTva_B)dBcB6i+A@VeD{MR7sC zany_-+rFH3Gq9PPVAuBQzwD=HhlFYla&7pnKl6K9$&zoIvKcM3ulG#PW>t<2GD%21 z%GB~Ort9GMjKJL4GiCdNUOWD@Rpo1zsoDFvuqn@|rB`+S(Iv_s=G$C%KUJ14I={ne z_uPHo*X>o+eD!bAG2i%$4l$?Po<*nj8cNqNy>4nLdAHC^esjrd_4UQRg+;4{m;aow z)xC~6(%yUfy1I&+3A<GM{wK18uBa6Fu+fOwY5kSMc^m3ncnYq>{_t4j#wPjWQ{B;$ zcCEx)KJN}*NS1Xy=VdW%RhZW1VENWrAJe9ruRHK*i?GAn9P{_$pLmTY?5OCk51W(R z^Zw!*|0z{bcPw?TetzwEe0Ny*QrU{VT&5CxWZj==e^6be$R-r(Wx$=jt5Io-!4f+y zH``?kH%^?)p`x);;k@asF1<s-yQD2T+x_=3i8==uZOt&=x7ytI&6Ga#`8nGKPw1SV zJjcg7W=Hb8BXi#9h;QBT^`)t}*<qorM*3g+yv|Mix^Di>eeYzyd|vnRgj?mezHq6j zJEz_`w$uH_t6#@tMb(x|Dqh(#pS@%*L*a-2J|cO6zD^fqrc3Qj5H)X+cAxU})D-pf zC!ZLEy00dd3MzTqP5H=tq~(0TlQkVL)Gy_9YJQIXbM=bqSzQmmU03#gd@^4~`f%5z z7u+tDxhg+&&DbIuX1*&;xDfmIbc53Ig~bc9^`Fh>3%dRH<INR6;@<>4U-wvB`t9Zf z^WWRU-bgU$?iaf+z4UyK{kJJ98kag3S6yko`bykCSmg6Xmx8Uk<aYFw8qB?|{Ec(A z%{|NB+3{@t+B@4P2se43)6h{Zp76HG{he2M-u{XEJtse~xO!~C*}3zS)?D9JIqQXS zn1IC1jOTf>f<`vaQmuCvugozwRNwO~O0}Tx^OTh<tX{gY@~Ra*4|;2|-qpso^Q+3v zFOpnsHzue&Gm1a8u~Ad%b@3S)`Q_QW&9uGGUwtm&6ykm8#QwJl#!(uIsyl-H^EOqs zFI}_a$m#{(p7VT|s(1HWWbU4lijCK<^fbM6&bcI0(01wSkyCRlLKYo-Dww{+e7nOn zN6nDfmD+sEjkNn$$Xlf`U0XRvh5xhRyeskHntpRRLx0Z~j{kS&K<ZJIuA@?Erpfn@ zDym%POz+jmt5WwpZs!<z_UEpdQCsDc&wDK3bt#ZzO;S0y*7J3)0AKKL>x|odLhkeA zcl@3w78xhuZNj=}o=m-Tq_$ju54+E;o!@`oKlwoL;DHU740arv7h-zp+sau2TYO#} z>bm-Bw(Z-3#aYUE2e&QoyS?<*1-A<8JLZO#_3LJCvYR5@bJ6QGm)RqZ$U`fms+XNM zjNJWotKHI_u?6*;XEVO@nUQAK@$2$=wy6_dn1$Z|*YQlS!SKa3>FZMhF6>zQ`d8A? z$*VIQiUPOhS#6WsBC|#40q3`CXWSlDOS&(LN}aZ$JM}BK>4KN@%Aa_03m^XbeW|l| zx=WB?jE74y(^LZ=Zr{%Vd-{W??*6@SLFs-)29pP;pGyDH{#4*)w>D&vqu<h5=hn3S z{_FMf+PO8#>&w=d|MAq~X5-uVDe8y+iFd{!+84OwW0Wqk?w)CyA;dFH=|<y=Of!S5 zcN<q8kh}Nql;zh&SLzRYTDM=6V%?>3{J^=6a~Gf9D=A=HFne<Q>BAwjGxc8o+4{GE zJ!C?@&t-doZN~XW#q(Cb=6oj}-6h-`<UYB7Qi}f3@{M0?&+lv46#sYm#_o0R!rT_u zz5JNEa!T8LCeODj;S86enGdA7UEeDt9rI~}@}a-iO9F0i>h5<b+2sGQ>eU6a9DyGd zLMF;NTLOZ%sb{WS{qWS&=QoAg<|v-mukT_zCUf?LT-LhJdsZHa+hBElq1XR24;c(j zPI)G2+N`0{ZSnO;=H*RUZ8urCAMWiBVw&<nVx@-7hUk2wY2WM1CLiiGcyVKz!=5`Q zJllkow3K#=I=z0mVq!#V`Z7i)aSrvY>Xi=7UB>SUTu!!ad-UiT@A3-Snm~Egy5*`W zmcQowt8G>+UNSdQy06R9Wc6XCuNI<uF)KeLoY}Zz_q%;11vYHoyCWL?Dh_OZR<mX= zPfOirSFI=96?}q$u3Zr_;a|SwmKSbaAMk(1%RIZd1GOb=)4pA{&05N7D8}Szbd!f& zYtQGRoXOjk?1+=Ocy|4xgJs_*x<>9(nKs$_Yjyn|YpDsZza2Or+PnJhv>i#}dU{3A z3|6sDUYnU&5Xvq8gL`w&VMdt=QyYK&>kLmiuG+HURmcIcg*9K;DlC6I3u~LOz;W>^ z0Ts6W3mawSqU-&)T*(q#ci`xfYUBM(8cMT|%ib1hnak1WUF@&Ad~cE2j^4Nz%f+k} z8(qFkGEL-rE?qu7XxTiWBdgjAbNnAYieIXd^yh8nvXJ<nv+kZdSN56Zn?#F<mW=9; zXYKWi*}KFTV^*{0M2RhLdVYzuR%MO#JKhJYeok1=+p2Q+<38pE;m=+Kt=V8(`=+BO z`}^*R(~hpat$fle@65}$&9|&YBK+HLtls+F>uH<Z$GP^hD?dG(7Cp_K|7G}cyF&Yj z{afb+y|K}3_wT+E*K(zLzI0tC%Z}hAy<Xq)mUrIk9j2HiOf~)U+(Sy|X?0>#;`02T zWrf>W<4!GOJb828nq~4D+U+NPge@0Tn$5V)|Kj00x4$SS&U|zJkV4|TRe>8MXQ%G# z+oJ0t@kFU{W@7!nG@h^1t#{PiXA)D`WVKc3>7%opu|*kkKHsmHn6vFx-JT}@n!Kc( z!m~-*Pu%y5F3y)uo}szP&%yIj_Qc73=ZvbvqUPQ1eeB;k>vQN*(HoIhPWVh<YFeZ4 zhIN6iZD)MRo(&7$u76;`)XK%L{+(ej(`n9N77@R*4;mX*M;~!n|4XW@_e9EcZn?Q$ zXPz(NI$$roi9M9@$)bjB&fUxIcS%|FAB;PCn8RN7wF1KvxjiP2UVrwT^>gQPmBMZZ zZX3I+F0S7b-SiE4ob9|_mtEMDmALC)WzDfk-@olLWVu&Ycj@JuGvQ~?-x66VDH(66 z^{{r|;dJB2kw4|RI&AkHd8&HGjBDq!?C#lonb$s-XU?k4xXa7=+V_>>dGD_D^^4}d z`L!qDc(Cl|9leUHKJ={%{ddpo%kP-(_cEs0Ob_NpTw~eYAFSt+@>Tq|-?i`mI9JDt z6wVR4`Sg_jua}t}$L6>SDm)ESpJn}7{AH?C_Ib^tQ+0JhZyhs!qP@6$r}fc`{d4+D zq7qY|Y&dMQVoI>Rwuiy9*jSgp!rShs-|`YN_AOj>d|s7DS6GwW$BNA=8*Ng${kJkW z<|k^L{<~+>$^BZvYo_-{*QxKlyLR?<29C!s<lo1?*?Mco#uz_8{f@kE|887&65iUe zeX^wPi=7ciuar-fd$sthx3k3>3)54|VfVPso!#rb-j|_`;mMP?(Viz~KDpc^9p-Yj zTehpXXyxSdMSmxBuc;G{-Jm(AICgo(S2=h2SDUSic$6eAEBs~I<ydSe9QN7j=u%tr z19y*y-~7U;{cKMjThEVI4M$ndar0Zw%wsgUENCeFe%A5b3u>5GzPNmpm7(5DQfpzX zqVfLNE2||F@0Z(gZ7w@yuXs{txxl*LkrQX$_Sj!qt@*pr`&R$rzNt5+oqx4L`|RzL zYQNv9`t6#0vbu9mL0y-bh@R;_Ux(OFyI6WRc}#47SS<crf8Y6XCZDZuPhGxLBcWxW z*VCH)b&a;c=a^MNyCOvnv9g62Eq3R8I5k5wE9L1t=h*H;_nujnPO<#?;L9FSuX`yU zR;#(?+;ZfZ_pn7Uo^57c-CLzM=|L>Jx2AM0nt!)$mO@{v+`6R1Jk~`i8>fjYimTUd z5!Tsvd5RT#mrS_$(<ZC3_To*4H_LOf^6TmUUCD7mvFEFA-Lj+iYO*`eo6k7XU>(Ni z5M1@f>Z*^U!n8X*TS7mp?LW*vp~vaFrOv`lh3CRnD8IY0o>}VTuC{mO453moZlb3S zv^;2R4qT#PBYAq&q94x|tf~#(wxOk@#^%PMIW~tby^y;S#vQk3)kgVKGgsF?zp_bn z2Y+F)^VOf3T2}3kuW97I`4s=7)Fv%{&YtDPs*fxpzDzX8^k*$nbXTigt+$}UDX^_1 zZq46A+NwM6Y_xIMC~vp@V&sMnrUC<9$8|Z{A`<(LJ<mJgk*U3f<Bz-X{Ss%d^`>t> z`qr~8tDoEGm&WgYu}SP=^7gfsCfD<#CAO`!_-Y;D`p<f5e81pwiRm)07=JIEwL@mn zuI|Y@jHURV9eUkr82W?vSH%JCs-?%Zycs&1D?KKkWA&|ln}0j}mGKPMAA)XwCODOI zamk+Csg>TY%oW?7a<oFm?~z*{2RlpG2ct7=PS=-MSlEisYgPTUC1>6=pZZJ5jF%bf zSZ5Ta#yz<DLw&E_VZIwN>zaPg-ScVI5jHQyZI|SYZQ`Of+NTIw<=)e&DegKaS7@s^ zTUXHNd;P|}oGs6<GY6Zj)Xny<tv&kKG%0(M&Sf4BU74a?KTa(3&e9i|Dcs5X`erQ8 zcQ<WK^#b;{PjcsT|1r6~)JGt0g1`9go?rXs^4;<Z__Xz|S+e<DUXi%SBRmoAl?-KE zewJ=K^j|b5J+*5uS@5-c<yxn|x>~C?JhTk|^K3WYtcPvV^Es?^xgXyzdMW!({~_}l z{pXtDpMUoDy76DJiR0RGW!9||9!{57XI*SCeki>>Dr~;s;WIAx*#w_6xK;-IpM6)Z zA>`Sr4{P83i{dH$x_`?zevx%t)|VITI~-v#v)bT%z&Xojs($NN2wl0Hs&;?tlB2&D z7{|nX^4#}EYnO(Kr>Xx3@r99AmrhPinq{l0r#JJ4cR}ff!(#7L^(2?q6mQB+i?FjT z@0$}iQ8=_}x#*1(k8gNhR|%iNE#MdI#&Gt&jY*A>QOSpS$JZ=8I60VGMXqdC%<Ffv zb|f!*J$dC<mXq?w4{*Q#)|r&5>TkU$<h{r2RLR&YQ^PnVQ&OL0H>@+%U9yCCYnkKr z6A%8+*d~$4*)7MA=E1>y_MRroQHzK1YF<3adP<LWx>pN4RgAmuwfd``=yrL3iMc&z z#8t1~kJ6eO*Y>OGZO&|k+aFFcO^8`v_w0YMN<;5A7n!^I&DviA)85AJJSf(3+bD!j zv%z4~IoY(w|N9@Ei%z%v?ZKP<f2;YmVl!v4r4gSzmbS*^&3`PznmFge_WgHWJKul0 z>&9|xlfw=_ve&+HF?zUIdG+xbc{Mj8?zJn0obvJ#UR9ZN`XN_;xk!sh_{nd7(l&Ev zaO`8Le%2u7`X+5_&6GM0`K%3()D&feMUt<-_mmfle3`5Ky6Ab=u37z$qxVevc!TrJ zQoaReMAj((+POpJaUJ)coZ}5^qS|T;4|F#k;+c`V+WceC?trGInKxNnwWnp>7SoK8 za^1Z=V~VoYgO9IwShrY5>vSldx48Q2;dC|alsh?V=QQv5)XA^Er7q1;Sb5j=!>(6z zlKqyjOkcK2$w!oVO`GEtChj=dYsyN+^*j20vjok1*J{rY)8+U5@P<h?^PWURyWip1 zUKnubU)cO>4<|a9$xo>2`K5U{CEDjRgY@+1mSvL;w49#Uw0uFx_9b&)+pK0{efn#A zz=7k88k<=y{vZAj#-Z^`fF~s+O!PG8@>3h7P9FWU{r#`V72j;WE#IQBsQ=5Ylr%w; z!fKt0ni}m1cRX(|zx3Jqmx20c56#Wz1Xb>^Ei|4rW6u%Csr7B%3@;8DEtgxkyD@I% z_iZZa4_p^IahyN>e8)tGrkL7FebqNV<)y_-<1Wd`6$pMhxbE+w?U{WA2h%FMR?7$% z)woQP{C<E%j_s%6411N``DJ@m&1b%Mi%g9&d!TmKGJgN19wxnzF#oTMw<T@<tlo0b z;q==zo8NN>rajnx@%4h@+gdh{|A?0MoziMQ^HY2Qo6)K|<~wJ8wtxFPw?9FlCy?FI zQKWIs!Y%uQT6yMozfaq)rKA6R@$AarW6qj?MbFrN^ZmH|>ZFH@L#xl7->>mt|IZ(> zyW-zvq|eOxvhTA?^M>v5%ykyJNmGri&TSJ}aMMlb=4qi?*2d$kYAl}?+Fh>ch^YQH z_wKU~$&4+|9W7IRL*McVm~Z(1b*=K9m4VXg%{zarwG#T!efH%3D_wRhRh`#NV*iz! zFvq4C*1e6$&A+nWaHUPp&C^@2Ke!<s*mHiP%35yovx)XO*WIK<KKsn8Rpl1cwPl~2 zBKNFs%E8&Mv=mzm#CJXU|6=ja9jYa7FFjmS@N(6Ig(s^^PHGmnoH}avc)=BA%~o5D z2V1z^CZ=CoH?g%o)a2%(Pq*ql0$l#H_Lf>)V0@guQRT$NnFfJ#?q4ot+csA!L`IYK z1E()TL#OXFw?A$Q?~_ViFxsvB7U{p$Z_k_%!*xFx<=GzmoU-7s@dBk+3*6%;`8zIr z5%_JLau-ioZd0K9MCFzro6DTnUzPO#D0S5Q#-Rn#lg>V3ap320+heh+#ed;^foB~o zGV$y)*7dVEJ^WE!X}jMhPSos?P+Jpg=4tlp+ZyDzn4K<Mvv%rkrY!bVOwLA^O!(eD z`y>8&`sE1q<+r_$NqUAWK9}yVzsJTB*g2!d{?ESTh?ylz9<o(kUhzNS`Ye_pzQn{c z^S8g{Pz-;!&FqEOXC^(i-`Dntr&uefG%4E6;$P*a>&2k1HDS+V;TezLTm0^;OE0ym z>1AX&+beHf71vm<#-?gKrTV#UM?-99;xpkFbDd5+N?XrxqVRI#3gKPf0^}y$^RCv~ z>9g?ugLP?YJPBWX^!4VwNb{_DnV`$oJ}<1OZJ}l~`_0#G>Yw!&RQjFjdFo+mnIZk^ zWK7w7hu2O!M7|~2*eZnCb15;GePR2V>li%m{GwZ72efp}Z!Me_cJ@w`v?9-3?d;DF zcdasuyv^XWo%_Dkp-V<vZr=MnIeXTmj$;{4osnk`imv~D&cd(g?v5X~ixaNtD+(*S zp5!a7@2P%rX6Kv;hb5hR#Y^8YWq9{9bB4d|au60hGNtG9O7?$$HwfBlhkbj`&%yj- z=golYT7R!?`*>0PpzHdZZp!zrZfD+pg_p}}#_w-CFJC>eT`s@1%-`Yuid%h^O={~t zuIEdR<hVa4-l6z4SK7CrcUsx8;>T_|Of+7)_J63zeiMfYO8TK`ya|&WnzxDevkR^@ z(Wuy{Zddm#W2JCN!q<?`mQ_c#&bZU?@bAOlA-exw?JvB3F*Ehkg$=I+->B!=&)bmi znxFhgqH{r}-3-anWcK(Efd>!EUtTY<b@kH4OpiIjD^?x!4l`EOdDMS@k<PN`zgElp z!~}oYv+vY*Pc`S`(~?#{R6MQ|l_{+?dxlJXR6}`dfK|_m{?ZwGpOyUUH!S{nSSFdR zci;76bI$5%uiP2I@?ib?17Vj|#%Fw)nCI2>^UROWH6pwnB75WC?fR%ZN1cCjKnl~M zCq@E-Rx)?e8>jwOxLW3*rKEdCJHN<%XFprnvSz8x;%kym?l|!1=N75lOMHg^r$1s> z=E_=E84z+y%crfWf3cD4Z<(y*T}JNV4~uX0YeYLT`p)gzcja)Ds;~9t>-jm=a^*P= zJu0SW<kw0$b8s8@UvGa~ZKE?mH|3J)X;rW4ruyewXJ;{-Sh&HU@2vA_{)1N2wq4z= zsT1;J>Q?raz1`N+5@s$hpI~J?Gfc|+%Z%Bn*OsM6o(*4mIQo8w!0P9>@;_`15B;J( zIo2fSlNj5T*#|c?|M(C;Ey&v2Ir*z*;+yS{XUP?A-+a8?@L=E!>9}5*bzb{bnQF2` z%a-+fI~By3SVqqhJIOQCKd~vRLH3#E7LQk4s_rZ2F4@iSs50)8d->;20-?5b7n(V_ z-DEc1KK_RPf9R{}-%Gw1R-Eiz%y-IiR(QJGhqoW4+Rg1nax4T|??)PkF`hedWVz$g z#*U_|I)O_IPMg*lWE9N#IE!<R71P~^a<O4W9_N^M?`2`zc|>)yrT>gar@myaR-D?t zck`0#XIp0cy?yV!UqH6*oi}N%ahD%=wp=J&+Yq$n(w!G9dG{8VuH`fnNpkT_|89BV z;F{}yM7~yZ3*S7!@9|^OoH@>ByB$>yuDbasb!tQ2`nQLK^*d+Be>|l7ZC=vv!jeF# zgr!0(E@_iAcKv&`eczo~H;x_sxnDs4;;h5-%=oH#_1w#o|5qz`-p%sd(<ZiJ>ks9N z`L`=G{g@w#XK$S(u|alyaqFTz30`v+J-y9(&`#9OByab(#l3-VKfNk#TleyNSE=GG zmHm&G7D+YNg}vn#Gyi>1p^@3>--byFKR+Ft?O9=W>B`aaI5WwaL83czMYb==oY*^0 zr{=uN(z>TQH*fzC-L1o8XVYPDF;r@HshIiiy2V>#v+N$4Uh-Vy?UpDOe<`b%OZPVW zY_@;9%@h^hhY9XJ^W<32cgs_bJKysyx@b6OqG8|j-We<EKKah~aj{-1v~g+jwT{(4 z9(QD))|yxR?2q`&IZWpFPgX8}+fvW=cHIi!Gj}&knqm80c0ohvo#MAo?(LDA@0h5& zvYYu<|HOq$0{!eRZoN>ObFZ9BhB;Ej1$Mp+<f=s@)oK}KeFg>)=73$bSX`0_KVSxQ z)nbBO-q{MqQ^J#HMk-u5IJrdYVN397R?w|Eb4r~o=fv?XpZ+?IZ@KZ}HST>U)aTcH zI;lRtMoQdk#+B@+S)~@G({4SjUB2sldcpdHB+Inh2b@>$-FinQk#Bdd@>;=3-|ng8 zUSjItn!|CB(|7umOixYDmy_fqMFn|dgBH$ayDRcynZ5bfotfutuir85sctGfFMa28 zcXd_adA)ZhkJ@hEv0Uu?nMd;??tJd5zV%>U*qzN?)j@^lHQ#9-wOzbJQ{wyddk?x# z>wkS@r1$nwk=xRkm>{LRsOH&xg~5t>Qb&9ncf8~`|N3y5?VTy@v)>g2o0|I;2b-AN z76%)f>lOzanadUj8=CVL2OF6GEeh5*e_Ir+XMVRRSl9e)QLv8r-lAY_^R-36TIO?$ zE^nOObvNXc@8UcBmsp>$Uw^v2=F5dsyKJugUHv=k`|5YWcjxcYFSUPVcjfQ$-+td` zzcaoYziWMo{Y$$`e;5CD``-Od_^$je{Sx~Zb{GE6|84iZI&asrWr=qdh)+~>YKnQZ zBH_9!#}l^tI||o%^X@L_mwr>&9A!SEv^m1OqO>{8JfgHY#N48^Imle1v^l{1$CE2Z zwv=#csWy5%5X>my6l-*OAfUm*7Te_Tfd2*aw>3Ne9NJ-T@a{d^_wPslRu<OB-jNso zzWwOm%)<J}JMv=R%a8uO`QYE09s9N3^>_WA{pjD49s4yFR2=G5n-SsEsWM^5pFV>{ z97<UQKY0~h1RVYp{QOx^Z+l0c|NHjCe^>6@Z}PqU*}rpl=Fj}CU0k2?F8|Em&Yk-W zzOz63x9`sU>AznW)yHhhIN9{{)9$3DTvIit-=8sKibjB*dBA>kzgY&6ML#un?pOKV z{^Z}GckfU9mE5^s={tK_ef;<5rS&fF@=yHb+__)j`}NX#hj;If|1G?Ge_Fp>ROEE| zYg0o-uRb+b6=nTe^3&4PP**dy&hz_sXRBR*>fELm?qB3B^Hq7*pSE}YFa9;X<A3q5 z?%nz4|Ek`t-zk5r*5KX!2mi#s@7;0#XszD6{KEL|-;oK0t-Nb?)QE=oD7uPFZ-2z8 zJ}cqTCvT03EJE%v_d0&N-cf&4$NP@IFuvor;~n-#|M<Vx?zn%rR_@*Vg7~w4O}<~e zV_$s#%wMCFV;!8uGaIT5;`fw1Ec&S%wJBj|QKk0x)pwQ`)t~yi@psbqrFZ&^<WK!o z|33Fle$o7se^tLvz2jdbfAa5!-|p|;_loTNBlpJW-G06IrSnhxRs7z1N3i0^#}kK? zS>(biY7Q)BmJ6-$c_`R=Tv$%}L&l+G&VF;bT$^hZOFr)SyyEkW&nFs=v)X7qFl6jE zmP@sn^r53#=3!uqJBy9ngNqFPiZ)^o1fNf2=x45Ac^JshFK=^CE?@4u;j!d!+a2u8 z3&czU4~nVXaAJv)j&N?&QTT9`LAj)6!UyI)@zqPY3iXu)WW=o2-`dT{EKy>+OHS5m z!@G{W)yz(8x=&IBb|*1hoz)V3VcU-d$JahTopdls=HbC<yd3Abvpbzi;vTRZe3{g9 zY~@GmhzT<fm^Fw5Nig#1X*hDmt#E9eBO_`R5Wk_pH^f(4WN~M$K*{A@2X8HuaQ@uW zdrG=Eg6H+I1O*1}*z2W+$0T;&D2=^-RXFU$%aaFR9t@S?pLui6ty)%{5a9!g1!@zX zE?8dY)$jh{fFc{`1C<6A>5?lAlNn@+jxe~h%E*KqP;L`@a6q9=s=z{jM<bg=fl$I> zUJI=UZ%!m0?voB!(9F(jd8MF9Ja0k6_NFr|k$pVshbQKS?|z*CzENksMM2GhIQC** zhTS>-;tuCnmgcW(S(N{djpNJNn;eg$A9p`uI&t+uLuY>9F~R#X?9MgCW;GugPCK5s zy5rMqnclhiZ_Ibjo%{Y|evy^+{7JI>+xVRH(%D~|Th7gYH9Pyj^DndKaK>NCFH)^> zkxMBQC^BF5!}lDU$o`X8BOaMsO1V`8JX3g5cHocN^36}pcgoBad$smPp6(U-oeX>S zSzBskEUY_W&LlOXAz$|jw`B+Oadw#}34ZC^tFvBtGiNRLbbo0fD<^Bix+8MIzZW~C ztju{FN+hjK{}l`6T-e~SG_j%3VEf^t=F5wZmUqdjmrVN6wjfA(pNSL0Bb5sQ#gdj* z<~#<^jIwULC=x2mNU-EIDLdwOsLzi>tG7s~;K7fEg*9f*eX`y~Z+0}Y^CiZ!H}LiI z$w?NynZaqvkal8Yb2pouxYOF(X(u)=ekJ$f!;1$q53<SH<eYfOCh}oNK=&^8owCci zCtSAN#j#UHt3)ts&CQ2S&%3%8OuihFE+Vd&-rRZrNtVpV*)qL~b(3#@ROFSEj?Ic# zsQ8VAlh^RYfy0YgH4d!0{MsB8O)hq)-!lC4^;>>CzsNGoI?&2z|ILZb?Yx$E8k(Q8 zN?3UO>g8P|^|_r*!aC+fOyO+4^CH_XzF76Cv%7)$IQyF;={u$5R6a63$rAV&-Nz=d z|7ls-58dU-&$b(wZo9Bylkqa)r{=P9GASn<nfd$07BoC(m9VP#G#lh&2A!YmRyI}@ zIoscPOig50On>}(TTHLQ_NN&+cc<MxxJ!4racQ*P@wUskmeQWvpJoZ%owiu9KJWC~ zhQesS_U_w7mZs}==%y!k-7YenvqRTix$}0BwacApml+>zi{a~)%{zV5pg4M++l<>q zCOhs-yS=k0+AsahwwQD0Cf_bHn{sE`>cl78WO$XfKP_vxGwt%r!e~AB<FB^O>0NQV z$aF^D>5CS-bhj&)MEf0oy;FC(bCYDzn+GRnDjw&P6F;P%m(3tHJ<*9HD8`M`JLXug zv`b7|ue5!PTd#DcUb1?N;q;3Pdh-*Vrk>YeJe7XO<CCP>KD%W06X`D`6kZ#b<axxh z@h&@kLvt68tn|N~JW}y7ZGOBudW+jcraLBgp1!cq@kr_whDSzam)>;j<dL1LJAI?` zQ=^iKk`FB(o)}3rJW-nQpj6Q7N1<R|Ml6eTsCZ&JgTMGgwoC3C1e@)gcn$2Bcy;X# z@rlYiF7NE0u=wz0Cw7Gkj1m=b4UO$>5+xrtD7y3MooHnCXG@sCz?dgH`Ih8I!Lo{i z6C0b^`K;1TY)md&y|B#ZUL&7BuYLw&KaXX`k37>W?@p}d>*uk`=x}Vm^;N>k#=7Xl z!NshmcN&;<<P5JAF!#$OG31+GS@%-r#fK*|6x(F2D*mXgHr=!AaIrGW_L~n^7Bcf% zo+xnUz3&^QTOchfC;sHdM5cMNmQM~iw)0t~Y}k1+i+lUS10Nahr<gMRFE|j8zv<K= z+X>)c&&k`g>rk%QlM@@0+2q76cAQ+rEVsBtByUc_;T9?Lj4L;8UOc&zv0{M&JFjH| z!$Y;z+&dWaR1UQDNLffJy^(QHZ;@A+?##ql(ZDKRktD#(t9!uFou%T&gvD)=MGpd) z`nj2RoYXqUe}K7N)<$&0&Xcbg@*X!f^I9<#Ob%uIW5&o?(a<VYR8&$C;lRvQp;b^k z`RZ-Xgu}cx6;BG7=5du2OuqW}(*aO!75c!yE&3plkt=V(Llzs+2M^R%%h|B;%Cg=$ z5!k?KWod0;QYN;bc`>87)&)ixxsC>I{hSA7OzpXbE!_fFrun>FxQVfVThHy!f&)Be zFBUYiT3egWVU^6dF!3;Ff`R{vjgvPwUvA_`VOY*+seWfcVH2m7g|)SXVTU5Kh0zm+ zMuxJa$y!YXR@@+!#?Ct&+ayXZd~B?|eA4pZv2&bORu<M)TsKYzHuTP&Bg;^tF!^N8 zAwNzg*@lNL0vQa<iX5?q9wI{ZE~|TEzIN~M5Dj%T-5U6+lk?Td%;d?JE=~%%<hDdf zaq%LLErlzZ1jUnkoGd=3FZQ3h{pG%G!9nRfxAZ*cUd*soDLuCI?V(paF}EA9-t5g) zUviE$=XhwU_}194xpS|Sg_M>#-d4SOGcmV4Bz^nVyMc3KC%o->^=4X*dPutY)>!|! zg4bOqDw#cd!YQJbcw2X(lG!&FE@741x`NWln^aDg1<cS1+;lfWL^CPbcaBZtA(ouo zs&P*H_H^W!FX`6y5TEe2?#GE+pDrd_uhrICv-(`t?p0h;vboDc`nTK-W>sDn>~$+| zmE9w&6&fp7YR#NAXP(yABBgcFtG0L_Ds{T8c~$?B)dDT$b+0+2ZZfW2VrOype3{+W z-M%4F#}}2dM3u+AUYC9MXGD4UYrc%XhmP^&?AaLq_1UFYp7Xbwr@Z|eQ5}`NKI`qz zHL)pg6|?_GNN2uXxV84$#uHXvYm+ZqDXo2c!RptV-HNZ@9p801syy`dx%9n_z2Y|_ zl2gB?U8?#P;lI3e>b50Ydoyx>-&}X9qxvALMBIY|c?;|w86`0CrZ6y9H~tbSYpoJ3 zYyBlEvqATYb3!Y74(qEP3(gSzM`{6kI~F^9Ra(b%HJQ<!C2Gax)14L^S6)6X@w5;w zYyIVVr}vesOs~+j?i~_^=GQ(KDV_UV)U$b`>8oTv#saI|!SzgjjJq4G)=y<KPZkdF zKXht^d}1L-gdoob_7w}J2RHC8Y+{L!5h!DjU&E01O)UBPHaUg_<^u=I5;mLOiTb<s z(t|Yb)&Iqo{Qf0eP?SD*zR=;yy}$fBAMWbiYJI}dU-asfD^EFBiI-+-ZC`#zZnth( z^r^QR+ZW!cE4qF1t=jgPcjAhoPrg;zKJgCU?%F5YCf;_<Yrgw$*W1$BC*CS<Z@t4; zwEMN$gxmIclB;&=CC{*K+WC08b=A(~>DEO%A5XK++L=7fI%((Qsn$_Dlc!n-?R-4N zy6<k_o4%lT5>NXA-u-ye=lAZ$lRlq!JD&7;y_@l*&#~8I$rRTZFUe-Dc8j@o-{0(f zIxD)Z(AyxdvUzv*qEq^I`%AcWHywC1DK3#&d)0zRll%&UHS?s7`Yzn@QrNuo(K6pV zQ#xns6$Y#3%{t;camPzRbJIuD0z#sWEdT!E_>UX5x8#^Va5Nm}le4T?({I+l_4tR0 z-z=(G<o6%9zjXX(MU&S1+NXk<E@BfCrhoFT@>DA75Gm4ZKB33y@^3=S^dj$H6Kt1E zJF{y_#3!$)lUkmon#-3=>6sMp$<ykj+DVn^OD-+-ndI@wL+a!rZ%>u>B@=iiIZXfL z{_Dg%)5MsnggXzo^>^*~vg3wRx6XzgU)F7C6b%h1eCey`DiUB*_|ozsi?Fvw;Y-!V zBZ6)sg)apIn-yC)9$jL7#4ca;;Gq%I)!UA~QYPtow#KO^dIXDKwlaP`>OI}N|FoEH z<c654@HHU|jh<eT7M?7`dTQzSBc8%)-g>sGo)cPRcYf&+Vf_7R*Ov|+L7^qDFEM{= z&OUv7>2+sq?$@u1+`g`{IiJ1ji_Q7Z6ZCA)Yw!9~<ubi+??P|?7yqgpr^oZZ`1Ja3 z%(1UEdVA;ner-BEzP<3Lsl@cc+Gg+i!rR@qWA8kB^i6F0)*W+?=IZ3d7v5&uzII3K z(Oj)O|HA05+kto59(@zuK6l66Be`mM`i0S*w>|H;J^CiNy?00KkzA#`>kqyOY;WBm zdn8vO?|;GV_S?31o<01=xBcoJzhddLZ;iK~y`xt=`^;Oz?MLtM6-%FatG|8kop(jE zPrudOzV*(zBI(m_wYRUmW4vm0Ox5dc{kLuNvhSYT)m!@e)wZi|SKSWTzC6$SZr?86 z(%&z)U4Falw$JwIdD?gVcJ-G0ezEQ1+eNoswzubT-{srITk`w)w)1c2S+CofEK$z# zxX*mYWAXAYkNRToOzgJKD|FX=H{+=6#vPAE%eNeqUArS$w0y~<zTi87UDj@e?i%lY z9Fd*7BU!jS<x!vaoxo0OwL*85cNIrudv_!Yma9DKGu`o6pj_lppW_|D4(opf?(*+$ z9G0!z@tChXr1-c=xl8eJ<8qVY<3{Bw#m5c5_edR$Ikv%CZs+2O)?z!AZ^-U{_oCEY zsa&SWU9p^}==eHork#)bt&8p!zV5SocjIwiRQZ`*%GYGqzso2+zREi2ZsDuGpz@ww z%2#CPzk5+~e3`Y;-NKiBUgbW!lrPElzso2&zQ|hWZsChQr*fWM$`@qo-@Pb4K5ut) zae3k$S&8!U=H0&^z1y>6x8A$H?%lT^z1y;5x6V7h?%lf|y~`;m54#g9TJC=Iu5V#^ z(4AP3a`vNlZ41l&?!*e0A3t(ex3JvnPOMOQ`;oh{h2?H{E<w8NrzJzx6kP<S3w6lX zyl8Cq-q}3MB;#C+m+|-TX%mz69(x&1H#(zx@Xn{xx_j?zI<33&PSI)It#^!0>u$XB z>6Gr;JDX1FuDnxpO80o4W|_C<_MRedjqNo>-s;<PioDgf#}s+1ZucqjR@u(8TOBlH zQ4;H?V83HqP0TsDsK|)$>6c&43;n)i*Yhj3OW#SB`md<AyL<WNJm2pryPjXNo%(KO ziT{#nxx1HN%ya#&vg`Q;+tzoICH@Pl-R9a)ztkOFR_LvlxAUm()*Y#0+mAe&7Jlav z+x9JwriI>_)D`Vl=&hM2c~p1dj;F!_HV<8dr%&!wo#MgNdAhPi&{ZI?`Ltz=fFr}l z!%x2~WS1)oD7L(O=YHq2Gf&HB?d-8W9ph*8U8dOI@H<bjzrpuEMgIEV-xT@leZN!W zulxN>k-yIOJw^W7-`5oRYki+nbbe!X*WKV#wu|owpR%2Q=kiJ0*>@(Nw4Ht@_@wRR zJHjVz55KE?GSBT@<db;`-~a4detmC)*Fu}ZOD!i=V~c`S&3%i4Rm^#<la_GYQ2o1W z<#pfAJ0&N4TUlN*eR@2p=x)jDWp;VD9xnrhS=jU~ua<?F&)v21itpkD^X4$UK7agD z%d0)SYxl2NdU1)3;AElH%z#-MAj2<BQ!t#w;(6mzUxT0~)3>cA`ic&19GsiB=&h5p z-?44lGv(7>+T7Wh;UQZzqC|VU%(j|n-V*NZWOJ=vWU0HhbD4|vBHfEqGCaMV7l~eM z$#C1EBz5spTZY3HxhMhN6YTBZHk-`9Wy>=0nz2H5z{#p<Tj!a+ed94#VVdcSR9>~U z2UT86UaL~uI?r(JLHTTf?ApUyHY=7krIu|ARrg4%4c^MOx2!Bw-6D;*Zmr||uV=5E zTl9)$`L%=@Uwbc}oA!$3{F|N?em7Io<r!)(e0IFR?jZl?4ZB_DnH8SRY3b=FS~Q*0 z&YU|^^N{IT_w>1?7mHH6r|DQ94oWpM+ibMjXz`}anqTM6n4+~?BVb<0P1Bn*Cv&-} zd9Uj6nxrsO_GV-RYp=*kp*N>jpHj3|4mzl@<dUAp(v93F`n|T79Sc3!G5hC>g;Ptn z8tbgqTD5Y;+_Pz$BZH?J7i?ZS*YN83DQTX@OKx5~li{{y^P-y<d@{sLm}mVxQ&nch zeLFgMuCY?J@v7ieH*fpTHCD{taI)%IvTbwJ`DND>rkZl3@TRTJpLK1)G}9AX*t*3` zf}iXCSn3sUswwgn%X}S+u;uLBRx5>UHnFvf@vJsx;1*gbv?l7litdR}b&ahLLg&ir zaD=LAY<Ung_rdulm(pvj)vK<YI<#xkqFd2dr-X=H3BG)9&WveOCQa!1Ru*zlX^~cY z%PO8#U%qWNUohK$sbKl*l|jBzCZV$;Kln%*C9isOq-Euo(@hClFP3so*9}dJ4B(!o z9kwb!GP=Nv^{Cd{B`zz4T9~XNKX^{onyC{QlscV-CtARhwLj#R<jO7DL7D8|TvHs^ za~)H3Y6`i@y5!V}tq=Nj<!!fYS|@g7)%!K8w0c8=r+PI8aJz*XJUXDhe3@4AISz}0 zOh>hVm6tl0Cr{{G)njpC#VHvXk1Yv-e*=~VuDGPf!SQG17Y_C}kr$RXZD0AeH)N{0 zNA>0q_1yf9Rex5z@%5iO|3+QPt3ONLTwi)l|5RPXt3M0gm@hrYxpkh)Z`+lhA8(SM zWVK)ScjeWenK$3N&yAn<cf+ec>)wcmK2J}zUuv~q>o@P!pUSW6-(C++KeB4e?oF#q z9_6iCv1-b#*()_xs4ri(bZ_FS_fxcbJ*Sp=xSP9~yHp2<9PHRFxl3>t=Pt%wKX<;o zDJ^fBd0~#BbJ~8R<RdMNpO>Dli+i=RCfZI{e%jwnAs@V!hOMdz{<b*i;70#9lRvrs zocHFtW#*xjP9c@ws+^$wKI3{_yh|Gg=cTX7_6jl4c2yaPZ>N{0hPs-HZk;c3Wpc3a z<V$tlo*wRQuA$E!>|6M^<CXF|=3iC&7Vy9LeN(^f{H(6XVB^>7YyWRv7IUpVsy_4Y zLfg=}{F~}meU7ePYSq8he%0o-t?PW(X8XO4y0pt}%eudBT3_)jUgNCx+8{@^ZtY=( z*9F=8oqlh2*52Z{+u?R|TJ1LXvv$2R4b#s|xjC8hv8#`S!EgPTO(hRErLJc^Evg;5 z?9IxaPS3_o{hy9-POhD->c1)c(+1l~%5Dd~x&A9%&wo?+<s+_3tSSEwyI-5uFIT;G zb+GK~@a?z4Lk%9;sfMPCf8Ekvw94qwy%5#lrOFT1ZHZsize7D#lIfj$^^&T^N{hJP zwrfe0Ecm}CJ>EE~-TB(Wi?hs9e2t^dZ_{C2Jy~e&%PX^r*1o(t%WCb*i@%<w|D73G zpYYm0`>)~JY{%Chj$83WU9MY`>G*o))TK_ZmPAhbwPJEmWK^hn?<SE|ey372gXfy$ zWCqT?lD*P@uCrlF63fvaHzgblYm&aKSh>Y{v&q?+Ue?B`TD_La4_?eznxdt#?2<u( z*4Is6xZgVM4AAx7p0IKf>*=XW!<Kn0G)m1`DKSMYaDh$as}xQlHSZ}pzC|oLZ#oLE zoVcVE@3e2lbsqOl9a*6z+$Z?gX%!b-Ieuv^xcUqAUaKWhI3>%AD=Rak_Q=KMTFQM^ zp_L3WsZqB=_J?FHpAw=fWV^`KyI0F2L{oN=lgWx8E|=PZ73VL_zjZgwZLRv{DyPWv z8|KEA`Yri-CF=ajuV*&R^L;IuYCCa_`i!sU+b+A$ot(8ibnfL7=ayTkPn_#j>Zf4c z{%XtDHA|;&_07~hx+Zn%*4zx;scTYiRH>!fMsJ!Y8hO9!+NF%=CdSWtW*S+a?BEQ} z{GO^lLoaGi$-_;>-eNkJPo2{aIkGBX=B8pFuPIvd-?UtE>71<QQ>Nz`q&dke`5a@x z=M(2PD8;#THN5>Jb;&iQW5Qg=Iv;uA6MqbMmgRlDaO%*iBDTD!w|iFg?}&5_O`S8T zYn9QnCl8)o_FKW_wp?wQmiqzUrE|T%dQMdel`8yvBIDVEXBYeyak<@@Q+#gzT=R(R z30b^uk<AXTXD{AbvT0gUHfNgd@--|$%XKytt@g7_N$s~P3`+{NY?vAv%9XG*WNN}h zQ;xLS=$|2~7AdyBH?mD!ld?+KWYeb=eiyQ87jG$A;pd;TG?%L@%PT84&`kaIq_-!Z zrDR7*PYx0ani_kK!(#TuTYWiZO1A@+DnH0^%ob)^WyCV)Y<jN!SHbkxD>nrPXHH*s ziSO)8Bki+SCa)~<SsLgw!)x~(NsFJUsuKF4Wh<w6FD>jA)6w31r96C1$jVKNjkXnm z%GaqunMY1)g!VN|_78JfSss*`b7;w>JkO<u>1=*#kt>%p37(udH8H`ZtD$!77a^s_ z6N*6{JexMXK7P$h?`HB+{<U7p*G{cjy=rQhXirFx_HwNouC{AiRxJo#8tcbladOM1 zl}&{!FZpS%ytH_#hp5RalV>h-bXILSZOP!rw^n6Rkk`-6mwre2d(Jh=*sD^Sm0+t9 zdT2vj#MHT$suD`8l3#PKyxbhszuHefYwsE>+m&Bey!z5~&3o15!%_Sz{qnQ-F1FgM z@LKZfOWjES6@K|yw&y?Fod0a2p95h`So6Gc-gDK+^Pg>0UsuipaVq6*RLy%{DL3&e zNTC8))b<)k*BUTsbN+J=gz-KDWC(wz?fK7>AR^~K+bjfWJ5sP`{?{5UuI&>KNUb@= zxu!KFQ~q`2mU-c?UvA#!x;ED*d!5zZE%R1gcDxo;T9sWJk}k7JY?ZN4<Zq6M8-mKO zmB8a7YuZZ+Szj`3`u8w-wU_tW%`u^wM`o@%mB;mb<=3#kO6RuC-LfrLcx|pUM_>Il zyQ>V_JL9AezUm2;-u|j5QM&fZ%LTo*ueMxtTWjUJ_2Q~?KeigK^5e=$S+%Kq)5jI( z{7$)T?EMI~Pr5rKVD)jgbyf1xtsw?QN7weo7ka1&?R^x^BE%f~_FZFauY$zxb(2q~ zY+Cm^#X{20eBu=o^93Id^fN8l!0fbu!Thf4jm{k%!rNC{CU7Nj+$z~rb$IW(vhOLI zLKV;Obo^M@u9+-TGQadd;~V1u2BVwGH%jlH^EzLaz3R<xCS!A+wmbngS1XOXRst6t zU$d1Od}>g9$MGj3kX6**0^H99O<jFCz}zPr5m4ML&GCqB_j&;tffD(<3z)m|E-<>8 zOECDiE{SF}2+%UPyMQY#uYke0RsEgFsvQSKR(LRWTC=L>8L+Ab1nde7*ySiPBS2tR zpoNi_m64N`VvlsI{QL#-A~ksetP7-%)JEMkf%G?IuPkvaJ_c%p?8><~30$?T@R1ar zEVPs}wa9dB-h{fH2QyMzmA<c;HL0tqswgWdD#*)8ii_#j$C<X-hZr8S#aCQBDi$R% z(KW9?>~4b2B&MsnTQ{X#)?J<#;C5F*WAn$1L&_D81>fYzr5Z)HUoM?{y^$+2&mp-f zI(&Ekg2^WzE#~=Rb#t*!)kIhAO&qRb6AN5dvxf-I-4&tIZ5vw>E4pbNXJoj`^`8o_ z&z!K*-*m2Pjk40~Z7Fl7uF0NM>bhy&@@Qt}NNy|96FwJ8H!_PJNpo-!G@7}lNv`Js z>r5ZD&sGy2Hdt_%XqTv29B|{A)-~a(Ec+IL=A}w0Mv|OnFESYU3=br-9n-#>TywU2 zlU~S6&q(f&$U}AussyuS1D5U&Yt@q7rWx{5b1i4+JDuKFp$Vm{!gohqUvh2hvQpm6 zSm~(iHP;lUl<r88m5XeTd%YoB_E$uE`0IcyS+ljyOG+)a@YP;3(a63v$@J}sS<kjE zD_xsucWujRQ{OD#?5N8VuAP5*=)gkWwM?thj~u>v;g|O<{;F4deAn(@Ja^{G&&#&{ z>nIajc5ddSUuhZXF|YO*uFY>MD_eRl`r@x+>FLR(=XPD&yvj5ql~*sa+3{LJ|JSpZ ztCnq@XSvo<FZ)6!uiV=;o^y>?n^vUqaz!@VT{92J-f()>x`T}K%gUBqdSEPVYGJHi zy?E8!S(o!tYS({Vxn}=@yYsF3Q~zpIo0Qh&{MCAGezSk&=df$y(f3dO^8Ob0>d(}# z^VjI7)~dc%zL~zVxcyu3tC;z=Q>^OK&M!IFeN%nq=h$zFrD_TMVM}}dPSCR7T6gi6 z!)poKY^k)`Wu-g|OjS28i~bf<dN0%W{F-ErwVBhuF21H7bwAzr@|u^^Ys2P-aH}7? zFmF}Uhschte9NybylmwY)$a28LwfR`E1un(mKjD~K6Gu%dQ;sKv$ky&@tte3#xys} zw>awZq-!RNOy8!zoMC1EBl7%(HR;LMjP+kfPW#$(DoDT7Z{^acS4*O^v$igC%l@_b z-;p)@)n4bH_~jf`AM$$EH7k+m_NeSxH)bu{`cEMvbE?(Le$%5-!Ane8qw2$6^Pl+@ zz3E@m8vDhiYqMmnBHKf=XH7O;n`IlkImBzO$%$FtA}%jAm5sW*;_LU+znYQtv9I&9 z{)(>64tQ;F(yAru@}xDH0k0!xF7<u2BsP21)@5GVUR#$rW#8JePcW)J^V<E1U$<}l zH}BfkrKJ%mvU+PXU9W9f`jzKQkV<KgLiVh!%RIAxZCU1+t+jO@V^n?mwfKo&uP6I< z9{4$HT2tt}^Lbn9F8m65ZN4^{W$nsYrn;M#C0ujAWx4EJW!m%UR`Dszr&%qZJ~!-@ z&BVD$rRTJ)=U?$$zvktQS$2`Zs@Yj7zMXGEf}WRb`s^`xbC&JVHOr@b_1QGf?RDqY zdEwWJGna?Y{hVRD`I_;WS!@SqMwFF>vecw$N1Zmz&P>&vy+(D?*0&kDXV;`o+uE{e zn%C=_7pvH|?2BESAMiT*(l50w^K2u}Pgs*4mo0Gi)`C}4Qm%bE6=f88d%`ud1zT-4 zPm8){bn2GcmTBIvBQI5{ZP}N)Hb3a~?MuJhw#;*kJU?kodVIFQ*;~_I&2h{&UUk`R z>$U|}x&GOoR|?;WYW8|Pdxfb+8gJ9u{1w+8OqrVcYEI$WKS9rRPDrI}n)fy0{Jd+% zt4t$OdCS&1uKn73S?bOvw%OMfT=dc@ZA#KU{)%PsHFH<(`BymE*7^iJKYR6*a;ewa zt$U)pmv8ln@?N)fPL%hGttwIXBi1@<WNW7C9$uq5b!*I~Ngi`Q9ghlHn|dWmZ0*wi zt!JXVS8vUU>VMFzJTZ)E<-GHmCiB0t+r7Ry^Q+I9s%xpXhd0ghjjXqO%^GEY_E*Za z|C{zr`&z$d{nomxv!Wt{H=34iTIP9e%ZXWhk^V-ncc$7NzgB#*s%~xigs*p^yw`7i z6IC9tx<M;<R+-yWGaqlKsb=2RPE*aix*N1|CtYI*y`_-LxN3`K6jMlM@)m`V%-}8Z zA(_rw%tLSS<o0Ogwp<Ha>U)7{ftU1xrwhDh&)>T8(y{czTDetaVX3;=v5TXw&%0*2 zv~+DoEOS(Q(6z+Lr5!1<O>3PMUr)%EO<U`{s`SSezNyy|FIurgU0$*#)AyQ*O19LE zS!yZ1kE1Scx@NM<^lheZaMb0lYbFc6vOBzvob|QmOx3qk+sm8gg+|spycUhhKmRN3 z8vkay>0ftW`@Zqt)me3GHK&=*-Lx#=TFJ>-a*_VVuWzQ>u3VEo`K!*RdCu29AFpCs zn;V=x`*Kv%+NBe=vP4zKWv|bE`!k|CJexn`t#Wqt+Phn~UApC(&Aj&U#L^{E_U&vI zA2at`HJ?AQwR6>{Z069V+s*t#gA6PRbLXsL<@3^u-n^>#SW0$a>A54@j)gowo1X1n zdaftOcZFYPTK3kcwPA;EMXe26eRbKku+>+yOqZ{{nzc1bHxn$S43Vna_AEtrd(Mv~ z=Vng&dOXEhwe;MgHTzYh+txaoN(-$lX503!Cx>Inx%b(+mvb!AY(L+;u<D%tnP1Pg zBwqdXdDFsKU)N+DUTkG=^7{70Hiy^i&$J~<v?WgAJ#4_^tR@ZSfXR3;>1f7t*nnp{ znA`{^mxIZ<U~)2;>;#cIhdArazO1bO5xO?r-n%;JdHL3Twz|HabIn(ORo`l&Tv`|K zdgjGT9anx@uFd!GW)6C;oAFmycdh$e`H5e3GBU$T?=8AEf5KANtCfeN>KweHg z>pVr>w=2%g4r-5G@%Tf8<BnG{6K4tv3JQ8&5<B)-T0x<E@}<x~cHxG$nU5dYE|E|? zX5p0P;*#RxqN3|Fi<u+z*ZfzT-aWT`XTpBh@tM-9h?U2;%F0Pi&2@+@*I(tm{&Z^W znmqqlmzP>{SJUI420xsA_29xh?>LwF54a7gR`~8+eM!mXduN^AcD{qce#av8)=3^( zdP8o?wY`^lJ{F41mNJY^oZoiK;&JYr4bnk(_#$7Qc+J6kecn0wnUC{I%YIlV^DT^u z|6E@Y&*QcH){3$imddC$+m#%W*~xvkW-PGt%aB&P(`)&vz2{5Fh0hTOR*6OAYrYF~ z`ZZ%wnMZ-WZc=i0!e%Ab%@MB3YhONZS=AL1cKBQfXUZDpybbfi#WFrKv1=qaJT7i= z<zIHkHEL_H>{m^N&gsmdfjyc#Y}cpyXY6WQwnHqur9d_I#^nU@Ev=mMmsmHR{M_?% zp3yzSCBM)A+;8#zJ*WR7#lVeoS8S^l;i-RA^RDLf%zuWHZ1c;`dH&Cg%+I^jJ2TgC zvn|_cUbcn*1$BQu4q$xu;r`=0zvuk7`ZU*l&-Lsbh6`mP79O2`T_*YM^piWn3og1% zF}^rI{=}}uon}ru0{9NC&8yxn^L}Zv_pNDDo%Yt=wOH!y{QK(BvtQ#Z43+$KI+hkJ ztVoc1IpNJ(gZ_uznwRYV`AnF6^oP-zC4P^6Du3|#eoDNb-^RvT_)Fq7=aVnD)`x0u z`Qzn%Q%sR%<Mz2HP8>R&Khd<&TE(u<|KBc)_L?+p-mR;%BF+dtP!~S_x|AcM^4<km ze!<&i$J<grFF3GIn~QbNJg*ZU)g?;ird@H5FZ?C5$13-e#hN{W^>ga&R{P}5>NPsN zy<JE6T!dA4y_;cF^V>J&?<TYTVmP_&>0Yk03vI7frfTdk*3im1#yPFlT9Ijn=cn&E zEo<2SN^u`vF|AoeH~I1U)fRKUUA(e<v)#OJ1wm7<d!A~vI&Iq=GG$U%mFcr;&&K7m zw<@$Xe|!9I(S)vhD;B-lai535WTwVdKC8!u%}+e;&%CK}<>Tg0y^iHAr~2Q7X1vi? zT6S{<qm66x&7Ure8y|UkpYSpH`kFc8qnX;>uWf4_46R(`S9abEF_;jOz_rjaZO69E z(05CP-(E_H+d1!^NMF7C<}+XB&;I(!Ye}kRZImbDN}sZTX|sxd6n;@-KHWRxh=jHG zgQZJqY&K}@<<+rQt1<h)8|eQa`{c2O)rZf`{U<-!ZKXI*fzrcio>P)67d@4?e)ikV zE^umT_1}mC+$W14|E)a6Vg3K$X7;-~RoVC?+OA)TYHs}LboTit>qP=-GAh318J}+5 zxW@SA+rki+iH{EzcE08?zrpm;px*Vpc(-?T!$<v$>XSdEZ}=CBUC6rcdPHJ&p68p0 z7j{bo)~=PSy?g$L!{YVRe0do+h`bN-vgulU``q-&tGF-hT*r07)Vr`=;84Xz)6#6d zRPXrf)g{^0@9O{RdoI~M^GjRZ<<uY6^PS!X_paiSj*T%<DxCG_e%PL~-+jZs^<4aM zSfcm(-3b@uT{~IKSSH7r9qLu>H4A)|8q;z6zx>5~r|G*hSsR{4>LvcXXYrg#?la@x zl!wpVd@N3VTAXa6x%F-Gv9Gt?53P&h)4o>tmTil?!-L<AnfF_b9;~|leE+3_fSI4l zSG{WdX8g5&q0IK|Gf(4h-k)$^Q(tQ0b#?nq(VEw@X9jpr;Mlrj1+V7i(<k0sU3bfD z^3EfFThF)bJ$n9<YxGRB^g{}Jli!5h-jE^7ez)N>bH}duwr{aPVg*06)=oANxFh?F z&*wnk%ycb_W32MQhc;;?dHhNhD9KV1_;hns?CYFE!A#G$U(yk`>9M(f*=XuQ`MONI zXO$O@%Z7`#XCB$HqGvYCrq5^nrd<u@UzNUjsmYE?!`h6qiBltv>CAeqvX3L(x7z1! z@87luPZA64lUbK0w|<eyw~Wt@{(m^g;L_f_Yxk~KesxK|HGRpx(5?Svxtay?Zy$^k z4_$RE=>F0Pz3CUVrfqoS9(r%-#BTN4XIcJbH*fq43bj3Iw7|jZE!VN{ihJwg7G;VQ zJ$4WKwn~7R;emO#iq&IYT|Yx^O}ocg{N=OzKL=%q2F(3&`I(e4Z}0u28x?^u-x>a} z?=z8~w`lVQx&NGB_WY0U(XwUTw(85lgyXJ`-DP|4Tm8tbI>od7-gmRjr*6&eUva}} z{cGQ6j9YJ)KS*n57XP;DgwT|KU-Bbut}ZtHlE{B*`j(Vp4&C0?nnh<nEKcoyDHF5q z%O>v1=6!yA#~SM&uwAV`u+%7Ho_@w3>jN>@%4E$nIu^<^gvSZ@B``O6ZRBFHU)hrD zYiaxWiiF7u6@{N$k6bqi3g25=opwG!E;a6YujOp*qIt`euWRq~77DV^{?hccZ2P@s z-={8kSD+nb#jyKB)j{zt>-Q!zbsDr*ZcJsL$>h1rG%fVaswRuSW|H@>88WWa^0ix0 z%eA@noY1a$msGjBD>NLkZKvIcJmYoD`p~6QGN+y{$$W4^)%Zj8??pdj+!~)+={PeA zxUHQTayw0K>*-m0dYvU(k_-2InY(I-*H@F=jgJ|o->f?o)7u^N^zoug&tg=Hzw9_Q zdBNd}({j?IH(xDJeZDIH>34tmPYXWqwwzjL<2?Jvje@6ry0`D?x%}1N)M32M?%KQ$ z&wl^E;8kQads1eT|J4(5Q?71WacXs<es9@1xw-O>^y|Hs?Y9vzdhkK!M03*88$HQ4 z<8LfI_9Xsoy=(J^e~J4h8C;0TO}o?@>pp9_^|6Y5m6Ij1W}Ta7m^~@k_wr5SG|!?n znO4=ubUgb^*KUn8>fewVE5P<J=_cQ-%BCj^T=z4^zI(LprPH%d&y}Wq%wwEh7-7*a z$E|aSRpy$`Vs7*OZxs5T7S81EZ<`e@V&cf`Jll!=Xc6N@(cTOHGJc&3n3nlOapTXR zsXDU`o+x_cHTzMeD(fw)#clU})2_ZTT76Dz^OCc_j77IIv8=sZI(5~nt4nt+h!S|u ztp81E%B1HTr02W7ES>-Rhrnwk*ou0{jji)TCw~sHU|{gKU|`^Yt*B2;NrSJbXY{w& za2#}HtFgJOlY)YRk%57M0qDwBBO~)v&~kf*h&95DS_dD<8(hdbwT#(i`_GgYrkhgS z#E&JN@m0GJCg|$J(GecE)Vbw^ZmQSZf(h@;<82P;S4`n5V7kh9j`0{fZ?w(nNSoLG zt#7;^^B-gJGPoi8RL1Ic>?}XmhjI#X{axvaTJu^D+H`fLJ62pdy7)qfUSC_0!MUZC z)0ZtOkU5~>Y2zSqW?^-M!-PjOzv_Hwn&`mn%*2ys%iD75pj;1!3eV(|o>FQ&dW~#t zED9R}xC^ZK<(BPo$Y^lgv1a?qHD`4TOSRZ`TZJ-*u_iuRkof7q0mnlMMq97eRKJ=l z$Sa{B!ILDExWQ8;>5@U9Lz2NM=bO!jja-_J6D4|-HcnreG4E-Dpw_g|!WB&X`~sSv z4k%1iVeb@~IpI+U8xNNfFPDVJB<8NBl?R;;rwCnaaCBkjI=We-yE*ViYN#}Oa{r>B z#J%3mmJ;0!GYnijr1bb&cg|~bthmzJY`f%S^Ag8J4SRiCva>cABuboFTwt(l<%;f0 z0iyazd)a699XqHL5i-N8cwxo#X2WAb7Vn#Ot>8(`l}X*Xfg|i_m4l&*%?#%As|v!N z7p~ww#q@S^<A%a%?KeH<&Tc&vqBrMi<#n~-K#k{-;_aI%!s4d-l$L5;=eoQxWM+Ec z#ucae+nyE~I+TXaU=a~>Z}1LYs5AF9XF#l|Tf^C@3mTPNRk(#BGBkXc>(v;ar>^p5 zV%vNn;M&nbgDD0+YTq25R!O=aYQDH3Va2&-b}5}h%x=#8>4Fnkb(~s#Sx>x47u4dO z@l^3b!mO@XiB+2qBusynY9xBJ`61(%ineA$E$^pIMF$dQcBKYJN-Jllo9(_iaqHuo zYQZ}d;@Wn}^r&XsD-9H5VfTJevhLK;LKPc>*<QzzLIsreuo=96WN0%%(fV?T$nh$1 z(J4<YCA?Rx<2tORak`kLL*UA+Y`&mBBC%50wRWnWlL7?wd(*o!4oo<IzkC;)hSnKo z^P8cr+jsAtnRn>rod5T?sb5HZHb0|!eTS~BjEu@{x9wk4t{;5(@Ik}Om;blV?fH~o zpyuhf`uaBAg(`{*z4xx#_*C6(u}b8m8{5_%-)r(^n~I|COz!`OT2$xEeRAo-|4SF# zO%ejuS1o@zQ?GaHzX|bD0jHl`Qe3p#Vit$!nKozFoY@ccy4ouRraw#7k$YY!!p>G) zv0$07hNsTMgX{cCZ*N>3ymfVO?A%wcLU@j?^AmME?{;Cc@8>!j2ekl3Rf!&32RT>4 zDFQ4HKik~9yZxF;=+#-Px@Nxo|9}4fj}opE6*+!=wwb*<JG3_KS^bu`4Z`hy#)=Ow zWPYmLmz~4Q>nPyFvDEJT?RDEtLtK4o<!Ym2|Gs-?x10NI-j>}vcKm!^`7SDYbJnBJ zcXroh3x;+rDVyTWW!3AvyfA;B%Z1H?Uve(*S^A9Ay1{Xh3Omog|NRTnXFtpB>=l^E z#>yoicyZg`{}mqJC*}wxUaOu^Daroj_L@hLqUSf+@P-;bNZ@y4WbyGZm~ps!@k$LD z2LHz$N*qlKp5M&%{aSDL|Np-Kk3R;oIG*3U`}VA?XMb;Rd#jP|Zh7SBh4=n%FGZ~G z<#esj4!e3r{;Hd6ce<sQUH<)lYbPv<&h`y8HU9iR_je=jM$_!ezca3;Uw*c1=FIT3 zXCAN5n|1C!Z}h&KYcucNFu8B?{#uA}y~#FL;|q7M$<0|3+UoG_QbhK$@0S{aR5R6m zo*s&o=K9W%c;dn~kGZ|q?!PsUdw+XI)or&q8xE;T^hk!zKX3ogu#xLUfYQV}d@>dR z8ms*Ne+yjy>;Ja=J%)Svr(8^~6k}=BIls$kXIRhTj7Rd_>8@SV*``dnzpd$MWCxeb zxy1`!6x?wQRge&!7aA+09l$8Dc0uL&O$B0Si^bI$Otd1V`l>M~Yei(N=w=q2=%NwS zd_=cl#;235p3A)--wX*2T%I}0$XK8E?qB7j-pOlM`(IJva8j9&IZ5HNO5>WfE7z_5 ze85*lZ~_O%cZP=sqOUIP>0|DHEau>IL3yG@frPrFL;o{NPNjTLr!(ufTE0)ua^CLV z{z6FBPT=y+`&0k@|M$Nw_tRdp=kL$>EY3|oU32eTY)xJL7qhefcg`sLt*UY)_Win5 zq4_V*-(RFPdv$YRxa-l#3j!A#4l;-v1}bduoqjAz_;ylB<_p2XS!z=%cA1ojf2vY< znWJ*d+qwK=T#G^fGmYo(KHM<L&++~2mOINl?ed<FzMtL9&qinYnttA1Y%D6h4HQei zZ@RBov36zJzism7K4(B8yKP?_c^n;l_RrfFonpOtAk_=yRdd#E&78FCT9miT6b}U< zPM1y6ja#-AWZFdE-d46w#c}$v&2QHWECQ7RN*q=5?tZN*oTSF7DE!2~#X&*fWVUkE zUQW*-ubDzDP2BrSJewXII&}Y@%A}O6yu8aMTg7Gu1ux8uS}m$NXBp?(l|OBSG`oz9 z6_1o!Eq!?@V)ZJQs(Bhq?_2op<M+M1Fw<#EZoKHJSk6tK-EEZIE;?PXJAa5t@6D?v z(~m`k3T}M6ZP~1!{+HjGtnC#{YRSv%=vd|V+!PGn+N;K--4gzvyM5U#Uc=%=o+jxZ zbvTcvTdrO;Yv#}Y+tdr3AC?%*wpR;rRF&w7wDJBN=58{@dAsM?Gt1@bU+3Sx_D5LO zCL_-2tn^~`psQ!>qqd5F%2~B~rvJb5xy3sChd0{v>^2j*@GfYY)5hHAYq!0<{crQj z_b!j~)BpJj=AK#J|0=oKXF=*p3(lrV|MPF_n%3LD|84KZ*t2WJmh(|_UE0_j7#KJh z7?Q;q5+oFa1k|g<+-@?8FffUT322!p7)c0e2#9b9h;VW6$%#qHh)4)^9%)Q;(BNZh zSu)kL^Ap2~4o;J=ixzpVUi_)yLG_*vC$ogVCzfS>ED?JX!lb~u^48J?ujaS)H%(E= zU=!X{X`b_1e2RR7i{`zZB~RHm`#DY$N!nV_uF>jz`uS7WrQXpN&I?^%aC1G~6~L0( z^Xbx=U6M=haFs4{ylb%KaifxGx6om=$7ZK)a$i~K7@MH6j@$Q!y*H2Y^OPx1#9r)X zt343lC*HN>k4D_A%Jzj*q8IR0t&najn;)=*FEA-$(;YX<-3?YHE10>C7B1*~@$859 z#GoxT)nDc@{uY#ba@VF|(jK{#?zh}e-o|tBuWb6=`#evjo%gZW-Ph0Gy)Je<7H6-L z757kf-M6@Vy{#GN9`60KqrxpKVNod0clX%8S|*dzdn@E-toZTs-qcf-Td%!txov*y z4gaUI$u<QYJF1;eIEJz9-pv!?zTHo$W>rqY%k?|#U*5^gyEr>QLnWzAV8U_lyZ<B> zRh0YWKgqLRz<MG4_Ou7>7o;YAEM>`EA{o{!{Pny!YmI$b!R}ICySlbtCtiJAaiQ<q z=NbKzp9wQOKgGKDKi}mYd<R;h5BRcnTjqJr)iH0nyXeJ%A6>nNBenj|obc<rgveUM zKSG;!ew^@uRq^AgpDpQ$j72^-u3IVpH!C)r>n^xuVbGaxE4oVSV)fPU3$wmnSgiBV z@4opF^Y2YtIQ~p{9jm+2kIR8Y`B8MI`m7U=T;0T%oxK0!QwUemmx({F-dkmT;IQb5 zQ)L!SOYeujW_>BY^6tY-<Cy<a=NEtfa%KUa<`3=5hw484ja=3pR?2xan)lO=U4P_a z&Ly2XI5CZ9L*(_@{MYk1xdnC0crEi?)>l7KcNJIK#9egjXxT0K{CRBgc?+krB(Nm8 z-^ngJq1$B4Ah5)il|gl{8ppwhPuzFv=&~<4&imm<Qla$1V*%<>JhyMSe)?f#z2@QJ zYIm>RSB~nXs_PULoNVSZ97z+^PV1^vI<jR3XZ!24JQlxG6ZV$0OFDnsRp%?jans(p z>rOypkGe!i-2a^|jlm0CI&}9hySVG&{Ngyy&}fMk(U0@I&5kO}s@;56WwFbJ&&rnb zuN9VTIBhfGp{0CvM+B3}e$iRCj&skfaVjwQ<$V3=5vk7gJt}!JXODYWuVlS{Z;r{U zjBI^onW>F`uE(3rQea6q_?Bn4&7$cM3+8T7`0FOUGW5RCn|*w(Z`N+)t9ojDq5W>b z+)o#HYS?#v>09@D;g5wWDq9zAuGz3hFsdgtD}Y}w#_0)zXXdqCVHfl_ES}0-w0ceN z<%ma95@e@UY`ziU$zEb~=bqldjs6CqyL=tP-DFl~zI-UXQ2p~tQ^Q;q)u*jb-|^g? z=Qiu-y^m8C@)<aO&4}Pu{670@b<xK5kR{As_HQHju6_J-WB%@|;@dArt4oRe))bV= z4tw*Daq`}^3sP$sgKOscg)~p(Fi-x)P~p6@Ga#JFbkU_12XFGTwVUf#{WpEPnr;72 z=J4*8Z<nkVl*?MIei`-r$|{bst?z2u>NIjq+PR$m&U<3Su`)o+CM)tsW}fy+k;T0A z6@3y{4QF1RyvHd$$tOYJ82`Sy?2G5Q>h<C>wdbV@7k*rHxPgQ79Baa#!%{tYth;$b z7^cMPYkkdMxt^s{P_w|ht-R~Gaio!rcF^V6!pA4-^LHssd7rY<&M);>hk1k3Ke^)h z+s}0Om&HU**DO1}d08u?T1NAc^b_8He|H?*u`}>f>;HEz4X5tXS->H5xuQMxYRdT+ z)11{Sr%w={{j_E77P;4X1}RNj9@s~SoSl(dcIENfxC;vvH|~!sx&G#I=-Io5n%rt) zVYikUuU=#jTAsT#deehO$Ka5s$xNSLIwckP^Vdy^?elFp@Gfhk$T3b;mK_UHYNT8q z-Hcj#ZDGx&J-&xGoSc3@T(y63>VpeTlLEggy)N9sJ3&#n?((%QY(fbok}nrJFXP@G z&&@miW9j)!*HaJAb^SWl*5Z;SAHGpC-s!Q#hHoxE1V8qw_iQ=8rp7C1R+{_-j#3Bt zDxDu;MIX9<n!bH;d_v%kBq{SNNB1;tcyMF=Ef03FliWQnl`l$n3kP3TSwF?%#aFK* zlTLc{p4Q0YR~N8(*8cQkkBjHcI2N8gtme~#<jx6Xuoz#Pa@B}=k>-RQ-E;5xmu~R8 z8XKE%e&74q8${=xUwDdXvVotLb|kNh%RbppyZ(x)`v@E6SN5G1|FU^oj|Wrosz+t* zabBrz{d+P)FEKc-cqw<<ZtF%{)d}3rn*Cn$ZteLVkSUhQ-7srD>)IBlw1kK266Y^^ zr<u4rYtg~KyH?y>>pgKVgV^Lx$M_PB=k9*HbLrQ0u?n9KPqnyXe&y!FQy(>C+15$5 zJh-{Jt-E>pKhe_*WG}DEcdN5VG3uVuQnuPU+<g9;|4k37r2jmxUp`^>?C(AuN^_Uk zF#d5U{`jPDW^eHEn``#Pm%rGrqFVJS#>rmIUE5iS@%W@1;rrSeWwQfQOWo2YcSSy3 z)@HI^>goNS)bBDUG!MN9I)A?VWR!2jVFnw~%6lI#9AYz^A0+eS?kAhH?6)$4Auk%O z>kgPJXQj9)PTV@FN7+H=)Q>I99=SQMjq=}FwVw!BG?PE_;ael$*bjA&c7HSfbZS!` z_p+Gu%i@cEsqZXq=4EsL6n?~Md(8RSi>^Kvx-MZ>6aGwNTej+)KX)4?=f7DodD%{- zBZmDNMavv2`q;C+MI6l98>RW`%&N8x%Vpl~Io6`K<IUUX6^|~JH3rmkY%~bTS6db{ zYv#n;>if1`^|;cwMkl4n)Pl7j@t1vv@WJVg`y~7$fBsx_;?oR?wI5iuf32GI<L6?9 z={`I%XAZR<YYX3bO5Uyh+9v4*H&1+7etwJimn(loAD**~Q4rvMXC0H{8<?ti;?a3l zW!X}%J6B|bbT_)}j=jhB>hwm{sZ(~ahD&by!DKgsm+??_hVGoYJDswJZHnqE?_Ii> ze~I_4RkP}~iSnAdZ>^YguT4F#;cO9YAR}J0e_CSuqmoqjUM7JLn;3#h=dG>&wywlV zLT>AaYp<RBmHrjEN=Cio6Iry=IXm_E^=iZ8xi_BJ^t}GKOsyw$c8D*R)Ypg0C#(H@ z!8i8-!}}Z&3*Fl+mc^S&4sJ{G2;qHk$iFGC?oVF!Q%=`;ABCoE*7zG-aW^qubR);y zC+r7Hjx6k*GvUXNQ|*>r0*x15eg2RpzT=QV=mayRE{{Xye)Bg=Zl9H=IpfEay}R;4 z1(JXL+i|~Q?&V`2Ebc9PdffT_hLxuk<ql2aO<#4ccD~5H2g~0aUVHDk=_$^TKn3m6 zlheDD-qwp7x2wdK7i_z1_iLpZYgYL@ErukXTfJf>U6$-soCzn2s{2018DC6N6OcNg zo3PN&L#}AYY5wgGuHU(snv*^;DCBDOdRJbXdDpI#omBn8m3ysL*g|I8ZkBso|4#g> zi$1t0y?)J_m+S59&z`71f8qDUC+~iKx&F_g<L9ON#`UZUdCNTS9WZ*@tWmJ6l%aD| ztG7GjN>L{3CvPpLt7n{-kBFQ-DK+=)9-AxNdh?G37W3U;`J?CFcCpiYp|S8$Ug2Bk z^A0IE@wa`jYq7r-mMwSXO(dhmwKP|s3x8fmJMhWOGE&%cvPO*U{H#rP#B#Q8Q}1P$ z>}xQ1q~my}@obIV>e$Oq%};H8RCYA--p(77Cf|sB&U=$fE<0E>SkPtbfwBpIBo|CR zF}*8QW6yn|*p|(k&FAX!oNSzT|K+Nse0PHK4*iapbCPv;REmD@`zmhM{oayGF5Hih zIeuex{DF;mK_*Olu382$9S@Ew`}OK*f{IdxW!Z%cyVI=E?0qJOZQIHs4F&9GS>5{; zn9JiHmBF(;$6jfx=~dgSukLVU2YE}HOLq!AS=QgfJlo}y-VX=qjbSGyv|U&5JH={y zq@=Oc?ko2)#qNL~xlV`Hv0JN~bUvAHV)>?Cc((lqE3cbeg;%<?7iz!MXwZGo;9dAT z<E!ga@ssr~%?mcnS#)emj6`8GH~%+DpY9iOvaM{YVRw$-dUbj2rGv2-c6nYewz96; zUjBkR`ZMP=SKG-qSmb<~R<>}N`tSTL>lo=Se!OMZHb-xVt~UwX0%q0e%58u1>jUi$ ztxqmHuT+s4bp7$!1oi3M>-rCI9!$8lN~>IU)zc!L#PE1eS@Yjl4Yxg;^LnaB|8({T z3^ts6&)@H!ZaXECooAJsAP<kpTwN!X5B2A@=KCx?akf|?_vKBsS+2I?rB9ijxpFG; zGJl=td@yvezG=<b4>vWe_sdC#d*6;uO?z@-dh7e<YrTa*YgaaRS@xEytxlW!*HCWd zR^^qoktRV}2Y$U}>)vy@dj0LEW{i`b^1oC#v17rvMgM1<wAOyEDUguFKd12F+8vX2 zzbbv0)Zys<+G#@b?hc!JDQ!VV?`1_!YM;-{-8s)HdJ%Uc*Ipm%ITxZ%-fxWxK4`-y zST?hUCq;SLg0nxLFt;y?Th6rbduOxFyQKAJP39E7mao6pk^0JPYi;Efh0F3+jbA@8 ztj=^W<~^olr6G31C7#*r@7&8fsy7_3Q@Zw2Y}O_rv-sU^VyBPZ{`|P;%<X#%bs7BL z^;JHui(mhH+44YF9wD7HQP25X_x?6`a%e)du)9%Bl;-i${3zp(ChT9Cx%xjJvY$7l zjq8|7k9Da|^Ve(1SHB$DsrP8<k#_5=3=B!>tvmHM=z1+=$q`=hd)MJvr~MjU#-y1T zm$G=g;;DIiRUo4Igs@Z8^7iJg<7f6x$(FhM?eCn8TX#KWV|u1@@$k=(_bE5H58Evg zd#+Wx($*pHb=-I6TGqpLF<a%2+8yRF5y`SByU8?h?XLh$Rv)v)yS0{`&D)$Upx*Q# zVBPr-^S?j%<Kp-y>wIB?-8TOkvxEK<d`~G%@Llls$`u_eo=JRbJ?#%I*)=6xgHcUg zs(W%M|J(%g&|0=l<((HhriiWW@X8F{zks1*`S0SC^&+u4M&4r6WY(Qz?df+hI=^X7 z<5Bk;OuJ<7J$<}MbWL@-a<<>}=?{hXUq526X!Yx^pKJd$_btmE^l!?)`n_}U-`$MI z4DLDR<_U=9UaP<J!`{%W+jb9={xA8MYl{AHqJK=^Ea|-JH(|1{$%MshKQ*UMUwGif zehd2z!VC0uUUqxG)3e)9a>X)2bczQ1vJLC6oO&F({dW201eJ3ORC^L$Wp5G6{a(hs zWyVbZg?uU|^$mMY?3i}_U%TF7=Q=Li{o%gdu2wM`RZpLW+g%E)H}ou8`Qc@+8~0q! z|2m0Pr<Yo<ZDkj6TI6t+WzMAl-<uad^UQE|VQ<N-;p`Evzvp@UP{N-htW_>8GsBJ@ zwB*wh(Yh3<!kSR&xa^b4G<%(;-d7KN4H8^nykzErz_yH636od5a4YcyEc^L>N%1TR zkDVfaaw96f2Tc5BzyHTl&B~dp|IV)X=sbIsPR_chwX-fxP2My`ec!Qzzo$q~(qG2+ zYT=5=RTV4V1nv8o{9Yu2pT$R{Cx7W<2c}#X+s#i|bmW%pdt>@`!cObl>yNk<CTub> zDCYWH+LG?LtTQuFctu=*Hrw;1|LQsoGQL>$U47iPo%v~r_qoebugeVNI(v@1TKsOJ zCAa?Wm%<0H+?;dUd-b#({xkZMcC@f=+un3RrRK`hxV(!U2Sji0-M{9_SDTcVduN?G zb^o+EoAMT|{_-86=X(A<yV`s4i{$35Y$wc){{Qiz;-P<}_=WBHIZOwxWC}~GPyhX2 z)F^9<soA$g(WhLlC1;ixzuRebI`oSNLkOP&gVRqb)45z{dK1#my3aAc)OGa2**`4H z@5%?>XysV)Uia?lJ(8?dcbENRKVY)l@L*i@Nl!mr?oA)2t&nfysFFxxy!ZFKiSa)P z&$!Qpv&tVI`+4xo_1`ymy^EVy=ik~@EM?{V#oYha3f(0R?H`L14O@Jdp6HC9#?YLR zq202tP~de-bzov>P*bu-@ub&6x1t4eH*87U^(M;y;o_Mtzob8<+*D!HE0`=l`{xve zru(j3&!bkdw;H>BdFF6n;nZ6yHV=0Oi)yH}{Lj1~uz%0`c{h(tp8D8HioxWu&w1PR z6Q-urCZsHwD!nGJwR2<WMcbEeLmZk_{u>rH-Ic2NeL*s6(u#)ph0J<in!T7JE&qSr zd{m(7=96WSdhe`vedPQ7LreOcnU?3ZWxTew2^;pWm){okD!#zSuXn~~iPjI#r-zx8 zPq>&Ux$3yc2?=)@m6<wk)=0{U{rc26M_nzM%hq!JoBf>|KZ@KjKdZ3gQHqD-7moer z6ZBlJ3U2CIt)!J>Q0;kj_meA04aYQ=1?aZkbe+d?@@)Xq7b$oDGlDWZ7jd#D>26sg z``u{Ay34KKr(Wa96AQK3w&L)=|N3>AOp+{z`3}5Z(pI^s?zu@C=T;+WwYRg>o!Mrw z?LPWTS>o80SyQ|dGfO`7y6Z6Q=r?%d8IsmeBz5<G@|%-W%sEO*X9)I0)qRsv*f>pT z`3BdkYzbCVGPd-5cl$a${_&lS{rWt&+6_`96^rtj7s*Q=Zpe(zeW<zh&(%vx!4nrP zuv_&e`&y{pUlZ9b(FwObJkL1gt@*3_H7@V<%Yfr$ub7%8lN=`Q*E*Ls|940igVX`l zZ%kQg=l&cy5}TXtD7-Q;F)c>fxwGZ+oIMAf<JJpmFL%CkT#6|~voTq3g;4Xd3aeG@ zuZ6dsvsU!XY{@xeymZ6=uN+$JEY;2GZ|$?chn!m;(&xq}6Mp*9!D|T{SgNFR)-cU{ zm(JaP>YJx;aNVIjS0{usUND#~IOl$%;f0i0n;t$mdi3|^Z*JEQ$+T=wTKLg$ooj1k zoYUtcDsQS66pQGl3vE-n{ru1Z<?OriJ=%Ez*$y4bo@Xn<Ga0#MxxQbL|DE7@aM#sJ zALcL7SF(AQZ0CpyUG$gNBq>30@wRu)D)AMQbKW1Ws?E<5)V)x;Hsa#`^J`ytrboAA zPPrpIIqE{^xyV<M>gFyN7N_OL_o^{{DM{Ho?a2}D2@78LNrY$^?|-0}G+}l9uAN2k z?yRqh9yrPVT&ic(q|j+LhwJ_u3q9V|w~O}vjheYpQR<%4-Wp@(%W^LgybtEda!;GH zICaOYvv=g@bvOMvmw%zkdLcukQM1M4C@$mTNR7%prczhF1V*iM5j?AKz2D08rtQzB zTe&NRY@fER`IKUxd(<|bd*;pGDvO)~1CM&Eq|b{JcqBK)ZSRK%i^N4Y?_W|Ek~n)p ziR+%!c{8n!7a2z8&;0s0*RQ#6Gv}68_Pw*EuXF5pzG8OArwba76-!o0&XVjGU<r)1 zW$Dd&X>2a1$`-V}=V%$j#fLdT=N!{dZST5$aG(1Vi(@}Jr@z;Yo2~qt!SR^w@sQ<j zI}c~C)O}U4yJU0jX_mv1g;q=l67H(<zAzUI6Wab<TFQ3vYVD$I;h8;4qG#8L>FI2$ z|9x1w`+Jvh!>J#Wt{-7HS}5mfvbN{iYAcEDHE(8S32zPX7VM6>k`$5o<+f?JryjHB zS$(cOWwwPYRc20=F86ul7PI^B%7*#VjSltiJZ;9hB(HFbP=Uveb6-C78F8;Zrjs)} zVZp1JW>Z_vtKME{y<95!<Wb3RQN!KR*Y}pO%@)+L?$p#ZYTB<cwLb4j+48T~rwWv& zTrXn|+7;!rN^8@!GUlY;Cp}kKUCQ3goE<wy!9{fG)I7^~^CwSTATwF)^Rx-oAIvH( zdZ#`wt`=`ebWRuMIK2Fw8t38mb80@!B5zyYeApsXnp?a_c~iVr-rD!kUbd$vi5n_e zn$I+!{<wUPiu>`*#oITmPuZEXlS!*H^k;|B^5P5ijW-0Heuhil<>0O6W7u`{<U&=w z#7|$3D01|bE_2)dFRGZaE?#2N<`0ZkduLbXe<|SUVhYvXypQkpnvUnQSMNx;UjJ8S zyZXZBZ<i+RDA||M^2K-N_v`c23ONk7q@-S!Pm$ZfTAdnk)GB!GvQ4?G4f4*Eetu@U z{ajKvQ)<qZ%;QFnxHq*ss7j~q+LY4jlw((Myy2#;`|<vElhoC&2Y4RMyOBNbFq`Y` z*SR4HoE!D_Da*alWajV9sSe$uw%H(&*Ul$x%HPlHbGjnSqQ8}>Y?Im7c>HMVu}1+c zDzi>6s?0QLb-yf}$u`+dvg5&mvyLIf$DTht+$!t0sKsZp`}U1jADchSF*lC9^F=9t z-^Kl1DVm8lnx>yo>^_yjyhQfN)*qJ)?l<;Mm~ds$s?TnG%`#^eJWo+>(|ar=b8upx z=P`W_o(YrZFVSNYIUS@D-u1}6qyL9Z-v=gEO}RDda#NQwtZzMN5~sbCbH|UCxyCwK zRex8PO?Ie>d$V|_X`#ZRD%Ugq0q^IpSsbUo#h%%d@5`dQH~bnOrSN{3R9qd#y8fL? z>HqmzK}*Uy*FU@HXe+^bTe;3oVJ(;A!k>-He;wCk+~{VzH6vukD{a}Zb&^lQ&+>d) zX8bk%kGJj87Pq&3cMdYGc08cO9y&j3Vcu$mrV}CeGq2{Y+{NE=)2w3=*ZYEtt7C&h z>jSnvc21ZU>iP7L>G5D**H-`auiQ%38MAMH_;K@>?GAYsx?MI??$u^npPaUQ&!$Pg ztY#UkJpNZ#xx`vJR^wZh>^Xj}RsJDeV#`?<^G~zAA7#MgdA9gRMj}V=zpwVZM%5SE zJ5DMt-Ntu0S@LjP-ksie@|pJ|4KvuMpPRV;hT7V*J=YHU_(VGvR!8bHoUPH?v$FW| z!v%km{@Y4lu)pUVJ}Ky3f}ZXF?0r*S%zrM^8&csuO<~F;3ywp456X?&yX}8+?n;+k zws%_AI)(3gQXM4*zY-)~e?E{I8T!fcM%!V}cPDt=7kbL1JPs({Uc(%@<c)vlicP6& zS&FXAc6@*F^IaED9zOHdhNsutr!L>GUA5U!n(27VjgP{OA34?1BiD)S(Vm!PU$H9f zpa$EAgBRj$-+W1uUufgCPt4P{iunldK7+@d4sEOlp1Ul~E#IHF!fH<B(&U|?3YqcF zQ5hF4`j4J^!uTO~n*!&fP3BjA&6=#-`Re?Sxce$^J~Ncn_-kBX`g?A|ueZnZXQbqO zZ8`k+w)Cg%U*ZZL+5DQCbhNH!f8WKXE`~jfN$h8Ty({I7^zG7k)fu_zY*hPCo4NCf zPgr)}2o1TgdRgq+mxU)?P8IZCtZU22|8ru&sra}5qa%*`hudnm-T9RC#(v#*M$JQ0 z>yTEZ7@8PZCWBU`7@8&;eqF<m6~@4$$}o8{U-HC-@}fr%@!!3p-8D~ZQ|_jB3Mr?j z1bJC$KL7Xs|KInl(-@{OtY>(d@pER;$1>07zD8#rJ&N6RGVJX2X-_}czN|7ot$NWi zbbVFjm-nkY7e`q}ZH)OH8WvlAbJDMwPfqMKUc0IzamL{}!QNN>_pVy?FH`*ev@P>o zLTl^)9r^tA^{tf4+fLrx<~vDm^PH%OH-oH0vv+UXnp>pO`Rn)g+{?G8cD#AERb}s) zw{r~C+ah=Av_CIcl6CcGby;`RG@0#N_cDIFwe9V$b@6rcr`?^Fej?CYb*rxDB$djt zpJ%7vb<V16yW=k8IVt6K%D?~r&oB4cmV0~7@r$>%<!0Yj^PFVjSr>OacS~+{`Sjc0 zDqn7^ir!n8exf$I^ma!_hYZWlPxn*52Y5ewa<8{bkt23N%9&Wl6|26@-*)?&%Ko>8 z91X{rFMZG}pT7A1(-Y;EuVVWurZ2wB$im|y&|qNLSWxgvntytg=H6FnaiTLVmcQB_ z{q?r)PG2(<BLSI?fCZ7~ST3Eo;jqQ+aI0(T;-bQie`nQhPun8z;Nimm@Be>Z#Z|ZJ zF6Bkf{`1;I!gue^$aONTtVjQ^2dypNS|sxS{<hoOQZ}>ltV%CFWst7?H6V7GZ1#y2 z6$P=^zgn72JipWX@3y_xmXH4b|L_0b|9}1NGxh)e|Np-^_x9}<pL3KZE5>DQ&#Bt_ zoUemf>A)LS-frGSp)%e4(vqSwDgrDTvPNc1HU?T6;#0X=8TdJcIJ%Z~`Y1_pHZ!p( zsZ8xX#oE&7cF^UJqq3sr1qCM;VZ+856D9<h2($6ZNr)R|1Zc4^e7JF8LBfs+iU$uL zdQw>E*lD97p<tsWASc4j<HdHA$@LK9N(HXX4l6qhHYzB23S_DYa@vOInMn2U_HuFa zvI*u+2+dFu{__8Sr_4Gv=U|sBWh#maON-B~>iVC3JJ)~HHwBJ{<IStG{%7va_5E~P zMqt&dzsuilGd+2pQE_(`uUl~2>B!vWn{MA!b>I4CLK`=C2iKGy&LgYl&M@f+FfdRM z><n6wa-p`3L7_oKf$_39LxO^Y(B$;YSyQIHWfWmx;1}T1aWSzF6O#~<5E9_w<C0Jk z;}GKF<7zvsm>A)}*W%&s@-Rd$A^%v-k`K==Z2h0w!r!|!>Dc|}*KP{_E!aNq*Pg9S z`>bznX*?4&HGw7d`^p-I&jPKIsb?yj<F`)=dVKI^+~>*1_);z?G1$M{UjFIkLz6AZ zrxsmjNtYE_ZyzmZ_-gf{jRMjdCC#iSAIWHb{i3<+-P>Dgw;Zx|+%>S6pLm*KU5uH> z;v0$0Dw{pFi?L2}+Hl3LVB6aNSsm-Y&1IZ%g^%&%wzHFyuAce7&gkr_+RDPX#x)%` zmhoJhx7yZ3=S#-nDYhja*ZMoVKJVV1+v|F1NzaYr6HWv#_>^0Ho1eGh&+{amj^7t- zcyArj`MT@$7Ryx2^cyeBPUT1Jx2Q8z6H;Gr{&D7xQwI;Sooy|2a4}pVs@tr{t!go= z!vBZaA4{G;6E9AXX7Q_BY~dTHQLrPs<N$lIk8tSYubvY$4OGHjl*L}Z9Os(EeE;Ev zI{Txd$x{seo^A=%%{s|`L4DVS9?rvGF8999t$N+Pz`x|GtJpc)yYpE4eDCht$8Hz# z{m7x|QO-f%CY^sLR`^^i=GAhhDGB@UcI{!c{m_5A{*J=)?<darteffWB0p!{<4*#M ze9~_ScL<cktMpu$d53qMjL$o<a6a|7V(xtNfBf%SE%+)f>)f0dk}eAK{aNZyYCP5Z z+t6yTYW=N+A4+stzX|M<<v8<aLg3BD!<+7(KY8O?QcT7CtC_3Un8g2&-DwuTKXzx{ zx;pEk+I9bSKRsM#oe?0a^m;>&&ig0R*UAa*ohO<8Jze~=K>m{no}%-PPu_aL{MCj9 z3_AW^e;+o9H#SYUlq{;gW^urm{_Bb=(*g=5E=WktvpRmMH)?_<r|{=P$2|L0R++ub zVyw^h&6rh`$Tzw7<d-5Fm-98(lb$)XyPmzsnaSkad}7_&7T+U39!7t#wV9T+<-Dn) zFZ;!d)!UO<Usf<QaL(pAQ*Tldv`BWfO`A-~#$(T}eo)xhCcVMp(u1o#k2Te1=e0yk zdSl_*b?g3x$yq=CJXj~<(6oKo)EOMNyR3A&uQmT#A*{}ONoAU%zzr!KNmf6`HJL^S z-pwhu4|ulx;yl;;A!{xM7t5SJX0=kz=7f}8>a@@Q1R6Q!i>6C&Z4zje{4yt@ZL+m# zz?7W{pS=aPX)bCqywa1tZRX0}x$8U1HgQ_jZ)=h9_6oT^Wyba!t7pDE6IbE2`O|NM zT_(CJ=NKBCCbx0?{eAt2)AcGpk;<Q{H&_LwJUsdt#czK8AsK2RdgPq!%FRl*mom?1 zb=tL1+)$rqt?%SHEYeFfHnJ6dP7>(zJnw(2&SOQC2E*Dw{$Gps7M<AgT7Sl=1V+Uf z0g~GLs=~D&TSep_v@pMZq3_DnxyR4@weDa1sYKUCd8)>ZBQ=3GtF+#CcQ29jFfg@0 z_i4$cFm^G1cc;*o({`VJEa1qM8XsM*s`34GW^3$@w&HBBcCFIJbxIszx0S=4uHKx! z!NUH|POYv-o=c*;*GzZ3*<hu&`_8f1vdjUG*@d%IvOR9Ltj()tE}0|HsmSPaH?i_= z^~V)=?uBt|^fCRZ7}8a9;Le5w>lw!)SlSnOD>8gesuompulZ82(e$VpOLBX?dD~X! z2WI;xuGq8CQvBXQiT>FRdqfv~vA-^97gxO7pZT|US6GSdUcH*4mZwTT3!m&Zt=wL< z_i5_i;xGOjKfGRkU9xX8@Af{YDTxK8{AG@nqB}Gh_q8+5{K}xV#qvwrakUe1?<T30 z&pDd&e2)=_-=ys0)4xx8c2I-ksqfVQwO19VAOC!;xk#b^_-4QEodx}Q4True)s`;5 zQ+_^W%a-3#n=Oz3?KQbJB|Ox-%4XKRnp^j3ZpHoF@;dYPM1_Z?>9rwm7~?iP`n-CQ zWA)oTnpWRlxgRZYH2AwW+j?K%!RwWZr*!qtuH(*<FFO0yb?rZ=T>YT8e>a8w7vHgM zN=;~{*FMd%+rq5unll4ce&#OR8~>{|W6`9EN6Th&#l3#nz3D}qrey5rS=%b~U*yNn zQC~A-*I`F>CJ*nZM;?=>@2!8idG@-wTfM()JykY++;lZ^cf^KEJ6;5&unSIq_&3OP z`;lA4PmhSI@=l9>^SaMzs?pJHT&c$&zEUxpX6tw7N$9F4wTHQl<~LUyJ$`0t@4}AI ziMy7s*~z++ull^A<9m4tafbh^3qI$5h~r(*U?uSC*dfovy>(kRlvN#`v0}-ygL)^9 zq|V^C*vYhM$>T{&as}0nEC_hkX6K@iawY%fX61kU_m&*_H}kM^;Xk*x^E=dZRG+?H zY_i^URm#=#O^HnpzV{?aotOXg;^ygTr&~4#$$GZ_XnF7`N>2W^>4)%|j};*YPEI=; zD{O0)9rM0_5$|=|8M!smOkZrK$UR=F>*3aZI>kNq(G2DbQ%+5o_-Z=$T|>3+|M<@? z&%d_+Tio}MEjHrXrLR7FJu;VXcf5F<Gx0Y2-uvDQFPcr9U-~|`b!%dQ=Q?AL4oNMY zH$U9Ia~?bIJWu@LZ0)$JIW@cG>weDTkddEzDqd^<)fLz3PNXipG|_AN%e~^_QBx*8 z|37oDn1}qL(`@UHtv~a*$f0y5^H(ly+x<_bZr+`(VVH7Bl{4&IqWA1@?;TStH)&3m zi~0X)(y|;+2D!icj<i`lT$mK~pCLbMcAw4jiRC&a%hLIa-#qYXp8w+Be4*J4a~`Mt z)U;6B!Xni1__aLqI);tea+@PW1f$YA87K5HIxOM0(KxiW_N;@su*LeXt;I9Lk7-}{ zrF6E@+-A-n*}BQoHoq09<+&o+uX-rjR&loC1<gApN%J~f(j`}Hy`pu{^<cW2RC$L< z1ZSe_%;gI^*L+mc<q!M1VxN$gSa%)&{FsY#<ZtbNslDh~NBghmRSXB$^gT0K@Z-#s z`RA7Gom9K+<f;Wztv^oiblK75@kz+rI^R-x%dt1rzl&Ap?XK(1zUbf?>VICXq{(OB z(Wj@Z9^Te9*lw^XY1YPx9y}|iNbx9DFXvDdC<?eJUT`vCUc?iX`)PZFt*^)Ut#e*| zra>vd@SgY^@#i)1Tg)8fclllB$Y0pAD@dKgLt?FtzH`UfIj<NeOnaR2XPw8*lda1x zTsr0GvV6O9eBBwgZ)WSeW?r+d-Jt5$)v@Gjp8g6qhxxAmdfGJH=Po=n{rztH&PUvn z?Kd-q@=o3?vuD<~B|JZ_H^lQ_(p~m;dwLqHO8C5((gKcSk9I%OXPUW{UGdw7o%R2v z-pxJhe(%|@9S$J@yYriQS4-NRJ<j>|*shgkt?v7#X6*jF__XdVkHV~ZVsE*xnUo*Y zuMhJtU6K9q^l?kRqlIeSS4;mr=r#&3z3DIg*q{B(0hQF5$Jg>$WnTTuk#ae}Zg-Wl zibh#cLifq^t5)-BQn%RpU%s~c=4GR~rCa%SKTP;5_G`J|cFm3RzC<5BDS6{R#{!A9 z-_IBBQ!;n#d%N46A$^iC%hCkv6WPhRGL0*JXY$SVv=N*BtLVJR&Bt8gnlDuMhIT!9 zKk4$Ce|syt%q;DWyB~VVFU@vV>fLS=(XYRKCcN0xaBh*>`qh?scU50so94Y&u=GgD zx2Y^|yHo#{7)^IcpRUMe`|_Ri_2p}Sx}}ClZ=BGmv}TroQy=rCh^NQ*X0KPhzU5=c zq%8eK=ASJt&vc7D(!ijzgJXK2<~E-d>?VD7zXL0$OZa_w5Hznr+bnOI>qC3S4#kRq z42xVovuDA2#@Wm~i)L}F=Xk0%T8C|S`uvE!tL0@&7T?-lt0^~45^sEKzvMFK76;!k zqhzzzjNllDFXrM$U+~y(iP^y@QTuaUs)kZKgT<LElL|L};&rcLGg;(l?RW0w=SdFC zrU~~pESVy7O<;rNdwz`!%ZV@FIj!2h(V)g>XRWBt2KyPVtJOuUT>{xHEY|-&^fG(* zk%+<*<vG=z9wE$|jz9afQ!$|b!wYj3_l<m8Ra1^AW(Azzl~VqOV@+UInw$H^l{ekj zPFvu>Bs?)w#mn{I#grEkuJ;NWEb?F7b9@%D!`OPC=41E$OP>95Tc%U9_}YrImu|mz zEWEHg^-rgf{HNV_8`h+>x1H%T(Bj;xXEXCo<WJ}CH3}Oz^p>8hw|Zpy)%MD#%#xRj z=l=Xx|NhG*`^l-NR>yyLntnAW#Kk-Dh2w>f{l$Gwd|bRN)t5eZ_9$*zn993<QA$PW zV}(aMFUtliRd2eWpi;|lAhIjG-^O)UsEwQPthTPh(w7f(7|Z*=oH<YR_=V@%%%^@- z$lKgVW<PSl`<%>wW*ghyjH-gE9}~BIs?6T@_x}8tPkXY@e|`4<Rmb5iQ$DA;w`~`k z99_94zw3=>rrB9Fg{VKjs^>`VJ1Ow3&HSg}nj96Ls(rUUfBRegorP~rJnu2pRlZCc z)Ols?4EW9{3t4`BfBA!K@Ya<R)!p}RuMGMjxrjM*kM=di@HsCIFDYO6ceQ-%cfUU= zJ1jiJ_l12=da<+e`I}7nh?QSemV8*ju;f!~#Hs~r&4in5uM3>wT%Rl?s+23Rq4l2? z-`}&A8y4+qi+j|XuvLC*{lv|)JB5|w&rkmqFH(PO&KZ~B4S|m*Ub}yMZ|CITwZFV( z-SB)dXGsH-M!Yn~jTbjxZMu3yZQ7jPT5GpEZ&qJ8+9h)_tvcBIoZC9x*Vk1!J|5GY z{e$&WomS%SCa=k1c5mg@+P(eU(jB8Is_$>LBf5FHTl+PQ<S@VD>(l4-P2*u%cGZz} zt=pZTHPZ!?LIsx1nYGd}>gaKa$t_=379Nl2xPC55ak}!XlEa1J>e^Owk4hw+NYT+q zd9kF%T6IsMWn}2@7FP9&7dA>^$&aPCE}!%y?6T(3ua*22uTOuOtTJKy!sg@Wb6$Dw zF;!mp?!(l%W~(co*M4~^zuYxIt$Y&0`7`fdWqY_TIKHM@CSLQZk8<)mhQ`d@uerBq zuFZMoHupaBgqVvO@AZFbHp?u}|G;)C_TG+nGj6Yux*}C<8vpu%=j6Z#E8oq%lxq>X zWA(4|vC7h225)8wZCD?*J^gF!`=GY03H(tCmeLaite4p{B+gadk~rz}4lmwCDvj}4 z=buTwH~f<&C%El((t+$m(;Eyid%AxxyLZXllNaEe<Ruzr$@L|5$_eSWcT~Rzw1s#1 zN)>w@klwsJw|CAgtz)MXSFaZM8fs%_Dp|4eLb0jsx;IC~Hc6DXW_|Q}Vrl!y@pJR! zzqUt({TWnClJ8G2UwyvZd=~R3gR>RUyR$fyk0_-d`Saz@L!0>#`;PhRy{-`Z_jFQb z`r9uixvh4_8@)3=_ZjD&`rAKW`KC)6GrP~9iifVV7BupU*EMWB_TUh6hXj+hcuR*s z(xHO$Y|L6`Gy*d=9Bq&i?%VVJ(c9T)&pRf?TfCcXc;Nq?*S2+k>YUy?$UJd5#Jk(U z!7#E)+H7IYBAds{-)3a(T~kzNeyvJ0Co`uq=}hOv`z+1++jI*T>~447>?<r=+m`iu z!BT}67fOD8T*q-fpJmrl7L&=R3@TLK?3ltgHCOiSB`?n9;t{n1#aUm>6D!zR0$RSC zuRe3=Nbpj_t&xkj+j;D_t$1a1_w|f_`->OWuYUaE+@DW7eU`Rz*{iT_)bTwW7tUPQ zz5lT2ZJmj3Jd^qkUNAHWk(l68a<$5!^w+ltW@C<(Dj&tnOQnS`Uz@+{X_*}7#(5u~ zCoFVq`&92S|L>es54Km0bG`Yecm-cq+#@*E@^-wGTdhgTE~T?OpB~^|_-(%m*E|06 zCmDV{Q@`2!sNTe9-ov7wJYv&g`_7nqC<-o<5t3WSV;JYb?z&w`IQO;STqPe3r^vSa z!ZL5=MLgcjI+HtIG@M(xkneFspI5Maq|K&BIco1a93_l1`<@A}{Q1i2ZYg`pqjTq* zwyr3(jJ61T6mm9w$;>pTweg?Qv$GrgdbUnE@M-&d%Ty-b{oyGm%BGw=EphArq(`Tm zPbh}92QG`ZT^}@+YxQ;CX1l*%&rB6xJYUa)<8AZF1p<w{mk&*yc3ILRA>R7w|KC4- zg6AI#ym?AnFZ0M1h1K8RU-;MVaqDwjmBj0^hkKgt9ot#5W!9s=2i*=9ADgPUNBGM- zjrYl0HtrJqy=mR_l+|;O9KZ8A_}D#GtJ<rI`F~<(#_xT~QJk(}pP%w6^83D$c(>0w z8-B<ty$Kik?EQ8@#KBD4Jkf$<f(l;hyTd2-$lErtN!-4AVB>jt?=$XcZ>PSf6_)2@ zc&7ej-M?FNZS?B2*C%Qp(o+BawAXp9-})aH7d%?2tRQaXS-Af98SnnPTyL%G7~igR zc=w=jQ^K0NGi?IH=UJ;M<i!ge+HLV!e2Vwn;<z;&=hnWTd&5C&tNm#Ymr2)jvUki{ zr60ENU|#bF=8in}rGF0mYh4h-{nYKmYN0KYHpz7Vi%rnIaJJ1bY1wLT#<teU)!vbP zt^f5lY;%sacr8@O{BiQ{TbczDW;^C@G_Jl;{-5D&|BRIrSYTTaA(#5R@_z8$l7WE% zgt=f_5L41BQj*}C5JCG46|7nr8A6!&c+Z?!dry>&fq_ASL4kpZgOQEn?(XehdEedr z|9|)QZC`nrI2f538WJ3K#HI4UY=Ufdln7m@EXcsXz|X+I0JkYQCmYG8$B%2j=e@NJ zG-G39<78uHV}O|eajT>%=Yzlx3=AL)-!55%q#xwc1*Tz43?jvBac_Fh>F5avtiR2( z`>4%=Yw>;8U(Wk#zWLFgg6!ujQ<4jrEZTkDBQ7#o+^<RSKN?lk&(Bn@U8Va}$EVlW z@Z1)Q$&Y+GjTO(8SWJHC6Q8Cvr?Ws!-<bE@l~gr7<J_5^1<MZ1+;WD+B6!-&e`%j) zugq85H}hNCA*0JvXMRcBWE6bQCp7KQ?3a0JTE@OJPv$M#HS<N<tl6HYe2R>Zo@Ft= zeA_3_c<b3CW|wdIWLe+1+xU*JsQg1Z`wr_5o15*dcid&1FZ<?Z<2>0XHyP*2-gvj5 zjJ>=pA)URn%psk<xJ)6Py|9cSojt$oK^l8*nZs^oGwT_58{hC<d!taszQH<RbMtlC z9q$&DvahlB*xY<ocEvk|Qud6phF#6Z))sdgU-EgCC#112v6i^o_=4}$8-)_~1=c_A zG(P7$@n%6W`yA^VcN(AZ8I>EPvCpvHai{SqpH{iU&gPS{9XA`F@Ev-?aE4E*oMC74 zaaoIZ3kunLtRwC;KH?K9Z`jd%SXScQgVTIm<qkWT^{plDI8K)>xOs4zEXO;A0`{mf zh8@j1)<14H-sk&Oc3^w+UfG132dB!uczfU!->0&GRQ3w%9k&^$%KF@Fyvz5h%wc=; zPFatejd%DSl`(8@-Y&c2?SfqP9P5bNjkkEq@)uM$-;w^alkpwzpF0cA^XBCrc+OUx zx8ONjWnRN`wr%Ds_8y!oePgHNT<Hrt56+Q3vD0ym^nslRXG`zc={Q^Z!o7yGylMFg z)y<~n9eWwy@Lsv|;49lY^NhWWuX!)sN%+dP%G_fw<1Fa~_a2<#^~+DFYQ7{rV<+QF zUblROs%9f|j=hX8c<u5ZR5BZxzu3e0oYyRWL1pt9>7Jd8&v^Cn6DpfeNeA3Z_{5f! zr%>5!V9rq7d_vmc-h+>9edZZ^7$5VB<u9mcJ|eAf@4*MQHuE1v&4;7~?j1PI%a(uP zDO*h5hNo;z<{Wz(r%5y1Q#j50FYiHl^FHYhcN5;TMdTGcWvepZP}ICfI%Fr~J>GYD z4o}&F^AgINcS&Ekn~--b?0VPw*P>!!)4u=CRd~u)WZtox@ec33ya#2?+oU(#O?b<e zWqf09<2&zyv<cSD_QnV1Hp;WrrWIH-+Zo?5X13M;Td7%I&Q_Upeb0i^JRb};%yoRr z^X|-nb3CukG@RpkcE;fxx1Myo!7iy~FS)PXihICj{)}~|{>{pl=e)O8#GU%g<ZP#Z zcb^FR^wXDKPnsurq|$Thgo}bgXI^<HX^5*jp5CMK>(Yvu)4lxni+n4c>0E93Y+`Wd zxv5LdE-&339%8*!|Df(s&&WlagJ;DaI(_*!_noBOF0CI<Cq7C@Ubrk{xw?joQR<5i z?_RAqbJI7l>+t7Q?k975b=OMYjbtlG`;f+#mv$kIZHsY&adRZwCgTX><{Od+&N-ar ziA#TA)f~pQMtjBC=G6{<QmUq`^$&I(+p%qr#FCi@U-5*bPq1P(F?N`HaHiy(a|cS; zmKqxvGhdSIIp<KqmYODD#e6}s=G=i|wv@CBmdxiQbIv&wv&}S?FlIg@8FTJH5!+Pb z1#=EQ;W=`~p@=OpjUkO~qH)8VgO7P+(l1yrACuHM=TOMjY3wlP;6oms^be_Qt;Py- z8mCM0oLg|3XUCa@(>yHc0v61=#td^Dr%C=fdmx{!*7(8fgZFqgoOy7H=Sx~bDqE%T z3M1w{l6THFoZ?w_=0F}>squo@2k-DKIpdJWR%qNX``~S!J82ipn72u;IqUG2b+`V7 zTE}<X|MoC^XRV97@SJtK{)5j=w)z)7H`(YP_{?-${K~!u=eXbOS#XZ~#h!$7+)wr> zoa275=fPR-J9`$K<<5vd@Qih{{)F0tv&4_=WBA6J75Cv6({=GJ`x?HkSuYY1>r~Et zX-~pe)>Zl*wT!dG7wmg*hTAVb;aAfo@fnqjFS*^~6@E1t>2uUFzTmctfAEvZNdH9* z<8yAa_ys?k&WQI^GCt$hi%<C3bV@v6U&1HWq&S72O$Pc5pPNpIJM4S#k+n}hqlWP@ zw^;mwA5BNZ74|*&z}lw&<5SZiae;jYPII%xUwFzI6Sv_hYm+`lP2)6ihJ6aBx&OsI z_};Wn{KMXa_pA|d1y5P4^f!EJ+9MuP$#{?ZU7W*H*5J5=?@hbJFYHZt#~Ku;@V#k= z_<_9--m>QDXH+xZ=DrrU;M=8l-n;al?)&mw_0IG^d#*fRdVBhhJzJiu+Uh^8<UH@a zP5<h?lIN<{`d2GE&v_TeP5K-(XZnLZU!JL&>u;^>{HAKAe|DeB?@P0$C&f3_dY<)O zufKEOm0v=yy))yYYCXR$y)ym6o|a#iW={8uH>zFvMb%h;;y#mKlU{hI#&Okhepz~c zx=p;&=b-1_bM$lfE%_Pr%sV;mP|eFvs;8%G#V@MK{G@8A@3~LpnW}-l>pqsBmmYif z>6`95^5fDY?=F4SeJwvOJ@jtVudQ79LG{q|J$p=k1Wlj5W6zeSst2bt#b5d)^uRkZ z?pO86_e=Lp{}Feqn)9@Gc-*Dxlc&5Z^+PK;-!I)geZ?M=r>eWBzlvK_o%v2xQ~%^% zk?%rxyz}*U?!EF&=(cyZ{>rsmc29cewNv|VBxkv*z4q70mu0GU+HWI0&wEvd9ojtU zt=CrVyOAf)d6kAO+I(rw)F*31(p4?A_eP#P>y;a}D7N#g*JkaL>$apVy)iW*JZST! z*Iw(ix2`*~D`?i#u<)Q*%~Dkp?S<<~(w1JH>JzRNd-9Ce67Ak~D!YPaPIU@rihWtE zdVcDOHCJ{DJ@=Zeow;tx&Py|<8ienPIa##y)Krb|Suvhxye4V4Msl9<nyBr#?#qrz zkG*=e4cBeiG3k+4r?%p{k{y#Cdc}un#dH>^>TC0^yOOG^r=1(=S+MlL)GcdRb_7kE z`Y-HL^vZnIeN(@M9olqh>eMe`n>GdA^9l_+6#X(!RZH79@?_r9T~l9#&5HIs<yEA8 zbS=yFOSir9w70H3vhC6>uPp5wv5oJzio!pnv+mITu(`=jdq*tee9<?Njq^mGL^943 zy|HdV8Ebi1LON?{m_s^iahO6nYhf5eI%|H|gEZFMFo)etX4*4i8{cqUTcc3Mx<NZ& zbJKOv9qSg9vaZqg*xYngbj3P_Qr3*HhFwj@+7_{mFS)$J6Vh0hXiLO4zTi5wM&Zjk zL(#~1&0{eck(@uIdS3=H>}onMTCuL-43|~-ft^ifMKjhZ6tgCWHSBCUEgG?IK@sZ| z?HMtRGekYsDV*UtutuSXb%J(9OygrNsqh0knvRNUtWzjt?a;P}X?)1FZ_R=N))ws# zo0<-amP9g67tM)me89yNz95ygLHop}rv0Kn)-K3rt<k;_%{WaoCbID!*P1m7`K+N~ z4cnV`iv~m<oFaN-?Seek673n$jZ;KVtX0TkEzqurZoJKPE9}6wrmdnY<|ce+*`<EM z_TYTs2lEb`=lnJ2!8eu~zYWh>s{AHAXQ}W@sBXF?e8;kJuJ9F0#<{|0EF0$tAF*Vd zBfQ75aklUlOUAdHH|8XKW7(u0QQUMx_`p1evz&4M52~8332&IEaF#R7e?e8#72ySz zjITJ)&3W*JWtqB$ZR1Sg3G))Zuq;y7C~mqS+%WIKXO?;D3dK$5gbU^+d}f)Ye!}M9 z4B>=%4?eL>Q(s}j_>@!4U*Q?cBz2BrCIj^j8^$M`a{do0nvMw@%uD#l(xvWU!}y4k z&p)A}Nnc&VhVdcio;e90SYrJSJY|XYQ>bV<Ae>>z_<(cMoCoh&>eOFYGv4R?=GX9) zCERa8Ig_^f32Vox!Vl&?c*hdrXOOyfqxiH~&NqGm<xIPT&&+i=#recfpqy!k@SeE` z-m>JXCs-f6#d*bTgEiA#!6$tW&T|yFKS*b(bt|xDvQxfc%w(&4!kEcMd4sIuTaI@< z2hMT4>S;K~@vO(;9LJ*`hI1VEdJdfBxYg58#**V^V9j(>aE+wn8;%6`1!*knl?!AY zUvosbPe@}~tsEfh_=@8~4?`(Sx?6!2(`CUaeFx5Pc(@x_F<lg#BI)>o!@>Q7CDVDq z36hS_IV{{aSTdazOzBHF!(rfFV99h^Fr=@ch-I>}fHBia!4^rz8G<f-3`H#c$^|lx zk2xgVH&`$o6;$bCC}e3@Hjp_uT~MU2p@1dM&A_5bPx*mSldiIWjN=22Ej<hcERk*> z%$fEJe(7z<XQ@^`VAQ0od_lT#s^F8}1*bSZxD}W)X(`VzV%jZurMDrErC51_5z|h= zBfSiHEcwa>(vG(|Zn$kQW7^99rq1Cz^G^96pBeA)|M=-JpZ`u>!+G{^H4f+5Kh-dt zXV0+@_}yqNzu|MEmHdLwjh6BgJ~vv(H+*h1moNC-XePhlFT-s9Gj$H%n6vE!ely<S zU-9$6YxbDyQkO4zEldslB73Q5!B^%r@*bZXuk!o+Y<R^UWZ&?Nd4;^i=f=zYGwK$6 zVNSDi_|<rk_ePz=7v@wufnSUl_-pD8d}dCuyYQ3o9Dh!o!)NB1@)DmJ&+y089r(mN zRer&r15enG)Hr-%PPAiq#ynBJ;m?7`>@xNjelQ;6*Qs;($lNLK@aMoob{_i=Pnlcg z75+3#=jW+gaGHHbO~Pq*7JGpojJoU$^6wm`T?)PuVHNytm&}jf4fmNX_`k?Lu-@>U zL4S+<0ikrh>(jy~ylvE$zwo<ZD*uz(1*h0Q*cE(d)RLd^iE%gomD+}P%*FB(J~8g( zKT^x^jyYex;J3qV_8WE^zA<j)eUs-<&b(9l$7aSmygzO_%;&w6*KnTgTaLqdwof?> z=h<@119mrBOK;fRXeGU1bEBp7gw2f>(hZv%&7})AH=0Q=xXUn`_e`Ec8FRLoz;4DH zyen=Vc+D1Leqk5mHQpsR54>UvF`uxD(L~ze?tz)SbMg+9FfWxh*vxo|w<ph`ggMnr zU>D;B-kQ7v#mp&Y7j`n9<ITx)C}y51EwP#L3~x-{fg<Lq(hKe!c*1rh$DxQh(TpLD zd7^Z~odb{AWXvz@U_8dFljl&#+$rsF=fFcY9`g^W%&pQ2cN(Vi^5iWz&9);a;WQhI zxxfxaU1^3p4%2x5<Q~Xpu9bdp`@lW64LJ`^v3)U1NM){+Ua^UB5AU7ahEr_Iat`D% zmr5_Vec%q;k{pLT=0fR)+Xrs5-7&kcjd2_Anp}ssOuNM|>~(m@`Y(pzJ5!zBh38D$ z#UB(m+KOK&ZnP0UP|SFn`%2t{bF6P-7Mx>!5tDF^^+}AvIo1a;56-gQiCJ)#HADZv zGp5br6ZRgM#eF1>;Tuzy-iIp2>)c!78on}R>TRfEyvn^Kj^Qk8fPTR<rsd)WdmUb~ zo{4Gr!n9aiV6VeW?v^-)FHG~rKkQ+c$z2lH@R@10_=P<V&sdMeFnng3F1}%p!&6ob zeS=CyL-7fF9A<Dg>~wg-s-XX&g7G-FNnFE6rf%_oJr0jp1@sFl7!PyT>~wg@%Ax<@ zDO0ofiy}rn@f$^q2f10|98R+`=uddcR4@Kvx5ItbA9@?g8Fj>W6fy4Qj@apNk9AcH z!+WN3@dZVVn&KOFJKSY`p=VIes3|^Sx5FLQ2YMgM7`Jn8iEVhxlr0`G`@lPv67>nz zjrPI^<~Gdd{4(>vTb3U_3Fle9_$Zub`QUS)jA^Uz4r4}Z;T6V=R>Cuk87+l7j2SJ2 zD~uV<g)@v9Z*gvzdEgC8iu#5$rj5c4a~o!H?(sWN%CuHEVXnh0&NY4xrA#Y@9gG>T zaL$=|;3bQXdVm$9v9QA2120%y)C1C(778=WJ@A~xM%^KeX|C{tIR~Dxn5a*%Y%~-; zFz3Ki79I5fOU6^2K7I~oSX9&n(wGv|1S}a(aN77CC}iptPMCAx5sQfW1PjI^oHBj~ z3Yg;60xTF0aaPPc@PK8PPs3@JZ9WbKOpU@9j2I7arp!EWpXHC*1#`xIoNs&?PP44@ zamZ&1Q=4Gks3m-0_JO-BD|`}8vAj_WFlXGwdB)e_6w4De0dvM3oO^r^<TB+7C(J%@ zi{(n)_fq@s|83s={%KeLyQc2^>z_9L&vW;Gd%tJy`p+eG=T85$;D3I9&+PoqTh2dA zkN<YP=FQ=Kv+8TF=ht5I|Gawrua*7J0{@?xzwhPreKXbnUKIapB>!u{|DW^xpIOiU zY##sFxc*G?zo*f4PlNwG>8?D<|2e_#lj8r6vim<u)_)YW|0wwX1MmOS;&u<Ke;$1Q zDW>i;cin^R$^+*=)xZB<d;WWM|I_t*?nVFHv;I>>{r8Z%Q@3mHUjBQ>yK-lL^^W@L z?fKQ);;Xl^zp-=p&bX8R$7hB+>^~|U=Cj|iYdFvR&Boz8^CugI^UOK&0lyop`8RxS zu;O3vxxtcu!siAH{)W#D=KKYp8_f6@)H2LwKV#?cjWJtJ;5Wk!_7#-}UNgtYU--pv zjeSYwfmh5S@)LeBnD9H)9+=5K$L_!v#-;oQpBXN(_t-gnVN8`1_{DI6y~ggqXT}t{ z3qKjovFF%1d}f@<FY%e-410{-flrK6`4`k2c*1<d#^DoVq8!6B#)<q5H3uFu%gA5& z!ElUS$Ijs+V<*2u&4Gu^Jn|o&GPd$7)HF<I=doLGnt6v!!f9p}d4V4cy8H|^4%68G z*dBP#Sj+#Q`oKNr4K@!>F@KRuc*<DGzv2_a9`-x74X2ow*&KMsSjxYk`oJCLB{mN4 z7z_Cust?>|z9V<x8^bpCHMS19jJvrn#5%lV`lrM2U0Y0_ZG+&0bjDh-g53;u+&4Be z*m9rP%wWU4A=cq7(>t95=a^pUG@N64rsHsq>5&e@Ii`C$2hK9x(rGAT%n>u#&2W=- zO{BvcriAaeybQ0kK4oP-o#o>?ZEAyl|C09%j9FqIb}?LM-J;h}%9ts(VHd+y)+Krj zXPE-T3(^>ua~s4uykt6~(@?^=m|Gy$VJ2&f9zzM^eC`i13^Q3v^csp8XICFgXmoCP z#$+UJkj6NJdq+&eQzk8Ohn)>4Svw*do-iGfdA2lZm3MZJ_pz(ryPteL<jSS1{r>yy z)~BXs54;m{TD#YYxZPJaR-Za=OXa1Nk3A=<d(PWZ@odTI$;WCeUw%|MH~G<=FIAUj zPj>KsWz%`ab6%p4f0M1|w<V_P7v~*$wj|O}eW9i1SCy-i!~7o=O?vIQwnP1+<;!m> z`k8){ih~|{X89$pExz=^GurQzjprAYQ<D|^v+fiNJ@jl>=bbm@xyr%GZ|1N(Uvgpc zhdC+Lm*!4BH7BHc(i_hzzfCrrpH+^YoV;XCN$L^{<)^3mQmTWdP0VwjWgPU@qr&Zx zu~6Fl$qeqhjD_BL)+k5zxuh+*Iq}9Em-0(@JnPkW&Rz0!$&Sf4{0>>K^na(af8w1N z8;gXdO=faGRXpjQN2%W}>6hm{a@~ZQZ&?Ms@tCb#+sE?qN2-d~k%>a?K{B1^JbIK( z`@UENJ@IH&X6zG5Q#m<N&D}_*^P9?!iD!CTtR}tiNOj|q<t$M-e{Q0MdzEp}w24OU zQpT5_dTdbMJMT-`rMsRXezS@u$xffh=KjfO(ld|c%5x<-&w8v>UfDM#ZONsHG44jP znZ7}{J&OFIq%+Hx*ebvL*6U(%>Apv-+byF>3#LsJb8q^+;%cdij`H4Km((SDCf>1| z^?Bl~{si}1zh8V^e7nEM{*`giROKuE8SayQpE&QnLpk>63;v)x9>I1=e>3=JPh3(n zC0#{Z`DN`E>!922Wp-Jg17*zR_eyrYSGJH}`qSgQd&v#ERewFoRrd5>>k0Wj@r_5B z{LQ+S=ZkMnyk@tm`DftFekXgS&w<a~=g8;QE%|wIM!%8$r#~;gC|~Gzvse0i;*9$$ z`L%T_zXYGUPnGwrOZhqRiF<<GuRk1T-4pGsK2My{uV?@0bKontt<n>3O8=OC_P$yD z{hTK|FFto$Al>?J>EGGU*U#R+xjyxO+0WnKub+-Tw7(<%pVU9wJ1fpSi$A-6ru4#} zFHX6a%17Shm@0DKE#1uO>gVut_pk3SF%!C*QKEdg_sor-)z9<a#^3J$WTtg@#pnDx z@jLqEdVkgI{Im9Vw)@lfcjIlg?JueS`Y-Nh_tW?H;`i;Zt*p2F{%7ys+t1g}-``Nb z`H$?M?4R2|=|7F%xj*RNxmuB**H6VC-QQg=Df{2<U+W*~pXML)XY8L;e^g>)-IN~_ zAGvqS%hp}_G4ZxrrdiZokFUzd`xWey{+uXKzR{~-zv*_z`HNHfch!8^J~4N8Z-#l& z=ZUx6v*kC|UfDKrcK?b$cmF=B`?4+YwR@rb$y|}uzs<kDpWnZv#^n3Nd+w2TPBu-q zGtRipkdFQ5`?vd<{;T`O_5S9Y?!5T8SgYRm|FnOmpT8F^Hg}KE`d{`>*7nh-i_`j9 z?78l6@ZEDSuMhpd?w{}H>0jT^>HlV?bl0PJ@!4LBSo=l4IX)=sN~apVJG<$7;rhpq z?j7p=XZGoK_Kxob`j6tYtABqpt1AB<_ipZ;=(P0@;;!$_3^BiDz4?2I{`9?zsx`ld z{S*Bgo%Vjl-kEN-)xUF|Z2NvH?uuo#?f0yAs++H$cZ<4}_x;n&7pLR)@7WvsZQZ-4 zNA7Z`<v)q@2wpF}wfBbEqD>bcxph^)f3tS;_Okc0_HM4;{>F5(`5CuxvqOt^n3w9m zzIUxR$ou=Ho7w5gdyn)Am|NY+$X%@G*0#7h{`;l3xtj&=yA@ZbelL3`x>>wz{nI$p zE!FJ$ReMi-U39HGLO*D4#up_M@r8a@(%#>7*&uw<FC~4EweZ84FV4E;=~-=_o|}I= zZu{Q+>WANsdET@x4}9)gDExCKhxzwK+qxg9br}b~amnsF6BAN3@ulnX>g8{i774y{ zt=BtsSGOs)c%shi?hO4&#TVyTiSOL`;*-*u?qe}iDla~Bog*F^=kiSHe7A!>*WQjV zN*8Z+=jb0=eEghi?G-((&DZnt=iYa16&H+q@>FS?mGH%x8RuN%^<M4HxcW)yY`2~M zuHuXHy8pzaJXNw@bZu`%ZpXKnEoBpLx$G7`xih0c>2_yU_dT^;b36Pe-gem~yi#$| z+>W!Z!FrQsXH0v)DZ_i>ETaW0#qYY=_02qS+ND|et?w6hi-`*!xwN=MNU3u@o%HmS zODvDNmCu|N0jFKM*<Tn3#xcY$GF95Xqw|T{q)%pow_UP?x5_G*ADG{{%*Q2l(eBO< zU({wrGt6KpW^u9GvXkKitBu})LdIV1gqQ=5m_)=U>|i*;Dx-IxfH7VyU<bn?){4jj z514l8G@NGIrsGh+*vNfh6T<=46u}Sb2mBrGGyM?Tu$@7Nd&ee*y{r+D4)>T==`iFo zmNTu;NjSyyMl4`E!!Fh{x(=t9o`?x-XV}5INB4lQ!)@nT{Hb~kxs2J|0oIw{RqjqM z^8ZyV^v<)+@6~4k2@AzDT29Ggr(!&c7oKf(6Hkg>k*m19^^MM!Z31_l%AD)D4}N^v zJiB_rJICGJD|JJ@Pgoc*=S@J;T!y9GFPXT0M!pd3oz}W<Lgb0!h38ux#JOTuoN-#j zU8v`>Yr@P{FY#S5905g&hTOh-EITJWb?V~|+@~AzbH{~gtvhtCq%J(zx<|)lN5Dg; zMs7*Hl8OuST7|@eVloO9kF`n(iqCRNUAVXPo!F{P0@GSQNCm%LGig;}=vAc?sS`e& zc5IwIciw{P#pQE4*_UR1PAl@0wb@oEAj)|3%vImW;?-yO=1zU<wad2iyl1uFrQ)D@ z;L0)Rt!KI4rsANvlV8j+d9Grqe$<lloM*mYQE|}h$r=7h#h2cAZc<-ssrgOi#^ft= zzEpu~WA%;mraW76b#jQmmo4X6&lT!(Enj|7xjfm+->6vVrRQSx&UqovmRy+Z;Q!0! z<YyHl_0oAqDla|roTZ*R&*YiPnaO(on`~BoQaLqQ&0nZ^(i6`_Kc?cKC!Q13ZRb6y z2zu<<qpmw|Nkz~j&kl9jc_|f_rcdVbSF$;I+Ot``)UxxmXSAP?jphdxU3JEJQ=Tr_ zKlzW}E9=hpOZHCQFsJ0H%HGLq=1eIMnmYM|-!ALUQ=Y+oo2*y9Q`t57nct+MNq0O8 z)ep}7Qa0(fXRi9jxm(I6-SXJ2{IYL~^`-d}3*0{$2hE?D=l;m}(!7aZdZwhS*eKuZ z3$ea5cjB9#kaQI*<+GBW=R6ACnv5^ao_MF{N}9^8i8p#otS7zk$ad?J<t$S%Ro>h8 z#46~u#~S6Ol9{C{S0@I!I~fbT@<?~Hl08|n<Px~1zx2Xmk@8eY%@UOh6YbnT$#kCa zn5$gax5aYOGmn|dy^@^8OHNPJabG3VS+wNj#3MZ_mO(Qn9_SIV6nf&(uWZ?O#X{(@ zN4K(O-xLd>M;`6Ul6_xNmmHeN;r_|!(gTlJw?{^orcGpV=aTU}?a`o|D)};BW&gxq zZjYoh^Hp?|V<kQFRkW3#_J*XY?3wt^ZIRKXDHGqgt&;A{Te5TFk{%WFpgSG~%6ogC zm<8ST$WdO~yX4!&cka97pVobOu6(EePtBF*i*NV;sM+#d*;fAPPmc5M+vKm-l{{Cr zmcRP5<D7f3-K5WfbNV0De0ip9F2D6>$2Vm&`LlH@zc0?}PqJ_N>v7h7z5LF)E58I^ zyJy-({q^{|_)7nUnwDP|XZHKq8~t7JMcG(>Vx7sai7(t!?YRDOd|7<H-v+tK5cteJ z+3wJv7oU_*_iNcN`jhcV*-+lIPUM-gfxK%S%g>9C-TUNC>yG@m_{hCWUbU{}$Hj;4 zZSu80SA0-D)W4_3<VWE2{v9=2o+=;gXR^QaN$`Ptq}{LIC*CjK*Z;%r)^Co}?%{Tq zexEqyUMU~?ljHs3-Tf<SOr9$5?tf*s=y%3DWli~$wIbgI@3`m7@2tJ@P4KpRw*1P! zcmG1F-Tgc2|NeRV`TIBh`TMK?z4|%(x&FNU+v>Cb-}{;UJb&)~l7HuZYCq4Pv%lcq zzMrq3<<H)~rT*rhxqr2Pm!G|VWB-QwtAA?$zW%lStN!czCiUU}S0UBe|7ZQv{hR$v z|K<IQ`xn)p{=@s1``7o+>z~IP)m#5B`gixw>YwJH-_O`Tqh9}i)W5ZVynmK|S`TVw z9R9=l+5D6Kll#Z__ti`PH~JU*XY~*BkNS`9AKu?qzyFW!AMYR6Pv1YdKlWeI&)rYg zKe&Hjf7Cywe`h~^f4~0z{eAmu>c9U>`dR&S{k?eY`p^Hues+KV{!V}D{@{PN{;vJ) z{k{C%`YHR1>L33*^tbl+>u=lN>fgS<b$^!h+q@;a1K+vTn;p7);=Eg}*{-`C=iRE! zE^Q8+*ZUzSWq06Pw{o*hn*-<ezQ{32SGJTsdXwXvTfSM*=0I>eXY<83Zkwdn-qa{l zzR`On=gY2%uie&4Z_JyLw)kpqh`HBYj<aqnr03pz0cyB;nHy~ueCf7Wx-%~%ZSjR( z2lHQdP82H}Ntfmw*?IAq+brqSJd-r#GrfA|o9?VAQa;tIW-hdO;uE(-Gp5afPuwO* z+vYvl5%}1xM_M;;$&SEBZXMFHc_}+CPVeP1SGsfJv|F=u>CKMQZqa5!cQgu=b)^~e zrlc<3-}}ex)$NY_#d~`<<dmc;@9kZaGi7_=)ZPzfyKZ-!atk)wbbCdf@~+-zW|KBe zyyI3VeK7aSwu!gha-}!sZrL{R*1fxXcU2dE|97){_x1Dl?5gv>f4aFlef_+>mERuS z%-+4d?ET!mW#6vd^iF?2XK&HBV>h|e-_PEg_ifuv@7>eS-n+SXQ}y*Xy?42Hho8N7 zeeb&J=<l1}`ED*RUH|IdmAx6?7QM6G{JmuT%X^phrhS|APWG<$uI*>;UD&&z+Wvdh zJJrqGi}j!1JG*yQwek19o83FV7p;GK@6_HY)#~57Zffr|KNDwAt^D2TUG1IMJGK|< zKfZT#Z&$VOccpi>cd~bUKYj1e-j?dUZ)ES>PSu~jH~JgbJKj61Q}rL*)2aUd?bqA4 zw@+^`&)1)}H~ibHw_7)v=jTt|TT%V~ThLAG)b)4o?b=&X{rsEPP3`UGdHQ$mZQq+$ zef!&{x3RZZmtB14x=Z|N+?VG{ce?+?TzS6ecK45%Ezgx~#h>ovIPbbm{Aygub0ur> zt2;Z+xfbh9Dh`~}{UGMcGbMBJtvfruDVd3%jZ>+<IIBBJziF?>S=aUAJL9fY3BGpC z)Qj5d@paLa?h7$3RTpP=`{^6)UGYW9SbSofN!7#`uBm!ldpW)=I^S)huT&iP+;xt4 zZrqZ}z-O+>dWZJB_@s2YTT6e@o{UdQhT@)aBF~fz#9iZ9Dla~E?GrbRJ5q7+k!zQ@ zYFtaj#fPqK;<Y<hd{8>ny(h+`B5->5j+iY^l@4|@>0c@meBc_X_iOiw_lx#*|IoX& zo8z==xZb7RCr-Imiihsxc)w_O_lg*kr%JoKU+FE{o$*ddQ~YGCNV(u0*L?Avu~*6j zZ@XrTuk_twJ@K8(PT{{ZIm(sng}=^xQKn=k{C1|td6!DHL&g)|x@;A`JM+Xjmr}Jw z#uw*wKJgJrSF#Y^JM+X@ms~Ya)6ZqI@JYWdX^U=jCa4D)UwrMdPI#-|5v#yionh)h zb2UnpOoSKum831Y-07pPHTT3BmnFizekxXhGdrEsndZJIRyyB#!sm*m;B%MR!kK<k zEHBRJG*I6)=S0z>Q=J;>v*vi5ahW9CI+Np!%S2&Ezb_UOAG`Dl8~SarnE1%0Q&`cj z#A4z@mv}X;IUNN``og?^S5lSqgmY(l6f8Q>xy6UYB5+#gKebP@SL7@0>-?s6$mrtK z&M#`4i~{eugsL5y{UT3EOW1ejiM&O-I$x;Gn(cAQrAYXwFN^ub+b(&+TYZn1UA*Oz z#eT!K;T>ZU|A*%cJJ>%IH`uZ7uw|If{Km3j9`h4RhI!04<Q9BmDCbLf&QQwd@SLHT zPvJR3As@qYhJ3yU&lqy~9I6@2*k{-_ykWd1qwtMk1A9Pm!*%8zatppPtYP;kZn(<4 zLQdf;Lk3?%Rf93Rg>A!2Mlb$^XADc&C2SjBFrJc8_`<M&{fAA%bH)=g3qCW<VZUM1 z@Ql%j-{2X;4E7y14Nn=h_#G-6PBM2`HauZGB*SoqQHh_Svf((hh1`OV3_a`-HVuy$ zh4>pP8V)l{$UQjC$i?qa!JyABVdF5Jxxn(kG-eJtg%1o-d<+#0I_y8J8}2jy;yX~@ zu$MW(^1xK)7qSOVF@E9;c*;=0zQdYfDzlGe!(GN#d=BLeJDEK!8}2YZ;$tXp*v`B| zcEMYQ9QFw7hFi|N`Cr;i`F&x2dx89?&jIt>^W-0WzA&%-i_MhhiZ=W=?LvNEnA`rw zCgi!I75~{vk8{q2a!sEv%x=G9bLE-ht@ax>Cch`Van6?Os^$2mXv)9W?#Zu!*UoGB zmsVzcRlM3BB=7WD;FWW_oK@|KFAFcVpRsZIb>W5cBL1nB8ebGIwA;yls_8i6JeR-F zZp+UJ&zxuS_f~RzUU<4)M}AdJ$ESrS+mG0&{0x}Ue!xcLr@#~Eett{4D?bDtJ9qPI z+D-W(@W{EHU()W&(}joHIpjZmy70g`R_@WK3)9+J<hg1*PCGa7r&hjrueiVcm)xW3 zjQ5H<{IQiD?-jNApW22zRov75PHxes3sc(P$gQgGc(-t8`w|<K?*Vt53;6fiKKT}K z+c}4St?iO+7v4GT;(n_4C0+4O>mQvf=?ibS{?OTyu4v2sG?L@I(>Cs_dL`+K*4$Sk zJI*;3i%r@bFsJo_&X+VrbMCE?9c7AU+-LPvc3+s)nk3#7>v7g;J@-z%E4u_<J7tPR z#d?%3ywZ9>r)AfLnXP`}MzJeO6pgtj>Y41C@WLroj4PI-Wa0T%8*!!00neT0aOdhR z*%|Q6DOv1L%!?w$)2&+Ki()cBoeobuku*gEZdW~)ofjTE^>Lf(9occ=ky979s$R>E z3lE*zxN9R<6eu2Q-J@f&BVc;#4xKHjiU(Vn#4l|Uc;FN%_AB~C{=$8&Kg4cDbDVYx z7rPXF;*?V*cW5L>{=(g@D|Ad!6?eD35?d6Vk*BE1eNtCsyTBc%eD0mPSGEb<cFN{n zDZ2&KaoWlL*OKGAf<60J%NO4i?AYI0dYpHx<U3S6;jQCV_PdrR&N-IyEh@e+r}>GD z$a4h?_Pv%T&N}AuEwb%6>$sWyq}-Ng3vM(g@COxNc<s23eXHD&s(@L|Vf;b18ebJm z*cZx`JX>(N*@s`t_QV;-CG5R&Dpdh9o1OTXY+rm<INy9i=1Qf&bH~~2nQ~JqFU)8* z;NN9);?sgt%^Li(Y&_05PGWDh<T&Fvk=;@5OT~o8j=k)La$71UJaX)0SClKMnDEds zo=?lB<AZ`eJFnc8rwV%Pxt1Ou7942aBEwP<Fs=C?-zV!8?-lknf8#q;bYW`q7rsqJ z0rwn3`3_mXc&DJn?rVAC-GW`sFZgCzdz^ACVm~U&QhwpKV;=if*&}5aZaHQ#zvWwE z9q`Vfp6!tAiSrJ%Y`bJT&O21IT`~@s*Z6@a#X8`vLpj?f<AAx1FL+GS6)c&LN^+cY z$Y(1u4w&7T!JcG%;f=#4=CzU<WePVMukd`an(*3TE%QdcDQOF?Hioc!$#R@^SiwA3 z@<oa6BK_7Fh0Bdz>_)}{FC7*$ck+d#Ex6F=!2V0-M6rSqb1B~u%L~sOW-+JonWQP4 zY1CuiB(tJO;Z&m<yO8mOCk}~hOvV9E946%Re)-YeD8rs5(^0tKXrl<bmkdYYg2RnG z+ofKdc4%c5<a?63;9%n}o+GIXbeTE%L@XvuYh++QWpv@bLml&1-XrE0?m0xT?J}Bh z&moNMl=O;I4i(IId0kQ$XgZWJU*&Z%zi`Kg*;CRZZ^4en2W(Z+Cvq2TYrMf0CGGK6 z!};e)zdWPK)7L!Na?$Sgn@y8@o+wN2JQ3@d%rxVKvslrD#y`pO-)9`RzE;?GQcn7~ z`L@E|Or5eD#%#Z{*Qeeo?b&%x>X`nuTSs-%K6Zu9F})TZJvT{Y{*5E5Y9BjXWs(Hy zZyc6=_My#mP9jgZ@7F8h)2y;q=>92botro7knpsE7R5ga%Vh5)u<2K1E)|`Vz#@O+ z0IS*u{)hvf%C%q07w;AKEoo>Mv&vX&sG}_s85<F25Ye;O;o{Us2gFzK1<VfD@bs6k z3)Bx}SgI*!dtuTSjn@-doFrM4Tml>pOjxMJ%wnuy-=q>*bfiO+Wu;uIWyuqR(}k>x zp8T7Qm16~_h;TZoc+BWv@?4$Oyf$u)nt*xX4$i~AH8))zs&SY<OcidP{bCcR-s~Hj zI1l==<T;&IV=$kXDqKJN!)=%QYCp_2Zs*jQy<-#SUf+nDF89<{<uK(7m(O0XsZ(?I zhTAT8)n1qxZs*jTJ>j;?9kmB$AGdLC_uZ1)lq;M)J7D*bcd8}&6RSJzrytncGT-~l z&LeMCf5ar6SN#&BbYAsC%%N{WTc__R=Cq!^qL|Za`ix>u%jq4(oEFn7iaE`vXB2bZ z^4_rX$Q#uZ{f*CrHcoHY+cL|0Pu!ueLTjfd>~)#ty(Z4-tI*2n4#k{TyyxsZ@>11D zKd_3^c)G&gBQI24^aGy>Eu7A<_sDZq8-2%TLUX4-*mLBWs)_!@%1*=S2lgC!s;Z+O zSjl<H+b7QHjH-&h;4`5FJ;6%O6W%s)hdv7RPEXi#<dLd~{=^E-Bi=G`hdv0!>jhSD z9`df(dE|lWu9&9Ns@r0mJ_t2Vzfi<^z&mB<k^8ED^e&cj?(=>V%XC_GU5wLvp)kFP z<(*p759~g2S9L{9(kaz9dV%GfyS&fDI-OE|q9<6+xx;%;?4h?pxziJNAGxJ^MQx)s z=UvYyevi(p6sSK;7phe&wC1#%e8ZU2cJc{hPMgUa=DNI9dFONJoXRVorgJLKe4Ngy zJn~^Wr*hBd&{>sRK22ppIckR1oHsq!%yfC9lAyjYO=$h(g1IiQRU*_UrU|W{95C1A zmC6Mlrc$AFwL&Y-%brvG4xLf)P&c&Vyy!V)rppTz2lbDZoaa3!%yfCKVxhj#lJl%* zieJ(h6$AA`OU~1tA%0DtRvGH*NEigH*&y}!Q_#dOsSDhbCbi61XQ(F`&bUv2!_&i0 z>5R$&AEhFp36m@4v^-XkQa@<XdDK(GPpMF-W3t7ZmWL|)d=?c5wM_nC)OpaeWG2gW z&zzYp4^)`c7p4j|Og>@Mx!?1L@1lI6n#nh2vrO}hnb~qrWsQ$gzEG%Iqj~3U&w!an zrg+})U6dzOGI_@AmMNYme3kNq3MN;~Zn>>;OYNXp=T^@Zwn^UwclDpBJu=_@f!(3= z%D-$LeG{ya+xT3tN^atF!3w#=-yOHy?^L$Tb-z-{GS~e~Wy>7*Bb6+3-1k(r%y!>W z$?{hDhE38p!A<=UpF3{2AFy*es~ji)@K?t*_YHPRXO+X`7yjzF;=Z7g<(2X|n@3*+ zm-TDZw#;;&V3+hoa8bX;=Z*{R4R(({3(o6T_}p>My}&N%v*4`$6E#O>xF^^>`Xo55 ze?<+;Q)M-I#b<((`Z+#x81#44usl(glYjW5<CwdFUD8Lvu6~aimPg8b@`*n>^!qhx zSRN|xu}S(M7%O-1sbI96;*X94?irOV50p3AJbEu!*Z-oL<-YPaxyGl0;c^SVb7=RU zsCJp^{=oLpJHZe+!>58}{VS?j?kYc%Q+z5|)ZbCfa!2`|+{14j+uS$UCcPER>b?=% z@=mEp{A0S{j_wbeJM6l5#InqHeG}O-&-F<p%RJW`dW*^g%f%AY1xv*o(*=vg6w?I@ z#Te5C^Ti&f3FeA9?&dJ-o)O#fM(LW4Qkmd}?tsl5*Ijq$Eh-gU)9taj<ErZlJ*85? z46(*t9md@ju`Mr^yu=gJ1ebJ6#J0RpI;EpjBDkRYM@-9er4u@fiUsF%--u~>req{; zm?k)*dq+&mQzb2N$DJJ~T{|LMo+usCVLGFvB+j_A<G8DZ-l9Ump6-a4mPblL;*C2x z4!cU|Jvyz#CGNO`L%&-h#$~!|LFAEXt{i$w1%gpxj5|7Xx_?Bs+*kS~c5r*gUe|=k zBU4>p=pH(y^hqo*Rj{IaM>NY+SD(n1yGpOb9JhDuboGdAxuf(*jB$I%cGn%ci*f~X zx+9`nZYh@WFRbpk<NU{x<(=XmnMLOn^Y{-w7pUf2_*|fpukpFSw)PdaN9H=;uymR0 ze8KX_9Oo03E_0j@SRR?}yu;FEw(|wKrn8D^{EF2brtKZJEN>LA$UOQgu&zDBmgTkL zC7GnJ0;}3RY*}VGFOYk5M$wNyv8v;e^9)Otmx^xuid7v(?Hsl&FBI+gA69Z0wZE`o zd9G;2zp%37jB}4A%QHni{=~|TQ_cZ$NuLCg_!KKU4B8orJ5D$|$UXWf(AS<}!}3^B zjDKN8#}Q`*xkn!a+S-2<bsTaQkUMl*k&XZ2Q-K)1jZX!d+Bs}mra3dnDV<jQ$M>+j zW1sT}*`)UZ5qyPD1*+ON6m{%z4zXmpr}&P~@u@&CUt)R3F6RrfN$&)L_!P@Kb~qo9 zee_l!uRX(><+kEAzJ+ETcO75wDU}P<vo%_C*f+m0?zrvvLGs8v#}|?=^Bf;Yw!Bry zVlPY=C}Rsu7bsyfOcy9(6HFH<VEdRRkjHj0O<+rNf^o-9#}j->XBCpz8Lc@?n=52n zUMobgAGGQ)X^xO>d8H7<-k2t^qS?Z@<FexnzC|ShX>5*G9Ty!t_!gZ}aAIe)>NxLM z!Pj&~!HWH$Wye{^3_hh|fn>Hu%Z}5I5qyh^1g134kZGCW=)tFSM&SUDQjx%f<_ejX z#|l#H2Q4~|I%@DK6$*4TTgbFLRM^L}s6e2l`GZl%LB|qFmg$Z;k}VGunAjJl3N$pI zFzVRv_=9&*zCca$4QZBXjxmxg_Y~IfDCG-;vNf7_>~;*0JTk@c2JfOgfs*DK(k)XQ zPw*<`2^2I}NVnWpxW#tRtYfR=ioc29`FGWy_<MN1{eyo8&&&V%^Y9yg&A$!L`K$g- zc+Ow(FX4ClE&Dq^o9EhJ`N=%j{>;zjIrc|>GS9K!^Rs!j{g$81Z{=_NN&LpYsXpR! z`wja8{~XWC$NhistNoh&hJT7@<-`6j_|<;Je!)-XSMulnJp97HtX|`9^Gy2*{}R9O zFRIu0+<w8n;orm0{PXG+KDVE<FZh@EnSWOOi9d&D*eCpZ_=$g7{fa-#PvzDAD?H<$ zRL}95-JrhX5Azdwx&IG-v>&rK_?P&RzpLKk5A!2=zW)h7+V$%-{xCn3-}5K&1Apwl z15f#*|0(=vKVYBnllg)Crauqg^VikC_|1G@{@cHXr~Kjn7JO&du0QeHd8++`zYpK> zhx{{m%3oH$;y3eM`DgzWp7Iyfcl>6)BY*GTgKzEI>^J;Pe9NCzedBKPJGr9oAJX}E zRDamqZdbkIF7tfbH#eK-**>|+JkR#VyM<-^<=+z0`Afe!r1KYlQ%L78{Kk;Zpa1Pa z8h`FLhu!RE)idrkzmdE4MzM^4Lv_IB_UpDg-YqQUUsLU|x&5l`ig${o{2AXGcC{N< zTik7aDd+V)A&q}YwZz@#7jmcGD3<UqsQz)M`MKPQHw%mT=TzUg)BH@%=(|B0|BUJ# zcbcEdX?=It*?!Wt<7V>{xkGOl&&Vl#XV}?(+}7gV!b1L@>WDkdkK}~DH|%IXY%B5Z z;b}Rp?+!cI^{XZBI8V1NxOsS*Eyp{>0{*CP3_IF&s(;*WzAyLd+kx%vdu<bL9-eCZ z;_bmxa-Y5hr1Do(@3_r8)z;@`^If@D-yF8L@3i%}*?dRt(Km+e?b~g4yj_^fpHm%i zyZM%E+4}|6?RTvI>|}l?`{&NW^RjvG4?O3qez)K`U*)@o=X~4BSL{7J*ZRgz=egDw zb{?K%ePXBc9P0x+56`yVvD10B^@V$lXJymgD^$0emUrxBej|J3&cm;K>&i3sGQXC+ zbSLpE->Pztz09+$7u<VzM%M3rLRI@E>lr(lU&^|@SEy<?D(BeC{6g05{ewz&qw*Jf zn4im<y<bq-e#W|IC-XB|z4r-~?We2*?j?TWOM0hJ*=|tIP~3jP+Tq^Ak9>XQ8GD!? z%Zj~UP|<$GTH)Tq4}5LqKZ@E9Sqt1dcv_b2{e`D|G4D1!<!dVE*wZ}Cn&F<}Y1x18 z9+bE5v;J^5@jYL}yMm{DRplFs+V@z8>}0+t`|h2?Q@-GL3FYm(tS{V6e8(5`PNBSg zhxLKG58v|Tm1pc`zAbz0-2$`ryOuA`DVFoqKWngNw=aHS+<x2g!_33;EMLrYo@e=B zX7gK_tmg&kd}Yr9()miB8Km<SJrhXhD|q%HjW6%ng*3h`#R<mkH!V+`OFS!+^qj$( z-L$x3Zu4uIsOJZ)+D(ch<~F~Q33}d;#<!x_!npmi<&1L+OZd{BIasw{wCp&y@QjSp za|WyS^OhCo8qdgBJwIUCe%3PMoMJIw^0NlZ_S2RT=N1<6O(~u+r+J2@$2r9_G6&8m z7V%9eu9(yOSVrpk0gLvdmKx_23;8;VE#@>ol-YM?VF6!D@du;!gO(*TnWtOk%xr!j z!}NSXDqlnK38VJ?mOsue%;&2qzA>A5nq|z)=6f=0&M4;dg+6OAZ{KYhF!S&f%Nu7G z=JAyj&zRjj#qz{i#XP=(;)>bLw`FcUJ7Ctn)pEt&#P7Vj@=w$ro^SqO-@)_JzxF)* z##?i5!*kxMdlR1XR@_VY-FD0TPG$34^DC9ibIs3GHqS9XQpr5Wd{1TbZ1XLZ%x|S{ z>`DB_yD2~7bK4E`1N$7$O2^%Q@T={b`G$RpXQjjLFZk7V#e6{}^DF6ddmet_U6!v= z+dR{J!oI{Wyo>TRKDS*kZ`k+nGw-~7h0ks0%nSA<e&(H(f1>8_4D*D24?pov%U@B$ z{8U=)zQQx!N%<U~*$nbKYM7r$%iVwQqwScv!M?<gyj}SoHO!Bs`R*tDXw%Qvs9}C6 zy=PD22j19w2cGgq-&6R}cECKNlKFx3racee^Va3RsAj$|{q0`EQ{M1<3%;{y=bxx{ zo@)MJ@56VzA@>ZP@|NYVsAj$^{p_B?Q{JNdj%wyR()aE?_|~?~e8b+vx4c=|H)5OL zNfllHkj}d!`@`loyX+mY%=1m(L^jVeeG<t$&-BK+g=M_u*AmisORqVk^A=xINaroQ z#*ogNf9*jUZ|*gR-E3yrGh&<HNL^c_SjM{{J79C$b<-W|7MAj^$@bXXcGYynI>l1n zjB5?M+KjU;Vw+z|d0kIP<6V+15!?Ji>eL#=65a*bKVq7nOPyG=u$XsF_Kle4XHrJj z4bpgLWbcS+ek!GP-C<|jNz;zV<|k5z)-axtQo7Etv+cO4#kz%sygk_wG0l&pgswO2 zXgh2wvF_n%DX!}dJJ|HIC1RYXn-)YKo@UCiPO*SD>Ken2Hl6Gr(arazeqB4Ty=|{) zLgeA8rZ3hWJSFw%T0kmqMfQ$p=BcJWk<E9dUR`t8-nP@!BeMC9)T3(*+uOFA?pV7p zmp3OnBD(pOWZC%z)opi-|5!4=ll(Jh;d#lt^9P>uRG(Y$oTu_!!*iZ(=__mx&o#bb z={(o?g5}{k#wRSD=NKQbJUrWYho$pu;|uc|&q}79SEz0?P4BQ}ej|Bh&cm-f>(Vo9 znO{p@l6k=0C~*4nvMDb_dFnz|K1%7Zc9xZWEW9v=ZL9OD(>$xw18kjNNqq7-dXlYg zan>7&jEfU4O%y)PlcFZ+f5n0)<kymriw8F>-H;{PtHWk>WS3SHPgBu)i8lsk&K@*4 z%D;{`d#d)E+^adOXKHRgs&-k+m%CE(!W_o0Jn82Os@N_YPnmb{jHJhTgISJWcv6j8 znocszdia@VUb;fD@S9c>v1by}uLXW`?9G|EXpu*hYeeXc*2>7EW>a4WznuJ1Qp?i6 z*?)G(+Mtd8t!?(QL1$0-B~4tk=F{sS)rha^rfsi+PZb7oeLT5Y%U`ST^UGkZudB3z zR)y3g%@<mEsbS)ptmN1wyY>|Pxbig6>&p}^{;6|U?ObIZy~=oA>58D0E~`BbF4US@ z6>R$S?V%+zbW68{=qY>)EIqXF(w@ae#fkX={UH)s_CXWoh3pLK6~FPJY-!2&Rj;NU zn!4+$lWY4bKhe2z2Uh7Ms;SCKua*dsRnzl28)&$yFmKkvQ_Y9w9CH3@KGoVol|7t$ zYNfU7@hw8D_-Cx}HlETQupxiZvzUi0+s?T$`W>u1>}J4aI(15^sF#3N;a6S1bBE+K zY)k^;mR<4Ldv2TG(WbgWW_LbW4Hxb$0WHCq%OVmpbvHCcDa@TT=g=H3=gdZ4^^kia zGglf1Cofp%v2j7RBEP(b+X<brfGkO?`B_hHJI!dE>^(nuF3;@r3uh)&wi!wqoG&=3 zc5T{uxvQa<^_Y4jb<PJ=vYncr7F-`xJ83V|u3y3P7fdtpudJ)juiI4JwE3v$UZ&aF z3*s8DofQp>UjKosy7h+kn!>$KQdhO7>|~mCQ@iKcyEw<S8-zb`P3!Ng3;SoY{zD~e zfWBY;x(V*By6^a9oNeb=Ka5lCmbo)GEpqOb6`i?Ry1BQKmj(s;ESi2!yP>MscXz3z z+IfX%Jd@HnirEa(J8YPrNXi*o%v<=8rzbtarumViQ2r`ob>>BRZHIZ<(ti}S9WoZU zH>H|Qf7`_QlcvvTEqQWh$#Vgd!w)2P&1pO>xveBsz{dH3<d!*%A9y0`ZrL2ZFZrjg z$;SD9&}8q<d5!ORs?!e?wP~kcux_4e{N%67?1f%zdyMbQZ9EkkZsb$>X|~r_rX;rA z##chWrY<;bFx~N#<dbs(<!n2Q_sl)`mM1qo!TRtm$twnL^p18pP8W23C-JX`QM`G+ z;TOrnZzX>8B%YV}(xZ4@;)9N;729pYD}4{oNxbP<cuwL)PvSX=Cq0ViBp&oUJS%ah zXW?0ijN=E=cs3_bkUc!h@JJtH8Bf-+4_0i~4Y%|)mhxmC+hE0Z)o@84<5`J-;{|Cv z%aaXconK0v>1izCS)42&>pau2rH`?MXMXYr8RnUWC4G&>JhPK8$T&ZfIM%~h%riZC zgN*Z2360|hmTZQ}6J(rc7&b^cKao&4{=tInxS>g3V<As>a)6BUBME`y1r}_F4QnKw zA4+f>e~`-4oczLwO)vR|5!*pSmOjVR5)8*Dr1I1!e~@;*FY)8p26Hx@<Q+zAdkrHb zo$pDk>S4_1DNkNt)TWudLE8DQ#EWAF=4_hD6QrH*NIW?9!HjLY;g;UUT%PRYfZbW& zweGGiTK}t9^j&D(x>v<l--gz#yHz}O-s(>=t<SY?ug+S(t9a_%)vsb)pRckEKe<!u zTxh|%s^Y1$SKo?ptrnfVI&J-=y;)~NH-;aKTl#F(_0=)!v-Wm<U1bu!Hg0KE=&R6- zbxwO<oe5nYJ~J+~YU)h=&?WwRLwn;?t3qe4c3RK0_tj^u^Q%w9T#Y=sv(^6cGNaJh z{wG5-?}<F`f9Ja)bf)>ru-=_qpI4n;t+RgBo~}=;POd%@;u$CUOv@nLHIB9NYVyM+ zljEl*hbD_Y_A3qTw!gbFXpL9N@7<4<M6~Xh9C!6$Xj^#g%tb+=6{3k#r>}Ah4%J_L zZIzyPq}S7xkBY8NTbj4@LX2uf=!4LxRSfG-6<xg_S{GUy{xNoIx#+aj>tdFMr#@Y^ zXZ5O>*7B=&LqnE?)IXZCXzi?^)bCR)pRL+8|Hr%yOD3&c6s`3!*y}TQbI>w-*ErMi zsZ&-zS=Y6j>)opDOTE??MRS#C8i#L<Jz944mbl*fpwm;N)+V`jm8`nEvSek^%6~db zcT7<ieHT)<?A2zGXF-X}cFpbLzdCQJ!tzU-Lmr1zE<5C$`dj#!_zsV`excS^=dOJ7 zr^GUJ?#dSdXCq&gYMKV0oT+urzc={c!m7`$ySRhrv9K>MG7g=suMiioN5I|HT6Ffx zw3R6<uSJ9f9ra_io+`EK`pTH)SyPk#t}M~Irf*^wv~*(D+^nyj-?%4+tO{Q0*P5p3 za(Mo~CI2!OI?a7`CS<v{p<e3iwo@gmF0DKhFwxJ{YU&IB*lAkEp)=>N3TO>#w6pxz zGULbOM<MfrEB##4R-L=B(qj3oKTh&fpNaq3l(F#CoUAh;GlFCNQY}MOMW6b22mAV| zrfHpAdB}$~ZPkgD3d^hJoGM&(Y^B8Vs5xGRtB$M`SZ+1vRKY6!U{SY&da6smY204? zAwW>BReP)0RSi>fk*69neJ?LvqqB7T714c5e@#2IY090zq9r%_q*VSC&G0yWKCtkY z%+mCgHkww}THbd<7k)Cj`XD5B*(0N?(^j%9=bGbnI;6ol)o*I*s{JefEPFM(D}UAA zl^c9YQ?>T4T;ns<Jap>H56gDV?m86`ylm6#Re4&wRz6!c$!O}Gkiy`DzF*Cz-VVtP z-srp4Z0fDx-Tp7_rvAP%e|f?DPoG2PFVCC*=<}6%%fHx6P3Jlv*ta{d-~Xmv=<h3Y zm%p(IU7pu<F1UEvlR0u<%Q|OAOK%lxomn~MS>Wus?h`FfiF{dcVezRRIoGNwlBY~J zZ^>Km;Kah@=e^M)bMDB@4h;)8UGKiq^3|DHi&Oe%y`A0lSw!a6;#)FL)dV(N5j`8Y z(tYJJ%dW30uB|k8KWKUCa?v@ad79?Y8MRwB%<5+2I`1T};kv?AKQ}mc-lE#Bv%#DF zPuguwTXAgVjpYg34Y)-m-OfyzwLETqQSGX&1}0@)rCPlYuVl@<sCjjH(0r%QBCmqe z=ULUB`m*xU@-sHBQC6nEro0H5xp0L~t6!tt*Pm0K2dB(?Rnzr(<=N#X^H0@e^~j0s z(>$|WZ~i73t$gmvD-NN7b64GQ(iKq=NnZ6@<Z19^|ISLUPb&@l8!NRw>7Nds;BU+4 z%AWCk%H!Z(e?z;iKc+ki?(|o*EB!I$VQ~CBt(vY6n)?2{c2}Qj>iOqZdVN@VVEGms z)*m6$mj9dgse09WO*^CMeyjZ7*7_w@zB)DKUU1mFQ^i`ft4@i`TO7P3Si<|v%H5|l zwWgMEEnjwL$?o)1?^f<w{$k#&*^@p^nR7qnj_+i#6*6|L<-w1x+@5mVw=X!?f1~Zz zZ&PlGm+fEhyY-I#pPx+Y?mu9)kALyG^|t<ppNHn@zxe4iPyfNsrnll*`wO0Pm+cF9 z&Rw$4;5m2EK7r@l1^Yfc<IdZ6;TiXq_=L}`H}y}{C7u;eDnIp`>5c!^=o1ZXaRHwv zziqv)zvGvqa@E4p<>!{)U3QmyjrgTMQ;wfJ*Lli+dyU?jI>)cvE8`tLvtH4k^YhS4 zai9GGzgUgq6`nr&6?C8?@eB8&c#Y4k7xWwI9)9MY7q9TS^_+e|UE*i%S@9?S9Gan@ zQ1|c?_q6yGf0&+%tL;~KrfwEL;g8b{{f3`TPsA1WfB3<AT;HUw@gsM4e83;4N8$qe z3x2R3*01^L^iZ5*|AVL8&G9cjvFgR&_{4fppQX<6v^c~52~WA}<3Id%x-b4?--hq3 zI`KO`vF_E6_~~>{d{qtOd+zf11x1Oc#NX@-_|CdZ|4gmpDe))!1irKG(BD&g@GW<4 ze8TTTx5TeZyA|tIzVgn}e}9f_7kL*{H|^EtD{q5prrp{+W!}<HI<3!_?_HWRebryj z(?R7+-sps;Yg&1qjr2O_A69p1a@S^&IZN;9JWX3^=6zA`s9RQ;S6J5BppD)K&GeS0 zt-QW8rrzoA%QHc1?WgJ;-4!xxY1s6jSgle`6Yqt3rD-cKFZHSKidpq#`O&3kbX<2` zc@eb8-tpg;ACn)0O1RA-FZ_GF8}+{aygXy6&2**BA<u*6c<1UZ-5K&MD0$kUm{&!b zr<ZC?Ulfz|Y5CdtCewvBPkG`$-9A-MG)>dMK2c9}r^u6_es4>?t2;y<2X%XE>P_7t z@+hd?zEUss$K~fi@zb<ox(YP)y?OPnrfTYW=SF%JtUR!Eiw^6KkZDW*P5TtRDqnNo z(r?oaZMrgb>6dAnHig^^3Y~T+`c<B$mbY)@sl1iDmcE!aE86Q+P?7ghUDoYaZU^Og zZ`D1z?aHm7Ecdr^ORGcP1=jZ+vORS^u(ofPZP)q0>b^_GA@df0kV&l$c^g>Xx2ZT} z?&23Rrq4Aj-H%#woeRwGD=H3|y*Q&ksrbs9z)kLJEw#RB+*o`?=4;iI*MV!@H_A<Y zw&LpIkbW;)uCswF+~-=p`l4}pu~)xQvB=B7#qOPQq0d%aSnSaM%jVQ)4I}qbxuca= zo(0ZwPn9!$rg3JmUjHVWRi89YEmrFnDxUHrFtLxRIOIv-1b17xrxhWO1AE+c<(5{2 zJPPb^mz7JcxH5e)SHF_YsndbY?xmJprvsz=glx1vXz02#%1wQ`V*lbleXp#$-mloZ zc!NyoQ;ofg*T_sQ51G37L*Fjzu2X@*eVeRTz0=sW_*vhiqA7O*3*8UOel44FJ22OM zqwLnQDYpW4JHO<cYJFw?!h-fs#v$_;=CwaEzA|s&7oMr<8aB>1`9iI)%w70~Cp2Bd z%K5CM*SUbgwkG2%vlrgsxtgYNYvBzZQ|l>j0<zn>WVy;TOr7`gJ+%sX9k9lEsbp5E z#?^&E?M}ubuL9EBtYlA>thluB43DeTl@|evoTo}^m1ta8XxIKprt3_=T<1c*t(H@s z1<Z8rmE<a3aeARn`zo2Pq7^3>9^p~744JX;0FS7p$diD6XG^}T79x)Wx}7!ordo(R z3TSth<olYs;?P2l_D@Dv9t6a;Ju<p7Z6QlLmyFlxfClGO$yfOr`xpLddnBEeuc6}{ zE9sT5q3!&XH#Aja&%$?Yi;S*JS@@=Hm2_9$ik%CW@Ti)H+zBXf-pl*cEaY}Tj`LdH zrQ2HX>b}TREa$G@*07t^KKjMx*4w%tZXTMa`{Jh4JlzL3o8F3LZ7)dYF54E6&Rw$2 zAf3Btn?O2u!L|=++<DtBq;YSFPT1UfQ};w(;#skz?F_qFO`|LBHoX>$+J0bHt4Vak z-KJM!LE9VBxK~75Y;L`*J0ovl33u8yhh41~bvyDFo)L4}&akWXylzEa;~6ol?FV+Y zp4H9BQ!M6A-qx_Q^|Wq8-ohg8DbX|TG|kZU$WuHcb|6Qwh<ieG#hs?dVp7`=>}Yl6 z?uz!<v$BWvh^|cD!3&3`>x$$x7I4RHGuY9p7yV#Ut8TQwotGD#9*AuT$YU%}KQr4( zH|6G`_-D(4m(9Cuu`~L^ZcekSPWQ!rY}>G%RYz=Hj$=M|*tQAcnF~)XWZk2C=k61W z%*IoGtzyd}Hr#f)>ld`mVSDS&xo>`KQ%L15itf10bVuyowg=l<x9M(p`z4h-+xqCe zEyp^~HQl=W&VQHv(|=#mmtR!BGyl(<kY{IBDJ_2KUt729Z|8ac>bgsxgXhiv@F!)r z@IC*nbLaV&*KPV7Ja_(!KPJ!BE$xr~<UHq}|4r$@k0;NTo7rFdcjVdfoAXoZqdo_} z@!w#-^5@I1>euH-)hGScJnO&Oe&xR@zl3Ma52&~LeEFsSGW)6jQl6<_n(tOG^mpYM z|AqFA|Gxa3{M<jK?$w{p&!B>>{?wn$GyXH|WB;Z641VfA#oqUy$}{zo^AG)DdA9t- ze1-a|KPNvfKQ>>YKI)I>$K^-n3)EZvIr(9^zP;!_mmia-&u6QD^+|a8{B3_!egr@8 zkE&z(qj}oD-u~y`E8m6h`&Zj1{(O1Lzsf%Hr{??RTJ{hBmV6hUI)B-pl<&cJ{Y&i6 z{#ALZzH|Pgx~AVR->Pq)f2%I(cjjC5t=ezm9KUnz4F6HgdPn=mPN(_Wcj6k)i++o7 zJTLkwhVi^;&ia7rR_pK$#jRH13yNDU!zUECT7)+gx0;6+6t|j%F97we&%`-?<H}wq zP|bQnd&SN}uSH|lU#MceroCk6p;w|I>nBvPnuI&-Jv38$PTavSTuZ|Zidipd_ry7V z;YwX6P{n#dyC&}7XRefW7b;oLY3IZ_e&(7PE>X;SMmr|%;3uxB;S2U0dLnux#_<zZ z;yQ+BToc0^_8fXFDzpAV1?w?wojAvjT%F+#dk#Gm<yrsXDOYQ_!k(t-+B|U!PmAt| zNjxpevR<HqRX3brkJB{mKd}ejbJd1F*nQ}p=!Tewr$oQ3OL)pv8NQ;3b&vL)*v3<$ z%VG|`<0=hbu=~&*(IqjC@3;!X8+ISMEqZ6&g)-J{+G}DRbC=(pTT*`N?#uIjJFI`+ zTzTGayY<JLndkkg%1&(-o;NqAeAV5T=lsgbR&5rZJNMZemGtEn)(3CCJnNTNmbF>< ztzS;rth=6N%T2A1zGK-vdDh&x@}j#dOVzK<z4T_wuF0?bR$4E-$yvJG*m~}}lwH9u z{nE;m?q-&#Uz~gDjmR$H7k=}tE8n@KEk8HcqWsn!&*J50<{o>~vh(s&ziHN??_73X ze&Ux@HtCM$8NY<Gt~;DX%a6~MDbKpoS-AY@T#<6GJDi2f56|T(|FtRjp<j!2<;~23 z<$BhIH#1NBHCeO1Tav1NVD6?jU$#%a?^kR6@$HuF!qeuid$VNw<f(H%m95$&e9y1k zI`C%ZDZeu7t8ZPlU%ulPR5t0hW}f<vx%bM7Zm-N$-!}JJS<vpx?`n5v7v=vd7Jlbj zm-njp@>}1Uyj#VS=gt0fr{%fY?b%uRyNV~zo&D;L%kyQH<|lV*p7Slpt16y6d-kn6 zF4e-bXQ$;)+M9XSccb~idrO`zyFNQ6KWlI2*JUQ=Yws<o3V!9Ak>|Ac<r&}Q<}>ew zR85{a+aq6UujiL#M&_;eSgI~R_nl`xap%g<YUgH~<zL#P`FWY4dE&j2%E?cCr<w=e zGpU?BW41~@SF!Mn*-H6MdtQE2J3d<~KWR_qN42A~h4P*Dy!@bcc=o<KAr-<8edF?^ z_N+W@ylu;|EgUubH$)}7-B;cq9^)Fnc%7P_dG1cn56ceB-g1YfB6!;De|evFuY9kz zZ}zvmLq(UT&i<0OsVMlKZ)o13-7nv%X_@=(Jo#?fuGug0X6^Po<y&Na^e#*J<=eh_ z=3DO`DZ6~jH!JwY+@^OTMaw^=bL|NJVBBgKykjoYe9bpAo91agnaMOy^M>ETGOqGv z3F%y=%N)|VikB&*a}_RQNaxC5_8^TbcbS7Vt6A`jxlM0GuK6gIacu|=FmAoBxx;T^ zDc71{598LWnk)PiOSv+ZHCVM82V2Z-dMV<yJRyy1NwCD+rWYcod=yK#76kv8)AU^A zgwMiat~tRs<}^JMF<Ner#x)~&$DF38B3jEGEL%@%cFb&gB67%w@r;Plat6!R<C+$J z3k$h=f+OZMJrWUG-eA#sSX099;b{@B<qj6C`oR)&oTh6Q%sezrlfzH3fGcVlgGH-O z@Q>L|_eFj!J7C_rS2JPep{bfLd=H)y`Lrw`m8&9n$84slnm#j|?uxux=3w5sQ`2K+ z(;bmV%NWdCw`=b3U6{+26C5$S>6UQW`~|;T?x_E%WO^t3$7bPq;k@|=o^w{uTkxE- za$du8&TalHY7fm-zftKlSN%fep*iX&DxK!2AE-PuTYX2R(`@w%c8zC+)8;GuZZY-m zsAYO1e8uMBSI%|*8MREWg)iA8e&t-{?@`M%OMQXe!!yEu^AmoxTvDG=$@Eg#ZN9>< z79)R-TBaAmcJm+nWHIu8QN#3H*lhlSpDkz9dn%cp3G2;I_}OwwJ-{yU6KB#qg`X`3 z{tTa6PN+NBJ^aYo=bur-^jKJI{(>JZN7NPU9)93#^Z)Uw<&e67-NDnsZ1XQX<&2rP z;VEa6KSxc|G<60$#nZz7<~{h{vQPbkZQ^^*h<OE1Ijj6Pd}`UF9#YA4Px#$Dho_vu z^Af(d>{7pAoA{11Xr99NmL2K`Y#+Ym%=6EvX1XnWZQg=yEq7I4=qZ+S)=z8L&0_EU zVsp!F)en(}=Bd7jbegC7AhPMLP}cN<bk4GA0qLA2(+tu%i>3*ra~4edkj9xe?Lr#o z7Vm`3EjLw9=p~*NN}A5Fo5j?-BDU$ZP}KATyIM@VBVwCg2?b4WNaI}LZLzuKvg!=I zg(aM6(;RlSTvYAQTX;suX*$EMmh-9=dW~m<tfn8>*>YAjLr<}oGkIFW&X&`v5qb-Y zIH!2eh-sRk>Y=B2M(BW!ViD&A?~0hF$3jxm5A0|;s;Z%<SjgGoZ4uM-P-vgd!UE0~ z?+=?=4yu+!GEG;_iEMfx#58?DDrbZDiA^p0Re$I%%;&7}z7fqdO*JO6>7LLU9mRak z&}j|ZTXw4kL>`)=dP8?%9%qU7jOeB*swZ?6^EeB<E25ij3*DM_U|Y*p)fKXd-#K=< zpRheNU-^OD!SjN@WFCIwsOj7AoTI95!gG#_zJ%(QTgrDVo8~HCv1FR7e8#eAj`9&p zra8)cESqL4Z?R;0D|kaD@f*h`_lV+_8_Ead9M1~I^*^X;xu(29PVuZ@SpR~mmMh8& zESX*jo|Ad_g=3k!hHcYK<q2|$UpN-IYZSL!P;QWW_?cs#yFzixIpqSm#LpbF+)vmX znxUK^_wW<PH1`!YOiu;X`W2pWOmgQaW-)N@uwi;4DA)g>qUD&ffn4H8jxKi(8>UBs zeEkU(E&A>nHcSr%_sArE;E3%z@RTFEPobjafO3W<(*waxG7sN#)VaT~X1Xu<t*_xJ zM|j_Yau#j(6V^^sl^@7He8&;eXYiDx%zcG5(_O)5eF{%GirhP_neGVQ>w8evvQ2q| zY~ovvEaw}tP45JX+CQXo>~Q{I++ydvLzZd2;v31Pd5TXYndT|p;9FS6QQnr2&QaRt zkj_!urjX82*v62~k>B<pjU%_s!J5U)d4_D$8-Z&)ie(%doCAzot}E`~TUg4m#@WNT z<*MQeKE+awjJ5`=7Gq}%*`}8QUhN5K97~)fWSd?@B}Yf<Y&y0lE~0in(;5d2<CY7G z4SWxaIp#Sl7`L2LEZ|El=9uMt!e`^rN59v8Vw!9?jq&C0#M3hr6Zjq$aZGbwA;a`k zKy7uy-UqiB9M1@-v<swhB(w=wvYb$~;X7E!(d(QbbLf$PNc#i}mLrNXd<P3S;@bi& zSPm&xNWNjcK7(6_quKd|5sRMl4I`F=iY$DNrv(_=C!})JJAaUNx-amfZG$<Bj`I#9 zmc5D*l1}#oR`D?AbCf$TFly0EKjHQ8Ytot4X;UwTA7ehPc!PIg9!H7u4C$sRiYFX9 zq?zsr+^Z|FWZAB`g|{)6BilLPx8n=`GRMz#3w|))m4EW@!Fm3I`UyXo?d=!*aeU1G z>(7I4>@{^8p0iigO?b{;QJ3($`Ih`0#?Q^i<z@aI*wFQi|IL-e<V}AM-r~RV>CBRL z|M@fj{JA(c^E-RFeM9mj=i}#m%G0`%tuAZ6nl&>eeb&;sD|u(l^h)<K7S3Mv+Gn-# z-g8UT%G8WoQtZ;1cxC5yPMqx5dvaagvU4*vX6mHxk}(c`<}-`0Y^GNFq&c2vd=}M} z*BgtTV@We8VxPZo(c<D)cP_rUW0G8Ttc-WIO?6)S(+8Og+WqBYV%f8@dH?zBTwT;` zll8$O!(`9Fw+kOFk=V<Ye(%W4;Pfj!8ndPE@OlVJ&sY@b`&eOPZ;n#3gzGt9U$67* zC3ObR*^BA~p0gL!eR#&6S9jqV`xg6z&&@aGPy9<b%b!%w@SEAxzT$7=YyPPE1HYP0 z>?8g*zTyw6Z+OPO!rtO@^JV!N{}y~<PpfnImGFgqk-f&}<_q!-{~mm1pJ%V|x%r%Y z!M}vh?6d4o{5d#7KH=YkPwdm|SNvgo%CA<h@Qi(uJ;!He1N)9Yj8FLG>L2`QJ|=JQ zFX1D5m%Yay#z*{o^$9<k_3btOFh1np^C#g0du-i-r|i*n3O||;$Y=aye89iy&x7~u zb@nfQGv4R_R@d;9J-lwgcV=z-6Tcm&%0Kw~;2nENoxxM~GW!+38SnBxt5bN&US!|# zoAD0+y}AeAnzzYs_?z&SJ<Is*xh2-Y?|kah4$VDz-lsNg*WAwYKGkWLjDzRR{BS14 zI{2+mdD<r9;JGtjoH0pPvot<Blk=QUep-=n@a&lx=}E?y-}r1YUOQ8>Ozp<ZD`&n~ zO@8gO)_CK&DQU~D&J0QSn#+0CXNB?HnJ-J!F3<EzH!>D}>9g3l^IS;UvI{dE(tpi4 zS*&JcTzc+^<>hBSvy4;EnWU+mnW>k)Y0k<bwNo?I(uIsCKk-RSV=@kY;xob6_S}<- zl;X*rGkx+l?Kt^Bt$OyE+)dj~-cXC4?eliy-Qy+lr)%GwPu|i0vvSS(<n8?*D|5~# zSIM3JEHUqRj{NG{H|LVe<W_%{n0x%0joR~#7X1e+-<(a(lgs`r@isX}Zg#EDw~eO# zNA1{t&zN;QPQJKy%~!)~$1mAz`!(ZL^2+{&l{{ZJ8u!n&OZye^GC567xi;sE;l<;p zY{Y&^yhxtkUuoy|Y~#7(7V@`id_HeHbNrZ1+s_+Mlc)8E+PVF_@gzA(ZgP#zndAhy z?i!v?8;>8Ck<YH_`MB}uaS?g%8lI0E4<F}||NSZAVRB1<Wo6EXje7lsl{u%AoBCPp zmOV8*aD0=^x9>CVC)f6WwB7byV%qU_Hp{-xn0ow^-0Dvf_ma!|11ob*C71PIwRQV` z<4$sr+~jJVcZNHT-;*n@Uh~#)+wp61!P3XyS(F@~Xx(T3_<(Ht{K7Ai$KP7~=t(|r z@uf%kyv2u}!(}pCAMY^cvwpn7n9u6*3}Zga#~sFe7LO~8`OF_@81vmK+#q@UjYZ1w zjcGC)A2-Oh&nn#0ceqq$?c)Sl_gRH&`kYH;Rz7wx=DSijNAmbf3!meGR(!^f6=aXU zuy8pZm?pFEF@x;!=N2}{9n)myK7Jr`{F#Nx@rjmwhK~=(9Diz|b3D+J?^K~rpYs_D zmE(eGG6}~7E%{Cq+VmYRl<9q(AaneYg~;)V7JNqvW%>>m$iyEDwBS2bSRr}*fyJ(# z=F=A2dYlVn8XsRU;yX~7B6<A2#h+sr&H45fzUgH?ZLzM$IbSC1*hKR_t;YwXkKeUe z(UW}2;?1!@bG}`LXL_AaSv)x=XwJ8za8K{yT$$X*3DU=JSzOt-@i*_?{3msf&zl$Q zfB0Owc3<IdUc37@KJ(h%Kk=E@=KhAiZg0)s)f_%&{;H<=ocXgF=X2(dYM9TN->W%% z*8EmY^Ec_7eTKhzZ|1N0>GsAvVgJHs((CUR{B?V69<hJoGwId$1OB?bGQUv6{8c)A zU*Rv_%lT944xcgi*l+lY_hSB(pKdSA9rl0x$$LJ3!cVv7<`(-m{^UKIpHi26#@t|k z;ZNSv`5|@9pQI<>7x>J3GQZ^~+l+jdI_6K({r3z0xIH$P*uU`y@6mjfI_8hk?e`7- z9GjjmQrG-JI&Pogk6yj|4?gwk-WT}e_P~5g4f6--$bBEb^X||8QrrAqy88ZsPrcgr zFZ^zsn*XGB@hS5U`wGAFYTcjliFbGYmD=Wa(#7{DeB#}if25ZAopk>Fg5Pep&2Q}6 z_>FgK?wdU4a_OD7e{ANxll$YQ+x*-+dClj|zU4TdH~W;seBLZ)d*JR~>)RVP_gdXv zu({Xr_Jqy77PlKV_nO}>*xYM&d%<0{*|}%(oXe!Mw+Zg%y^*`(=CRjiG21Wh;=Pu; z<mRzgW+B@r?&3AM?Qr+l%-lJ7hfAcF-Zt3Gdnvak&$&c8b(`QW-V3=kd54RoQ?^~) z$$Kt0C(pT9dgg73&AexFWAY9cNl(4K;LfopW=C?Ii=-2`F{VjRyxnl;*kd!9?H70O z9?R9qb1szbyzOx3*h4d(?H^O6TW>4eX`7zQlehS^*^Zp#(`GE&1$Xf3-e$PtHZAv0 z?%{mt+S?CqAG>F^A?NWavoG5cQ>80!uh_)9C-+Wn^C`1sIfwJ4OK&f@ee90ek{ste z>B8F$w~yU6yR+@$Hr{QyYjU06O6|UWVXxae(|<9{-=*r-U3@OJ{rZFAUfb&zihFIY zA1LO%oqZ+l@j25sF^kWczKBUaXZj>Y`JCy4n8#;L@5C%VYnrkC;4`Vs*C*^fHY@u` z9P>A+taTr&c&}$~iEI8UmAP(X74OyTC2`DWO#{{!K9gF0-C(cVOVcwk&0nMzUl-Wx zHZ!{=j`@q!{Ocd~u+7XaiEI8WHT(L7J#NoTkHs*5mYRNj!ydP%rW)%FD|rpCPuSx& zBfDXz+Y?iT^&cyEk7t|2HGh=qz8<j0?UAX#`oap{!`U@E-5#29tbh1as`>hhB3`}g zH;Q-<X0yaOpEhM!Kk=zl{q+yK-R_(IShum9SLgbUBHq2(5j)-PnXZaqelJyieL+#L z=JgG`-R_#cSZ7$yt9gCGZnrz857vDw<K3RUCARskRQC0N*~i|Qlq{cU-D`jOz}&X^ znO|ledu#H;C;7a|7a!&GCLeqbmq~5Cyu+B+`tk~6UaQM9jCn0DcNp_pT&^(YHNTu; z%zG<y!^~rEOj4F_Oq1GpxnXYGtjs-rhfAf_UQU?nHY;<DpL40y%F7PMyjL>k%slqe z#AkV+6|eDSg}KLGn7AwtOp{u8nPKj+=O#AG9n+-dUVbp=*fSH8<r6J?4KE*<bL^>! z&hkJ@-cy-Ae$Ho1RF(^-NhK^3wB$XJY2$aeP^$NG!klA|OhlGXwBS9GDdTszKq`J& zpat)t%!--E9+>R%X+CYT&BwVws`2s#Bi;j<DKn4VH~F*dqB-xr%s0Nwr%l%RIOj`+ zEt_cGt9ALn>|=LLR`?{JGI_Hs(42Qy<{4k-QzlQA37Yfn$lT+5I9Eq3Eau+(h}qMw ziT5njzo<8RzD=OXtz`$zdbeh-(A~Cs#=E4Q-G3u_$_?$izec_(Gqme|8|iaCsnVk( zF>kqWj+mc}Wmr;$*yh+ZWgD%#Z$_>;msBD)d-IGrM<401rEfHEKe|#lt-AWgd;`n) zq&%_g%`?>BCgq4l$LjPxNy--69g`DsCTVlGW82P1pE5(gQ%92y>O9*X@nD)>cctSW z8=db4u}6<`h~G|*&M7p!badGr-L~o5XWUC_?oQOSb=|uu;{NobJknRM-FYC^9la)R z<MG1}WW=5uSe769@ZzKJw%<}(yCm)>uG^59%{Mu;_{J2SIKIc%cC1o7JNqD;$rppO zH?JOkGVfs2_nv6``b#otY-bZ!G|X-1>^rx?_RN<Jmk*!ou+%Zw9I)l<JISr>D?=yR z_M9=!xO>2|=bdEH3z=s>d%|sNe#snTtDbS!_>qj-QQixiFTeAh@}=bc<g?xj9~$$$ zejy+#E-ie}!_O)zDUFSb@0$9Wvh$L+4enS^>FP|Z;k#`tkt=Dpyggys)=3-YMxS9w zOSt$*JG<w=cW=#YX&Y}GO%M;>eB*V}y6&xd$96@`IvOS(9II1mXwtn<uPklj<)c30 z+OcQOBrWOg)l=IQG4rUCICJcqV#D)CPv~6RDe*jMc6X-Uw4FC*95oQ%9do8=<Ef(> z;<ICX&LmChZjIzQlQgm0QSaN18IO~CyAAcW?U?Z>sk2*AuWZMRhe`2b+A%!^hWg#S zde>47^}2H-eF`=nIJ!lLZAZkkqyNM{N3Y2@+;{Yw*x^k#rXKwwws}*;y`)gF!_jZ@ z47Iv_BhTb*+;#MY*z9PZQ%ObLM|Ih@-?*KW*S%Hu*tQ$DlCs+0$}Ovoc$Zkuci8sK z`NUej-L^gF6RY_y7e~xH{6QwII^u0&Ip5~uh`EPf$e2AhuxvkS$#X6-pRc$$V)o$- z{^a5tZxT1PueH?qW^m*16`5~UGhQdIZQm$2?b(K_heP<iZF$Znu4tcY`R0qk<-=b5 z#>EmZ6BoC4%7s1KaN)26|8JW!pAC%KOXZGL-guTct36fD?3uxt!+QLiZPt7;ICWT! zU$}V2lf*<m=HiGai4)pw<(^eUJWlLs*OgmV5%DOoqg_@mt>VV?!(9BzHfK&JHn*2r z_MA?P<`cHj`Cy>i&L}tS>4yD>|M0!G?s>mq@8JzHWls(E9$q6etvq7t;SYSft$R)- z2J>yUUh~di*WqV;lZ$5DNi1wXDEqB!#_h!1_KmXJ%4Xa$E}Osbch8;lKb36njQ`jy zK5v{i|KM}U>Uj&FOIFTnd@i}|{EFIRbJK5By3I|$P<d=l`iV-nIq3%~kIhcsQRy~2 z{eoTdS>v?%iobhI&v(?ay)nLG^Z2Xey7L*eY_E+k*(86JTy@@~mTgx00=vg&jQ!>( z{_44uKBJQDrLo(5#a}%}=Q(QGUKrcWfB2Kf==_Tsw&%uX^B4Z?Ig{Q~$@a`xZ+_y> zo>S=ocFCV4ljbS@>@hgc@VVzix`W;0kCJ`oGiul#8;i|f_@n1Yx`N%~50Y)?e|+jW zlrCU*__Q(G{EJT|W9DsqD%o_Nqo!?II)k0^Y2$zM9)9oHm;S*v`MqSsyuzoFRp&Q+ z>e-VXQptAD_}x6mr;@?*62JHCO21&6{7y1xp5phO9q9*bAHS8%JD*X_cH8*cyoF{r z-X-j6e#-YP-Qdo_KRnmcH{3q>gJ)a1fo=0sNuKiw+nTTPm8Bb4H(!<PIhRn(HrY60 z&cO#f-_i`so3~2#lo^;cpXF1tzA@`y5__|(&)J0a%{%$7SxLN3$YhI_^(ozO<=_RL zHme&m5Bjki%dROgFm9g6XJ$3yMM5eYw=7S|hVut)*p-bVo+r#{&gENX8SyM3neDL5 zn<9hL2esH2%j6Up7&d$IiKQ7BG`sS#S>AY@(AR9rcg*6(qlB(zRlYWh8xIrOnrkK3 z6c`*jxQEBgB4YZ%9X#7o4Gtb;V!v!8@gO=*Cn0CA#jm={8MXU8R>ZGdmAUW2AH}~@ zn9bO=U#3mJ8hZBelE-pK^rJUP+<IGgw72fy*;{`l<=B4h{q}RBQnUY^Sv|3_`sNlk z#Szy}XeMh|Mpjz7O*S?%(Ldd={=46t{SR(5Ui{l?bwg^#t%Tgd`1C)2e!iae#dqG_ zVDsjUjVF24R70m;-Fo@s`s3?ez1)r*IbqNi$Hcxp<|jAz{5ey0+={(pHF;9Gm8E&6 zr>pbRj)lyU+NawJzD?jxdNl2d{)VRV&^1?n9z1mY`|<2|*ZRd{=S+{9xA#WMn^Py2 z9z7h@-aGGFgn5~htEabr;D03_*0oLH$1eEDEeM^?qoco3B#voc+--fM`qqC-D>bcV zOxa)Z|CVe{o`L!K{T}SmzgHJ+*naTMzb5DB`quSV|9w^4`gMJ<UH!p-t=7NAbLa0@ z`)~E<^+$b!dd;T4oLLV)g-<{D=iecti2DgO&2Rs1HJ6xna2?Mw^BGeQeqvi~BylgH zyg5)Z$LnQg?mO9No2sy@7X&Z=kkC3Mk#^Dl<M|6h#*@3J+tn!jK62^g*`05%WhT2H zdzG3pbDvI4m<YG+>wWhZ8YGl8U*&Z(zi}rah;6d8PM*PzgZJ2qrPt&dY&&?3E%<l# zcm2Eji~j%mEdDOO?%%7=*Wbq1{JZse`n>(0{<J>Vzr8=}|E|x|=k9;?$MyMo%leZ) zwa>*D{HyvrefIuae_Vfy&)%Q*f70LVv+*125B^*FZ2k59G5@pvc7I)OQor`!(qG}P z;xqm^{e68VetG@Of1$so&)o0vU+S;-m-V1PWc_vhdHlTki9c6=)<3u3?Ej@d+Mm}O z)+hce{W<+<{M7ouf2KdD&)Bc>pX;;ujQvXgn?Rv=e81HHq(9jo^^fis`tS7T^#}dK z`}h3`{UQD^KJK5?pVg=1WB%RxH2pz*V}0gN?hosA>i_<I`aS%9d`<n^zpYQ#YuCU0 zoBDM9p8c!-w0^&SH$LRwtWVSL#+TM#{A>Ds`jq`o{&oH4ez$)6{yYD&es{lJzjgnW zZ@2Dxm#@FG_ure=-P7mq{q?4FxA^?M-`*@uUvE?W@aE~V^|$uEdy|^J-m3cI&Fph= zMc=wMi_h76@6FS+^=8!<-yKa`e{*lj_o&U`Z{jvoue|xXRR8+ksP9R4wa><_u3q_W z>MrqFdjq~(ZNC08Zdvuzcd2Rmm-f1S7rMLpOx(ii#&=(LPJbSk^6k}~?&9@l_nLe^ zbtn5w+>Gkjcd0wWpT<q8_I;<Crhjtpp*O5)>rd=e_+EAAbm97AdnLX{-SIA5e`K$~ zcdI+63)bsbi@tN+F@5@8w(qYtiBI3V?TzY=@CR{G-<a-bpN^}q{`vOmcJcdh)zyhN zU!RJrs*b#=oxfhI`r+Hs?c!7SE_;)@J^XH5N%h&cs;T-r_dfd8bo+I#{`S4Mz9rqx z&eh+V`bN*WTyp2>ADemZr2dF>o1c0|ulc;uHy!8mMxS(;&l}}T58T~jeR{*@9;?#} zHuqSbp0K&c;&j929`n-$n|sVoFNkHEoq9&kxlA&9n&57p8>uTIkG(dEnSOB>&$ZMg zk;h&cg-oBgi^t@&L+r7csdMxWmq;!>ZLpc=QfiN$bBSc?G{Idw7gB5V4i`(NOuM*~ z=Ui%zo^!F}%+nH^dCsK9=p8PSoO*ge%&{j%M|7NvBon7Grb$jb-4Ju^v60O5i#vFZ zrRwN87fN=Xc8EFl(1>UH$5hGI(+V+d(^Gl$7N0iSp_6>th-JFq4j$dp3^8ugQvc{4 z&X=q`{UG|-J);dek53tWnU<I;S$TTJCZ0X1cXXRi87<Q}oF`ct#kkL{EVk;!m4dft z0vVfIdp0h9&na1QdPa2Hl++Wt%6XCnrz@h{ZX4a2c5qwI*3=buw^mPo7rV3k?@sRT zdiLdCcfS6nXIK7qr}z2T%6Er~r@xKeT7Gxu>2tBA?-mtbpR@bP9nt4{7Ug>*o7+U9 zb+&ZuOPih?t;1E*{4eRs&eLaObKfo6+wFV(P3)%4$0zK~J{!C7c*S1sZ+fQXd+$B1 z;<=W*LvHcb)ujTTBqko$usJqeueT?xZ{hLw@*ay_dv+!JY_vXh*YM7+i1%K_*I&i1 zDqni9^_kw4-RJI<R!x5yyR>}f&h9VkF79@DuT>oWB6dM}?Y*a!;xl(!y?->P`+Usy z;;ZMLK3jKoXUy}Yx!h&zuI;>W#??A}_Rec(mZq({u`}#>)m-ngbvJkVc|SMW>-~A% zncc_kv{qh!8au5#v@GzRY31}8yH(zE6^qZ<t@OTWPIif&ak=feqm|Pi$8?sPo-=)> zcWk%V`=CAAg?g8ETD^DL^ZJ9{;obYrm|9MM8rxbfc+T~io_;xRS?SL1)3MPE?}heg zf6&t{4%|7nyYF@0I{tO*rf&bT<KV-mdvo7jE1a71bk%O|59@Tw|K5FC9)3Tzru^;Q z)~D;V%U|A2eY$SX?p1eM%dg*!4S6@~Qfhhl-Pn@yvv*aW>h0Y9=v~w9*KhT<@4ode zX?ONpy{*Y_<ea}t>^%OXnCDLN4@<Y6+sPj+kIhSdVd*w6`GIBITf?mW!silY@45n? zOO*5(K9?x!6MQaF(D(6~L|)&;XA)bEClvSGOg<r(eAY0jpRt<9^mv7B+iSz9{)1IL zCdVUe+g=$4^*26~SaIB<xaV^647tT$B+~jEt9mXbcgQV1W9Zb+Sk-erxk9e_jG<Nk z!OEVq$r*CWpCyv}8Y_EFCr8LF{v<Kw_zau28Oa`U%4ZA@$S8l3m~gzprtPtzRR6(> zo}<Yca>^eiI*wb|v^_N3C$sp2M9c9HMLh?TODx%@C+AqUJuqbIU-(p_;rNN7p8d%` zWEa1es5ySanr&KgjAh$B!!<I>?<GR}8q0fjCkI#_o05D(cJVujlH)V1+omL+kX3#s zQE<G%y6v{%t-gb0JzJAkoZV_Y{awt?;=ePw%k}Jwzs`JJre|0DcBc3Fn965|jHkbi z*;;&e=IL`WrOy@_U!Sw{$r;gfJ&WSKGf$t5$$hqHZui-k&BZ6rZ3QK*gy%uV*I&o1 zE8cqUs8#r^ong;|=4zMfnG`QPSDLo&@=l-UT60gIiCI$Idrs9VeCAH4=S*{77weth zdE(4fOY!G1vx_s&O|`r}W2eFMU2{$stvj_-<N2&P-e+Pa6}QghJ`*#s*zw#~i|LPJ zdW#LuZMB&GD5kSm@m#6J^oKF=&$Q-r7wG91^Pan!s;5_+JJY*h-GQB3&ahg9PuuzL z*{9j7^Y!-a{PygS(e<f2zdYMy6n-xz^x2`=uk-Y@ihXCE&Re%@=Zj~vW_zECDJnjC zmeu_F?U=mct!Iy#UB4BRmH&3%(%)h4qU-M+sy%%^y7u0#+V1nw)%Pxa4x6|A!=BXN zVQ-_$?``@VHh24rJ*LlfE%T37a-WONzgP4*Z1(ny`$?a#y@}qGzqV5Qo9>P6SN44U zHSKlu+Wd|CraoJHb$iHtuUhW2(JS)jR=)nCdwILpeWTA}FQXUdckT;)w)Vnyhx@;3 zPJh-l$}in_^yjr_(X;YX_nAJ^J+oc!{-&DMpL9=cSGzCtdD@fc#CuGi!=6M>$hY11 z^hem^=$?GteM^6YJ&Nwgm))28<J$D?T=$h~PM?l$&M&R(J{=u>PpC%wgRX8q<G!g+ z*Y4l`=iaO8?)Pi=Zr`w{^r`ON?Q8Z-{T?=T`-gkGs=H4`2jAONz51Q*uI<n6P5LzL zPIO`Z!M$I<O}ibPo4;}I)^F2p8I-jzwC=f+_(ziMoxvZT#pez3u5*3(dX96mX@E+A zn7+X^5hl-NrGbYY828v7zF^#AbNGNU&+Wu3e2>o=yy01V&fo=4@;QSiJj&+`9`HOq zYjB5W@mYh6_JhyFqmNe{5=l72=e+HRnw?>f>GBzeMUPo!i>{w>RJUy7H3K)po*Rh= z_?*ug#I--P>baJ<flv9YL0J1jtDY-~3nbZI8Jy#JTq3dTu!d~g%)|+N$t4nt4r^?_ zZs63;Xw`FG`F*3{oP;IKVpT5~gk!f{yU~y@5YNVVe`DN&7pIttFHU9Bd1?KiBbaBY z$_zI*4~fq1*m;K)jC;-{7Vsq(OUycaLgv_v#00*_MH15vuaIGTYM|Dxm?kmlFo!XZ z!Ql=WwkHO1?GG(_jwKrKB^OF`9rlo6dt|`Zo@mjde^^6??V-UQp5y|F*mq1ke?D)% z)IL3(UETcp*QZ~<PUqm!JAA{4=U^fWpYv%0hW3f667`2aNW0xP_|dk}oJZ&I4kMnu zi4l@+_Y79?Fy~8@A6{V8qj`9PwA)>S7j1^-Jer3mNW0xJc+mFIjAwh|7T)GuiR{Ax z(cLeyza@Pa-?jh5-=p*6AN)IXUjNshN8iM2>NY+Xud18)T)d(#@pt#F_&Yya=f+?8 z$vQXw%+J<2@kf5L&WYdivvqd-mY=L|^>6%1`X;_<f5hkR8}SGJIi1yytAF^b`&#^l ze@bWd!|E6Q>b?@c;3w-V{d0dFeGy-_U*m7<%=iialD>#9+OP4s`$Bxfzek_N=j~Vc z+zo2#CVdv4wg1GQqch?Y{yq96K5hSsKdevn)#??WiBH<k@tNCTf5#uzC;D>r4}WwY zi#Pa}^ijNPzsDceNBVsAi9fpa_iOxNeW<_ZPtphR*t&yH#iQ#Ke{>&+&-lsuK!4Mp zNAJb!_P_YedSCxrUE@>n@VbTHxwZG7`0YA1{=wSDqhj3)u8M$aa{iXel@`C$QV$q> zySnvI_JQdR;+MEJi*j?apWa)z_v~l3b22v_*6!PXDLBM9#m>~wSXot;lQ;g(+NZyM zHJ#F5c3|Iz=<GMYd8<AzdM948f5z|DDe))%D!mgg*kAFx^|t=4x`W@kx5lqnyLI=p zcTqdD|3-3`>)L03jeK3EYnS~t())Z=<+Vebr@f8ZnteC&^tq_gYl}8to3r)F8qsuJ zi|oCTr_V;^URxB~eKu-y_Q`cy)7IYDns7a6^R?Ge>$115JGv`u*4D7=L9yDUx+d8R z*OjKNy}Z@ux>oGzGf_*jd)KM%3Y)pr={i&F>tfyWTTiUHx>M|V)a>lcbyIg<o3Yj4 z`mUJMMQcxO)wn(@#`{dvr0mv6?lVynvmMuc-7)QPRByK7x~)5=J&NkgR$N!QW7@;0 z_-k4*-37Y(*}Utnrt0ct=SF%LtUa)G%No`lVbiw$yY?x1b-wPty>TpCJr|}v{ad&7 z+qFZRu1($g<=UoAVfUg!;}XBLHYgmOKD~z}HkoxF`<4&ac13reiVD8ADSCCD?yjxR zu1(rB?M_r-_QADZw@tepm7BeB?bdD6Zt0bkFWlXIC-%=x)^~b;cDxXN`KV01rfg%n zcvacNbn%L^#NFMuV(;8+of~`QChOeTGdEl3#2&fHIwy9|&DPnmTW+$x)w}T~sZ4y+ z?ugCZH)0RGb2_URSN?EU_qEs!@08B!g_SSd)qN#)!A;gzdgtCeDiL3{TjOr)%-9L< zl1juE?bg`beId5t-J@dhdAk)hcb|(bc$ZWxKC7j^p)q=gO;A%-Sk=o%O2y*IWsRW5 zP{g}MMdDL-&$!b%Bi7@c(iy!2Z<LC}C+x1c)B0FXs{G)N?xV39@01F~J9b;#X?>`- z@6DnD@s`~mHgzA2ExE}$JvQfN>jOQe@`b754ZBZl>fRsw<L#n+@tWN?ZnI8{jk(!+ zPjAf|rF`+wvc~P*yJG`x9-R_<<L#n6@siy$ZnsW}J@Hm4PrP7v#qHMHdbi3BZtLC} zyW(!rcd=dDPwYK9Kl;JFL+4{_qrU7s`d0VHouu=+U+yTK*ZpwkP?==4?BjKbAv2uY zZT}<+v_~9K_A~5^zH;x;Io&sR7M;_5aVP1V?vp!8=X4+3d309y&YeYPbu;o0J`>x# zeZt<Ov!ajOWBMkRmG`lV`+D@2dre=(GV?Z8abJyIa*ye(Za{wFGqL5{4feXe)ID>j z>5JIn?E-sUXGXW&WBMXCfBT0$tTUra?lpZDo4x(Q999*HlP6DkpE+~Z@4VfGgJLtc zOB8dTiH^B<=#$vg?F;rCeWH8hj?*Wx#5~4lViUJF>^b^aS0?{r1^2ONoqJ9n#X7e; z>^b^SmnZ+@Q?b_V3VT|oNAuiUbXs@Eout#cEct>J+`8Kt_P9=q{&V-xd$HQ>4|X5D zr@P_Kqf@$H@)DnlRc>EV#Jwl_&fTU{y36hydM8%8eZlUdcXXHBae60KxV>Tb(c8Lr z@-CKfZ;M`Y*C|(Q_vQ<8UEk^aJHu2iR(DNGy6Ue{>Vm^ZjvhO15N0g+@6p;5I?R=v zDHqelwr_r5+-<x0f^oOa<^#suw<E8ddvs3c&6!2#bY7fEI;ZpGjM6!s2WK9g)wy$K z(OI30^n+<)n>SCGdvsRhk#kICVp(Y)t+=m8ZaLRfDwdhH(Te+O<dSntXLSP73)94w zZ#J0g`cmi2nWhr4#hV4@y3UMjImc8YHh=SnIjl1yOU^YFi_PAAVUFuFonvR1ip8dH z-Z01Ysg6dvp(VHB<_U9LXGAv4bbX?ukp9tv`*@_uxu!y~?#%&nTp#HOq!(InAC9b< z>H1KIBmH5jSo7u=M%;RvZy0eOjAS|IbXtcYePXIu{pJs|UGMAsNZV-6t+RQD5%=E6 zh?%bUbXJ{V$`>o&yuheibMuDTu6K1_q#2rXYi^z}+x3plgS3xk+}k6!oNdY#%ibJN zee|7nN&Lj$UH0n_)V9tK|5ADMt@e*SN$0h{>`^+e{bA3cZ=ze*@A%ARy?(`KF01u3 zK66>F?=YUSc#c`X?b(tOZaHK#Z(RFCN&4pr55J<DEc=|-7sP#hb|&L_m*K2A+E%uX z{58Gn61Fb0JZ(^PRC(15(L@<VWmRF;^|ulpt}Xc7W!5oof%d;OI%{3Fr`RSvjoY~M zQn73H*XLTNuioR*)y{}N_)K*3`U$m1XN4cx$Mj7!EAHbjuIu4j_BDMK&5YZ4>v{h> zuC3u~R_;>@ZGEP_CM1$o{`d)lB#qFBM=Mv9q&inGH~d<1c80s3(e#Cjmh70nUF%E8 zg`4+Io|^nr-C%WJmsW9Z6wm!l1)(yxR=%A!K}RudS{dss3zZ*h9CQseqmztZZ}q*F zb8VLAjdd2EyDo>%*tclPkzCI6;WH{(UuwI>D|-DtHf55_)6{DkbJiGZpW2he`a;_- z{^3t9qxCOpSf6W~#V`EXbtb&0lJ%LkUVP%uE-&VIW!p6->les$m#(?CF)rTFQtXxX zkv&dDYb@ft;su}S91d@&bbX?&5dZN9*YR+ZeN7)lyVFDKu09p*TJKTA`shhS*s)X6 zt51a&AKN9Jy*^)Of4EH2!@ZTQ55s50x7M-#y81l4DSqL;N2kLxLTtBhvRVC3XZPlN zVIqA~e{&t$SQh{Asc7^17oRG`o<y`I%l5VY;L=-vBgr*w_33bqyrVz64y=C^x6n0@ z^+DL8=!g51PHX?$cj^<@{`E}ZU-mY=7p-1@;8U0O`U};qQ^TL^U35zOLtNo^F0J)5 zK5^|1zp}UKooMm;37@!jh9B9>^iDK?eL=PBZS5Oz8^3XF4SloDsa$mD>K~iA?u7n` zbe$i1XI;~It#50b&TD;I!*pINCp>U>m-Xrmo4c%5FWB5=xq8CpE{oL-o4d?c7i{h_ zTfHEbb#~~PbxviX*<pgaxo(86h&=jQD<=HnF0N~#OCpcH(h3QmxQokVwL|RDnW1ym z9V!uBy4qkf*QL;&bxtLssbPY<xGsd&tUFXJni6(#C)c^qoOMpcqBB=ZZ00%>8nf<D zk?7Rb3u2Bw(K@omsYo<2j4@4g;_8N&qmQ*@!Y}UNIu@$4&Z$tebG1Xv(T7?*;U7~) zTURT@v`!D@S-0r4){Zqvr?ptZ1$S`iu4agFofi6M?V)_p+SL!DkKWVTu;$SztuJAT zsiKvuS8U?i6MAQD(<!ZGYYyd!mabk9ee{mjk~L0wqJ^s)qL1Fzx)XMB8`rkbHEW&T zuDQFp<oqey*XJX5r2n*BeLiw~`bW#`^O05OP8EyI+njTLmF??uk!9yr6^qT?{A`Zu zbD@IBLOsWstk2h&r|+~}?JM>+GUwbZTko>ZYfPsLOct#cdlQ*;uIg;j*{rikw%TV^ zRb&l?Z>OxCx3ntkRb<9FC)?L&BA2JnoEKU(ZKlqpH5WI#s24`g3{}(qqH`fJXK%&E zuYaoqO>3$qoqRQ?WnCN3oY|4HRBvoDQlB`R(fQg8oil4r>zo$Kk_cOoaB=B_(+=I? zV$-fgH0d)RSaWK##`#$`-e)2wrMFsgpNX89?l|vj#k9wfz3GPYwpL7g6xo@sIIpx~ z+QZ2Bb6PgtA9VE7dFNeys-u^lYw7)A&4JBZ=CD?TP22qM+$ZbR?{)TV{&wzA(Y2|Y zznt4t6m~B%^xPrq*Y9++(tRyYzgx3w^NVw{ti4Y~7NsAZ%UXWzc4S`q*11Q^uHA~v zN`Bk7)H>{4ME$WtvZv2S)E?U<+kHNw`q(Aouz4Fl^rTvcy^Sb8w#hhb?#35Ars+DC z$wwu*&qd@PD>4q7y)ol>lJT`S5u1|NN@|zs+}L=f=d0DU*AZ)zH}*|UTXS_|$Z;=O z?z0gqlIKdkF44KX(d)R8vDnLq#mSw0p=oO_Y;-vOOXhU3j!|-H-%-nJ&mv|er}mkq z>73c9cYKq~>LQ&}8`X{r8Bco>k$8;BIP6Kpgk;;krxsz4BYKi``<7aSJ&Nc^mhDTm zxHf$w*KsA8)2AbvlS?JLPe()_6Oz#`(9un1?3<dpX8*=N$6iTy=danjaYIjOs?Oex zYkH=dhfUr1;n*(e?o$!L$2Li?&ePeo@!7FSM$_&@6eb_+{c1Mtc0_LS#@?-F({6?D zj(=G<_4n2J>kIaO`W!lcect{@pRdka|D|T?b8VaWn{}bTug+cnrY7{cwpIMupI+y} z3->jBzB+sTotmr9v~R7yQDgdh>YMQFeO-UKzG<7r@2z|KEA(~vn)szZv%YFyT_3dH z>9gpo@brCFe@}f`eQEuf8rNS}UxY7;pZZhli}r=}cKbj5={gfWH@>iL>(8mr!e_?! z{^a_+`t*98{j2_TeOi5T{gE2gpP@6>AE*)iDf%S5Ki;zL>JQP!;ob3?byI(cJ_>J- zm#q8xboHV29Q!|gy80kIcHg5<SEsFK+0XUI>vVWSeCp3v@3r@@|F!SY@2vOQI`OeT zz20kU$3LwNeX6}@{kwgOK3$!%{>{Eszq{V8-no8Bjq3N%JK+WKduyM53%wnl6Th~0 z>9(uy!gfVJ&HI|JeP`{ToU7@pZ?FB4vo&4YHu~vJuJd8rqOazarfXYAU%lCNF06Rl zq|Kpo);`Gjnx<_Yz4c~SnYLN<**w+VS7)tF+TL{6>ulKi=$(02cZt3Z%iI=q*Q<2( zm9-agT6bNYxz=yH(cM)g+Q!in^GtV5eG!(rjq5H~$?EfKZMG|I4t*XrCptH8>CVt+ zVaeML-Fa1{eR{3d_C<HHinI-*J@Z7<v<;$N^H_IYeH_*oZJKv<$JIw+UD2v}tvjwh z3~P(7y}7DD`_S4wIi@>8r?1_Svo%%w;992bmo|w$2#ehI>-MSq)%(`|*mmnS*XgkE zZI^DJIu%wK9eR^1fA#LQD{@RzwRf+5wQbSutUPVa=##mk+ePn$<wx(#y}C{Gc35`w z%DGA3MRqMeVS99b@Pm1W&TIaf^XQvMjo-%SB2|79pNmxZC02Lc3ch36Iyd-=CF|Va zGnTD$f{$3T&I#UQ**ZITizVw@%^P!)zKLvF9#PzNBly5Pr?Z-I{tv6Vt_5$Hr*u{` z%zt53*OlM}maMNd&&_%CMP%7>4cpe4!4u{seGyr-T%)+_LU6;pN1sLJEmtV+Iu~3p zFX^+$tmP+cj?M^9nD^+D$h74vY*?Ras`)EE6PdJ}qnOKJd4~<_6HPh)hZSANf(_;+ zeH7_h?qS3FNR!V$v7$?VxrPnvL(M&Nl0JyU`W<{K678p0(RCm=!;<xZ=B7E1-iy>N ze__pfU-O$^<5Q7vzlG&o+RIN^yG{*$F!#|rkq|$_ry^y`S6H*&)qLis_*A55d51OY z9nE`w56il?1#g&}^j0Km@eSG5cN#_RAJav4EdF5JWw&^TEbIKhH<GRM0-s2-&I`QJ zx2Q~{+$}L(q}0tZU8LAeF<qq4jWJy$-|b<VNUocsHJ91q8M3W!G_Lh1m5FRv9AMma zJ#a_gqEeAHi#?3Ht_H5?Qz{k7aBH;cGG1&U+xk+&%RMnoWXWO)+13{tr+So1L>4Um zA=CO?<3!J*Vv#wEZ^*Ph(=c*3OcR;0c!x~uQw=S5N6W60fgO^qPc#noFrCp*a%Z&c zIv!}zx2RC0XK{o~>mv;z_eP7Z!+{cgk4|fFxjR~L=`WU$ah)DmAbE6JAV;54fk>1a zqeYj_;vdqj_ceaG9W?LS8<-$@bZX#>-b1G}KDh;^ic~D#A<a59&_}ZMuEr}jNAs?o zfgX~rcQhWkF`9R658TnaC|4wBafEd1E%h?{g}*!R`2YFI@=pCv&7$+_dG-gN3s>7M zd@fvR*Z5p`+x!)OkIePI@zZ6l|An7N=J=oZ=`zRvz|SMI{dfFyneBg}uIa3Ln!V!h zPSg1ve_7tBU#WTYRe0U}jK3_e)i2c~eHC6c-{UXKEdK>{kItz3*(d($yyQRQC(BE9 zH+#ijoksIH{<6GKx3hovlhbJai$5&S)y?b|{_H&C-}95@nYx~R;?K@g{sDDKpM;a_ z6n}Oa%xC!AdBWeJ?$Jl#zWEt{SRSj3*)ROjdBk6#?$HO~w)sCkbsq8;s5^98oz4E@ zQ{fo9jZcM}=5zdMndZ+>r*vBVpWVano%{Sh)F!<bj<73yDqJ;x!>7(Y{vkhE?y0}C zb9^csY?t`GbC>^x+N5{FL3WDYJ9qdWsD1QSIB$N&Z<gEY*X$N<>%8mxB2TGYxZbRB zH>dsV7n?h8`+m52WS;Mfn=bQwAKYwttCnS6m@Zsq7MLzvVrG~wTx2GgE?i*tF-<tn z>|&bmme~oLJ8$})$V)n_mSoPjo6~f5#od<IYEk9~cXgV~j=0<MN-fB|F->^IY>UmE zmwjjCEh-UCGjrV4dC|8cZ_ybwCv(PKo#%Zk@|w=5S(zW)*?HDCBTuPVIN7XmXXk0( zh`dEb!c%6?xYIJj*CS8qjM{-5r6S=8vn%ejJXVu3Ke(gwsINwz(ns|xS2j#(bzlw^ zI{e#!q2OHglx1$6#~jox)+8L}Sg=o7EzirSZNf6Qmq%tzRI_Bs+~CD;p|YY-w2@sv zlEaZfRBuMur>e$l*QPW)_*d1t=tAHm#wE*EFJa0!#kj}KG1xsWV(n^`IU!Chb0?~8 zYIPG>+WR5c$%uuQo8eLQXF1Er5Ti>erY*ch9C9)j9`G_PoVHqI>virW-`r0gx_DuA z_=?W?mwHx<$uMMW@tiMo$wO<}t3z^|79QwaB*bs={`$#9lgb&y6iPp^&1BWunIP35 zETi{HjJNKPPXOnN%RT{_O*7{U8ad_EGW@y_%=GAU<8qcO(~>W`Ni7xU{~*?QwP|M{ z)1&TCrmWkqzcwAz;${6&70PsrxjM9osW|kLR^mr4%gB&lT3MHtUwNdU?3?Qx_g-?z zq#2hy<D4rTz6tWNuJei(*q6D%D>G|~n+{7z`IKj?R8lxpPTV*ov7lCKZN!0Re;4Iy zF`k_J<g4Dz&_f$t!aEO7ie0Lub1#1yXT{#km0oIX6PB&o@{rMW(VQg-UEjOEt!zo= z$XMXT<)^fn`IB|lrsXLq%#$saDmfSYIuU)P#b5I@uZOVRQf~KZF98FY%H{<NoE+bN z;WE7$9F?_c`4a_>i`p!#p)a|(q*MjfKZrSchHm=xTDNM^$}dk8@-I&IQB~0LH=26n zTUGah1%XV`9UNS%f~HPf5#G@``;vIT)7g{0FWmLL>T=xFmYyfNR^1_1vpq5xq$+AF zn$Iar4U5_OfG6nX@yI1Xp_7?g3^kY@wa;7-G<DARY_SzADPk)^6gf}!ujcYO5d9=2 z@a18FJ@W&C4}Y$k{YBGqrpC(7`IlG(?kT9{d8yo+vP|rgm|<WrQ<e0|*Qtw^xjf$M z>T_>O!y1Fa;7>+{!J7g~UWj@XhjLB&wu+^cF@NHusHKa;RaNhsgfHcA2-)N0^Jt2n zL1Qq}!HkFR1DKU~?k!lZyoE7iF^h{OL!9!0ZIP-R?3H^Ra@Vo!aSMF1;Kd`xPgM(# zNIv*#bTPQfaKiSElXHXu?l4sB^?5L*;hoy2s+mVLc^w(p^CwJpRo%Gy%9Sg7;?=vn zc+DQ@CNV8fDVunap`v@n5lzptSGVnc{g>?n8)IejhCPlB@fz`aU0mYVhf7?W@%^{# zFQLlH{r3&@MU$EKm8UX2V}0g!(R5Dm^S<19w%ZHXYI=X|d$OlpO+RnFrR2Kmls9fS zdz-YGuWa)^aQ(&kY#|Td^W8yiI<X?L)<$8u&wsr9RoCv)cx4~Yk}!@XZX8RVv3led zC~VbZURfyVk;^?{)&qyEM&^~7k{-MT3R`uUSN`Q)^2~ff)P0An8s?R6d6%@MDs0te zUip%DNm{DH);*0^R`D!pGoNtlu0vJ`ThMH#C28gpqV76ml{jP-S9?l&_~t2W-O+gE zLBo}XD|gs}vZXzGa}~ClDwuB7*zJC?cT)8f`@n+!N9tbl7vGU<Js<c@#`S#QCmGiB zfjRv_)l;n9Hx^H^a$i_H#nOFZ@e~X9#^NdFv5foN67zQFE#6`2HCu1d`kO+BrmC!K z`J}PMJ+XMo&BZ6yJ$b8<z37M>>o?VI{Xm<pS&R3`9sR1Y);-bIYu4g5a;{%BR%&P3 zaLro0K<?=oucYuz&oq|18`^rk%&c}Rw(gpFQO|v|%-SN8Q;R3`rBzJ1c#+Fp*=FsT z%!SJv=Y6Z3^(1rK;#$kD7lEhtJb9~{w|H;gv#Kc<E?SxQGg(Q^xp=SVS=tse_n$Iv zPi0gsE|fd^StF(IQl-eb#W`}WpEYK>OBP4H4K(O4suVfBI7F`Xlg4Cs!D5k<i(4$Y zW-NA*WBsJj?_Ox*^*B(Xe^Z6X(ZwoqtRFSn-3@I{O<yb`*ZM&tuFt4qik|z!qA9xW zf;L_cytk}TIq!AH<>IGFXQpTDYdPa>6aT4F<iO&TO3#O0k7S;{*Qj%UY0Y&%@LONg zQ;qPxMdc#e?kBCirY?RU`}CbgNT1PDjWYL@(r3SDl(^5d?wYdrgskd2jRN;d>#o~@ zxB3p1P1(A51#fD(#xCcRvZv-Re86|~e84ZBr)3&7ZJW|Hs@f){YgDu)Sx>pO@Q!5H z+=W*px#liBBiS`);Sou$IScnlcFkV6MUv}nzzv?%GL22nk;YSQEIh#HdNv@g{gKs_ zYYR8<sh$l8YhPqF<;ubZl3cF>&hb1g(OBlJDcd!3;RL?a5{*U9n#NNuENtL=TC6e8 zS<!gPxrGIMsl^(zmUGS%tC%(IGDG_$%PEG=2W3t@4bW*1vJ^SB(1*|UOn^$eP?|<U zn~<f5hw1XY^PW{jz0O>-JlFE<yDhse{+nZFo~qIBTqxu9I6$I(lZD99g(`fkg&OV7 zhBBw7FBIWxEzpQ-GqRYX=lsxUimtPujMsyJEj+9R8j)?E%tiJu{KDIsuTkxM&}fRb z^F`^dsSBU*E<F|Sp{>YVL~DAi<f$nOZ}2Y7(<pJCDcv<?;R#;VJdFb9O6jiK0k_%? znN8Wca7C<G#mon((Rz=IZr)4XJbmNNo^R^A?JxfIeCPk~56gG;y1Glx)wkO}{5;v# z{^IA!HueXLC8y25@o&jD_42x;=SGM0r%T3_RY*Qf?VTR_({t|mdo^s&H(Sg<`7`HS zTDjTkyJyObZDv2c$x~vy{q(JzWxH;^N{_I+{k!M==6&b?nEl=y`7UkO?6-Bxwne^5 z&C^@Ghv&<t3#U)TsQsGxJbnKB#yYWIlF!mR=C7?=_T%QG^q%=wf1Wv)UT(Mg@0l`_ zZ5MCZP5$fib@P#nPM+?;vbkT?OX`fCs~6P?Jy$QN`}9mbukO+_^)2>^pC{j(f8t-t zS^uPZrr*M*_LYA-U;9UeANnDDZT^y<Ctvx8)KB^)Y+~>D_vFm^bN(IqqQ2DL@U!rx z`91$!zNn|x3H=hjFm=sZmt58M<v;&E`MhQS{EB}qXH34WnecJun{VoK?H~R*`OM#> zep2S)Z_?TKJ^rvgnryh!-=Mzer|{|dA^%!FsZX{S{49KOe#=kJ8S`EKv3!#0v#*@9 z=Dg(+pJi#AE}isIx1Q@W?QF`H$y+VmAN$MHU-}_@Y`)Gvmyhb5_Ktr}KJ@3Q|MXP7 z)n4&W=k)nJ|CXHg-|;8qv_DI|&<|l<d&WPW)8_yAd*r=(t^LE_C-3=h`17RevBip> z$LqMJ7nVpKe_;OW!MziI+uoU%xgIyP;=5EhLz4ZCMbdFWOTI(W^>UYMbS6*yyz$(X z<010SH9Q3$4J~CRSsdv}-c-c@#LPlI`%a#6o^)B=qVK}m_9uUPPM!bY?~`}xA$3Mi z)ywQx{^q>v|Ex~wsd|xp=WotC{`cx0eVe>({)WFPZ`HG`Z{F>E=T}tzDP4Vs^~cXM zUMCm7vPpZs(Ry;IUz+93rztZg_s;am-=uB*()i}R=_h&At>^F*ZaR`JB9(UY<lB^p zvP0?W)n$v))ho-I($%+Fue^J5?%W$UJ?GB7aP#DxxhHOV&Y64Q=E>P}cii-xJ@>-9 zma~3o<x0CJn_73?<$U9J<;{~)^>x;ncR64CU3!yJs=n&-jkO!Er9G9Zvi6+2v^039 zu!*(f-IFut&Uts_iswteGjCc-)E8R|-u0Y0x8)s6iTZr&k9Rm{&MkS@@_FO6xjFA# ziq&UYOKuiEGdJelks|e})(h{PeByWHjZ2YwVi{AK`b6u-J0~Ce$&_E(A$)AE&O4Vv z^-gQYJ0~Cd@sxi`Rd2Ocywf>-F3-Cqr~P)kNjdGuQZBSZSl62Ij_0(wf8HL+SFg2x zc>Cl%zYTAmobvlpmXxYqX}xlj@SeGM-nN|bTlVHio_gtX2AlS2#VmO<eR4N#-FEWM zC7WYaeVWz_t&czUdse2Ds$OK>*=@sq-|s=$r)|R9=Wco1vi6-!nRVpt&Rf1^`HQM2 z-<kbqC+9ogKX;a#_sz>c#NIgj;&+b;ryXMJHfES!cr3r*iSM^NF6Vte-C;TJo0A_@ zJ=xlPWAS7w^M%EeEzKtuPqr{`ES_v`URXTY%zWWq&e^li+;jP+mYpY5Eqr74ik&B4 z`^My7suI37d&$m|uY5!DCshfXm^<!0Idk@$dq=*gEj2eR7QQsQ=bp<KwbVSJD&Y&W zYwjKStd^2@sZ#jd?3{ZppVelXOBM^CnH_WQ$S1X_<_q_neByiLj>{*t#5|^FY7@;H z_nds}E0cezLipHhoqH}H)jG``_nds_%ai}<samVK;-1dwvw7|<IqkdSPReOtmVBWK zVO?{^J)YBM|G9hQy;`mL!`&zE`EI!L<dpB1yridUmF6ppg!jz8bGPM`@3K2b-l>(E zFWi0dj_;B?F7MO|%^P>0yzP4@?^2oYw%Kd$y5y?uHoiF5^PSJXGc4t5b!nH<)wUZy zG@fj0e9?HajqyQa;oCE>oO^Q4=gpZV=X_qANjc~9<c!KWp9g22ob|bL=82m1Pfjsz z?RCue7e;_51=T)wZ%;G4>}T%#kn{A8*AmgZ$!lZpJQ7`Pk-f(L%tMjIKaQyB6}H>P zB(9&iWhUoapBraV%G5R)M;cGQG4sGVm$N=`>5r@?Uz@q%oXT0Bu=GV%ldsHNFq89@ z&$%;CO4ODaYtHSQIdj6floGW?#+t^HFU)K>_oP^Dp0T3w<a09%&ZQKq%`!eY=j4o; z3Fn>^sZBFpIfwJ9k6OA?n%X2|PGeyM<IXvpPkiLkA6ZO3Hq+o-N}*bpvF9AlM?QS% zNfwj!jWy?RKJ?jhCZ#|vHtkTVT6CI{#pDAsGiGu=@Y!_cNxoX0@ypqq_kF&lHKnSB zr!6uU);2yl+jHv72WOw;sfDB&rK*(~ubj<!*XLQ9QmR^!ap!E#J3jZ)9+^$vHgm(- zlw7qe{hPI&@4SoRKRs97q5tvoBs=|`wVd;(zp3n;H~mQ^=e+4R_AU9QS{|45T(vaL z>A7lgoYHgE!Z@bqs`+t`o~h=>IsFzg)1O(}`NsR&9+hvZ8}tJ|Pr5#R$G#<BRoCcy zex7u7`U<@VjP{M(IS&?#uK9Awv-*GD7u3Zq64OMx*68WzOXwWildwVJa8lyY(g)Th zJ5|1_X2do9nq;hRS=;&2+bcflnd%aK$=c2r-lz7cd{JGX|Ffp^x%Y`ZOFpa4(Z5;K z`OMoW-sqX?4E>!oolm{B;+=j@Iyt?gvh#`ep*<{Typ`gaeoi_*-D2O8kE%WTku{x< zyoKVMeoQ(%U1Hyp)81V1PCtb7^(AXOr%x}aJUMMT$3B%0s!?%FKPKtu|E%u3@BJ(8 z(DzAurzcdNoI3r*-Xo{HKg9(-Rjtt9S<N|hx=&^2UGG<MPTwc(obFNCdB^)v9Mktn z+o$i?yX38Ej(%iy=Pj?Y@I|{P-I@9)lJlL{pEXO)d*y{6N>{B8Ta>O^8P=4px=nj! z?8&)PZ$x^|oq8ei<eaG|B0c9!JrH?v_S7Abp0lT3Sl4paD=l1U_asy8&REVjURTyU zDOFvkof*sd+UwGqlv34I+McnTv!*Us_vDP1UwG24NtdS1h~#|f<rc2AYm$*RXDsIn zFT3zZJB5t2U&e4g_c9A#v~$v#sXdXL&%E@)lXgxzH8o&eN|9<(n9|Nk2HK39C!Lt; zu<l8rYM*vy4CiAnvG7GZCLNiou<l8LYMb`YO_L5y6<BxVv=>|WrBv0JuuZ9|P1>9> zoztc=tW!Dd^)Kwv_DTDueps85uNo0nl&V^#y>Zi|JySy>Iq!MB3v)_U4Gv4%K55s~ z3u{yIRD;5lwolqI^}yOExvF{EnbDlLy{?5VD!cVAbJy~x^S(VdxpVo?oNLdw+`jx{ z&bH?!w#%Pd@}AG!w*2b6vgan&%dcAYp35xun_L_<=kkL&-=3M6FW+j}`_05``Pq4D z)wgC{PV#TI^*x)pe)-OM*Q%smXJ-0E+xmXpa^>=cIc-(9W?uI5H@02-#l(2|#Cc{_ zvtDGT`f=Oxe%W&VvW>rTan$q7Im>hBEvt-rmYM8#*yimglhc>A{1@Bgeljs!?m18F znTf%2*LiG}w;pHqEjOKatm4+A%&z6C^V%wIJ<M!dUTeAbgUO-Gd*+x`L`}cEW6rjx zCI>Gw`Cl%QdXO3E_uKmH`z`w}|M0tQ&3igC-0!mW*;AR7%R?=B-*4G{dBq&FrzX2E zzw%pbo%_y2bNR`+V&zhIGV_=3oO`WI>UL)K@|C^YtY^K;*tz(xByYKi{o=2ZZ_7;V z7QdDBJ)cqOcG!5<+l;M??@FFMmr?4r*!b3*i%)vQ(oHND@0C1zHY3+<v25?zjLnNr z_H9esa^qrxd$94X*BR>;Z|yr~6*cQ(n0v6SZmEgM;)Q)>X<IH|^l{ggJ$oi&$>QEV zHLIwZ7oFUhW#1N?oWFRY=bEL|^NiVxGyA4l-kNdIz<syO*`h6{E^4^XmhnB4F==tD zB=4DwiHjZkzFEwAoYA}3uy32itVbE0ixvCIEM`5-h<DSL=`AqPU(DNgE!9MCajv9q z!IlFTxAd@CL`}Q+&+W7H+I*9J7r(h3Ho7(S;up8gMp5@NLfsBazs)nzTI?%%HgC(W zi!a<}OZ%S6C|Z29m(Bdv?Toy|TYHb0-MW>LHUDkhvfq*K((COG|2=a)z1D8`-=6d7 z)pnOZN6tI{p(gEj<lFReyUm{?=bnF2WA@zGa{keuJm=E$?TSA~&OV=EpZxjeoAgcd z*Z$P`W_;uPm6~tAX1-2eJAY%{v}c>Io)5A2{>yVVeZ~B_Ki_;YzI@)x-uScR%k;(b zJL|%pZN6~c!T$H3GoOu(=9ktT`+4(O`mFh>b!N|u&z#q@-~4CIC*xD+)$D~o&wP@e zXvh3H@=5xH`L=b>endV_@0qV#x9mscqx6pXvUO=cZcabXWv~3_%<1&z`K3R5PNzrP z3IEagV5~czv2NPa&HK;)v3vcy=l$lr=Qq@pJvH8Yeof7^?~zl_f3VyAyXRDTu-)e0 zYu*{}I{(aW@~4@1(hKJwto`<F=I!*{`5SAueVchJZTIY#dDC{^oPV~!{PX6>`DgRY zA8)=n@9dYHY3ar`vv1~w?Y=qp?3<jhbYrX8XK(tPODi;M-h6ZR**iJc(u{ANy^&+K zd*++8Y_smWJY~kFv-jpb+ZFjbZO!bZH*-pjubvGucit@dDlOg2`tF&M&6m!e$#L6t z^F`XC*;8-olo($)YiIuXPS2UNxw8xNw(XqxEN$lO-kUtdn@^wBF<*VBr)cxZvqy5& zc1F%Pdmu+_r{t5g{@Ip!*LFxgPV1hnnKx~R<fF9q*^+tRQa2wu%VGX`)6EBIv1X4q z-JEuo#hm+&&*`*=*{L_*<Qwll`^)U{?VNmLo!PNBee#X9XFtsiOEune_MO?{O*f~U zePg!zc2C~sooAQisBMqDlU6W$Z|<{gk+;)wX0Od%R(A7U>aOWe<GwvNx^wzZ%(ds6 zZlC@Uv+cQ&?ewQRdCsS9n|?K}?75Nk^s762&ZQRXO)id{bNWHdw`WG?)3@&I`DSD` z{cN0C_03tQlk}VS`kYN&KYeH1wJOQishN7wdwsrcx^ntLOk35>nWz2qjrXqkVq`ph zVw_pk%onMtdfa<?zHB;w+D2cwIP!Vwoawo7%PJ$Er6%hg-t*>@(dpA#`iuAEd@?ee z?inZc%*bH6YaCnU&Bv*I(@o=!Ror}(+BID@uC3zc!_>CvwL8~*FgkR4PmEbb<n+@! zVzxasI(V8%|8kM!gVad9-@DJe-?Z=a553#Fc}}N>>s{V`=2U9s^w6C=?>Fr}y&}f! zsnPD!uk;r0&Ut5~IsIg;Sh?h#)col?W3QD--cHS)zH)BLca>f0Cv8v8pZs9nk@KFv z<~;ePQscMjxk{Daq~|IXeo57nZcV;p**SOe6-&;!lh0Uo&Y66~l5@`FJ(iubCvUOj zeCv5*PRcixP3n=wlWt5tFwf<zXPp0|s!7)-Z<wcY)-%k1QPrd?lNVTWzVbXb=gAk9 zW$K!?oiis-n3wWJWs$mO@uUlr8|FRvtTInsv3SzC$p!OLKC8@9KWTGv#^i)~Pd=$k zQ(tMr`P5U*U+J03Bz4YWAp`YJ8_p-5a{iAhCLNn>FfZk!N|(B)4d)|IKL4bON&4!V zHk=PV_smK8pc3nM=&4GypHju71Cui>IUjg#n)BqnN}c*kYtH+g-~5`Ms)YM3Di_jL zKWXhbb@GF`Pu{77_!&J_DN|o*&3V`JnV-^Al_K>{YtB2K_xv7}P1-hj!`zg&Dp|@m zWjo(_6uEy&SJ|Qb(Rh-b@=jUK`4itrcFvplM3Qsf#2bA}%2dkTlG0U5-JH@@irtjb zRSMmh(pB=^9;K<|x;a@3nJLed?R?{Ltw*IyWrK2{@uce$cl0eORav9#X*}ub#1(xi zr79V2O;(ePl`UmEUwU}CC#9(@QI?eLeBp7bN2Nq%f$~q8&gUK{dX^Nc%u&86)A`K9 z$lWMSWrp%jna-ykTJBDklTJ?TknDWoaj1vojE9mtljWr26D|6d6sq(nN6K_Q@(^-w zvY2#uqD0@5(;i&zP8LG?%91jk(<c^4o}4z3qfezkCCZJ-Vv>&XPwCG49>3fUnNQj~ zF+uX=)QK;8kDT)O<Q9~wQlY$4nse$zAIZ+U9<SV-%qQ)f=pos8$K#P3lli3W6L<73 z$yLcwj+E}a<z8mL==a1s{eOOPymS9kv*f&cp8cWc%GGv@o-0?{H9c3}CcpCUiMjnZ zetOL9zwq<Koc<F(J?8Wu_<3S>|Bjy?v->a9wVZWNvsd~((Nw<kFUK4AD>YBPDzB5z z{LAs${ZdWJSLIdmo_{%J^)IM<a>m`yKIzxQOZ_u`a=dhRvsd~x(MX>2FUJdaJNrjJ z1&!oi{^5A;Zf3ve=fpGpJwG{~x$D^{{hWBJKcFt<lX8-s($9$o@{FG+p6GX|d-74a zPd@Vx$76Rf`$az{9_d%8d-6fKP5$SniHG_H>W-XtXS2WbR5`|O(^KUpdCor_)A||e zR8G79vwQS?;=cY5wJGnFBkYQvDp$#G{4{Y-f5=acd+zV-oSrHN+a-OUxU2s{ZOS|4 zAUmb+6L<6<sD1KQIZr<GH^*)FYj%saO}yLtB2T4Ux!$a4x1hcB%gqyS_kOr}VqWiy zn;!FeAKdJC>y~9+l&)N67L=}BVrG=CTx2Gcu3TXDDNQ-g>{6QY7U{&z6L0pO$V)lv zmSoPfThLUx@@~g#w<z;NyC#}QN8ast<rZY#l%~8w+H&*6%e^!5mXs)`nK|v6c(J!5 zZ^;=qCv&D<6VLZn<h7h}vob%lbK=?Fj69WM<z%y_ofA*@M&vCiQl26`^G?T%UXMJL zGj0cRREm@*NLSwJc<d%+erU(UqrDn=Duv1&(w28R9=h$zSyG_fBK>jG#Dl#hH#w&F z=G^Rf;KpRWC{?*Z`sAjG`+I-nF3DG}k-mAGV_I*_&5nC+YjRZbl|#*%wolyM8*uZ) zl-?V;OY)RUq-Wmln9_S9S0zumK)UjF$8EP;W{0*-+}gV$Hs!n0F7cClPt5Or5O?If z>#vw6-;`?fHa%CW(wp>LsX{NQdg86_J3Bk(c3;`aF}M57&W<_VM|N_|>E5%mV|MqJ zog8mnZ^Wd0Q`#gRSv>JZ_klQ<v#xRakE$kK>)sHja@I9We^J%ME8Pora=daq7xUzc z(lT+)y&W^VC&Z<EQCcLfSv>JVcSGEh&r0*e6^kdH>n?~(`K&Zc{N$b!GrAMvo_tc8 zCcbhH$5U4|eWhnglf*fT1r5YI_i#LMmD7JzG4WWpL0rm5r7m&LJsgi*`Sg=2ChCi8 z?%{aox+f;(gHo*Cp{GjGdP)@&4|Hej<apq^Ddx$0r8@DKyE*Q=e$#7usuZrbs9aE6 z{N!$rsof7^pS)8F(KC9gR3^T1H^*JqXL?Fcm5RhWcXQlvy{Gr6Y~r@=4Y4V2m9p43 zR5R>ipHR(E&&Tkc;g5{NeC8dt40h}ro-=%rahS(^pqODBdq6cqIp2YEj8`lftk?~n zGdz)Tn8Vyq%#hD_;4I@7ONLv_3B?SX*%hi8viTIgF(mLioMqf2*YKKgiyXsi#wC^w zSD6EB8?G=r6f>+~FL=h_$A91pgBSmSGmI1D4!mIOkaL*H%wfxLf%%6G!+B<fVurcw z2Pzq6voEM*n8|MNjKP5a!6ybC{so^HH24!fF&vR;c*59X$zZ@PP{}ZX{X+#qANz$0 zh933}6%1YM6Dk-w*b6Ec+SorlW#Hm(_`tA7=D-8S5=#a>_6<)NSojq_Fl>=wc)*xq z*|4AagLT6`<_FdddzlXuF@*Cuyk}S=<8Y5L#FAkT^A2kUEp~&a3~%@rykmI5m++2Z ziA=*C#v`%}cNq7`Hr!_1BFk`_agA)lEtfL&Mb;DVbpDyi@y_Ls&yw>ldFqGKm8#Vi zr7KmcHKi+U6J9y@#N5ssGd<>ZUYL1ePUnf49&<Vm%ser>bH_}N*_{{sTF$zpsViAe zG!^cg%kjqLiqDf$rFFuYb2(nST=GdNRazzNIhSKr=K{YcXI%W$ldL9Q>YOo?<E4w6 zx{}pIBVo?D94}n#)E`+28VSFg!|~k3Ons5%#50{eGdZ5Q=&2`JPCV5a;FnUQl%%F) zInh9v(RkvCP6xjyg-U(GnR7TEyNIbTvY2?JQ^D^^fl{0BPos&4ItBcWoOWSTzm%#J zqqZqksY#e~PRF!P20xY4F8|aXnNQr;`N20OUnxSZC{?LSc%#w8J)I#lIqtc<Q*%mH z3RX)ppSY{@f^SNmQjnUG`NSQa2YjF8D&+}h&gQu7a!qZ~w+VOKU)ZU9SFD$7`YmA3 z|MK&M+wC7JPt0q7QRy+S{Xu2NTjwnKqUVZbazW1(OXQ56D;CKKJy$G{`}9mPPwvt) z#V!1apC{aGKVg@0);UR@>9>F>e`RgQYv(BWL%$}N@JH5mymAhbZ+fP<g5UD<gv;$S z?3R2{Op|l^HQ{1=huxAh&Q9`7zb2e-udr)5<7_2==;ws9?HP6|pB0nknto0=-5z1L z<dfnQ{+Tr$Gul1uRL(dbuu=J>IDx;irsJ`*l>DI|6OOiP*r|L}?BKVo>3Hb8&t}O7 z#TNdLpC%k^FRA31-kww0@xYl$e$i9K2L6+uChTwjVY}qLVh#VzYL02`F_j(noY&Z> zyjKjBYx+K6cY8qPi7D+jY?r)KEa9J7-7%&8gslqb!okYwj@!<+<PLqCu(f@KZc4f0 zF7A`DC+4?4&^vP8>6gxvGQ}FPP3ekNVw2JpE5wp^Pq@{3C$eL1>y=23xvgg+JLa?= ziR75mx+k(@cI%c%j<-%XbW+L`H*rU9o^Ye}fS$`)r#SIPyCz&~-Jqv()+tPU(XI(s zS{FoeymC6H^Q1&^8MkI^$IR9VdMPD}i$Ke+FSIu3Jt<b4$E~<|!nxK0y_90bS==XM zPRwXc(0fv(IE{N{498O^HF2dh#Yx<pn*|KGJ7YMWILV1W+A-l+tASohp<)-eXAH+9 zCqD6{9TW7qHDfp)I_=R(DNu|RJCv#zEvB?%!hzO|NR9_in{=M!E7ozpjOMuS^i8ZO zRWV#_(RKlC?vv3TQ(GVCKFL!I5i?3vEaP4o&2iW1nV3?lVi9*|G{+sMdt#5aP1x4D zK{q8=F^m1CZO1#uBK}X$6?U+HES_M;zSEXte)Aj4j(N>bEIH;i-;i7KO`)7G>A6BF zpVM=NVm_tk3Wa=3&lU3d9z9dY<#VbQFk_!-+wsQnnvBXfg$?Y1#S^YK?~q&aRbdUg zXYquq%`4<ozA9w!HC0V8X1BEMc<JcHpY%*&3A?0i#|y_(GAdsb7O?-c>3HsVLT1Tl zg*oguZ91Mg8u1%FQ<%ZN)28F8qZYqY<%E;X9hMzW91qE`oN-j*XR4fVyxBr-$w!4A z_DGwKM~*`LO%)RkH%rJpIqk^B?^Ge6&n{`>F}=CK^2D@e4mp(%3Q>Ga6%%yWe_D6k zcl^b7sC>fS<^;<VQ=4DN9y#Usi7)7>LIwLyYmTYSK9(JK9bfS|l~35&>|xn)$MF## zQ~8AL%{ye5yj93ykF@T%<xs}H$a=z^#y^rA?;QT{EIIFx$9^bXp_*+`x<VyeQ@X-7 z=9RK1<~H7t^qAXtLGr|$#uJhra~cmwo|xUZL(*e*;|0E!vkqzOO4buhnLA}U-Z)(0 zc~YvdjyY47<F&&jo|ICBRm`5U9J3l1@I5)>;K!b1HQ`d@3`vfc4sPs9RuhbvIb}Is zIM}g2vJ^04eksH8+`)`}k>!LljXjba&m8pFlPo8kY7F2@DN;ycQ?i_3z|3eo;Y6bY z-;+XxKITjrj>isS?29ZW9BEYGds3j##{AQ0!l6b1z9Xj{*w`<nD#WmDN>ylL=9KA} z*2uu8a@ye^+avP{`x-y+rsOL`uoa~$R55Qfny{xaM3Up4!#g&oRE1!+B=ZTo8ZYps z<S7KPDVa~$(RhIONv=X3bEY)MZHH@Yi@x>Wt$*=P`MZ4mzsBGE_Wxge?!R6C;pg#r z^)G(9&#Qm%v;D1o*8jri@@4-5pUap0Gkh*z^iS})e8Inu&*by|U3@0L<$uEG{+snD z{w1HaPx{aJo8R<*#ozYV_EG;2{^~dRAMv;Sm3`3v#%J;?{#$(Rzg$1#-{LRwY5yF5 z^<S*-__z3sz0-fjU;XFnEB-Z~vA6nv@Mr(o`iy_dpXHPPHU8{BT_5pp@hABy|7ZMZ zpHc7ePx*}ffj`Qh<R|>E_|yK_Uh4nBAN@z`HU24ol<)X&@u&Tv{k}hoKghTI|M02* zV13C?_UZLGKiePJGyPxqRKDT=iBJ9e>wo-R{9eB1|Bc`5)9Pb>w%@Z~^GEr;eCWT% z@BO>$1AZQ#Qh(#`;&<{T|7ZMepHhF~ukt(jg8vo2+i%<7`gibK|JM2yZ<EXAcl|zb z_xSwU2k#D_xBK<xahZJ0w~guYRo^D2%U66$+}(ex_Rh`rxwThrvd^tObF+O;?U9@8 zb87e8Y@c1b<tF=EyBlwk%j7rxj@aCPqxQf%=d*Tk-yiPkzgD~9o$^_`u<r|Z^<Sx7 zaFhL&-MKf9OXQdR*0|d~vv$I}<P!Nszcn`ZU#M+(_qbSo-fxA?{pV^6-X#~y&-#7h z&hZ(w3GW^k$xr*e;tu;$JGJkMY4VePb8O}}_}y`b{fV92_lG<BkJTEyOD>e}`t5Ot z{gEBt_rx9j`oA^qus^ih^Cr1KKK9$eRQc#{ilC-`#!dDIcAMTj&X=$I{o*$JeY<bp z8dK%Nzb)L(ul@VPZTG3Q58gh`lMnf3m?~fPd&O<`yLQjMDW=L7{qDHUe#h?Kw};#M zx7BWVo1813_5H@)_II{L?>|15+wuKFalhU79edg5SHIcWKCk-8PWE}#H|{O|CRhG0 z@wr^-JICj8#qSiK%N4$3d@h&&?%^}J+;@)E{AS;0>}`Kzd+m<$H@OYp1B&~vSMRvD z_^aHS?;gedSF2atQ~oNK@vgC|-}t-5-u9QaUhfm1$u0RVvA6w&?WsG;U*r~i|FNh2 zx$TKNi$BZF`F>+h`!idk_lD2pW_;hVr~Rp|)_cdw{*%=mJKLYw9=gMP##ZS)V`cyG zYKwb|Kg#udkJ!`x$X4imV@3brYKeP~Pup_6cdX#o|1Pn|eR_4l&g0XnIqoTckc)c9 zSkbTZ{m1V1`?kN{9W3wPTb;1;_|)nbcMqSk{q!#Isa(bP9lP15R{QL1zia#Ionv|b z&T5aH?RRV+y<;rz-(J1r?&7y{Io~68x8JfUd%n=R|4!wfne6Xu{+wBS-X`z)!F0Lm zXA9HiDxWo`%WeC-V(#&|l{aR(&#k;L^Z1;~6EofCR34ale0JrIneMYIFPv*WYm@d| z(YoLCbH`lvH#S$!JT8@6_c>!O`)iv^XOc_hR(<xE%RZ}e!MVq0Z2X=lTJ>M5oH3LA zrH$KjMXP?J&m42vU)b0^e`v{X^!ddc_UATc&lg(upQ-Gb$^Ohn?|GtS|EbD=bIC<= zNzW85`wc!b826v3bU638P_FND#vJy?He$~gTJ#^OR5<szK(6ic52OA=l>+AupSEFp zelb-p=Gn$nxu(w?bK0j>GMrOBZS(KhL-YQ9l^@O~=gUPrD@>KE`n<uYe@|t|O!j*= z@18lP$^}15H1FS4dEsnwo?Or~Mf3h0l?To~&XvpioH3jIw#~I?3%~W<Eq}33`MYfW zy~f{s_U~VO?z>(7q4M~=@)wov^U5Dow!gK`x?lKQw(MTubJ>!6hR<b-?g>7ZEx7mb znQY#@i_c`YyifStceDJ&zT~skN%t9l^O?S{sBM339d-ZUuRfFa5w-2Ftb^`1K9gPX z-r{rL<?<Q(7JrdVyXW|;?_zn!zQt#(o$fRK>N{Uvv9I}zwblKDKl{#>XY5n{ESr3< z@n_%Z@`!zlKgmvcKcl97M!Cm6<uld?_9%ano$$V*rv0(C)cu1$`i_=s>{I?I+wtC_ zrv0JyzCDXS$hN%y@Tu=$c}XSv^zxj__6OEX_ZL2uZFqm;Q{VpbAA1+Sm#uk!qndqM zc}!*dJ?k}ll;6vS-fR5cx4S%`^7xeU8+#YOlP!5aqq==c`H8*C?_>+!S5&v(w!U@m z;J3c5<tx@Em&@*YeIoYw{L%;O4xhLBwdQe|Y|XWe>9SSVCZ@|)Tua>DcdPVHWc%FG zE0OGTOV32M&nZ0;$v&raPh|V-(k+qfZ>?^uNiLJ!^g3d5-;L4(>zvP8#a(~6tM6Lr zhIPtkt-`J^+|_rbbU`HhE30#B9+${2d#w@MKC^Vfy5thsMXxnB_gyG$SogSCcHV1+ z&3)%e3)UqU%g%azBIfvv(u8%7i)5$0UJ=9o)JpBTVw&uv*BqPq3|@D{us^YqyZ&%T z-?37Ib;*UYU9UZ2*dJN(T~FN6r~g_bhW(+{o;Aq@va#0=rpiWNQ{2&apfn?r{ejh{ zHIMUU>t4TzX1{Os?OJ21Z1}Z>+xfI#pNMv!TKZt^<2>1rYlf+^Wv^F6v){FPc1<x= zw&-<7H2WQ^d)FRr>)TekVQq4*Y}WG|w(ajMi_U+1F0<qLhvGiF=R0iK=NG@RY@b*B z#FBkp@r`+lzsZ!JOMEU<dd~5=Oz}Cz=Q4%o7@y1JpL_UBCik3UHJ{n@8Mf_jEU(Q` z{wA~Gc|dXB_2M1#7Jrpl^W3Aj?`rXidCFg9GR`$t^%+06ux)>7>2*Hwnaq;s61MFx zEKki*{vxyB`45}+=awhtEdDGr=lKnr_Ggwx=MA69%y_=Trv0g<)_KRuzLUirmhDe0 z56xjdW2tnWv9j-YvBkW_A7y%;N7%GKvJ^VsSkZU5SYqDe)0SN49V__spG(-dPcJU8 zJU*?MW1jK{nW%G&6@5C-e^|HQxBPYPV0qu(;snd%Q;T2BJ$%aY)49N>G8NBvShG(p z_OWcgYx(M&V|m}sVh_vqJC={mF_!mjFWxbC@mrak=MmQJw^DXbe(5*O`sVzT1?r!T zBj=yYQ-5rHbKc1>KGV{TY$o6I3$wmC_v9O&uyiA<$!BN!oJ%QGYc{?)`{W&;YiUNe zPTue_v!3}TC0ng~E>D?}>EykB&#WR}r>vR0bY@Pe(bbbd>dwZJuTs+0tmmF7*>vgT z86P*Rn=eupO`bYar^M*ONjvq=b9&CC%$;25x6N|qvy_>WduQ?#Z#sQaM}762o}x`B zPag46vy7Z^@_>(+rR0;8{>hep*DNF-r*u!&^qXcO`6#7*vZUX))J=y@a;Secy7?d_ zR_(FT&1olD)Vb&QoK9(&oI3MOzR~`ZztkSj&dE2@nH)RQC*MeW@>AciRHHp7->EG& zx;f?K8@1K5d){@2ta!3CI#4NC@T_7V(+P%D0V6J6QBLN^f(!-<0z55;pS(=YzQ!!V zV0zADQqSRoDr`+6Zjx<0M#mT!7#JED83Y&>9BB{`c`svqt<mH!7w-+D7ish6Y}{P7 z{#Rh)9OaKs8THR4R_G~y%sE-w_E1QAZ(NXwj;F&z$GtT#<DyQR*}phAabLm28GfRP ziZYv``?uY+5NMe#T=f3a>KAf_vT0#yZ&c-;t+9XePQk|I;6jg`ESF^d8ti%^D3^WS z>4?FK!&??kTkYn_yVSez(}tzqAD<Xzwt1~Q@-4Px<I(<um%7s$y}w*c-M>5a7w;$S z`^_Ai((YTI`W7}>S?^Ez?6=9ukM(QJZU^StOWyooI(g~wJeQlzC%0~CIb`Cr=S$jw zvmYf^mFb@nbn#cLi{o3z$R?m^A-P{o^t8M>2lK=S25D~@_H1CZaohXLCVL&*-4er= z42PEMZQL%cQyi<rq&7ErOzNGkAKKMa;b$Nbw8f8sV{5LM(36?|zG5%UURfD@o|Pt9 z*0CaxFYHkKvzp6ZQ+>jIKW#F1QoUh*c=rVMBUepQ67HQ57W?hEK3nmyM#zm_57bXR zHqmlkd1OC}af;585}_giIqA7I1zEE<GcU<xS+<lZL!<S}<zw6)PCN4F#-u%AyvE_3 z>>tF-)w8bs_w*mtZzcSk<nBpMx~|T>@vPgAMF;0FakxiZ?{SN0ixOfx*U)Pq=3RU* zy6u;mWXxh;f&I7BZk1aYKcB|-(%^B-li!X-?`wLQ>|}o}xx(3-dqeM3V{bvtA+t-( z+iId`i#<M4Cdo0af9u8I1x7~&ohr+NK13f?pK9cHG*Z1<p~qmNSu)e5mlx9xnR6}f zwcdO2w3q6F7USir7xvHZHQ-n7?UIN*l)g!w@x%PhCk2k)=|489$n3er#uTsnPoIma zF0@pa<m$VXdm?Z8=C6+eLIjRXtLojdoFm=XQ2OM-oi`=+z2h^ReTY-%W2#wr%;LVA zJ1XN&2Tk9w^zTkpfk?CcsgHk7?G?_oDwuS@u}rn2OJRFjw)SonflZb7q@J%j>Joln zH=Blnm06(5jaMJ}iXU`nolrhj7QC_0<<HyPlQZPD-~V>?-Il5Q{{+N@+|ZFT7UqvW znCx-#r>M)5r7~xj-HhMY^GB;T*8G`qSk1otP~@&qUW08LI3?;W?B8CCTRQ*D^X_|T zvwrVmnayxz^VF5OjL{Ly7aAKMuU`G%%zx*PMZ&*lnHcEbUT|#7!<^$>pKde9*S!8U z>#LD{(r#<Uc<mK(imz8anlj_i|A@-975#nN(>@-Q7p$1nbM<(f_7sjuUsej#ZFx5R zZBw6s-qE<(|FZYj-<-a-_7CHRr<rGGJQj`4(6tLF5-D!(dA_LpT8Z<M7MVvY&11!U zwWe+Qbi6h7V_k2pcHwT7Cl8%2J)WTwxoC$hQ{DrqHF^iOW_<1YB>3BC$M@LzAI=_p z${cjwJ;$n_cS=ZGYI^8H!F0*!FIPW32s&ZUz*6II^XR<&J$u%6@~gd6U)dH=Bh>w7 zlIqmuCf8D5S`=))^q=d*U;abWBug7I4{3@|tNs=1^eJf0yd^64+Z=tj)Tyuf6r~tt z-sRW5LS5p;v^pnQpQ89jWuBWCW-MH8)aRG#di{$>ZDqmqrL0j;Sk_P3bY&rL>ub#^ z2fn9y+NZ=v-*w?&+3La6eRt-%qwl5_Y_zsB?|!cPO|(dO`LybpVt<~jRAugWKNHN+ z7j$EZyyt4isnZ>9t_t(4OIcE!8lX^-c9(UHaDvN;oZv*k=53-a;<;u;|0W0R-xL}A zU;XLipeG+wmra}c`J~H*EBcus4;Cyvd$q#wbHUNHJ69wppAcGCwJC{PS^P@NJ>Si& z$pY(EcvT8{PGP>J>hbtPwpKvr)t5$3%cgQT{mbg95^b40>+y_77b>(3l%Ir$8pwS< z`$4$5_@Kvv)FZ2;biD($)Dka>EGpx6cl+4H`!q^v!RxQ5U*3DXCMK-JGij0hDt@m+ z0YZmPM6b*h`>N(}Wm$pq&ht0ICZ%u9X|)jfyF%?%cSyQ(oyrtWrO587i+fX<+IBkG zPu-=ycgZ~E1`UBJni-A#TSb3PSIJnhs_5X=?C-~YLUfptcKBB>KlU=aC-9}Sq&|=L zeqjr{?)W#e?geaPs(c#uZqKE|MqyW0tO%~ZUTX5(At<`GyuTsjklg=>I~I1Ok3~bb z6=~S?C731%e+*dg>D1S=?>23ls?8*qaWY)+nF{OU-bR;c)}}uW_<Svw{gNLM%J;3q z=i{P#H>~P7)z&NTUZM2rug4_jY&Nd`bGDoG8=e{@MI3VO?G5lScp_nScEuydI$77B zuLVrjnR8s5c&X{M4tvH&4eo{V6Campw7>Zn@bE@p-%F-7eHxZiTpk8iNI&7wO}V!5 zY=+1O>2@oHcgFWBsx8-uc-W~uX<eKA!6C=u(uEA390}`F=S~{(m+pA9aVe9p<)-b& zbnkx%=X2k-Fv~cTE4yn(<xZ94jftnk@~(c&KRHkF&HRdnv&KR173{e~O}A|2iJrLY z=R&3F6FoJz8nw=i3zCisHTrnPVj8#Cy(zptKPBvBSAM$mFor>Kg4KhVPk#)Xq8vC5 z>~9M_?{iM8OlEt-`-!}B)?bZ(c`#|Cc-ZNr@<V+qBc9GWAr-Q5{RyErmO6iD)s?Nj z&YJnYB(Zy=ZQ{~ZiH!x!|2z|g@60)+EOUHyS6b8V`6bH?z0NhePqru#F$-z=6s~dc zRr4B;sI1f1c24l+*)Z?dy4xLPHJ|pB{k9Fg{_4}_+KqnGnzrrS_u+dAXW<m<m3t@t z>XzPr?se{qH`*5hZ}jAwu3oq~D(C4c>7%;aHd?QXE&iCjKC(2@V0+MWS#g#V)51*C zrc6Bh<^E02v(Ym*cfDDdT6ti_)HMedU;h!H=o33}%|)Ku=e|1sSS{hkJ+b}xw42Md zx92iXFsZt=SF+y5O66ophh3X-`)P5_koijbjUn!hxs&T&Jo6Rr;XGhhwB(b7?$nLj ze}&Kb9iv*@RMjG2^Ekz9k&WF?+qu4T%0lfwET2`aeQta4_iC5^>5Vr8_-7_)PWoEN z6uU$@(f36|ki!%y?bcwSVyPVAi7nOnE6%O*ePC<0Vcs|O{n`t6{JbrA<YTp@*LkyF z6J{zbxiC}3TtY;4d*jFILW%2aiC5kC6=W}Z-%!GkE3?r3tr3^YVSR%~rSnee_*`q~ zFVA3edVDcXM|nfnVePy<djukVp7&|_ZFH}h{Hx;+U&rDCj^!OfX0HGFZteKo=eawk zS#CyO0sD@pY~>7`ujjh@oQnC}>0y_4B+$%wF~cm@W$$-qotbW{zI49bBhzAS?`s?9 z?TKG160j&~LPVwW{Bs(=G;W?2F56ap#?tA2@`PC}A@`3e?vbjUF8+M?l(RqA^<Mbt zxBAp|#>_`I<F6JOdwfXx^EUSL|J~n?Yprj#k?W}cu;I@dH(kEZ?`pQBUg<KPx2rXx zWv=xFh8xPE-$ET0{nfEn-E-<=#o61RCr;@%*t1bS<mH~I?$-syQD@KE^w=+2{%BS~ zW$%X%y)FvvQfD^BOnI|J_*-hQZOW{NuL=$&?35_IdZz78sTq6urd;OhuXm(ISFg~l zzZ#YMw$f`sTGIi?oQE-THR(0#LeYtd=YIL59*n%DxgyeGw%5F+b1%&_Wjg4%)%Vui z%QsWD&aK~ia$BtGOFyPc$)Zm?rG>J(*E&9_``)~Pb@OCDzsVQo#G3m?YQE9fGyB1x z&+~i!-p)DFc+y=hs8#x<e)SQRXc^XpzM=(zc2j-QE=_njQ|X>}l!V64pr8-aG|%OC zZuQdKw1`7AHi=9A(xlysa)qYfsV?pB()ZL+`oF`Q-_%oow#d1T#mw23=MU6AJG|o1 znXP&@3nVm@r^h_@jW4*D@ojhM(cPufc9%|md(HICHOD)<O8M^ZDb0DDcel6f^_8;M zi+ZZxUaMWVR+#bjuCJ`Ot=?wmJ@t)i`>XZliCOshj~a&`PuOzuqeyp$ioimfrP0kx zCmWqVB;V<{>2S)znLiawIZHRKztWqe#`d4%>XLQSW<JVI3DF7qW6#vh^1_L6#$SP( z0f%>A=Mr-)PS4`HdYmQV$?DD&33o@0*PlPT9<ko(u4r*7Fz8{j`$EAZEm1dCZZOxK zFHy#}$#w07VBZy+R!TV42K1FW+-c=p@XbfY{K>3l=kSv|7(eaEO^{gFrLJh3Vz_|i zoWj0FmQFi~1=T0Q*%IFfoMC?|r16QvPAOu_${oiXeI$gw?*4OI$-H=-*TnW6-M<yn z6z(;0PMGBwYWz<qz&$>0@@zgM>q$W?c;{GhZJLnqbV8i^Qud4sOyP3LzRhbc2%HcL ze)x4~efRuD(Ki$eZr&1><9Nz*eU^dT*57A@CQFn(GSfTXcVmazrlLCvcaQxQKTy~% zc&~T$|6{5}4=wV%*Gq;+&FYcX2<hFe-sipG%(1t7SseRb_n8;fp6rb&Vp9(HQ!DcS zExc1G^U1$y6TNjzii-7%(uH<j5Zvj?x6_MBdZ$Ii6SK&>Cwx<%%)6yu)XJ%B&U53$ z2JSQ7m$tcY3iQtQ+asg<C2QU7vrEFKNZHQFopQdiW5$dhNA*3cZF>sq7XAG3G~;&k z&4}46?<tjiEofxmYwCX+FE)A0Rq2R)|IYPEec!4CMXk>|@GESqE#KZ-aL{e-M&t5r zf<KxzEcqM9xRkGKOF*mW&5VrAv)1a}Y?V_w?l*^N+6IQVVe5CVc2252{c_4`xy>bu zy#BJkI(Wv<CO~$n`^sRoSI+vS3g7NsFTHiYuuA)K^$Ne)m-Dyq&3L(`z$$)I^WQmB zZ-+i#er4scbLpFsH}Xl9r9C&~kezsK<`(AY>l?3lIvv+wzwy-Nw4r8fyZsV>?{?cc zD?aO#?w<WmQ!ew;&J88YQmgKr5cZazyFW;M-F*vwgRd)JEV=&T@vAxEemw6D9-p~) zbGp^61AXhtywaXCzr3J6S78xfO2LkzW0y|excJ>SzVt^)3~%C|>7N%)Zh5t2sok;P z4%yX77oETU&egi@ws?DJNPE1iqsZ5tM-nWPI$xGdv5Hv0DX%yEFVAk{Mz{JuQ`Qve zzIw2gbAc7Rmu;@W)!W`vCeM(bn-jTnp|Jk60NYJdtrXY&dZ#|uGsORP__0+A#x7rd z7q3oT81#Pm`8+$G|13OQdz#s_4I5I}6izZX1Tw!!XOyw>;*q(rg(<<CeZi~AhZ(cv zIW~)IcxY*NmRtAvtD5;d3vOB*owt9Bokr(_zs#?<Gnjbz@8p;>$4}<h)k~f0RR4FF z&pa~G{@f&{C6Cg+7~lN+DCrlk-EpD$yw-oz_a+EMoaS?|Vf0{O_`}knaKxfSDDb&_ z!7rXU`+54x=bYkusS+^t-@ET@_uv0xI?Ks-p_8vIU~8PAd{@igjI?LJI9IU0u!yv8 zznM^H#mOMv?s4u|r)1{M860eT`8U2VG^#06WwNcB@-xuOVoC3Y-&dTyzP?!?X?;1G zH|4E>YiPXN-uziLOLtCaJD#;y|5DkJ>d7Cso%zdBAeo-|>8)(Py;VlK-$tI$72TJ1 z^PlUx=6|Je#TD->jm!xbt+cMazP!?Ner}%#@6}B9l}(H8MTVsRky>r1XV2;~SNo>L z#6?x}o(j)h^=VPnJd39tR$u#HNf@W{uM(ayd&|k?`HtPYe*e8M?RD~H@1Fha>zjPi z?-t*c_K*{`nA<#mam2=G_f<3Z>DWY8xv9<F(e-)GK8K4_SJ!3i-1Gd+^2@gV$E==y zxm~>Kh0T)1zRpJLZQa-1mzlHY%FM2PH<fDVZdUlRwyYpz;`-IfD{X#!I&-Lh4s%9% zn~D1lmCYqi79P>hv(Kn%Xa1X5<F|xyoBqeL&4+699{f3TZsnX~Hat0ghabN`T^N%; z_fy%cZI6$XzE=JHMKjzzuVm7!=P$2iUbVEJTXZ$CwCh#xnqT|2SRD3W-g?)>kN@-+ zk2<Tz2jdH^5<{LBy`Hf>IrP<;z`E;~CT`jM=yIg@T*uN~LG7W-J=3?Y*nGx%DIf21 zPxZ@z=X6V-{C|1nb+7ZxID4O!>J$CeS~-8+DIC&&`|_McS1zWX^tv4}|H&8E=~h?Q z{fJ+=w(7fJK&`{f2N5BTW{LA3r5>ncvisH*G~au@a!;1J?$UEVt{u!;`T9%5r_h~G zzZ_e;U9|LE*T48z61^)fe|h8JZEaV3X-9f||6af6CRTebFJ`3+ty0$C9lBiLb)4?c z9E+Y!S1MKBf6WN7c{ydN-rjZb^JSg?sx7~=uxYng>A4O6(>OF7nO}(-urZZ|`3Jm` z6e|6*`TewAx*Aiew*6L$c(qmK)ty=g=OdFpzHfLFCU|OLxDQL@t1lv7o68<)mx^^W z{}E1qE45NCw(W-eyaoP8KPyfz`=a<qw!|#o;|}AeOZVr?Juh+F{=dZi<V%*?7rQ)b zqCe+;F|Ylly8Q8>6^iGt-3@BjUU)}y_xGK}?~<dRa9jA58g7nx%=hHQcQf&N?{nQE z_brqPa*YHcPc?tZXkKz{Zr_gjQ(Duaez;yU?5O@XW6dGAyDz#rE_H^O{aqgNExGZk z*>c7FRT)(q6D->wmR+19!2L=z^T~wb@*5o{!WoHmXLPNu&gf1)8D}Dq?SCMwf93hh z(Y9wEDF<A+d9x{m@2%=uk)C6(*~8;^ccx@biR<dJP_$FG{-J&Pnr+0k+#Oy{mfo+5 z7b?k1oZwuWd*iZ3vBt)K7ay6gskv46$bwU^a;xj1wAEquo{JCm`pEZxl-s`8e^=(C z6|o!d37pnaTeg@dhUNH1{cA<F$~md;Jg@Fq`%!+uM7@sN=@0t1oOREiue4F@Su4-# zCpWK7tdwreRrAVQoTI*T{tC_VN!dbk=1+VhHm!V<;)ReoVpcY*wh08xniYRiuWM0P z$CM34dt<IU2c5ot>UOrxtDd-HZaw>tga;g)`|9JhlU}b{f=zy25-TqGQdCp;IRDa} zFS53o#tRlH2D)`=m38QJyfceQN}k`=VkFvceYC-_baLpcggI3gJ8%C{T>fZwl#N$n zfRv|a$?S@nWA6`(RCBMFwhLJk^m$Fn{17*l(;|~p?=O*333J%9Kk3!XO802b#hy=s zI8@s`Tr-@LwQe{STs-xGRdLax;zb8kzRcO7sM*C)_VB>^I}6s#NL1C0d#N^Q$0V+( zr~XP)+df_wn;hT0eifszX62Mg3rp&nZYSrag+|^LxY6~Hhk0hKQcqRMV)eySHH9WG zwg@fglxeeV@>tro@X2lk&9I;l&xu|Wy?b|Uk`44ov`I|d)aI!avp7UA$y)V6#m5;- zL*zu;*C}c}^qFIH)v2lI#n}l9j|&Et$TeGTeay<i(!nh7Q_Ni?c*>Ry6`E5r-L%p& zT%+}rVqIG-E2hRy`rR$^?(@vWT($qcEchQ}u#mky|F-`mrsE5|=5V@4IZgd=+I-!w z8(SCog%{|249L4{bVs1=!qKOlKAYCWsoQmAWo3sRm1T53Y#p*KO~9Nt&b>|M<)X98 zTk1ICgSr-U{Cn)SUgt)O<Hi{VUAY~}O=8D-ryl2El9k+ZQj&}N|MJS;vs+|T|G#Jq z4bAef_O=Z8>HUap!yC!_YmS9j?yi`@_aLM3U=(8nWBjCjB2`sN27zW0yFG3=9edxq z%WU1ni-kNV7D;SvxBNWyUUm*w`f`Oyf-^W)oPW@Gtgdse((4zmw+pE6J8PiuG;~pS zzQ@74jAH3F!nv~;lN;Vn*}dJXdwpfrFVBB=5B_nqyeMQ6YRn1pdU|13(@~X@S=n(` zxurWi4y_2?!?Y*(@TsC`PQK|(seuO9^psZTM?B42lyh2Vjl{AWl0Vlz+Mva}>S5Bh zRSMCC8He^urS_&@b%<W(WX3*W|NgAjkM~oJE__<1vdoy(Rw8EK5w6-l(N7x_I6J29 zO8g~fyK8z%a6zw5Uuc^6tl8IVw(Rlw6u)E@hqjIO)n${XiJWOPyD(ED=+CDcO7%YK zA6=Rf=N{Cl+86EK*=f9^am~U;$Nm3$mR|p}UgY%SRqfH0L0?lWN?1ay>pgTIaz9i` zX-)85yL{Q#CoG;n5)3<iC&ezDRwb$`TBe#XcU4H@^tpOBk7XPW4|47d{J#Eu=Ls(k z@784#W;{5gzeXqK$+Oax8y4)o?r9`4b?P%C(_Mi%ZOg3BMJ-sI>3!iaQ^Zl7=C_L% z88G+zyOzCJz4ghvo?VIEdD<b)x=iZ5&f%{&6?jchTUh#G&rH9fGrv1j5_pOkdA`Th zFJRZz=hgF4cby{t?o-&lcPpR1cys3evOPPeEooKU67*A9CS}d8;~sNd^SZkP>}BGf z8hl)plXY3m`{Krk4S&{MJ(aRKyva`D>Wi%kyiTm=kEtCv^3&wSK9{F2#kRJ@%IS4h z#%P4+Je%Qnx+}<Ik^Ju7n@JYFU#)g0q>CLsYrQ3Q?uvVt7OV(3I&Vvx#F{Pk`x3SY zBwb*amcNsCF*RUkU;d=6&t4kziN$s9Tex(_E$x7mlZHhr?+APfkuy@99%e4IoJ&5s z?BJ(-{;x;GRzHs9HHx^^<rcL%<zv(B&C08qYy@2=JP!SQd41~bj+uXjg<{|PeB8L@ zeGH4OxygkizSEs%?FlSmnQ}fM;ovn1R^N-3_dlshdQG3e_HF6IBNCq)B5rJ4z;m*t z|LpI$9g)o@ixL+8s^r}H?_kG5?uVCl1>E;wm=nOI?C7>xdyS4UXLGA!N)uo3Lr#;^ zK4R=yF6`M{th&Y$S*2<{Uv&i-)P4T=YdntPV86RlL}J|qgE_Abp5o0+Qr!7V?Al6E zm)(nN=G|)lY@N?M)%Z+qM)CvaRIQ+d_V&4FemODTndG-d-zQP7zkH_HAI3IyVb=e< zxHI|<os_(I8jh(ua41P_?Ud+ycR;~P%qxUhao6F(1mh<c^w->H=Fv}Fc%&drdY)2i z<!Oc=yc)%i1Eniwe+)}HD5Ux4n~3A(oPfN-4ZDplOs(W(n{i6PMCah@&j+);TM7gp zwHtimJebvV`74KSkkgIkOR`twc*>$?IqA>k3G88iyPD@o&7o&sTP{>ZCA<h~2>rrQ z>EB%0*>K#T-cyWY*1IW1&!uG9r-pTYXl_l+PMP_Dq0>82-jTIftwk%*?W*9d`4=8@ zecZ?p&n0_aSWl02WiIEOz6+B)I{)^mHEpOrwtR8kjDVsSjLQt0g#O%F-+AGZ6%!{j zo7NVuh8;W~ISR#H#JG>l74UQ5=HGLK<BZ%%y=6QSNBVyW1j*PGgfK4k4`RFZvAx;K zAxT_LR<r(eA@|IiPH|kzMS4VL^)XqsYP7nFtXd?sONuQaAn8Ve#V@83@m(TaK^Nv< zm@^^0Ny+J7i^LtK_LfD#9hCuZ6P_<P)R@~mk!?NC4IU$h6YG?kl0{DL30v}XLOs8m zNR$5ovr~=xziF-JoEszRHBENWTbF<rzppOZxnprd@IIA!!ZN4-n|T!Rr0}uwGiJU2 zW9qLEbjg!li7PNvan%`t(8!LU&5}uq9U1`+f{{n;8SdB|PWbTodt9WdV)@72`+scR zY#=FU=+ok2d@nrwrNO1MPr}n%?pW>Ky?d)~N0jnx7JFs~W?yDkrI0}PS3d+IMW$Tc ze(+ltlN;mB?G*=a-k&SI+#qiCkwqsK{YsK5DzcKi{Da~9**P0!nfA85{$%xQ$qY8< zg$EbqNPTxz%vj@aKT_zVWOjY0`l=njS@y0{e!+i<$9s7}mvE4egy%xT<3}#Hu!eJl z&zOG8#NDN4$3F8-r_`43?5g>3^QwKRJp1xyo06`~Rr{?aC1Q8Jda>t;3jck!`)Bsd zlzfm|uyU)zvG|q7{@pyMY-KeY&P}%cuw|8xgHfqkAOAd~yXxEhO?#gPz1XhmpJQ{` zWYS6-(GssU_nu8VJvF8A9J|%YQ=fh68*eU{xaPuB2CKY6lcFTf$OIvFkK))T?;c#P z-ZL-v!bbmi;k@qs$Bj3>v(miy`bEEyA4}nr>FrtdzG5@BEZbZ7VrFOtXYOPDixV?X zZI#+pt5x<Wz)tvgWnjyEyNyYf|6bV~-m&_XP420QS657Dd$_bUgneH9#(Cu*dK3#~ z9>3erB<NQ*Z=Z)uLV>c$y6V#lvez_3KVG87KQ|@H{X+3%Pxr9fZ)P2fH#ws|tFCUw zo{6^?*sq)WLwWbt(ms9Gg09SGm(z?_`x)7O+y4Az7{@uoxnDwgKjq}<7WBQm-;r|a zg^ID$%8+ZtWxl_+8oX<jvwN&!UU@=o`Tl=Kw%;!+CK;^`FzbD^{?pGB9P^gD@S2IJ zJ3rnfSR^wiY`#^ZS>+F#%L(=E^Sl>W*p)`w8q4Q}p4s(dg%E2`Rp#au)4vI_=JY)6 z{gAui?5+cy7Z!8Rp5t~n;?A#MytkH3-H>UbQ~C49o&`4-<lQ<kJ1zFgzgz8}8I70E z_|~}5#@wKKUZ#A2@GgUojT;RPdjI%xZqdV+QzyQZi<pxlb=Gp(M=_fhv)>iwXjgAy zzbB~AwX8ZpCtpk=+@-Vn;n_EO@0yPIeEaqG@EpU*>b=uzW?Yx(=bW6Pm!xj%vT@;A z1ID6TXBbR4eQr*2YX8e|BXLHfW~GI=A#+i#-Xqp$CKow)g)bb4vo=*&+I`$;-kM_v zJF6A-_~vjF>K{2M=y)=Ib-Zr?Cs(|k)7>xEXFHwS(`5AQkI!ZG3np=&T`sHa*>K>1 zf+*kqc^p266dZ1U`E^critl8{z>~+cRktu$vFy;W)3~)`Li~09^$Vt0C@L*iI>JBM z*X^U5XqSZYiz8}gO<O)cTPUJ_ZpXQ>g<l0ONvA$?+?_rxQ#w%0L*vSVjj<(6)|%^t zUO%_ee<$|UaemBit;HSesZS;;eqB28v9c1^^vtN`of@n2w{&s!GOt{cd0pT8w#DW~ zKkI*=vvb<tCLCx;R4<i^EZuyG;m_B~%Q+cr6Q@oK6Pw?qzP*0Ua-U1Wr`NA;iSK$Y zou4jnS9WQ`yuMbaSq>5BXE8Lkyn3T|ncYRu=u+P#d7B8ofKL}r?rd#5#=hhGNy+S& z&d-#N87+^sS$SZp_71LDb|Q<OJnUT<yzub8E6JBC-kpx=se8F$eW0(dXub2E^z2io zpJxWmUQ!(P)#2xUjY&eu71s;H=Iw~g6nWFTI`Y&;-@F&8PF%NTrb&L?9Q;VXOZ@V3 zU*;!@Q+)mZ)*Al2(s6=8<Y?&v{YT4}JFVe1{%O6lHtB-%zqQiwJ`>#TYt46){r~Ah zZ`1d)Jl75VqApha-K!<DPyNk_{MR}Y<*%|#eelI#>WbejOWjKo%FQJTuNUO8o6OC> z#c`?Dujj`m4wKfl>Mql>FYVOsEw^B3te9xsv@7wD>CIVIhKt^;>k`QCmz1zP=^bt# z*}Ctq-1^O~>(==%j8IIiufE3@EGBZplv_{aL}#dio6p1J&eHuS0}j~KXo%l@QgYL) z!dd=y0dJkvmRs#d$~C*qa(3x>&py_o{h}e^y>qH&_a?pKq$j(MaGcK&RG#wsl9Jnx z>#<S$x>6Ne_GOC29I-iigL^NNWcI?1vo?xFE!{n-U%w<{>t>6!ZjQ$r7A`*W%6W^@ zuIHV;D{Y<}kDvGZ!Vc-Fp*6J+H?8`zruov2p5Kb|W_9n#^HUf9ILSdsDyPXn^Zv~x zfkxWL;=g-H1y-C{`0eb``|K_<nWfW@T)!j6H~)pjx~O@bkJg28?^?TZY1(|AmCv{C zJ|Z7}M$&nU{U)jB*Vo6p|CM6jcQ(^pYI*L4hVM6)Y)mk<6AijH<0HTSla<$Fb*wF) zT$WD_o1boVqI%iw+wx}v?@D}8T7AL1_Hq91wB{QhPj6zVKCPhjVv6Al{#*gmm8YwX zZFf1GX}PEMQS-v7<2A;fpL}23ij)iQc4ahc`IGx=)#S2msaZ33Xv9@MPxA=5_+)a3 zj{<uD!`VHLR==9^bdJeAxwR?U4tpY%j@;_EuDId%$1v#Wg`Ir@iV|9)7eYhh!#fWx z{hZa4I7QZG|K(FHg1l-9LTV0kB)&iFVmW#<Z23tJCWfzCv+l5EDF46Ksp+?;-Q(KS z<d6-=+EW6<*(&$@6kTu8@jBmmqhIRDXT51%%156aYneAiG4z;>ms8kZYo~QQpOUWz zt$yX-{hll9ZAEKfX_BAfq^m8xNw1kQsutKuTNW<i+c`fedV*PY{wnQ|I60^L3lit) z+AN%|&KK`9;hb${(gnSHGDUk-4xF3xIxmo;_vHcwlgsl2+;!9(WI9_`xzAXt)a-9D zFI?h$oMY>OTMAW^O22ncyb-PvqW<kb;ykfRt1##5-bLb0(_LP<Y|_{(Zxa`uX(my) zl<R4qyQUUP|9-ppYY!M!p6F)0llzFj<Nd{pbuOFs)Uh0BU(Y%<IyUlr?Xeb)jKc3f z)MiiJwo9#A=%k3ScJGsSA)=42#%e3+m!)nzoB3kuE;*k$<!hJBG5qVJ%lcz=u}a@^ z?VkKivOA-lc&^Pfeb!>K^R$V<ffWh8q5*rh{JNq!o%5sGwNuYili1JSyLoP2PtuoF zeGAmg-);MU{bH|`<Wc$kZH^9p>1V$$u@HWj9po}?nGxT%l9!i$oSe4*Sl|?!ooap} z_In(yB&Pjnb-Yk~V&iUG!#2kvSJ`dNKW!fSb-AvYd+q)j<>TwzHU_3<7ffQW<WOCB z`=8YDs2A@~TzbhNS=amjPgQ15gWk3TwRrcpIs)8h7c#qk+*)vw^=f+ej>z!R`0{>^ znaQ?>ZE^YY=1NXmHsO(KskX?)-OZKXW0<|S7OK1Lk#LF8{#5?qq~wjl`U&f8{nr}5 z@Q^(*zf}LlX_e!d<?(!dzRQv(UMQWir#Gs(<nL7{3HIf?A6zTBemkLDuuSk&X{O>E zr9U5!z4P07qD*Z0O^+A4$pQ%y*Nc0%tK86)dRe`zE@$_rH|CSCZg}!6SX1=^*W-R& zJqIbpk7Bh<1<6tG>)tI6UE0RldEoukQnhKt)(h_Er94!fmg+k-@8^xa`*W2dYnM45 zexnfir%~<iOtTMteukclO>-X#s4qP5Rs3gK=WfQ6GAGW=oP5({b!OPqdG#tLdY`X9 zThGg>!St^3+M2Y#f0Ih&%sn1S^Oj^kzLwS&Hf!O0V-r1Q=iLS|f7e?1bFb3Od@rK6 zLh#bRea{~@Ib}PR&f6k-`lU@qefK$ImIKdij60Ss*_#}-Vd3YB*hEWT$JdtqLfY$B zYi76xZ@SnUboR*hga4SCU06>2O8SyCVZkL4g&DdYI^UUvIN3B`cMA$Q8hAv;_cU-Y zG5yq@A@h*?W$ra`g{Fpt37hswFbd9j6vWCCbWMD*ih$$N?ZFL7J9;*p$ueC1!$SD# z8nt4D<U>3&OBY{C$SwCv>~nJ8%FFZh#OhTW(=|8B&yNV0(B{<AcYK1zqpZ}mf)jlj zE3J}$70%pqW4p$QeG;xZja#p<o%``yxqnJQ^!7DQAAcQvt#Oa{tyO+TjJWe-ThTiu z6B>h?j#VC2cp6c?_yU(%$r2XvP1<koR_~su^U~URfo9Fxj)!%s+&-(a@2hlMO?00; zFK*VSMokAn)e1{1<5OkZ*0`TP9VmJAuB^J|!Zo`BUz$9v-N1F>ldF2VxzS1WvyXlC zj*7iI<1q1(&5xK2Is1DT9Pd5r;Xcr)!Tevc;kq~5lhThTnwNdixqLk@{_!qz%eSRZ z!sFuN;_ltMXyiIcF(UVpa{Y%VKVB{Ba94Tls}Xzt^3^kK_qJRr`YjMyGWDvOqPIrI zoyOmCG40=Po%zylz!h__vT|);#!4kme%;iqD|?vL`A(EhG(K=n*XM9S^n#6Zr==~O zW^ga#!5zM0>oXS*m^7SU_c3P9oMXj#+$A>@Hf2aUL{y~)zg>H|%Ox*S^=sPg*;xYJ z><#C67CpZ$=~AA3#5nhcr{eBeaXzy<N+M2f-*~iBV@utq$@3Vc>?Idmf3ZSkYf?#1 zvgW+ZjxMFP9NYT2tRKI0Y?TtO@|$FHTa9<(;<z`_QxslVd7WDqkUd$Ye(SzGUb|X{ zn$6nX{%1RU{qlM3Vqacqwp$#VxhN~sm1(U8i<#buLZ0u|E_psmPm{D;mh7|@E3cnZ z@H8T+^=hM#->jr2i5$hNJQrr?hF@Q`$yE5s!s&Bl#M#+@%XO<iNtBs$tSecuuXT?a z|Kd0)?NtsTjeD-R89e^pS?y)BYpsZq!P;+Xf*r9%$G*%Hkr!L*Zm%yUxVJ|^bn3P4 zIV+B=D15RyKv0dNi)pgxiwOY=B7I%o64$YaFu2b(b5?vEm&15m=h!j3wX^aruD#Lv zz3{U^Q*r+DGLH-A<G%KaIBvB{S~~U2ca{gjS}89-zkNDOfUVy(RZ-~F{~5kFW#1l8 zlFR#dF6+S$8=H`FSI_BfjK?`9|5X+>FFsyuH}jO;vR2)oB-WGfPVvc2s;&IiQeCUA zu)k=lmx}+67s9q5Z=ajis`|bsa{uMWACFv`rSxrvdAh5n%FOdCK0VMdusn9OzjniA z!;{rt=eU(#zxnCkvI*N=L?*2=de#0Q=|N!8HjzzfO6gVUH>)LOJw)eA3aOl5@sG79 zW(NPMwcC#`Tf8*!xc-;N8v?Y2m8u0!_uUgcVx5<GW5@5sk?h6(y@&33DfLgg^7Y8& zg6;b)t&d!_oX>YvS!rK!^=qB7ngd^#C_Y!OI%sk>we;6#yA#*HbOZ@iTuirkG)X%4 z`*-J48vpE$v1+v~TYU1e)Y)Gy$+j;q)aUQr``UNQt*?7FUtux4&)z&cwZB-0t^Z@f zp5L0Yt_a@kKXGq=ll%m0A=xyYKU&{>_db2@w%7UN;xCoc=e_2z;VH>D@vHM;&^z|& zvAJ`fscrfz@+CX)-HpSKy;3Z5O&cSd4D|jtnQ+{<zo2WK#+g5TPjPD?n|Ri52E%?s zhkQwqH+swY!`~%L^pv{#E9U>{b}laEm2Xx(@=CrmtMknMqc$74EdEporX&TwEIG4$ zk#*eXTR-z}ssCoUIIrw`?!$T8r`h)W%5@I6w8%cIzfb&xS(204;gG%a4R`LI<@C^Q zvcbtapZwG!EnhVi1zuPC;D4<98XHGY`#Rp6e?)|vk1uxi^l>d)&Lf%LSp2Icw@7~X zgoAEhRo<v9P!u&xTKJ3epmM$DCS|k0PXPsqA-ON)XYu={zrT?4cI*F@s~k%@uU-A= zJN0FeFR!nN-i#*u8F3EA<_p^;{|Y=}ZxK?C2w!t5-6=m)ateFr!qW^|RRS;W+$<~$ zn|<!gxqzgc`!h{=dK2@bc)qzy6taolY_jWhZTGm56LlbCLaV{Uiz(Spr8g~bf0CJa zl#OdOf079^@5Pmxs?ASKN?m46usy4}wlCnD#n#22`hN-?oe|76DZ%<8ch9C$6Hn7= zU(GpE|HuY1ZrIQuwKaR^X>*sDkChVITko_@@vP1|a`2~0>WBUMe;2H6X197jd7(ga zYL4UlAWx&yla30S?6g^WowIwxF>yENtlL$7`c?aK?28#HYmIK_dKdl{*%9M%i&5z6 z@m4v7AKKsF-gp{r^J4D*0P#R^pMt_J@o%punf(wAxP7-!uU4>A*ZQv1<U^;<omS6& zSh1k{g}3OP4D;y{B{K844L0u3+c4qLDa{xw(fcA54U50=zO=cO?$7s;xwOVWVO#Jt z-w9P2%huReYfoS+48JX}mcySQQ@X<G^Sh6;qMoQ7>aJ+f5MSo9&3xj${<Zq?)3(ga zUE*<U;f)+MO^@rv*ExNT+KSCpW4*qLFXZ)%oM6wLYN>3SD(*bd_@H6=#PM77M)x%9 zBU=`3&B@#F%Y^lS$urLFOn>i)9}&y+_wDk%cqsqLzt|TK4fZgZUSD=c+Kq8Wri<!z zZ3nI(?gJYQpDuHIt@%T3M(_mgDCr9?`rbdtE;{l}@XAr9rH>aDpK<!%D|WVn+nghE zc}=2+c%iO8zhEuL-y0G<Ij*yB|5(MG6}YRzY*FOV6B8ozs+1k1vJQV^QHnmDv2*{U z9qOfPjvo7_EYDrmtj;y%UqtrnU5;B-_qp%&W02JknEP!;qM-KA?JHFNHJd*D>(JpY zuaJ`PDVOPLOpTS(PK)KMBz9kT;k-+<LPFqf%$HTtz1|0;n)Z9n-LO-3=G%`kmjeT% zoOqwUTyLjx|L!@)ggtd8OYBxvPkG<j&>GOeyZj~dRnAyjty|O9Cw2eYefC`YXJ)Ne zS6V+`a}n7UeK0TP;n(b$T<`auu=u}R*JJUrJOcwo?hB=_)OlA=kbn4o`~9Ne??;PM zR(W1jc)ot4Lj0rJH?I=J^scDhF|D3mbhmup+PYG$Xp>_t(OpX?woYREQ{_4-Z|%A5 zZ1)cJS+$)Bm*l_N8>)ZpPgBUWnfIB0ahMyh{68kC<R-fGl3}UBf7P`uvyMLe{Ddu6 z*JXKQ^4FE2pPRZTE>7K}mm*>om~VWTyLT?%&uyl?RpIlNB;3{$abFa-koUcg)st0c zUP=_tGJo{Ce6v$Y$3qc45l?%&T~;iarald)H8v;QI4voavXbp<NJ+<yZ(d3>zXd)0 zUiYZzdXVFd&5la9+;;q#Zlbd1=EZOKr-ii&6fMrZeC1%FkJ0;?aap2n@~!(4azFI- z9EoHN+oGt!BT|rmMe>wt^4WU-+uUsHuAMlxMrhVfq3{rQ#@C$MwQ`+0TsPvww=(ej zuQ(W5>T&R0-=Zani!ZEi$>2%SanQckJhLrBI@{Ke$>_s}t-M|H_<J^-+qJ#4wQTN! zho%g_?0hyUd|?ZgV3~YP<#*21c=6{gi8W=uClX&8zgbuHPNb(#<qSiG;&IaoVHFD{ z-O?&+9v3TygIr4|wEQT_*&xock>4ck*_Y#c6X)5#k6;Y<vrCNpr0k+L;rgbmEeAvj zT2|*JCn?JKMD;A``S?xjYWl*QG`@Fle}`?_6nQ<8`^<_CSCx}32ASs~chxgyuIm2o z7=3X5<=m4uqK~vb+1>q5(D6ZB*~-kwYZr}fdz8=iDR>sLDsaQ@ZwuZRswYNy{+cWw zV`TZ)m49!&v+vHX9Ho1QFPy*h_4lScF2nqe2Nvs+I_6mXp05<!tkSb>p}y93f8(hl zO{bLaY)!~~$;hX$Tk1vk4*jDgT*s5LzP`U=lqCCSTHETlb7DQhR%d_zx~d+cvD(c; ziE+-{|6Sr7+VefnN~IMj&%1X$&`|0QH<$hS8RZx5U3}Njxm|!+Dzf~}sn=?e4;r}L zg1)M(ob*Nf(TVaF&od93Qm-+-4*g{4{n1kQS3~v%3x#cath*1s@W{64v<#~8oN+5p zYDSw%15=Kp#TK)(){EwzHoI*3dy$NKvAfnC&#Jc*%@V7>yhw1}dU=)LzOCCudOt=Q zO}FvWE<VM4mZx%Bs`rJAYHhVhZ(Uh4rup6lXXR_2ieLFv5b3k`Zb;%n4dW7y=K*(b zzqN>BY3NYBo^UsBa>;7pxoKO?tF#v#|Gix3v)0xPFH}Cv=)SUM(|$>Pu9tfMPhQ-> zV)%A%R^w_>>)+w7*YtNy&QBM~jnZ0>8Zq<wje=<^Yg49QP?-Jn%bn9N3IzVeNv)f+ z<^G3{Uv*RJUQ}G1^010sD{79xe@3|wsk&vEa~(w;qt`pmj99kd_r%bfS(3Yti9{%V zt<DHK66?wTsWVyEzD3Qmb6=a>qY%Z(+wAn#E<VW<G%=^MbYG&(m&z{dcPl=>dMx*& z_{4s<E6;xCTvk-Goo=y2Z|bp~2C{q?H?iJyFqSR8_Rl!Js%ct+ZSu4t?XrZMiWZ4~ zGXBQzf40x=)1J58J7zgAy5#14;Zd)kkKxbXaut*Nr+)XDyWsCb$2S{qy}KX0KUl&` z@vi2Q%bBm<pJ+~-#k8bz*Nv95)mB^Al$@%2bM&-{>x<(-i*s*C8wHsA#eZCS*u+Ml zZO?y$mkLf<cglqrJz_=Jx7=vto$UVj0PiQ6fR%@3)~)M4A}f*ZD(T}A@pNI#53kFv z)phsS9;Ri!>b35v&FZ-S=b`jjuY6^l6BBk!ewfvIJk7jevF#CQwUq03!=1OiS{P`u z$Y68dl-Gv>W;Qmzj`=8BQ<-hoQm1EhYVWQU6W6t6evMeO_kcvLi<EVXPh#eaxRk%E zFZbO(qI+R#Ps2Wr#)f0%i{>T9S8j{HC#`ffE?0%6agtV>M4pL%*p~P?JASLq)bRay zQutl1mrSkSDGxoz3&#!j%2=CsEb(wklPIgX9(BXyp5<1{?#XIBot<C5E;@JZ&gI&R z0u|iy3l(Np*?LUb%_H^pUyI}UI=TNWUnDF=7<;dDtFYaEZZv24f5tCvpISr0qQdWM z_w^~MJGZ?RD%+dHcf{;twtRz7OU8uH+#T@&SMU71DOX!{&{ZtIZo`W8%+h@yFDz&% zEB~}?6?fab<<G*oub-VC<hm(fuCDB9hWztEJ5C(6e{e>qiGBBj^$WJm?D3AUS;*eA zxFCM%q1xcwoa9LBEw>m>CEU2E5|zBs;@qj&xXLb**INoAdakK*S@Yjf{Gp%DV|wAt z^K9GtrwaU65*C~^+4^zE3Ar+r*ysNwW>nuO$j@>O%*_hgto<mRN93YR((NY&G3{b; zZ*IseZP7dL6?Urq>YZmrYXudK{Y$cl@+g}3<avI7i{#QNp3Y%<LWkLpFNnD4-YO$| zbJpR$;02m5<d4tM`;q#@B7V~Cn;x?|RCS*0wKbVCUrF+1Gb2|4+qJzjm`wG%Y&Li% zI?qhid={(kEV1rW#Rq4#IdPg>LbumiF1Yiqup_qbN7WI%{~3Q1+~hWT>4d&J=lExf z+_tbKw-dZBw%uG(yzorc<LbnDrEhE3eO<k?a_M_6C!u*S-H%Ali!pP%*zw=|<mbyf zc#3n5-D=&zWBgp=^Cvy~)zX>wyu53}x!qWVcq&t`I<P3J3cXTzcj?_tcMh@gmEF6u zW`5UHZ}u#=3;pVUa&d>By?5G^q>2ldE2?##DD|tlcUAar30$}Ri2AClM}+1z-PtPI zygpX+gNxFHJ6x|0#_2llu<x*rGhX~&<;dIV1q)eD$oJk;4?O>{wZ6EHH%i<vOMoxm zEm}yfIY8%`K<jeboHoJNR}yp|uUUA~r(x6Sb`Q&z<UPCCSM{Wv%Pw1U^wn+$$%u)2 z&VRH#^Wn?O%QJ7>;52(&a*Mx!ZR;NCjANpQ;+>t$jM@X;cqaJOZ(cif^W6FNmLkD^ z5=nkO@7`537MR~C-XZ;PsbS~EbYG4Am$;=a?g&ma`S*wS;o8j2J)e2GZ#?;C*0s1g zCX;=0?>)uRTifTI+jpSQlIex=B%bHY>gO_BB$sjXm+Go@xZloAQhqs`!T1N;$HPS( z^2ZrOk7+q~zZSgE-*MNu+~b=1-?$i^Z(e>=pZ-+WDZaL<W`eY^=C{;&_rf-(&q-dH zl5_p$JH?oey>kr(Q@y1temn`v<YZ7!J|T7X-KD9adh3rbt?>#G?|*Vn_k^#8Kvh77 z=K{m^+Fol4OqWKPFn$UMExr0FeV*hrmu0?>Z!FjEirpcVGRvql!@*D`GUThde@>j5 z@%4-|lm6N%?UMPC{ItC@j(^WRR_>jV<rgp1^>Y9A*yH5Su3r1rKd^t9(T9mWT5dd( zcU3+4_AwxgU!*(Xk%EDs==1#YscFKm3zh%8?f$0tw58~|pLu=hb}#L{yq133{YuW~ zOBdeRJy+wkcA)aY&nFM9X)ZbNsIoew;fvs^Q^NCOIj%3Up1OTes`tarH_n>ta{AZ3 zZ1S{~T=I0E&;6n$ZsK!`=KAHeJ#xRjV(q61>+YFI9lj;_-ICLz;bq7KK|2lxftTx2 zwZ1(UtWmwTV(+^JZynm!1$8%;CS^4~H?-AVr)uQgA3ph?%s!5Qsf-_=<oD~HuPmRa zES@|y*yr8OmNQ{m3OY5hTYjpn<Zs@7Q|n37%Nz0Q+m|o2Z8>sPafXS^4%y>oEb1P5 zhJguQrYmlQUJo@CczP#1RXo?)zUQ?t=b;a8KYKrHc288ZJaftP(d9+^mK?~Q5~Rqu zwb$ErTK3}*C&P<33R;?i3M(6LDSgT=Is33K>)C2U^PuhR*%s^%w(O|AfB%j4WQH8| z{g-5ybcSrm3IDOoLCwVboq~4o*)@^#Ttz+xxlRmBS@AljZGG{f$;<xi?a1D-P<rMg zwJ9xS&TE5NbX2!1PclwiQ+m=P)j`K^!i=?3`Pfn%1SHbx*1R^@)4A?S#FSm^!5q)n zEc<F=4l8|E>1WVB<Z7|?#^y!Wg3opT{QaXR$)t_tG{@4E>E615Q?<KpO)Zd)p0#Px zv+0&Uw#AFzUaXv4(SI-d>BO}^5@U@TTfZ9TJ%8~odHJtLjPCDWe_U4162&+3)w@HC zFFaNxTF(3L$s)bWV^x5T_{MfMlTUwLBw5$pSR58vwd#kVsBqtx-c2Xf_nOY}kkH;} zUw7c1$)0S#-s|sOYd^^EZ)TYH)#lKhPcL|O^L>tRKRo@NtdL~kzszkDZ!+JM^ix%N zUHnh+-gWL9F>5voTy!x$Cv2c2_wn1&5(^2D^8ADMW~?=dxGsM2;q(-9^-~S&8%2wb z_y|rZ&`yt^5h&KZ;C9E_cM&C<BUKd7MwVRGJ6P$|&HdRRhudgoz!H<Iajc?VcO5vm zLIjvOclob9ygPkf*m|a*iKac3J&&&b*Lt(6DmX^!*DK4`7U#-;2bM|h%x!Jgc(AG6 zZ0Va>-5uA>g7UfdTlMUC;rN<E_0G}qJ7GE(TUi)I`5NXYAGq>l=}DI8?QsngPFuJ7 z+zj~n<ol`r$Nu#{{UEHVJO6Xq;Ui+U6?$LmeHs^WO>pw~s4HLkaJtB=AHm^=?uI{B z+qOMWOjUM?n%E+RH-Z^grZbA1%x(4F=V+la^OLCJXT|Om_HFBP3ub+r7WS*?e!;YJ zUv1Qvtvep|;qKmj59Z0w3O}{lv{^PaQN18T)+j2^qgNodH&5xQ@a6*J&MPzLJWY9F zyTes7Saicy<Ai<5Hz!(}E2~Ks3w<)$&$(}#)SSg)6OFz9ZE&8VvaWl*liA%{zvBPB zm>#9V#Qs0mjJw98jP>)T9ZLfqFevj1XPuJCS$v+O@PEY&zQ2O!&u-Lj^Xci6dGwXF z;P0HY=(_<Cl85F`efqciu~MnlcfB9#T8C$>@fOLgnRc`{@)G+l&wZy2>%^0|_D+3$ zavS%4rgwgJ1?Q9Q6-=v`KFx5x(=~<9#tOICQ$n03xgGUcwn@%-f@J3g^HcA)q;>51 zeQ@S~#Tn*S4DLw<*JD@K-k;Z5V5(E3QpCz(q$F+B&EMd0UwiN457WYT9*{ZF_EpPf zcO1{5lfDrLzb%Sg%hn|;{xHYbPQr+vZ@2XOMak+4#odgTZ{It7I!eEB!Qt7zGXFZL zzxa5$DfH7qht}iEbyPDCes>M^3g2KN9pKH(BEkRy3ei4MN5Y$Xc1tiYfG`&WCqqtt zNxoiQUQS+dXb39<^WU;H=^9)0|0eJ`_8w(emH*MxO+a9+?<K}yh7bOX2lP2jb_=M+ zXifE68q^u0HMMEG<*dDnzNOd9ZNDa_;D3=VHYIa^Zm-FsCq@@z8>D8uQ;}SodoJPa zRQqQvjVy^Q+ox9g&Z@l8HbJwy_|M_U%8BU*B&S{}nviw7f>pfz`g_y;tVv8O9k}+{ z9S#k+sLgRGQ%okt>3)Cxj$f`O%oX!_*2i<PKev}#zgc>MWs7IOBukU~g9))LOfw&L zKkR;RTtsN;)W?Tjol0t&Y$Q6{{@NKwhhohg+A@<@GCa%Hoy)CVuDbl_*3{6cmk*tK zIK$_tnn35X&+Ytb!Rvo+nHqOcI&S~cFIKxVBUd&h8(sB%e<C}#@%n_u@L7!OA26)H zAD_ORzr^RB<*AyJnW=@jZzW74czdo#NhIyLR1s3i8d&j{WyRM3<;SLbuAZt`dN=DH z*ZK!*)4u+?o7EAwU%|S<M`5>mjNL;vuJC{z^@mtR^!Ohxyl!w&Tlc)S#fz`ITRm&q zK0n<0?Qk~75$#_0{Y_4B`<I_Dux-1LB%RrDeU`xbhrMD)wBNdYPFeq;*X_XT^2MSb ztae{antgrN?CTfW!lM?3U%2pEoZnUNf58L6^;?zV4ogQI)qcz7X0iSOZ%=;QerXB8 zz31{C2(91hvtChT{T7Y5gKBa6)fLtXt~XVRbJmK>jNa1}wWn_O(bsRjRl3GybL?s3 z+;gdCPt)e>@4JucdB$aP?P>Ff%jDnFwB<T~&D^_bp_aOHLd?r^BwT-|om1cWBCIIN zLX+W)<k3A^%Tu3a{_2QZ^JGih=fKmei=rm_@D#UBzGYasW`=xmhl|K;hizvQjn#x@ zwWnWRb;y&`AhGbw>Y}KRJpGa?O)C#=dUWX3sYQo8D>t{tFkd~E=IncjGj_qrg;zg# zO=h~p=v=|NyryiQV4S@K-waL<8~p?!&I8p#3ODyS?X29ow{q>#O5W#tF1^~*R32wP z^Lc66<3*=ppDwF9v&?~0JbaVNs&4mChSb-^E0jaG-OdfmQrk0)`?WK}jYT;H1s^p! zGuNrKyGJrEeHq|&W6~PF?V_txJG0`Pot`Sb+oD`};UIUP58JkL`~N=Ld_F8i>E))E z8!vvyvVN$@X2R>2&2&v|g=fIy;NnkFhYuaf)NhG&vVWLW$(tIyzEr@jQe*1D%m@?y zjD`%QJ(r?5rk^{!=JJ*U+80iU1_+$^%Jpu}dk;?eh5oJA`<a!C88z7L6^wd4oHs?b zv|PV=mUF6VkcHxAhMmu^UVFav+jCQ%FJ97LxWuad^6fpBXArXU(5BQ@M)9zBUZHv1 zT<TAgxhD2BoOyZD?fI=sha4FX%()aOr5G$G|F=_E%e%mCnZU|tnZG9V*QJ{MF3^by ze7NAuPZiaVGaSYGWGA=JDOsbVw@y!_AfV#igbC-iwx+gr`zoA%;kQDkv8nm&jGhv) z6`R%t9B>p&I8;*OHJQ&K!^rjMY?~+he@F^z_%B)XU{TBl7p+s1WsSGx>}i@Dx8FQ2 zeY<2{@AaE&wU)Qu_Vv0JbCNO8t;weAgc9RcYZ)!IO-CKJ#|9QZGBtNHkz2Rq@}dRJ z2{LIn_Ut$taQ1w5x9bico1Vgq9KXp-cZv#@|Cr$7^k1N0!3i6uC&tWa6GNHzOgXl_ zsHW}kA;FpM-+JmTv}5Mpo#nGL;gpEqDUo-px<tjp)~#;6Bi7ffslF+4N#pgKYdiZ+ zRxe-a>}Pd-+EvkTKJNz3;zhUlB2K1WJeB)&$pu|K(N$}fTv)xDdj)eyM8FH~h_LW5 zr3?IX8mD--YH90AY)CPzY&h;MEE8>1$ijH%WCr8jF1aH0#XeQsvR&4aPZx+^oX+Df z*?TGbg>~EV9;=tEU)~-HcANd>-_N3lnu{gA$o<NDsJU43%dekx4>cG2S25S_{V*kv zw~Dj&@P{cEd8^oKk3PAu#a*W*<Cg`yf4|;^(}(RJoLj8@#q8tR#^vtqU$zx0->Ecd zxu>$P_R$xok1Nsw@<sZae>}aYwAZDE>D?TSQ2n1)kIr>oTDMCmO?_9`7mn#2Q!@DV zu74<5G<)UJm|F*e-K<~ue$hRAd9mr2Lmye4z4K-68~k{BQT2-V%ZUQ}m%M0tDZVe` z!<0qZ%hG?WIw<UB`$GG^<(<lsYurb?ADmn4Sar2R@SV-Az1cF1?`*V|Oz%}cFxNfx z#nmrQl^FKU+!x!h*YysI%3k*xW{bW4Hp?DPci&kx^GDXBmw{qcbAP-#aCvc1)$||N z9=;6Ztdif`ZQU>T()E$ln+x|Bi`i(dUEuwL`%Fkl<%^q-#q7Q?_ow~2z-Kd$(Z&2j zhnv9MCx*OAvl>t9cK&KQ?%Hwd#9}44*n^LkxcMG@%-e0%=<exmGRa%tCUMF&ZJFad zi`BPWD;F#eDe28%)!Y5xf{WbxfGc7)MnzYIZGxm0^~YpAe0g!|<?NS*y!(?%c7A#G zQ|pnq=v}#A4abeTUa;!z40xr~KU2%}%3`&7Atm`QR=6uxRGGBh)7lsK;Y-G}IPHDD zAHHZzjB}sfVBP=kh3sSJH(#<oW-#x!S#@>y%iS#g{qJ7vc*<1p{Gwph#UKA3z6=zq zy8MIb(aVc#;`H~~efXj=?VkQV`ww3-xc4U1G(LEFQEqSIo}Hgxh`qY|XrsXXIV*y# z?{-$2*WS!|w2<T7lhO@+KTcSEn^bC18`<7BuRgWN`LgW1yY?~bR&`Ai75A5rKa#um z+2vi8ybt$W%Bf&|x~ES1d1={UrL9*>TbItB_ckJIha{gjLvh~X>-td_r-~k^Vg10L z9$X|Ko)%jgd2-jKv$9+3cWCP+9E>~}TUvbF>Q{TV;_|6Xeyg>&r%pY3>eS^g7az-? z>$(~`RcF3k(*J^}SW7*f@J%b8eff6AKBjN=si`{a)@c>n9kp3+YP){nobaeSpSj-6 zz0S`+S8BoasJR=?XIHczdp=d{;E(5`G1m8ARsMY>W50g$?a-+@)@uC)H}_n+vZpCK zZoltmtL7@+#y2y<Z%qrIaOQRRGVc?u-&ntV(w(8Jy;EC8e41X|)Pj#vPmgJfNUz^! z8kZf|dp+Qcwr^V{tMuNs1itkq0++Qp;;Q~Sc&|4WIP<#v$m&T-af>@DTBROc6+4>E zaa8+lXShJmb^WT3R==Bkn4V0(ev{YyaN@%)6`?|IQzQbDT_y^ux?T|tjNaom^COq& z`HHQsCUM#MErNXp#{}Ih59uE=IyB>0_Kv4hk3AKQ`4ZJ!vclj}?!rYAE@oT2oLY8R zn<J>|uY)Y#*2B3rd#)XJcAu1%u=Kj*ji+C`?e}OgJ~Gwn2-i@_@w_o*gKpyCgU_0M zJHlr#yDlZXK2do67T@++kIb~<RzF%Ocz;5s!x@pe6|5U8{w9g7-`szkN9njsmruOr zfh~JJ&t+<yR`%||jA^ztYadK^pSeZm^BkMc8h0wUc%7EnSFvx)n$LHS==Td<xYQ<p zV43Qo^^%*;CM(sQe6e=%vh}m2<f<QdUVXYzaDPn2nTM_JKK@&#xLYiE!7ul?W07se zl;-8`3%95g>wIb}KQQ<0#V*yVW_QyS8Jv1YOKjB+eqG^mO_|RoyyDK1L&~C|{h=RP z0weO5?BCIRk)z5`mgQZ-6?grm{~z$V&973u`&jR^vQTutcZ99qL18!j7h6lT-X&aV zyyvyOVfW>T<F@wYa;BF)oj<7c>*UiJ+PhAsO3n-U;&pvr@0twt=_xDZdLKxhuDSi- zdRFBV$6Y^_CN6s{cHdCI_0jdB$}5fWyPp=a8}ocE`k2uXFL(FV+()xJ)qC1<zY5Pt zG-*0kCAQhU@{3p11f5?emnzTmzw-LT(>u#jD_D}R?tW^0(wF^#A<xV>z5AxyzMT3f z+8l2XRsDK(hqZ+3!kv1|#yyXAwH_B(mi=Sd!O20~yG|u$EGV4Mv|{?%$8vRnvzDx_ z>6#hD{;MT8aoVEaOEZ7WJ9v39#}}`U>USzdR()^Zd|<A-`itBzI(I5V8t=)yV=R~R zU0~jkeqgTq;;Qx^qUCa-mtG%UU-0}Qf7R3<mwsMe{VdRbew=xK#iuW`Dwh;5FF(6@ zj^^InedS-iOsiaTc)9r5$Fg;E-_5&c++X&i)at7Dv+VZgFCV>>{cLeO=(gO<3kwe( z*U>9|yODFA|ChaHHpgUp!d|X(KH%cNbIN0v=7P-UoqP|4{Dpm=PT~{ceHwIrSzi%n zM%S|kK>;Fb530(~^AouiDd!-nTbk+7b??C?ezCa+Rh8%Yhg{qJ!t~v|j5X8GR~M+e zUT=%j?@zi`!qXpj?n_<em7rzer>FDQRZj8Jm%MLlW6R}~FBxxVV_Wsw^s#iua(C|+ zwvV+tmb=Hk*!%GH5w2IgUo<-6<@Uas`{i!qa`*HXXCJ<1_3!Vx(0%y2|AIK5{>C3q zFRr^MyU*v$%c~D3^6t0cTJrr;XMy`m*4{(O3CpfDEUOav{PNdJ-_JAJ<EQAoypx)+ zY)aF*^2V7#Y`0px6PJBy@Ovm=P<_Q?y+He+x$fC}i}!Gq%WW;aQY2FIrK?b}q-tYD zPVjQ`Zg=y^Q74lHCP+29%U2wFu{zR%VMcPv@h`7-^4KJAStWjc@s935(W>t<Oz)CJ zR!={-y<K~6={}o^ms>x_PFW^?PFcL}=!&Uvmhm1o$tKsOpG$Y9_pF<B&%8hOhw9>h zD%RSAA9^l|R6Vazb$!JlS3lv3fDKdQ%ERvJ6^B-E_C5{lk7_#ZWs^9iZQrlDgR(tN z7b1@*l`Zm@-M#1WxlTQy+TAY2uH6MIGdll0*wnjh-mVsB*YX0-jPAT5P0dcVheo{T z)wQllKdWZ*&0l(4H^1tjP2a=m!m+xyPO7RH?~UD8ydhIUZvTM`-bcl|ocG4s$UU0w z&i<nGvGF^bUtgs@o{H_sn|{x_|6%Jr?S1|N7k(ex&t9eYS4=L}NcU#V`iw=!6(!Bf zIr@V>e8~to?fJZ6w}kA1<i)}7l1y6rChlwbRFd+gqb=U>)MDi&pC9PCZMO>h74}GS zv2MwdFMAu)d&FMuc)?pBzBo!&w^s9G&qZ-7yI)~*`lj#e{~@|K((2I%jvd{Na=%@i z`fGlOvfKW982;r`?PI^=CjDuzN@6~Hu=%^2_NV_4JzsOzW6|r!60uS5K7=;K%dO4o zd>MVBW8NnYwyEy=OJ496|7?xlaoph47LzYWo8skq7cQNXFJNP<<$T&QUQzdPjM~FF z4DW2Lt_F6k7n?WbQq{*AhIbmV3(U`-mt7iE^>t5M`ISfho>8kTS!1lyKKeGr%gx>D z^I7%I$qY`}$v<XYDD2dinJ4#lT7Dcud5p}lx$edpD-VZ1IJcO0%bqV>JDMMU6uS0U zK*PrFps?HiIFIy0vOP%`st*SXEIxSJrr2uJ&tnJmHE&(Lc=o%{+`im>MITNt*4PsA z<*f4Wn*ImV-7_;@K96phF|B4_=$p))&jtJDO{<c-|H1oNrHjal#S7dW{wykKx@XJZ zXziu_;^}9>9gDX#-?QUqD4*i>^`52hJB_IezMr>!r}1^c_6z9``hwW1cx{>9IaIuH z>ePEUu}8D2$(z;6s!(I+Gx37wfg6_2OcvOt^I7fA!Hg;QyzfU{3Ocj4fPHa9Rp$?$ zozpt^HLJ{hFA#L;I)~&-Z<iU<ChQAYk$Lj)be_7(lGeWP8o`fWR$LeCe*fUy;xJvw zTK<!+_gy|+Eblhfm95=saCy^3bMCszDIVTV{z(p}9m5^21znhU!lAywx<Bi}rAyHd z&MlVSvZiFMsc!9$X$RK_Ys)g$e*IvhyI}EL`G@bOl<oP<x}&>Z?(c`!UmE%<&M}lP zceZ-Alkv`6rk5+8GZ(14Ccemc*4@0U?b8XjW!D}Q_B!bvUaYKgtHs@L&Wn}D&C4!5 zpFGXt4p;ZF2bXw+a}Op<N0zWoPp_!@;^FPEyzuw|RcSq|lS`$|cAZF7p68doui(bZ zj%OQv#P1$>Dy@I3@w7$!7v|~32VUGvoogu7c-l6lglT&1laeD}BrCiESM|l-V<-<Q z@p9LTH?~=-F|{vxUq*#j;JUu3ebXv}vR;4vd~$i}!s8ZyKCR%=<*()aI3+OVxZ1wL zibEM;?*;lBtows56kc|HaBi_o$(%<qcPg)Vx@)atDwo^3AX!ws(YoL1!lX+}cT5gc zku|=1Y;I56g^L~QrRK@4E$w;ax1&2y+{)@#QrE+amzFJiAjHGn?;c|-cJT8lWkDPN zik?NMltlvN_FJ%Y#w*$s3A)u!FF%!`JiY$R3+3sJ=Q32LH($tL)#F}zkvm52(My-= zFSB;`o6aiR>CwxlFZ{7Y>GO|{c)u_1`7@ax=3S0imASYx#;$7d%co0L$C<>t<$YnC zKCz-|i>J5A`mTUEZ0}M+TKe2;u78+vQP4{3W9s2xH^&zpN6dNsCD+O}|Je6XbFoSZ z%cI+E>ODzc#Xi;^33l^;apFk$x!HYT6`7st&BZG|{g605RY6ElP*Boy(lX{G9ziaz z=Luhh4mbn}EwSj4XyI`5l2me5RhqPf&-V0<6^maQT$r>aU9PJ3o<qscY}I&<6>TN= z#Ggo4pRuUgGmG8!__+hhzmzTJt>!6ReDV3j=NvvKyW~DDF89C4=kP*qvPyNz9)WjP zF5i%T5UKCXUhJ*9afeI(t!e6#tL5yAroY_0$fIZKo{MJ=TJWCj&O24LU_oQwr(O;D zb*rbIy(s?SLp<9P%Y~a5Sf5&LIplM!BlW@kl-VkY;c`yvo^5+1d!=yQJEzVspQCjc zcr5>YE<Aet)gud@qBGyEWtTIXhiRER&%Bzg{jY7q4srQ_oYQ9(Jh#m4UT64$GhmCb z-nX61>B<fp7T3Mp^VH=<W?`X7ZdLI%Ej5A3g6!W)TF%_p;yvhl=)@Mmne*}<_a(l( zc<fEeVzu>>rq^9Q9q298(#$%$S1mKs!cS_w(h>IO8wxfbRAJCIIk9PzW&H=Kz6b9j z>Rpej-DbY)t9WYG!A&lFwHJS!_`$?5dG{8f;7W_c_WNn~U%Ovr{d~Vg@#fRXS6gj% z$b~m@@Jt9k^5N!XcH3Lo&IW>qCYosfo$t{3+0)%j>gb-wUxF5B*uK%8F~QUL<jZvv zGmhGd-}&4jx8jD`(X`Dnx1%fS#N5hcCf99!Wb@Ns=x}6T=gBWC#hh)<PyT0i;oYUg zLuylJYDzyi|6oCOgk<9P>N}s`|8h*5^<~GuCmkhgx9Rb%H~Mi+Zi(b-In&5LxAYxu zZMhrTeCFXHkL7ZWN7sIEX^=_0wP2O1_q<hcS(D~$Qa;~Zcu(idf~~qAW~zvUFJo^w zd}!|aT`3*h9cK@1nPC4(&Q|o;-rcdk_qM9|Y~VR!-=nX$XN}z!LzY`FFL3L}Rf?aB z{$QSG;2r<#()4X9-JW_!_BIJT)>?Ul+0uSb$2Z@YcN?QmFOXQ9r0{NEiFVnVzGbu8 z|17-0qvIcHp&yscc_T)*bM7sEnMchAa=$W`rg#}Uzu>PtZ~aubz||)8-1CAIUZLq1 z^kom+-&Sbg_?O2oDs6J{8comOr(2d3CRP>5Z=P(Qp0n)z%rljI(`AltPW@dHexO3E z`O~L0tP6gqA5TdM4>2t>x7A=0+~jnB<=WyOTqU}liygwP8k=uPws^i|uKS$x_IR?= zWG3;gL3&RkBp%*Uoc~|c;oWcfDI&d$e|R4Uw0&2YzGS=3PVPVa6|+vQt8DC2F?8v# zN?CIv<$cYy`fEjZzs77o`_SHa@yjYD-fLSJ?=P#-4EgZaRD^A1Ru@NNq({_)-XBuZ z7j34@{%ECm#P4_FvkQ^hhiBi&=<2_>US00Y)A|jH^W6=DB!Zh*o3pAG#M$<R&6gGx zeeP}QcJ}g;_M|0Os~Cj;{L0Yjb6$K?%XH0^c`e%wmY%;?J(Y>||GAI_>{D!~$p#pF zdC)Fp_+Da3(46))2Xk9LTO9VZ%1kbOY{7cPTeq-cb83D>RgUo;>ql2s1#J8w#37ic zS$0la=dDl3^rL}iPlx|M^?%jog$^3Qg*^wDJhji9&1`ZAcqD(I-gxN|c_*V=Z>w)J zT*>ir@M0*;2-#H1av&uv=BZ1J>#HToObM&L2ke`9uv9GT+1CYJGqlr$l)p$juXEhx zwC7c?r-0`or6;bddK=W28+LRnCd6FW$tzkX^uCU(Q{?4RPgT}UXE(3eVRD`MVOqD$ z-6M$$d>_q^4ZLi+;_}87mMotS3Nlpr#+-iR<=myk{@9FbTS(34Tj?*~m;P{h`u!sN zLxJpDDUTSo&F-+j#Jn-r@}TNLnbWcPCCB&g3Cfx$^<?FHg}~mB%NAdoUIa!<*1WBF zvhQ{8DZ9f5?#Hcf3H{HvR^x}@>1`F3$CcOrey8EX{O;Jqx?B8(YSLL-UPWA8&b263 zD^;>NZpz$=uDfp=Y>}OxnQ9wfepMj)`G(^HPpalW2`IV$Rjf+->6HC@inhz_+8uDs zNsNo9cYEzR&)-J!&NpiBW@)_QG?^#2bjO1fjt#-CTvrxoM~V8hT+m-zx}@oX*!(FB z9a~K1ymg75xAuVGBd%v%mqpjLY`-e^MKIzzYt*U-Yo3P2oISkm;n_EFD@)ZIu3lu^ zvTLsK)O_{8h}Dj<p8nyxl2U$cIj(=Va-N62{HD!+Kl^1fqt10KdTKdWl9z!2ggF_Y za~%~)Daoi)9S2itGZ<b?kWdI);1_B8>u~n-3kj2tS7aXfG)v}~xA4&lzqkfHo?mP1 zq+h?~-F$az;G6$^d$j#^&!5ink#}|R6x@5&<7Aq^Cg}~{5@(e@7C9yT*;D%ezo%{X z<;>XKoh+{z-!ML5ROFhYV|U4Wj+B@|c+HaAK3BVqj=Eb#FOpEOm4B(r$@F;s50CQw znr`PhCV!HzS+d_!+3$ky_s6G~?vH(YIQVzMm)oD@?p!_0X;#Dj{cl?Mbz8Y#tRGd+ zEo>L+sd>IU@6poIS8{)6*|77!jBcCw{Lhutx_xr7#y@%GE;(KovyPZ|B((PUgIh}S zg`vxr?&;Y6t1a<x`;Pb7{C&%v9j`mooUr`zw<)`O&e6ZmR;=5#O(W@V&2E{!m(FB; z>HGeBrGw-3J?xe3dY=xyV~}AmVLY_br#IPZ`>ycyJANLS$yS!VF~0vhb4a(nYS{ZC ztBbDO8`+M(n!g~Pd*b%Zr!Vf9#~+?_Tp@4Td5eEh-{&k&s%D9eZ;{gRa}Z$hKOtZm z(a}&h{rAG^5{p~nPqe@CI>{$0yt1^OH)s8|h4s64T@P+NEEs!at4Ukp<cPP$x4+gk zR6Xi{`6MhPq)N$;-D7F^|C;U7%xWDSw(f3v{WGX;ceJ1T(e-{0|5ogpGXH+p??o$b zt^4q%XqViiFOeUw+U*h1-WWCg_Q9<J_ph$Ks1+TZX)^8Tg823S9)7KR5&!nK#+S8+ z(%xRSYs}C2V4!l4RYE8JsEYd}mZs&!(GyoIPW%&S<N2|E;vXf;E_KUED|i(+@>Vzq zs8r5);iHyzDAkwcPe|U3f)~zvCOZl9Rjbdv?`Gy*v@G$mj=QZ&r-wsW<f;7bYa8z5 z*J(MgU*GoU*-`-k5l69#s#?=4-m3#Uc74`i^?UZ<!Gi|^g>_P{$us{Mzx{XqQoe7C zVD|jmes`WG1RnY6DzP!WeD>5S6J8hz@gEc{X-qnCYx!C0Z5%ctj~doj?O=@my4Uo< zYMvh}`x`!X{W;b!UvI+#rgg9M40Ck6F3Hpd9|#rpaA=-i<<n-ew)4-tgZ_daChTiE z*309w+tey7btcc7hueANE}gGfI<xanTf=O*f(4Bd1`-t;4}>)=7jigolu?(5gDGjF z)6w(SwI8%^|9tVQz=6QIR}QW|v-H8um8At5dCV7>p0G3&KRVXp%X?PmxbcVA+jQK& zUgXd^U4Q-7slC06e|dASIWhggGP_$0e&G|>7Y5fSHi}kC=Dkwp3NeXwop;Q4^{hq4 zkvyG(2Kss%#l&vBHu3&c9HPGQ&~neHwF?ctE4!D9OXb)sHa80M&Az*S#gc;1_L&zK z<=)=QvF?>lP(kj;=FrKfGJ;YgXB=*Suu{&9f%#yxY#ZZ)1E-Hh*FJ0gbl_*>_NtnB z4hge9giSvyB&o`o|8?gV0Y=SFVapZW)BcF%f1Ra&D6q<d`Kk4Xu<bt|X2t4uSMbR! zeJ>d&{ljDZUM7Y=+t{SjZ~ZmWyOr+zJ}S^|_r86<6ix45sCV%95x2d2{fvv-u7&2E znu^Ij;cU}YJly8wz3@!1nWN|P@{~`}veVP;9=Voi-oDViapI=liR;d!yxcfV%v<`G zn9q{d+8<XR*6dvPKB1^$=3;H-^joPvb?+`!G5;ej{fjsGM7M0_hwRp+PTLlyFU?T6 zJ>{yY>l&vi+b*nkSm7njA*#mcvD3%wi>Anw&Pns+|C~J8c5imWoHd-gRu!H-z4Y*u zIUf6@e@$k;TyA?Npi)gY`ERRAUC)Mv|1&B#B)(rA=-swUWzF^p4uY~Dx38`(E6e+$ zeaI`Q_G+W^@wVwcFRY!s^k)i4@fv$hYujg)yYRhM-{oapFR%NDmd(lhp_6FUDRQcK z!pG|^HqVz{KK3KqX>W<w-O6v7m1;{*&up{*>HbCHGW&al&V6z+{_V+c3wM9x=695T zWf4)`c1^6Y-Oc9BqPfby>TK`v|6N^K5Ejk0Bff#{jl2P?2J^87orD~=yj#{SXN4X! za?DfUXnJ0jJE2qIg<;dZ<;QQY48IfcVcp@=Uth@weg9iv%BWExz4zPBw=S{n&&6Kp zN%sf3uWYLe5t^EH<9AkwmEz^T$J;k%+&q*r^V`%brQ2owZVP+=9KqiI+wz$eEw zyP5aE-dKa>B3>67+JAL?{S>sgjZ=O0Ql{F7$&Gugk3YCOH(nt+z>%}JN$A#mg=r0Q zIQRM}-<zB4HtSl{W_#hP%JM#rfE<%>vGwnLKA$pPdEASwYweUKg}BVL_smPDFt1>9 zlQ*nb(QlJbBEl^DH7VvnK5NB_{yNR644n=y57|7(`Dnx-c7*B5p-0I_S1ejwxA^_{ zI?YgqpTVEb+<p1^(!r_-j*OFgPxXr|6*;f7UswC~&Y2Ix)<#ZC6RmvlAm9$$0@f3p z49}1FO3n6oe6ihEGxW2!=B#NmUE7YXpDAp1|B~wM^XK%=b{{j7O;wJ39MPNWCa-!! zYR<YWp3@slM18Mc%Srn^!_|53`mC;VDTZb<ebXmy*!%j|F2l1nkLF$#^NS5N>pbu$ z__eFtO|faI#@dq^B?}8<P0gw{y1G6t|ElE8efz?6*WA3`rE6ET2}_(he7fz_y~x;^ zbF#R%7p%H=B_yObv&cI-a0>h7W@pXIXEsHKMNbec`eP~YJ~wr<%xW`znbR5Em%~Cr zjV7&GXSLgOYwJz(d3VL<-Zx*i_Qk2mW@5deGuz51Pn~VTSGr=yRuN%IiMsU4ZMPz} zIIA9VQ9HuLqw)Rq-52r`UR-{eCDW_4TzlchpsQ{BbWWZxl6j?ep<O*k!RwFN7lkaw z=9m>m8>cz(_4agl2zX9Xk!9lJFg&4Rl*K31Fd=}4tx&>6QRavN&jf)a9>o>`r;Y;x zj4CclYnd06+3Z+$LRV|aoH+(eZ#tB&|NZv1ON>A8=t_P^?cW=_#dr0dXW>5*s{Un5 zn@Nw?$z!jkuCeI25%%l~|FLO$XYX1cI(P2qc7ZVM*ew2d^G7_gDl_FeCw}$iyZT;x zVuAQmpE)z!zgJ&Nb9LKL+cEu`Y!kQnlT48dA9?>RTK;}U`m`PQBt>U58d%><c<Q`= z_e-A6(|0X%RQ9`Vd**U$19RCuLA&n0LUofR>McTs?@JcmJrNS6xOHBQ!!G+zT!qz7 zWgoshqJ8Q2#Ooc=+V2Is-hTOQ=UaLF(43P!PHvlybOs;4JugV2nSbA!D9KYtw#2XV zm3Vq&%il|dj=$49_x|VT3*vilqqM9;=SgaX>o?t^&1pN7{Fm4Ar#_J9IKQ{v_yv1Q z@%{TEUzi{6{GQMDx3M7a_w1%R2aE0R%FT{Gu*mzU_qVtuN&Q48XQq_n@%g7Lx!q@5 zzCApZd-2>EzaHK?bx}?4)w$jT3kT!1lNpl_8nVsSXyl7an&;xayu4sb%Nd=c_8XQz z5YSoA_An)Xx$UnbCS}qhlcaMen5{5dqPt4#d7PqfOW4W^`;##o_Y~*1t&cjb!Tv+A z&@$A>GWqp{3Hz7)lFU4t$<rFQc!EDiMrfyic9%L&SxCKCZY=8wC!geSIq}eXC8N;W z1;KX|l5boTaN4%@kH@o5Y=LhN1^Xr$NL@5*e`b6*m)R-RTQ2hG1-|_0eay_Uw~w%2 zY^qqNd9h_l0CW4?LmPtPmo6*VpSb*v^t^d*4|<%jjMG}Qpx|Xrh1RoKN<SpR#a1}+ zI*Qso>pmLsaPExE#^o}G{Yf@LeA*!!7nVC5E}5cKFE_o*eg0~X)~qjfjITKJzlFD0 zx?W(jIktH9+6i2fuiOpOUZ#6g>0|Po)~EM4OjPF|fAY9xQ{M`Q{u=eKL47}y48#63 zFAy>4_jX;fqu(L4XpXpe;nCyFvo41^9<N~4pW1Q#;KfxvhI4crR^8a~k8|~^E}74Z zloEGonyxweSYBoRjIg6$XHJz**vVpiK!SJc28*X!m)^^6x&8K~VB^=yWwL7xJL6v6 zcoA!KF7=Vq{xd%tW9G%SUOf6pb+znu`ODQ!N)qwYeqUj}v@4Rovr$O?s)4^%BgbDc z(=0uk1^lJ!CBhPp3o{91wC_Gs-hRmWKxu@w`<e&yk}hp3?>emUwuGB`^&?l=8zQ|N z#%2$sua^DmWsmxk@k67E{rH1fvA<6<9n)XMGo2x4)fUrpXJ2o=a-;BYPwd2+fUi%o zSGYf1seGO<OXBw7bJI?*>G_$I^>@pr&({R<JRE(imcR2qwEbMe-#M-EYD-RcxN3?% z-CX(o&(uYBCRSGECGXyFM1A^o{PXRdo=JArUA(H7GE$4n%w&}&y!U_jl<D!!(0e_c z4$R!5ox4}1xf#WXPG8u2ob}oF;Eah5b?*EQi^X32_{Z~}=ZlwTgw}qO5>IW<<mcNa zX_onEPh50v>m<#0G2W9No!h#YW#*&@4hJ^N#JR}@+*wxS`J<5~r8ex{pQpw$Cw}GZ z{CPax!r(RQx4D<DNB5mzd8#?T{L5+i1WQLXd6}AjEHh;JU$UFeo1povHu%WJ<Du`* zFWP3ceE$x{)0|o7xDM^swAPARFLL>p#Jz(nW*8h=HnG5Hv9A644&DRDm=+ef#&f7% z=7M#kI2aV7eWDJY%v!hEn~~v31sel=(O61qSsr51SkGS887iJt%T_*nmosDis?|HI zm${#jW_u^77@@gxRq))YkyEFd#uo1`uiSlW-_<*3vq~rVZMV`%gM)RZpB+B`IBPS1 z`EI2*)%NE9&)zxv$GqR8e-pp7$&A8HiHokTulu`t|Ns2^)BgU@-~a2j{C?fP{Pn-f z?f-q;zV!cB`F~&K>%Y$b|8D;Nr-o|#b^m=`zn{13|ChJ+|9<$}|9iau&yV^4zRbQ} z|Jc0l@!v(|r|SPcuK)S*{eQ{O_hNe^-<an0luuo8-z4`Y*Z%);7o(5HrJjy**#G(K z?)e$XFZGiXZbgJ0-12e#J<Y1c5xIXV125h_x-xgNRQUEaSKkX)y`H9f>huTetk*(& zXP1jy%QyLF+v?qPw`R$G*4;k?q`&4R-I{Ul?EcW*nt{^w%gTZ$-7;HOSY^KN-m=uk zYCp1LeK+jC9~QLo-u>IBmfz2~FRv9N_2xbEU%eamf3G@q>CvoN@m?lBJTLxUxxMu0 zt$)68J3~u(_nnyPZSp@eF7mT}@zbEQ_0z(y{aGEe)8}*k=To!l*Ct={{cmpdtmN|l zPlp$M_c~oVyX0m6rls*yraj`ls=IVm@BCM0OJ==zxqa&W@Q}Yu^^3ASzfbtH;6?0T zyBD+Vul4fZ`#WvjyXm5#qFa4eo%#^9ZHab$uxs$L>JPf1{dJ39|76~p8F``E^;hhL z=J%P4`F{I{TBK$*`-kwPYVLQq$et?ND;<6%G=#N!&4sB;n;-4!a`@}J)%9`3g_pfe z+a3Sct*Ln2Qg{8s<3;mBRw&1WU0k$d$)-Ji?zb(LY}HurvNS8rb*j+oQ>z31cm_6i zt-AGT*0P_oO*I3)w)tLNr_~g&FZlhc=JNZiJwleOGMlnunyI#)^G$bG*H@;OCx)&% zJnQO|<-d6B!~b4blbWh}YU-UuZq{G;;?GYj@vhtMc(J|edFj>t8tYfC)X;Wcc`I?% zuKj_vpPdVBD*it|_}k^fzw=Wbw-@dG8o%Q2a`wE<@qcY+?GODD#UsD6UQ%jL?3XIT z!`Z)DAD8}B`p{N4u_AbXMTIwi*m}!4ck$XiYvZ*9uIsPr{wfgsVf&YepyzTzUivE= zmbZso4mvpDij#KDQh%$|KRfM~2Bqio%wKijQftDKCtbge{9Acz(-xWcucmVq#5%f) zF6h4h*fw^}AG!H2H*fm%dzVnny8EoVznHG>TWC>{dazJq?K2JDIM!1oqH8}at^29E z@7nXaR}p_^zM5<#@=`dc^_TI{(^?888<pDZ`Qs$(%qyP$*!v*#fqqE0k-GM(FDE5g z6u(|u`=PZeQ|5U7tLZFt(YcHJ^OoKUWSylI7#g#Xt>m6&XO?dG!{pL4y}^8EL)61V zu8J-WeC5k&7nt9)*Dy5E^q*Vq%3HDP;w7YBi}$Jha(!U^_t8V=zmFcvih69FH}AgZ z+(p0UWc2Zi<Om*)e<j{lzdGLHpVxklKU?co9{iovd}|h0&HA|dfb?_k+1Ja|3+&<g zllVdFqx-?t?e=_ewSPQ6Nb$SvwsQWMA5v;RMR{4*uZa59RvVA5|M&Cwt@^+1^39ei zGiKbs@Obqu7mhtE`GUGnbp@Heh&#O}x9fV~m%6motK|PnzKst)tI_tqtRQIr()fy3 zEut0f`%gT`2}<0%{FhDb%~hBGUT}%;4B5HPa@NX#rqvO1U#+vhRwnQI>I~1i^is$C z|8uvoxL%zaBYwq2?8@T))L&ZSQ~rnVP0Ta@bz;TA&+=bf1LuD{sFBt8?AKD!d*K(S zFL4v#?sMhj?+fod;Qq_?k@~Nr%va(rg&$sU>-`s2J<;0UF0~yNuU`BQxm{}#;K(xl zWXKo$EN``6GxSmx{g;l-kNdL!lJv8(#m9T4ZvPLImy@kE*fM)@Yh7S)W7j^B`ej^8 zdE{S<_qqLYeIWc->Ep_}j~{04Yk2DV|9Ta}vPJ8!Zgy7tWq+|ue*Wu7Me)D?7wOJ? z(K_qw=XHA>OaI69&ffDnKi2f$@j2edWq16GJ-2lIp;KS&pT_*MlG*XE{Z7vk^(pH= zck9&eyL9=q|L1Nd`_pe7<BwmJdsS3@VRO}n_V{Npzp~cF{NKE9-ZGJ`r|+NFHvHol z*ZG+LvlmnS5^J?$mHpRi=aj4bH@`dm=?|y(x|79N9-J@rnR#WwyWNjw8MT?0Iu{*Z z9+Ds3xW882l(Bwg{M9qRr<So=IzRZuJ-6+}=12NprPsdrxp<3Z)GP6++g`|~PHIbV zH{3JrYLRul@{H#0t8S}46#qTcd~>tUgY93skL14==ik40)(k&`CI+e3e-ull{yO=e zoO#*fyh*BEU3jZ>irmV}FYL3upP3}>n(%U$SMHh6=tbu157eKU|MdUPK*3L+Cm;Ax zzx?Q~2Tp6#^5**fk+(X1aqpt%zcxMl;kbW=NZ4EDsXJL_S2-G1=XsUJH1nuwgtA`m z`v3gxgQ$=E3$u^zuL=C(sd3cv`&;|kurGxg7dcn`t`E(d>RHD0FrIsz@&D)HuJc8g zIK0gIANby24hQSkKmS+Fdw=@$?5WpNr2gM;>b~@UU6k+=x5n51gMHWXU0S^0NB!RT zFJ)S$POq=q1yu${raj)ae&w%CnL!Evv~x3N8g9Al*}Y3?Ymj=NS-zM1&t137X4|sf zUe*07d+RHvg{wF&Epy2EJnPlDl6N8;`}s~e{u7z<|McyHp|AF@Qe#;eqVR98QRu%{ z_S63Tcpc9^eKo()^wo>*EOJQS-h28=N40ImWuK*nzh<r7?35e+e6H2y;CzdFUV8;A ztiQTFntMfBe}~8_bGx|U{8e9{y<cs<b^oug{XcpaEi$kFan=4`$H}$vzt^lt^z<#f zyz9}XO;T4Ge=Rj?i(YcB;Q7|`-FA9ck}JHJ+tN)Q?C@o76gQbr;mLNiaQYS2cN2FV zsSW!6q2WrcjmlRGxrtRw{hqd+>Qn9+wS_M^ci~;>BIT%6=iWImw|z5tkm1YRxXolj z#%C*05qJI|@fUutgzkFWbq){uezEn+;}<@!I7^his#r-?o#Z$D<vRD~`QCHqUhq`+ zZq{3UykH6&&+&`{g>%@L)H4(cC-HP8%dg~qqh8u+yyV?OhLyi9JYE&bD1Bw&n_MO2 zt`R%;=5mj@4^6HL{yMYIiMegH$%7qk%#E*2ChTxzJG!y`3hTK^yN*}}eJ_}Ir8Y+4 ztA*aADyHckww<TfuiWarVEUg;GgmyG@x^i81MS3n?DqP<tOUHeqgNbRy=0f!3T5-B zI(-EOJpUh<cke5-OtKJ4O}rtV`p`loRnS5zb)tpnMTNdN`CYP1w#qU>wn{Q`yWA~m zdb&DH`Zfyou^e-^m^34y@X4`fw>a1jt9fsK-g{j#P^@icpjcmIpjhM0K(Wr9g1nDz z$UPL8A^dPcguufO29}2&CVRCg_pKD{V_D{EF=>We(UmZBW4}of&)h8}+*)?+Js^1a zg$DQG85-QjcW87UIHJ*g<Xs0_p-xW$hfY_4hfZffMTFGD4~8m-1dngvaA$H>mhtS_ zVo|jDz&wt2uO6Md^US&DbZ#=3)4Qo4r(+X`PX8v4H9Z*u$NzD(A86!gKXQ<xz5TEt zpZsnmnL?TF0+j-#Llcj@<gW|+l6q~S*urC)LWehRkD2rEWAuHo+SKQUCH1#66Dl69 zYka)&w!()yxf3cL=Cnj@+4J_%t5ffv2<@G7$2nj9`^DoYAHR72g!4=BCzW55Y|Kx^ zPx?Dq`tjP6$urCk?cTwg_4K7#!Ina4mbi)RmiZ~$OU))dp164;)7v?>y-Ny;_+{tb zaaUIl*N#y4Uod;J`o*treP+c+{j6Q1*EaX&9(w_avKdVW%z`J}n5AzJdR#0x;YJP@ zleDj6(oJ^WE;|p-ty!*XwIbz%B(AlJG@2^9CT(5#A|b3*q)k-O^+AfqM2mU68wv|W zgHO+2DF2Lep3ttkAHEl#Yc5<=XzKl>f1ZTe{`BQM{P+K6UKBTzI(+22%#6fI+{Ha5 ziH(YVC$9Ybw{9+%O=&=9I^U~@JDY!1JX}z?rS!-eF+ILjV!A2iSHh;$hhKcMTcT~3 z!C?cg=_%XixyYX22|F#aD)i++@xwXnsWY6z<}TB6E=z3NsCQ=L@mAJvR!Wa&yk5X` zST-bST62}eiv@OUmKie5vL=m!e5-<PmG9U4b!Nd--__AqrkUr4{q`=Y|Gs*WKX+pC zU)9dM_jQq9Jne!n&$Rmd<#`}G=aRA&7yHVM%@Z%JShFaieo6g|E0TSCXYYKS^1`sB zs-J~tZsvi)iR-)`2=S`uT|RJF)F`SicZuGHsaLL^YQJK;Mxj(*-_y45+xx&OCRq>L z&c4>FPCKq}?$`YLyoz>jP~IYU_{*Vl*BTPVcrDT{J0$S&s{Fcqz&U)As7(2ZFpfK_ zrJdDF-aWKi`CG#Moq3<;l6eP0g8Y+iRmY2%8huTB^}(cj;r3R8FNz+X8+_xr6JLaH z`2XtBrmU?;t*5N}_R(+0VP@MlSCfPY59U5r6M-52%$=1c9}K4VOj~TelKYENY3IBp z?-sJJ{4L@0s!&JuE6cPARYKj|UxjK#o=vbi{NqsHg(@EXduoh_y`>8FENXPfu96qx zf1ztrqO>?P`CYD;_zvG!Sy`&3{BKYGs@<ae)k1FaSCtPFu1v1@QdTh~<Td}^t3O`; z;q#T)C9p*0#<JC|1|`+cFE3*IsZ#1_EB)^JC#}-6I_nSJ`Oe+2D@n`X|6l*E3H(jn z?{^j$UuoUGD>XEi=ecgn!y~sBt}bdjQt(%nJ86elUXoN{%+@!a4`#J`#B3MfH`h6G zT-%$a@XeM9ELHoxC#&SO@b|p>H%ls}R(%TF)hXthy83+oT(>W}a8l%i>WerLFV(Gb zDUOcoE-%(7@d@6+V#~cPJ8-qv(Usy~v~K8K@V+qn*1A2O8)7SkzbxFQogdV+&vjw( zi}p`RrJsbfoa>#QN;s@wVm|!$lXK-s{-=gKN~LWFmz*<fxx(5uX_t$1(Dx52H4C{* z<o!Ku`_dnJFFO@@d9g-K+EUKLJ3j=RoFFmpw!mRy?^>C3U6%(vtsZl>3Ggr1Ida^S zyYum)R+SHzoF-KW%DHB(3tIf*rS(ts6(5?Hx>Z@O+OM6kM#Fi=!=u$RrOib87A8;Z zHZCbD>O1GBcj4$s)nAr7+a-^E;3@2zzU~_Lo}$FgYwJ$g+LYzKUA#8?wZ*NS1x#;a zEjs!5?ijVr)LRqA)fablyO+4N<zXT9=Mk@-FrA(gleAO2X6wAd#I36e6FYb6y-A$M z{d>myLxn!&4_U-^6$o`}%-NT_;Mk`M@iYm)uUTTp4Gx}^RI{=?uA(kJ<INMH;5l~= zhlGE&aNDurfQR@riKmC;+;(s1H`J3k9wF|RFo#>+@J!>?h<VQr@oZlyY15q?@%{;q z_1rnh(<gJkDJ$x<y`nSop^S7)M8aZj@0_AU{@JlNj*E%+C9mdI-*C2d@{NCSb!Dpy z@A>YtegEYClhcP1=5Dk;^Tl2BXzEkZ(4#kg&+DC<5MR-r8FqKmHi_MbBAw*cB=7jy zR(f0L&4&EwMcej%b+cG@#`mPx8~qOR!%<0+2fdoCr%j!ao~mnje~0<fh)7S@wdY!X zNtB;4xln%Z%ATDI61o`-bWA2)l<7#E+G?<7n?Rqbn9_!8PAb<TJKAMSF35CeC~TO) zCgh#*AW@UI=FCz@nbVmQ4sc1SY@EcB6}Q`mxlKD|{)?S&*0mbk*(}iaTTJQ00Vfr? zsE&5`k_%a>e#~19mN!OCzwDrUI`hF}HQq-%T$@?+E-G|dw=PhT&{oepP_SWxKa;>= zP3}aCTt%659hV1dT0Q1$7T|yWa)Bk^MTZ9lyefSc4jc}Y`eEVLY|(dFp;6exBdM{_ zT#@-o#7>92g~v|0Zu0*U@$#FUuLN5J$8iU4L5UPap=RA9hXo%0i<(yOWl5t$xs=D3 z1&!@-CLb=&W-I)%(2=P&bArqM@1NfrvMBsWap;}4(Cmo8nWifjbJCr8&UbMIdS=C+ z&-GaQNY|r2_gI@moamg~U+Z?>3clXNoyj1vOJe(vB89eVVuwDQ*s*Y;vdrwB+x?b{ zHra7cypf<NcTIYG<>r>f#&@L}{Y)mj@L=Yb&3LfbmiLO*d^XN4OC5!BJr?vmvD(!5 z%3_`BZ<q6o-a0Lb8(UX=IpoA57S(ZtiTk6$e7-1EZxewCU*^tGlMfpvv1xTLY&?~e zG2wubl*+~}0#jI$Q{{Li!bHA#G9+0fDDwQ)ZAsqT%JF8az}8Qj1o(HqTwvLE+2Mf% zugWnA`SjGrU2&J9e^@v*Tku_0XmmF5c%;C2CE}(l^VVCczg_yLP82(2aK*`@Eu!N< z0{29T1Vy=H7afb=ENDDXCgqW{xUqe$NkDd@)RB$-Y@9ty9fcP6@b6_3s9Ck#?R1KC z(u^d>R~dd=727gdv^?Kt@8UH)vqfR+`be`Q2EX54ShQu&mMxKmzOHZelV>ES@=RXS zle}mur_TnX&W~Zw5+_XkDUp_1$Ww3qpPB7!Q?;n_A@!VJpD%lD;agspc}Xaj%d_)e z##W(R-6g8?q~@1v3f<wA{9E?onX~KF`%|W0OS|^x?S1vaZj*Nc_oBZU-!^<0mTJFw zuAm)r(fpfy=61QKoVD(5R_t5&kVoTp;kz7eL5Xxlp=8}7haJ2p*Ys@55k9WL?U}Ho zRmJeo4+SlSUF+NTO#VODT=%)%xwS6GzrWq0YSmi&{>t*bQ(yQrPkZsH?!~snA1w=1 ze_2<0^XOX`2g!?sC(UZza^%Z*<N93_6@SiG3|}RFM&m~AzNM;ZVj=T>&Dkjwth+=t zEx0tzzG>YN8TI_m%8;{9eXrQ1M|ybsaDJ~&b1CJ&KX*-T;Og#OA15D{)(l(4ep^M# zz=wx@0-Isa27$J9VuuQK_AKxe?Bo3MkM-DPha?$Zo1UeO{e~tT$tJu)60XfEZf)1w zxlGpS<OKz`E<SergJwve=wZ{KbPK0fGVPwR4+K_v%cy*Lb*#6r;l-y{(_T&B=X&0% zdB9)-n+$V?!iD*4LVtVoCoE~0wRBa}iErYf^VCdJuj(e<NLS>kKHUA3r!#RfuVKy> z#XjC?v4w9o3N+poQ`&gi$>z-#0eNj5mA<!PlMaY+dnOeJCiedinEdYJ*CT26S6;}) zrz>W?_4*R)khCM$nP+=W$Kz$G;aNvB6|+ueDrTL{RLr`Vsc2Ug;UUAXtJ1hzY|@dr zi!L1f*8VAJ>YaNFQrccmSu?G4icZ1Q387pyt&=46f{l)=dWr22m?zQn{{M;-=Px%r zl;O2-Ykl9bq~QQ(6?f-klMe-5Y<7N^75a=-0``_nW8)FeJW$xr#`HZ?;bQ}voZQ6& z$CIUwd{n7vOWj{?sy*igh%%mY`Psg5(}Qgiw{8d=|69;D>#x@}9&Z7r%epQ}vsx== zWGUJ$i|~+{tgF)KDK_cIkpi_jzr3#P-ELfeKlI|3RPDdlQ}s93f1Da5VOE==B_r+J zb)ap6(#C&>&!k!`-LfV(GI;5${eRh(8sAYY?c2RXub}^m>bw0HH@rB!=3L3-b#(%h zB(Bv;OtN^lM}E?k6}-Du-lZ8Y+%hHedb7F5fe#bdWco7{KFnYfGC#BJrTwGbIoo{y zi{4i@&iZR!_5IAYm;2vTOO&l#XMFqErrhc*wkeD}=Q9p`oWsVXpP}&aQjT=^?!777 zdRNQZ87zL575@G3BrEenlV;67v+ZNf?YNnYsSM7q%{>oXY-3}}%~ZJf&R1D(VOjOP zoY?`^d$Qfu&NtnB-X_oK?GwKnsvj@p%s#Pg?Ow?MHiJ2a(sLPQ*fSIgCa?*$pLx7& zzF76$oY^7Pdve`$zrM`h=DTX{9j@Ifa<g+M-`pl^#(aulYu^IK<AG8fGK(9J6mHI) zcPp3O*u}qISyiXd#_;yDm2+))y@k%7*;aXT+gt<YQw(jBO&(adGB;i}nQ$Y2+u7^O zyKf4_%bOc#{oS!xJkj%kTZOafoU_}0-rP3Vl=&3H)R2n}k8OBE9=S1hcBkEb^lOfZ zbI)qoIBsv5^=Gynz3R8FEmml)y}>u>^V{A|k_=#5(K3ZiCOl(7nKyH1cG_*BtnImH z1%$KO!w*fGwe3vc%mC}1B4wUiOm9y+vF+_Hkf&`HG9LFR{&td~G0<d!MDDrCo?CBU zOY895dbm5WbMf4p+m_f_9@6XlmUes6&27DgAU|w0c_87=-1yOC!i*1Tb!|)Z@ACKf zc*pOwZV~D0Q*>Pr>@m?IKP77C>TgHPHRmP@tt|FYD{T~9qGx#3A}S_5O26mvfzoBA z=PdYQ(xcK3PdYGj!;)F4XB2FvXZjlNvf!3jcG+R+8xw&Up3I$*X}7;TtjgNvE5H77 z+Ld1ovW95_>-ul3+~ECa#m#MZ3}@%EO|i}>&NyH=osH>phJxY!oZ08L$j#2ZJoB2T zan{^SS>xNwjMv^xoW3h#R_^K4sM<3PMKi<Pr3y+GH9DkAd6XD}<lED3mn<{A+Y^-@ zrG94HOP|*%5tA%Zx9LvLEH!05l^S(7<G{taY)rNp3KuVfESNU~WI-m#g1MaDOqb8d zU6^^zIJxY}PM_7&B?I&>zw%}7<1-O>;mzDx2Qs_#8p!O)XI7LhE7f}=G((`wbC1#O zX{WdRn$7ssXf3130|^i2Mn{tg5;@y^)1%tYt|(n*dJd#V{E%Mb#>D9^v-x}fJ~LXY znC7t4CtHKpqV1wXf(5V2GO$ZrK`zlXTYPQiwWS-p6N+vu74u8GT{JVyT>0e*rnc~# z>TjeP9;@<R>6*eObUxnv(Z!k9QbBsw8iDk5ZBW^uqvKg(0&>y3nIIP}Hwie+E5-4q zs+%P%G8N>ea8STxFO%GQZp*s1Sf=hndY#<QDo&?H^`G%5o_Wn#YQ<AqUKXnv%N_JC zzxv?@N*MX#y>mR{@2=aMuO)Ncxhv{wi%98H6Qi|vrmme_sIOI?_}b@{Osq%j0_&CD zC8kAn3ys&#K2|7Tb$M2{4EsXkwb6;w56s!%{iv&Oi;LdntlW}9F@r738@-NXDqNVx zmi5aB6n6)Yh5Ekm$({ywSZgd3_aVK`)?*sNJAJZugQ6nJo4L;v+6GCx{bgmvxt^%` z-G2`Yx$obgvf)zBY&+Tf8OL?Y?bewvpPD;CJLAB{Ic!;v7BwD`*_>;2`BjeFTI02< zX;-W+zmhHEJj1a&L@8Zvd(5(}M|d=*XX-9vJgy|gp|hm%2oqR&9!U90uyV07P9M(Q zDpj*V3GegShN79*Y@}Aana{@LovE<#JSZXUni2TgC%Z?o^h3n<4c>EqBu;mc-<-SC zCwo1}>9?Gj`|g?qgquovyfFd!)e&TF6xfQTiJrRi4^2Aa09M`(QXb{b+?Q)2aKnqa zQx}xzu7cBQ+H8;&2{%+XsJ)pakpfE8xn`h5Jv-yT#))i9(isXH?}6O%YZgf1B2YM1 zwZt+#KCCzO#LUkrdY5PAuuVC=#qCl<G7E1=n-6nmBFJ>p1EA!&7?e`KYP=EJAyc;U z@*$hNoj%zsK#q}eV(vR=5}++D<?+S9NVk@AM`lXYFRlyPOA5YlYBHVg_3`%qAKmMt zZT_3zz-Y;xC->)Hx!OAA%2lT+SFV0ZmC;>kyf)Wux%b>mU6V^%D~;D4P4rA~jhM6} zbxx<z^vthjyDYdvzF%xutj^2AcF7@W+fB2%nRm_38Ll*5%M1#pTk_LbzO|`#T7xpe zH67yxG0RLRv&k4|C>YFT6S@pa&9B#iG`%$h<$-q_mgwmxdOn(Wb6d<Z)2pDk^<Bt# zTtkY(XHnx3m(96VGwzz-UUvMZmvNTug>7xBjk<}RizXX3pSTk-JArMAbk5_<1BQKU zOv#xFhQ~nR**4>`Z+et8D9>-TeIpbhU$*k(^_*zE%T)<$v^G2F^7M)pixr$%))*CV z(IM$ww4069WvNV1@+k$mKT3Mq6;W#)&mM3&@eG_Rf0{gqaA2OQc-bLoBFO2y=Acv& z3RW&Vjis!`e2PROD28>xG3=eOAjn#Z<IJMQBSoOZDV5W<!g%f6#LndK2%!k+X)51l zfRd&*s7$=(B~`FyQKQ$HOoffl*8b66E0@RIlmG6;#cdaNNWDI|O>+rH4xgsb-(Kyq zyd`UEyDwd>^nWGu+A~(Qe~I44iy0H;QvUXcy)@i*w<C;yqpYH9Lb}Jq7uj1h%=aCW zocN^ex<XKRrRYj-9>vnmg>KznF5JrA;?cjR*=<Wzf-ILN(`~1&sNa&hr+QZ<x~c4R zG@WxLRLtiUld@;5Z>U@Mmxf#UTRi%gFLm2eWg*K^$->tr(&_BhU6h=;MbI-(qgS!C zZ{w16lQe^-OXP3y(2v+9x$))KQ$~wjt9EWuS;8U1m%B7={`b${XGE{|F;F_G8>%+R z>bOdf_za&{Lcu*^FH^nJmCg2gS;_@jh)L>R%ARsovHu9uV%MFbr$Uq`-C;_VT3PI) zUfL+RM9=W^+B4<{w#wOjR{Q&B)hUT-Hx&=R+-_9Q7{Zaf<Iw`1aM>x3r!;fLlm~Q5 z^SyewY_FqC`kR0UYh=CVY<A{<{`SIgR_mU}i)7UbPV!COk<+T1yd$-pXZzZ}%m1$^ z>uxs6DGlh$<<r`5*HN|XhV!!>$?Z(#Zv)mwyt#0=*80oG1ALDwGTJ%cY(A`FCVuFP z@QN!LcQV^~7T?!+v0ta>VL{^iG|j_;>`yITEnqq>6Y^+BbCpG6{)DLFTMG}!Sx>o< z-7aT#b7BAAGKu4i);<pw$f^~d;JTV&liAJ_yY}yL_s0v(-%feBp}9z=FktF)%N0j9 zny*z{{pTJZYuW9Er+&V@a5&ET%f|D3k8k9+bINQutirc%=1=D{4A)pJj8CrmTz<oy z<-APU-wi$eM)es1k$0CY3i*GhsC$=C?d~^kUYjIZBrD1+*L8U?rPX6jjqT^{(mz#x z?EB9t9VFSqTPRb1x#@~e!fmfd8ogi6ZWS(R{iSx>Q#vSp{aUB1lH1m*_+9q6&wkr6 ze8u~A*-eUl&1NbE$F}KrGJgsCHSKDhbkdAmXPNYuwJ)P1f9FK6%$(#_wR89JHi@#^ z6Qq8cEqNv^9kf%p_lwlmWxR%WHYrZ!yD{N_t@NZF-pYG7?8$ZJG0*9EEK?isW!C3i zx!RrCCYM$pTy1uw;AoqL-t7qoRHY~F@KD~{V(q`(d;L?r8v@5!qg$D>bE7@yEZ=_V z^}54mM-1+^S%_WweaU;%%57^^u1);Bdfwh?5gdn;xF62QR%9~Pbx9JFjQNoJNYLzv z!SS{$lcv1sco2Q;b56A9ndKATb+Vcr*>IsPM8ZsE!;HRw@5h9sURKs<#x9(9eELm+ z<3-XzuhXO_MR+RP_OIWh*ml+I$i`!BR}?lXb}r2iO7DHw^YzKkCBKuJTMKfw2sHYN zDQ%povP*tjL`Rf%R7aG0R7aF@RL2pnNiV!3^|=!z@)Ttb>$oJWX!YPx?A+JIyG@|Y zQS6Z61t%7^hz^(fNiVn~?70(PWGl+l>bNY}-s<s1rE_0d?-qf!K(RxHx13mxMRXjA znDpZGH4E-UiF`#FVI7x*MXeq_N}c<Xe76a-X^I`%*dufMSCQ%2O}$6XUN>42c{!eC z{nb>_{TCMhi}+?&U>ErEXZuWb)6~+f@0I1-US|fzMaD&)-e4m=)zcy@qT_%U_r!>) zvDvHse=W7opDKE|@y&W;v7k2BoAOF389awK_kU3{aMl-p_TuFsCR>?_D}9uIcQ>|% zBs4a!I=!a3YR2;gc4}4`GQu(@jd%HG9pTtyy=i~y&AY9Vy8<n~d(TLYosr0M_4>=H zWju>zL(UqcT+LjyEL}Y9#iK(q=_`Z{npv*}+s-;BvtA}-LGR*Fp|!GC4l}!-wcBQ$ zA#+^Tr12l$tRp|(=qILS+;jd^omS6zx#3{)!>W|}cSdJ7@lQKm#59%VeBbfTH|x2V z1%9x2BXMq`)#0CSCcE>*#wunWFlb?uan4jQ=wTD8&3uq3Be^!pc;c=oyGgrd{qVed zc)=Tg^QW)v)F%J4ST>=GDcIAtGZQ>Y-R?0rF*eaX!?w-TB*4;`*COnqLu_7#LSYx1 zoZqC2MLMdbjj2oYHnv`|%~30rpY37W*Sloi0k$Cjq}*?vXCrO@de7+AZAlb&^lHj2 zi|Uxw#r<u){7UJ=kKe54e)gKje6#;=qc0NME;S@_^IDi)c1Td>RWZA8;INTVRA2iN zy@L5yRNqb9)xLYlyT^Phj~C9qB5dLC$}>m%iuaem2=`Y!+1%DnuMK4uG9Gr6D#%&h zc%V+o<IUp6_Pr@vWLA5|CWx+FJ=J1mb%f(9yK9qo?fNpYis`tAZRg^f>YS-xzvw4^ z(~_{C8Gp!TW^Gzf-xQYHb2bW?wr%n+@|}Ez^=sI-&b^YBf4yhi-sInVs%g@L=?2@= z=AWF!cJS!;yo%#Dm#>Q3B+%A<bNQ+^4<7&Aj)$E~?MgRp7HGUIw&+<A_s4>xPBkSQ z@|)f)4!#}b!E|1CtLjIcnuMT!zqd1LCnUO^`>lFr@k36NuN)yVoXaO26ZR`qsCnpR ze@w)fYg_ij|K2k$b8qARBE-X!zU^4Do1wyQVNo`{gJ-@wJrDTcJ;Rt|>ceZhTeXh! zI)xs$yrX|`@wp@m?^it6J?19cujGECT-wRF<lVwpi(IY}`F_uO8UI7hcE4S=IX^Vk z{_V2u@XhqqtsXqnb2}bRT58w#R7`2ZF((zX$d2}Cu}6;^TUW$wn82bJ;UN^hsXz9# zkZqS=O8xRxYTsYxR9Qwz9&YNFnUPrZ?QkR)^7yaByC*`yF%OG-3KAP9>ggDsYPIbt zOO#KJvFO{VH|Kzbc$l{f`?d3J<%w;XeQ{UgJ0)H{;dwiE&f@0D+$AMNoqp>+ST5VW z;Q){Lv>7iC$))Yw(0@};=D3WwU&1<Wb;JHcFK;ttttl%@Y|{%_pH|3IJ7><q^^=Rg z6c;uA^INAUxbB1Hu3Z}(%*Cg@cy~yyYR88Dd3rML*5ZB(rgN)byxn*;LiWWWo@SZ7 ztB$|w=8v88aOvdYJw-*0*UtU*-cs0l-ta~%Yuk<uF45xOKKyWI|Mu}n>*I=7hd6DD zAF9l&pRu!b`Y)jk(~LhC^Q_*y{V})Z;aTR!p~4|+U&hWzoXx#@>QZj*HwB5Ei}l_- zoVqwxhFc~iVQ#b6n(_dCX_+g>-Pn7RCpW8Yxam0gM$+=E7u(L&ZQL-yXiMekTT`nZ z?A!8vi`@3RG10{(<%x}QdO8;`3tG5Gvx{atUm)~W_KGt*``3#X9Us4VvVgOs?1IWJ z0TW}#;}=dlN>&NS&Rl93qsW&mW*k$R*q5!RQ*gIcHE+j;_Sr{H&ORtpE3;PY#p?w^ ze`T&X*Ry{$JmC0v$LkKuMsL1ZM^de?#@{FpXuJJw-IeCAo!rJYrHOs%dO9EOw5rBg zFg@S#cmb2RY{;W|%~d<zFUb1%YC)k*$pscU%M79Z`+v5*SUqXh`~9o4gKn={w`$k2 zZ@XuGI<|D`{o}8+R@ZB+KX|HtP204yvosGo&2C(p9g%9jBrD=e;0Mj<TM6&#G*1TI z)>V#3TYlPe+O51+!GP{p(;k}XZ<7u(U9(NnXm@-PNcrc$0%uQ2tyNjCS7}9hR!)}S zs~5TBXn1v(*ebsqHM0Z@r<?;p(m9G|4=lWL4g^c*n3}KfY~6DIj>6JqW;a}O4iq%q zP>{Xkm&Dxn_z2s}3EPCFIb@X01Xl8Hla$`FBIRjls$q_anaAptynKSv200359@`%( zY-BucmDF4!%_ktuu}0m@V|(KKosPa*OMIWPX_{_5D3`?Cw-sdCHzDa2DN{ifyz9TG zUA6hi^s`A3fs?C_8(9ABOZNLU_rRtv)}5*^%jLqB-TxUewNCu_tXcfs_d?&l>e9|m zo)Ixu+mPq1b|KGcZBCx^+MYcpv@0cMhJP~DS}$_^MV83k_1>yibys_xPL8;GMnGcP zY^LKv!2&b#xP)H&96Zby{9)rkkw+2t*92blFLH{V`tRF=h0j<Q9r)*T@%S<)!#>fo z_w}oyyR~*kUD5eGFVX3n?eQINsvAGv{4ONC`Ms^rseRuKEq~kfX`cPJ<HdI`Y4erZ zy6aczt`GdZZtdlxU9WaMx^(H2nC`2(i%UE+OE0HoO*%Zczi#=9+Y@%CcF*eByk^I? zh}Z}6R}UZkutQF-PyK5Fr_G9X??=Df_s4!Q-roJVY>VfEuq~dC(zcvDd^clqfAz&> z7Vq3=3+)ih^?I~$U+{$dtiY(4qp!TWXRqX0EVEpCMRvZT-fGRia<S5Nv0ow;S8L`6 z#&zfMo~+7_S{2kCRg%9#ZH3dFMSFy7Ut~qsgkRhtX8ZDOR;a~ZofT`C_U5jTGS^v= z$^9jB#iq4RS2oRjwX^8UQmGT0Wv?$)yjW;+weassu}>w(c^AAsTXH8sD^9ND&Stih ztt<Po{qvVwuWM#q+4nlf`Ra?WhP@%jOBY%7rCY62zUnaX?T)gL<DDVLw=T8vTVlPA zH#UFS<EUU?LC52^3qT_8Qkjda?-uIoc$D5LmR@ztVAW%n3x)pD0<!8xvfr05UUZoG zcE|B60aI7@Rl95rSZ4iB5oAPMpzlP-<GjoJ+I`CJ7_2&+dZEx+*Q4}~fb^<k39BBb zTqtZ_VeFS?m6z~hir4oUbw_QbSO1YaFa0m=qWRA?h5wdr3W?rk`sePV`L}g@XTOLv zYg_wuqv4vYAJwk=B{RDdOZV3B9Dn`vu|<&n`~&x@eyZ?YKmGh+z}^}=zH6t&k2hBR z6uJ?wA1@UBs`!S5+4bdCa)-ZE{ghaC_4IMWt#NjZnqNP8`mCGozjXT7Pd_CB_2XrP zUp@6ssM%ZN*|Yk5qVSE7`LA4fl3zbfj)<Cn*DGgD|JlQBzi!m5S>LbQSNe6Ms=*#d z+Z@j|nHg!*maSd1YSFeOOx}xpufF)R@${7~J6zZ9Yrp(qdskhSdFb?&p%2b@@E<<p z!GD}-`SQrqn=T2RDX+>pRkuR(;GQ;n{a?3UU7WtzPyT=1#r#OwO74w2dF^$xCgfk) zJ}>FUy^aaCdw$KBP`hQ>ox&{(pRn8tDiV4t^>@vS(>6QTY*F%1e5JM8d%wQE^Z$TZ z6QBP2K4*EoOjGF5(zC0wvzoo%u5uHL2n%ez+IwboHQ%dukx7e9pB_z(xO~DVZ<EQ? zC2Mk1<W7A$rW-wlef5$DbE||8cX{w1{^a(o(x)P6hD2^q_TLQQ<0~>IA9#^5`N)Zk z$?Y32s>wfI<XhO&X{KtRduz&(FDDis+NiThsWfz+U~bY3$=pXXM015^$mUL(A)Nck z!2H&e<hU)GeLpWQV-a(iJt;z>GK6KCTi6o4UEPa(dAgOqt8Fy8^f<9*$?@Y?Jp5&X zm25eE`o5?buAI2@Lq<&Soh^IT?b)(r-Iirr_AFbri_KX2hR&=1Q&QcSKQjeqWCjLa zU9w5$vNQLAIU5T%&icP>r@`SpY|mT|9x+@~Ues3VcW&dgldRV)b{@%6|GweW$=Wp~ zpDe;GDw&e!+H@XV_wMP9cei4E<D2KqNn9|wn5UqqvCdEL;`NiZUkXkA6J~RJ=aeS$ zN5|Yao+jRxJega4!^PIg5%&(9`B1dusQzj%t*qo1A`cT@h(3JqLh_-&3&DpIUfeq{ zr-;Wr(zi5ts(pF)7lV@AgmWib)Z0E@RBJ1|sMe=AQL(V3^9x5w-xrTBeP1eO2)+Dh zXn9Ha_!19)CShe;PoIp0b>7^z`!&|B(Ksyeda2XTx)tj*yAw;Mv?hj3X-!U<;+o(x z#WksJ%F)9YG`jl}dG~2#O-Wy=p_?bhJx$8kX}jR%C9bVeH){V>UOdjaLaB|<Bq8I! z;a2It&zJa1U21u_v9-WvlR#s;n9|1sPBwS83&`v1sPs8b`=(-W!D*6&Lph(jb(L`G z#aH=?Jb!gs7O!vR__9&JbdBy2XLs(87cV<KdXcEeS+aeC%C3uZl`l-Y=dyHPtZvIf z?%0_w(oz)}zRdFLr6wu#O*ip)Ajvza!mH_(#Jgq|o^pq+0skFeL>Aq6wV%T_T~X$_ zuFF%sCzb2|YkX-+mFAzWbL4m`cjx1-R+WOQPLnJyH@uPQYcF}eBCvSwI-lNI(qe}S zFFUd1MRpv?<^EW3!l~xXrU@2h(H>0IIx3xeOP+JsEU<R(omE?snsr5Hf%at3)a&(( z2gzc!tBxDaX5&1w&{4?RS>9%;!>eZxeUjJ`4j#=GEBu(Wvio{Y(hB3+kF%Va+jc(5 z`*Jk9qt$?Ct3cmFF{OewiMClDJsWZ!CoXCIA(5(B*t2Z{%drR#p~o-IJ)Gg5zo_kD z1$W|%1VtHPU6+JOtsXwx1g3UIb@Z<k6FQ#yOJPM~#Yv}0J3Qv>@rmEF?Bedg!ylMp zXG*m_e|btp>_Yp2!%k8^B3zm+#4al|s+xEt>2O{-`dY-0-JtfPm8Xx1)R7JMog~Vl zIF8qH3+_l)6e`y}a#)u8W8p=oM-eV^hP5AWB`bQqQR`p2t77hg^2Kgv^>!JVW|c*7 z953S*jL23LQr9_h*pvHX;Tfk#7Fmj%Z#GX*NxLvtxnRya8Plv|7pATZG@iB2=aEEh zU6q9wv%GtT!0|*Wl?}~oo-#bO*Hu`9#MfVb*fD$C6?^4(iJ=uMG9PFbOkvu`<gOtQ z{-B`Z-$jMM<;N~Fatq$@4_zidtGt6vjxVTG^=sU>h>in!tBn38?5Q>Ruwmv3@Ba}7 z$N9JgZ}^3X{qg&tCslF7^XkzraoZv~4#cf8`pa=8*W|;7sjIqQ?~U0i(5M}f`s?7l z>zNBK8L!e?_`T?$6H8y<)R+0s<CZlZQI)FL;qlhx)!q5q1R8@wQh&{y_dG+Pa2lK3 zxd7{rU*3gBbsXSYW%T#PIbo9z1#{Rq=PWOK^!l#;c7evFAk}lFGan=h^9n_{y;WIP zzW<mLOIYyKm+WQhmN&MSns_AWZWT7&Yw#t@MY!*t*rIF8#gr~?7Zhxmx5g}B;>(k( zg!j5KF|U}bQhMV2%3~~_ZyR$9R%9s(`L8(f<;?Z@T|Vb)YBMGr;7(Hi6>cjj7t!Ih zM8`!n$R**Rf34lJ#&&lTj|Xg9g_kk!PF2ie%~NFJUwQY%&#!vCA$9J}EP27!53M%j z9eH@YW%24(juJ&apAX8jZ8IM%Wakxn;rG_%#R01*4tI8L!3_VmZvNNiW<E%?=M}2> z`Ek2z{L{ui>^Ir$12^*cF(0m(A-|`ESLn2|jAzWn`k1ux0-XYP#SIs7Cqz6ktB81G z_9Nnv*^!M`QZL*Oz4h44U{2xJ5Q77Ht`;im+$<*Tc<?Isugj0i?<}sC-pQR{@zSiq z;<ecii|1xX3h$*}@Q=Iy%FH0A_-lwkwoFGsflN;UhfG(2hfH6=ilvS%%AMWol2^^w z+<*73G?Vomw$8bE&F!&y&HZ=toMozG+5YPMX3S$gylB2fmDY@cbQcSYW$qTBb&e{_ z+$?N-Itx?^kCpm-y?;~pPv>QY4F_^3%y@5BG2^+}j~TDcju;+Ky|ACp;B;=njd!m! zQ#%qpTbdJdTbxzT3Gz*KaJNtibG5Kp(^H^Qc(3%zSAFH2;_uTBgl%_B>b|`|>~UUm zzi*zijC3s9-)<h~yKHUq*3J9$CGfq(!-R@o6K@~9Xt#*3u}qM!bDJO^f3A{@XH1c7 z>ECP-+dF?%FWk+Y@Zy<S#fw*FKVCdCJ96=6>V^GHAMafC`I{_pKF0mbb@$pGtMzMZ zue7^bSX8-Mu(Y{YsIa+P*zj}}s1)A1E1Sjp;9WptVP5l*i0uatOKd-QJY&0JUtpdy z(_tw~UQeD<$uIfSzm&dp`rqOyA0B`FYvtv8Q=N}~t-P#v=-@@OLkq<=3l@58>05F5 z@ahh$Njsid<$rm<?MvDB=?AQ~J1$zSefg4k`>q|lc2>K23rmWmg<iZcQ!zYJdhbR2 zoXS^O%l<u_slINX-;2*_9j_}`zwcplx3FMywP0a$v$*m__F+Kws*Y_cg{MI3Z&$u~ zr{CzF*X$yF-SBex3%6aoc5XX(3wg?=uhcv?Q!zYIdhf-3&RtKkmi^ngpnKguzgM51 zxwSMuOlxU=9M<CepsdCDkzdQ<!*@B{h16r8_x|f$!u{@_ki~m5k2%HCHZf(=H8F+K zk9NE=Qz<x`>yfnK@gB}Pjt}z|w>@~y@ZS1Ylys7X$isvif)5{92t5?Aka{@5LhRv( z0-Hk<kE9;26#m2Vh`GF;Q>IK>rEC|kT-i=uyRzN9g>MR_g>JkyQ`vYvm7}ildTxTn z%U9XU&d<Kr_qw@kg^cE*gBQ&YDPGV&q<GQ((8I)x7Uj;>>pr{PUug66oqprxyyhd4 z+YcTt-G0!${CH^FYwIbtciLF0cJX?CDU$qRdoF#Q!dKgK&)0o!d;K}hrKLGBrKS0C zYx31)vP}BQGD7Q>WaOgUk4!ugdA)M+`=)&b-=}w7&Yke$ft`w++m3aDzw|Qfzw14E z@!m|u;6ScN(t^_q-Y+gIl9rgZ>sQo^&uKv|&51=V&B<vk&IvUw&Pio0hYvsEa2I+W z^SpQ8<y~j)#xtG1%hoBH*WBI+a&>0x`*n(aym`({!gtzK)czg$qrI)BInGbEps4S! zpPm7qo}S^oleIqJ*3S;V*Zr23$3xV`C7wPJnmp&uVHWl8g%>W?TQ$e_+iU&0YjbbQ zqBVZv+;V;k-R_^Ns<wP~^|tngRrlgLuYUbjH6tj0egDO;-;NnByLXQz?dt8>N8bFZ z+UfQF)!Wn?LHYW}KUP(<_FcKHy>b7&IR4+S-hSP<^4>iQv+K84i{*X&rdGIjU!36K zsa4gIdWUOPr7SdmpQtA89NA(f?a#E6;d7zftqH%XRxVSwH<SOjV&%WvKfm-f8Jo2A zc9a?BtZ45}j<t<p%6|QDQUvqo^KqQw$M0lJJ}@U^@{uzcliMXPs>xqo>|5y5ZKi5q zd27m%8yDh#nq>d#?s_$8*CPqP)_odt6?v{_1jV(!UZ}6K+|zVgt6cna#$W8KH{Rh3 z^%JhTme76c(X3n3&VI>UfBsS+@7dLna(SzMp15%TU&_v;=Q?Zl>|#}mikK{Bx+5!j zt8Rhu7lTDSzxVx_zI5u-qo%4mM6+^_U0k!{OLfe~gR?$8i@13~=7Qu3zEyQjai;I0 z%I2A^`0(z=YK_(FwALTXtroqtV3oz~3v<?It!}=jH)s8o9rv%T*caEg_UkvUoR!<d zlZyB5l{33~`*m{i-o0Ac>$aOW-US8WmVI$k<z-fGpZ>65?_QbqS8qivBJ$TCX{@Ra ztqaIs-@o_kw__WZ-@C_h?fUK6N7ntS3a#6)`CeR`?82{eze!F1Q@T*SBGdWZB>O!R zEq{DoC$@Cu%d#-$V)livJ$W|YWoxrsn4ZzXYpBy(V4%}oP>|D6z;TEBt^$v>$~!j; zn>#%PKX!;d?08((qTDDg*ry`bYP5HPv#Qm*cLAFZCx7?5GUtQJp@Sby4k>=nIi&c} z=Fr23t}Ta;pXP9vS+69+xux&LMwMGT&X+W<e5ZBgLZ0-)gpB9+I$hYeA3V&o{orw@ z?TUS_dCpATciU8^wajxAHd-=o?xlSP{kyunw7s>oUweJYS9|kIW&fFiqZ-9AW~Z2@ z_iQ@iy7<k*roeB7_cV?dnudoN$MRh7F*>}{Kj2H<u9(&JlV7%J8r(UPdvV7OFW$Pv zYuc*vnE&4WA@Obo)8V`RQX;hz)Q=PxEHRMb(v)d;?uu$}7wNpKc=Yhb36CCEcyvB? z@$h_*;^Fyd%1w^G>SeCJddr=;4$qu$sL*T)M-0EF&|P~|QU1G5UH$76Rr~Z5RU6MK zs&@L#m+BSRk^e4+_gddGx2%FP4o#W2&Rq_>Cn#MsTT)RWaBF(MnWDeUT4yh&^-j^M zA1~Pm3Ay`r_dIm<NUV?xvY6K@(zsmF^^vE?#}5`uepHAAJ*voHdy&|$x#Gzgkw-Vu zxj5gLx2o_xahv&Ty~NsLw%9a@3@#aFAIAmzH9fu<vGO<j96bKOUrMZYhH!{VMtaq( z#d|fk91>8zB2;3=qsrs#+n78<lY_^cwNr4}gN5@oe@LXZL@jcDn^iSy@odd4hcc9} z1bs2!S;~{_)0n(QlcUF&we#b$2Z>FZKW1cbJ)JSdx_wJPVC%_ci>Ci@`|VZwd!Ct* zO0D^u!o_Z`_J&J#Y2C?Bd9&Vf*9^ujPV$T8PklIbV^Pn-?HgSs-Q$A=W~6foY5N>J z>=pcB<Ef0GnR!9dmoLlY`Ysd=lXmKsTJzJzKFUHhCI8d$0?mp?1s-WTZoJsMsEu{g ztUaD{AL^`J{n}_Hw~TUWr}mO}52aTA-r@JE@Q%V)mb^(-Lfcp5J=o!J^Q!3^vz5^0 zR_m_GUddxU7rg0+rPsHDwn?>f7Cf=&3*NMAO7Ny#^UQy(c(HfOnq`;WdyAMI&M(XU z%+@l`=elHS<5#!Hq~^eH8!l@6zA?poUhwA^!pZ$-KOWH7e(0J1CnlYPhile6yP*5i zIw1H(*kYXxXEnTY%umUcNu<hKe`e-MJ3p^&vE@8T!7YbA{bBIFXnTKM+PRf(Gm~cp zip|KIqM9a=%5U7esXx+fr_AQh&l(d>%}M6SIyPta{Ur&9-lS-}a&b8BZ>RT5BR=r* z)2n~lR^9xxGcd1J^Cz#qbNinIS3)fBYZU7koMPhc-E>50@teerf!{W&*uO}aR5Me4 z!Yt)@iKk%^v*Md)DNav4<r|#c*Ej#^bV)af)V_yqkqI*br%7Z^vF$NBW#=aS)Z*B) zQwL`ycLjzmKb8Jc`MSy8XERc{c#MmqSD3o-B=;B{w^*!Wa7Dx0$KaHlnpCR%<enm# z$;FH>zG$~)E<0fGck`D(Pj166T$-;INd{S1wTd+EQ*>Pv@A2`1{gNLN0zr=?B!Yw_ zM1m$s<d`)r?#~ST{C~oPLk4zB3Uc@~nPxk69XU4PQKGZQ$BlMNj%?IhqO!qkiOR-f zIV}$9mls_#SnbSpc-4eM8;zE5tl`iUI_=!mE~$9*_@)U$$1hEobYRkiNk@`43kt>h zbVnW5;nL*ccJ7)L<<xcH&jcky{UsGMq=RbCaB3EwF=PI6;i^bYiE*pNuGvmZwmyoT z^X4zrKkEBg@2H{Ak0}$bc7D&a+G_AbLT%EnsL%;k#|47KC4629HGAAW><|>5Jn_oo z7yhp}zbJoI`4x0`!7{T`>)f*BgVJBPzLK%^j9p;9HF_)4;*`#fQ{E)<sIKN_R6TCk zHAz^)^Q33b50l!Rp_@)!39FqocUADrS%J@Pq)%b}CY9Q0>vr>S-r_gO;m^ce0>Yl_ zs!8@en~^ppIx)SjL3PH&{?KLH4r>(Wn4i)LHa;a3-Mgt>*X`!<XMY%)eR3NgX7v4Z z+bL@Hj6I^u@@GV9R(6lkaka%d8xCrCuQ5L*cTF-?-oLlVGB5R=6>I9$m3Pfprmnnu zGCyd-N?j9?Ql*4X%U}BFE?(i2J7eSWXEQRVL_f@{JAB%}T7I=p?*71MJJP4HzLQSv zWOuuH*m?1r$ANVh9G97$FEBYCv-l2I|L4<M!PS$vcdWF!p8m8TxOUxrlT$M1do~>? zUaa%+kVbVy=9Jn!MyEtG=I-V*@tylSn8&@?$NW^*-hYvC2UH{G_Fvt3-)wrg>DA|T z){Av6+|%$bF*qf6PU`DVi;OAS1sc~M`vxZ`E`6BMC+fCSl<)cX0?lR5l1;_>BvShp zyG820@7;8yaPgamErH((FKJAFJSA}5ftPmzE<DwmW5{A^#`nbBP(u1NuWNsA>duW5 zgRb0ok@#k%<us|MVHVTx3#LX)>c4u!B7cgdo<wS6w_D_+NrB%AZfgAAku*iSz;wUh z!HCEli&@DN1M^z5f2Nv-t$RMbUgLPL|9$N$<B0g4%llPj*XSI(_JY4jd;fav%$qwr zKU8luEnRy5`pUagrynhN%x#_e;>*&1UVW{{F5GUF{I$ctJziYw#q&c#vavS~Gl+jP zywLhs;>jUSp0bB3Z8e$igZs|)^@jQxUul(W+qofX+pY}<IK;!cqr}6qW5mN|_HiFK zJk}~)@u!tpz3^(`Jg$<$D{IA^ugjFKo_ev={jN}~tovnWbM~(n?>aty@o)j>my!!A zRkbVUN6uaPYW{<5jddnp=bqQ?czEgguirJTE2Nt3uir1>78VPsIDbmw-DK<JU8fws zr1^yZJa9@v?oy~x=u?L(<8`ZRQc`*PUux}KRm8A&=7mtZjYe(DOQt%7-kg8W=ats{ zNXf&+yS!GedgGq|?4?$JwB%vAU0(j7H>bPpI=0vB$cBEK<}VvxI_HVV%=&9~q@ZDq zzx)ijCvFD|Ei;^X+E4uY68O?LPef+QVY4NZ6gSz`dQ?r6W_5qEg4a++`Ss=Fi`92d zT>tuZt}~DNiC;CL@!>^_cdUEAgx7G6((CTkzjjTQRxPPrp4;(|Co<mY>h;z;8Y^F0 zXFKyeKk;kQs(pF$*}b2e1R5{>Cl$>zJ;*%9XXe9C=?B*~OprNj7Zm<lCFr?@!z(6t z&)7!_E2}MBUfJo@yS^-pQTocFH>pZUe4o;;#>ZS+qo=mcS-vXp<#EfP=N8_tnA|;L zAMvcLw(xyr=QpuRM%~l4QFzI`BZaG^S+)h<T_Dt+9Ac`KY~dBEym7A8b(=Q}Qsk{Q zE&J|HnR7tS%P;A4`g{>Z?bp>kzYa2*@7D;C%oEf)p6evK<Fo6(cUz=v_J7t>HNLYr zrSJC?oev8FuGMe-H(`>bT;L|FV$H}0o(tFf-}F;c)!1fnO5gJ-Iv*AVT&w^4Ytkgi zxIiQK*ZQ213w#%@nV)uj+9b(afky7tUScl-G+w7K`?@@(Ep*D6jk6}PUK2U>>tsx} z=FCJ{)#5eFQyO_&H`P^LcJoTR;c`-Dwq|64_rf**RZ6v0jdK>K^i7?jvte<-wR*i> zlP5`*1sWaC^Afx9IkjTTtA(4V2ZXM3TOsqCGxUMO!FN04-m_~)rbbRVQ#fN1>o)OI zRxfT&Ib$$ylBHU}rUOTuBKyC4PB~*RbCTt<fK3OkfcTkH&KS&{WSJJQ>A)Efe<tf> zw(E~;HC2ms7N#^FZQUgIzFT8vqM~ZC&VrQ2pCJBcjhTshs>Np(rZnCJ@i%Kk_Lh5v zJy%fWmeHE>wZh)xWLBut$*dOvn-1&)nYnk$nrSIh)*Q1|<$j~J!|L_r-xdpv&S**8 z{Pjf6dj(T5*E#!z$A6z|()f~}oWQPHoU=S-s;}s&sy+EjQ`&T=ta+B}B^D8Op~zO{ zx!9J#{u7lgrwr!@u!@PSsIt&g32Ad}Ikj;{0P8f76;&E~sv&KuEvGil31AHqSy3g? zrySCDnYDHq`$JAAQH#h0uM{g<0z$=nR>&OZ2z?OJxT^iWq*JPe_X?Tk9H9?t8dtU7 zV|7a1;juzyI!EY(O@;mj-y?HkJLS}>?KcOv{pLGWc-fKlR&CzmDh}K2d8^%nq>pct z$(0RRuz2dLpJ~%e_gCGse6v7CUpC~y)Tyru@7|J{DtG_9%<WYvH#V+4)wwpL|F<9C zsS7t9E!RaZzV!K^t@hQ=hf3K~cRXAmvt1_S!M3TdDj%<FHi{_?=ws*8`f$PP>z+?1 z93|zfHI4_fhrT{={>ysF^bFsfRi1b4ub+N4W8d69*?3Fctyj+$yz&0qeNl|tJGA(P z_ICH{#aANvxnEVvJ-qmJOYysI_OEZcKD?;%v-+;uRF&siQDVD&uAJnR%~vJ+d0tt* zU2~lKRi(hgi(hR@s{SmPxA$Ddp9S-b&s9BgwCz{6*)`AI&tGZ9^9JL2E&=Bw(``>K znD<*yF82Ihec9zTuT1v5-u5DD-HvZZf)_v9usYPnRIAV8wP$Ow@}b4AcRu^F#O<hK zy3NYK!gG=SSs`1>u1%H_ojZ5ll@&MSA71=Ar~KGtDbcrczb<iejb5&9s`U-zI`KIl zm$)50&C$Q^dF$oLQliyhzH7Se%D|5%hZeuCd}Q@Bc=>s=>sysdzr~cj^<3h1R4jb8 zOIAos5y<>-kgNIDO$b*1Y#e?#`1vQ_>8hn#`Q>jlO4a1cuTHX>7{60@*EF+rvP=CQ z-_7e@_p~M3edXjGi&sy(VtMCes^{E8)_28XPiuzjtQ7vycY9Ix&b*r71!@%+=XV`_ z<#jrFM^4IWwNUNf=fBD?3*NHGGqkk#R$X;VbK>pizXHvsEN+eZdV68i@A`TFkM_u< zth`{p+u-oB^S$d%9-sNZ+$~bVEaF!?>%;Zs0d1Ar7$OD!*NCS@ByjhoK8}}2O^itC zp78RAxV6S%q38yij{23{#yXo>k8l2{-@nOV%?8&KrGK<#rzB3*br3wV|5mHyvs+EV zH-B7ri<G!_Q%S_?-?wA6ER&7481I`g#XVJ^K3Y8N^<RCRgC}$TtX-_bvp(mex9op! z_S6?i+!weX$v-&6)0}&-^5zeA*GLJrTU9qMe~jO9^!>{p`ZqEJ{#CN4?ns&`UfldW ztEKtjtkfc&ws)o0DLWE7bxjNMtuzknddKWcthPRM@WMlGs~rg!`Zl=8_1x^6_IRq) zrnxdx7Je2liP_2c`;bs&-k!3pE^<8A^U6+KxGNN$wc%SqK-;`&CyJf7hPN~?+^)JK zVZpbJ&Tadq-3U6oWqz~Km+eQUN-rtbt(_;m_^^(;i-C*#Eycqcr)R!lpV(g(&}KMo z$Df=h$;vW3k97|IwEFb1?1aHVp=g_qnnle<Jll_mo_DjeJao|Ds8CFdyWLej$z_pA z-YgUS%LCdZr|l5Bc_Q7-LLx1)$@|5L^xKMuWxR7vDtz+fJ7sW1DBMW;=L8P+!&2S_ zp0YpntW$1CcKNFQ==FY;bvpXWtMk%kZEhKMp^`Z-jIsl!Ht#ez>>~bb#=}EQmt!N6 zW^q@~c$Fc3yoy~k;`suhY}qS^rP#l2yyf^f;^_j;H6<5R!Xj4wD@pHaHuBk4minPt zRyj7}Y_i@N!z-<<Z96v{DG>i=aOk35pC_M|!9hpWW7aF$75QEzuW3GJc+62);_U*@ zo?lM((&p~c(@ieU_gV4eiLsEuou4}Yqt{wOcKKy0%BbtOJlN6dA+uS4KN_@2?SjJt zC0-T13kMEYO8u~KZ?-shNukl$#N*MHkClPBrJHv>*kO9L-e2(W-1Tp!Jp2~^Q@x^N z)snB->|v7Yxr<8*5*xqi>0CV5YP+R0Q9d`uqVJmCoCDh7+f_bZy0~0syNq<PX;@6e z+I=xI9`EGlwkb~Rtk!$;a3%NeibsbEZOR_9$n7i;;+L(}D!9CHW5>frZsR-US9A*x zJKDyS2gqNSG3i^)H|szwyYHgU*I!ycyni+1|APD(%Q7D5>gEMT1&SWtG~cyS;@KY` z`yH2Fb|%(t=}e5>(wUsQ#WTTYi|3;HEhi5*WK8aFytvGw&1JTbgjB9qQpA(MhY^yI z6K83<xy`;}WpwL^q17!F1HD^IgeGT9Zs)wHCNB&c#_EC&V{JLHSZRaiCMDDBKK*7Z zr^Y?Gr?Snx$|k4#%a0pUF9UbhE>Umnoj6a$?2k_E?MshV_ANYpT~MsePOvz7>#Rv^ zE>#k2ZdDd+u2n2-?o}#nE>$*Py1x8)A^Ea%vHKGB#&;9vsqAu<<?6c^cy&ePitHur z3-gz>Cl@VoPY7D#o|Lr2-PduVocv>D+rpmiFDeFRmnI*XvBFu=Kx>th=}(^CF9tn* zx27IBq0t?6+byz&r+?ECL!DET9QJE?3;o^i_y1<fsY{zamM?u;`fC3viMZNiN1pTh zJ^%kKU<_LR#Xq;_Ve4A2zH~E{50~0hZvDT~WK*(1QC>DzqtDN5ibJ}z*P?FLt4A)} zZIh^qs9NRr^--2~azyg#NsDLma<18~*tyi~N#ac2pAmV^g=;n}WC^<!Ahfz}*1q`y z3QI#nuD;qFR{F@?B(OGPj&!9;+wNm4=eA3{tM$Ep{Nm+J>Wy(?N*8ZC*?ie3Am6Ve zc%<;OlhBS_MbA46JEFcFDY)+>As29Wu_upyZpXu^OYQpF#TJFl5L5bi!^y^Gqkz1> zu1a6J*rWr++@6n)UjO-6$JDZJ`ROdCH)&^ze)cSUZT?dFbE{69S=osTN29dev$cg^ z<fIDCU313SUHkLJgQp&4<fn3O*}O^R)~y+{c(&iHiay)6d*8N_S@ZbT-%vc9n!Q%W zdaX}F`_z@9EmJEka#QW{A|hq3uhnVH4x4r)wCU=LO;+yt($_m{jujj|Wsw&-Q)<20 zvc3nr+Q~ceQf02M@p<sd|MK=3Mn3hvzPuM7JgeZDZe%W^d8XiF_Fw6IGnvDJ>gg75 zp2)P%iFvSKvbRh@5&!SGcaGbs_a|?ktZwj0T0DI9_k5YVrwb2;?u|O=Qy#iLOy79U zoaAMbxz7|8bz1tpOI$bk_l)OH3eS{(ViB{b6!MO_t6gyK>fWr-O&3D<MorWy3e_(> zXIf@6r!-MMJ;tKXR&UM$Lvg=H8aF?^nzog(Khf~!C!X|(U%mfg6}9^p?!SG&;6UyJ zi5F%ZJVnwfY`b~o+IH~Twe8|9eDn8Krbohz-EAsu*449;XKrRPW$!7FmiV=cS7z}Y zw#JKj%||4*A3Pkf{orwn?TURLVI$&J)lmsMH#3>a`V>h^s9Aqk*m&rq?V6Gz`PFl5 z`mXxTI}oDozbM|KnrBCvp$^A$$H-#__Hz`T&6wr#c0%H6*`l0{&W&fwG&Y{(v(4G! zEHC}Wpzmwhj00BIK1tiQez$lQX&$n;dabO{nvKqVSIaauoZ?dryS1=gwCqLlPT6A{ z&+rLHWVOFC%6n_uxbqtC)sEPl_E)cR*M_Q^g*{80HTCC=ywt)oTQ;$zMMer4pYYz9 zR{HDkrL8GU^N;bouJh{s$<vp(d$RGFqM|-dKRttsCsoxfcebDOdzaib`MBZLlfpBe zJ@ND@FV#OTbp2V@pK}F8Z8QDO8Qwd|nr6MzYU13O)E9p1j7rqQC3j6;&2#tU<cJrq z;u?9^oxREs|KtfzckET~oRUQT*^reEi<fh&Z#ddI`9@ZL<ko*#w-i4`&3nkhelkV% zbn=adW(I3Yr7g^M@G`x=%hp+%*W7LjolTp4m#tLh4qK_p9NV*n2O=A1F>l++%X9lK zTVHQp^MS<ej*oh7KX^Fn_JhZ}ZVMde-LBX;JI`5Y`y5-{4fi7(XYu9j=H)4$Q$69v z^CxoOtakR>`pF&7Q}<7pK3RREaZR!H4&Rj%_Q_UW=aYO_JNaLj7-O=<s|7siZ$*pm zY<F&CFVpyVfzQ@vle2vPTZ2CJvKa@0t$iM?61{e$;0&K+U-WPP`wsTc7x1{h5iOpx z!MSmAnMUC~KHHcL&hp}K4f?#xW*kVh_IY&5{H6Y{v<LO+Z`S@&J$cdO{Or4p88gy% zrI%N2t6sH9OMCOA%c_#sO5%?+uIXHPqFBk_C9Ev&XgDYQxV*H!sb|jKaaH>~`?K}? z|COqfQ<}ov^xiRdPFL0Y*Bz>`BSH8`^Y`a(ne6%hPpWHKqt!97@T0ldna}@ye{GFm zonCO~6lY(g<<UUxbc-Zw9sA{GX9~`>+0NOTD4(8VaUfHgukcixvdo5u66$q}zlJv+ zl{XYjTramnWtGLr&Kl46Z#w7rB<9XCh`xU&By=9L*kAw64mEFNw@sPczsq@AnfT+k z)20gF*;3T?H|$)&rLu^wX0tN|hudspHYLhW&$T!pD$Q4TwM{u@^FxX2y3g0U{_*q+ z2tWNZd*!_S-P1l_53;N;)_JZUdi3Y)wegO>K0dGa-}J1xpr=lJ)gnQkzrALKAzOvm zo^`V<E-qd=W729vpL17jd`?}h@i}vq$>+pXr=Igym3mHJP3k#&`Nsx{sjCHh&SaYy zI#@mLW|>=5yi{W1YD1p$S8aGsU#;OedzFdj<W(o0b61skPF+nZ`RV(q`0`9OJK19! zer;#_vcaqU5$keOwJ#f9Y=3FaDXg*2Q^V+P>O#4)|6y`ln$+ZP#^oQDyEZdoO43r^ z>WD;{Knwn@0sPK9TKnFc-*d9<F<$XQ?S2jS*9`|2JhHBut$XFLT60(a{oXAB{EK<C z_Jy0@aI*C=T=C=BogD732FDjXvYzU#bLDVRb638<-qryA({<YHnkNs}E6sYo_`IF~ z&#$$AmiUBfEKKinx}fa7@Ws5v1|_EhZA#7t)|8wKWU>jHC$m~h(dy}v&JTv_zCG&u zH=6Msb*N6OssDRrp5*-q`_q9M^PX$)=og9b>lcg2uP>Uyu)cVTKz`8_j{M>&67S#i z9yT~|&!Wcr&%Jq)_qppgq(57@e{cP-7wO_mU$$~9{<_i1vG=W0Pu!`?zou?)f3@j& z+ELZ_?X7k!{Zp<>uCY_yqgST1BmRxc$7MG<ALeeH++Kdv&rVL<n)OG(8<9n~)m!}p zcZpjceeu!rV&uY#fCv@4dDUWaz5H+Ej{1r0?Vc;~OT@bJMf95^$K5vuH>^AAr+80% zdvJ^1QOlz*Vk*KU=84Gl`m4<4>Ob~;N`I^J<-?bq<mJzE)iT-Wf8qSN=z{v8(@ygx z)=&A~>@)wF&^hs)0&}!2CRNzK$XLjKaH5lZ*Wp$>o_4NU8yTH19}5>;P(RS=G+#iR zt5zvS=Syed$`>I4b97I&op+wjUCXgf<X6BQ?JtQtqF+3I=(n)H|8}b#W1i@*mK~8V zgde&s^zV7?y1eP3PoP=Nr=4LhoC~(T2$1s=-}%EL^oh`el!@LQe_izi`^0x1vG{g- zf96;DmhK%@m-K$mPsrI_pYgT)(SLdCD}NoVKm9j&Da>lRCG*e`|4H$y&jut5tF7>} zpZdzc$$1H%vZ>2!gBa&Ee2-0@Tuofl_Q$H{Vn9IqMJcY=2CpWUc*nZw_P&+2PWYpK zvY+e!(&XLp|GliY=_M}a;xJp$khGVnqV;<1q(67^xMpqqHu;HpyYcRYXX7=mNt`+S zYE93at(ohD-S4kdZjzOH#C~Vq*2=C~8{bYY$)7Rt$%1IzD~H8a?szn#TV!^^x}@MU z!8!Zn!*lithv&$1r_P>UR(S2*A+g(Gg{F1WL$<J}*}vW5Rqk7-aHOTic+GPEx<_d{ z9gn)zw>lnmf42PP&8~Qp8@W#VJg;9iE{sfC>UebdujMbU2-`;(gq{!kGwVQ#Y?tr9 zP5zg@7qP6V+Z$(c^O^sp)xN8y{9(A=Amb}i;?}2j%D})~IR3x<q{W@_mn5?Ems!Nl z{-n}2v&_@vkHK!0StT1{g<NG)rn_+de(uS0+1|76{%#%9<UW%Fml}<u-R071mGmP1 z&)CyD^-jSfb)PexCu3E7ei+58-uSt6N6)dV^0)q6xU+Gsz!CPPa(@ptIo~nZ_`~3$ zt~B4q_xwrS(ML}*2<q;-t0y4yzxl@M*-o78MIudg-_B@kyUE%%x9s3yz1<Izx5suA z9MC&rks&ORzj|Sr;*kmV9n}W24hWb0J#);t<mZ_)8b7CQGM}cbvOn1DgYjnG)__Ah zWLgs@{M8T<e#3OwW%q-`NwFUcZ|b?sND@!#+0H4Kzb39s(ZzrEgU=#&-#B&nnK|~n zxmebiFlDVM^TmDgI(x%4YW8PXM?P}*a=X=k$l#D(g+!is4bNuoJ^Hs;?}@*4YB^Y@ zcuZopLY=|N?Xe0klC#%(Y`K;lo$b14tJQ7e#V@kOC4Svx<^TJ(sh_b-(TRVzz~bGm z35)W1x6FxA`*lrbw!*J#F}ocM&R<e<(pc!<cj)*!TjQ>uWsQlAu^%>E(EBkXO`M5m zGq+;f?N$!uH%>irW{zLh9xQ82XjtnzY2Q~1cg2dN^F539$0pp!7q@w{k=w59Mk@#Z zTc@7cW{xa^{%@HM8b|Xc2Y$b7$#qb&I!)yKTc*R@yB|Dkiv3V{NYBM0Q#@(TrZpW& zoVM9d70*Q)eRAQbx~C^_X4{qy0WXP}iv@hPrn?nh)H8_L#4V?Hn^ivijZ?$wGQ}f4 zy9E;4Vml1)s&%}`>55gDk&``H#cKA9#R5K?(%lSC>lyTH;+Au}%_^V##;IXrnc@+P z-2#bwVmk~Es<r%)kbdjb!8<KZ%W2BqFuA1Ft=+cA61CMWk}Nmq`yJ!j?Xcm}EMahM zn)X!gTEx!&qhWFhom1N*vYrY~i>&N<DyAoPHZ;s{!>vmKCnr@N*Auw2^_k1Y6Q?Av zMeS@a4SScocPjghl&6Yj(UmQ_VRDbRwRUrJeP1)@!M>%6P8Xf_zGZTZ&-N4HUo+?7 z(y7%IsZZ_JMOHSv4wGB7d#d}3%%_G`e--cYE|1yUSEzK`GdiSc@B9w;{Y6)V_;#c; z{q5VM*=HHjwwUkgVZruSk2g5?7Mxi4DK;l>YDYxxx1CP}j(<+w=wJI{5~o~^(ma;) zlf8GmyTYmV=iEfwqqWyBO1|5f!e1V{soz@9@<8r%nMc#S`w9-K9^WxhUp;*Dr`fJ+ zK0dCSetXqPFZ<&wh0m0x`Ws$THSQ@qX~R@-(#~z?69(m2qy7akMJ<tfmdD=IM8>4f zeLjiv+4JpM-qT8!p9?Pw|0cp6V|18j`kTaw-p^L=^M2O7-}{+igZHzA4c^ZL9rx*c z^HBfX-gHBA?y32Xll}&M{}bk4=4%_{zTD!imDOR%>2Dsc@%~nLPL<u_{Uql*B_{=U z?a~rkeEa>UYdnT)zn83F+52g;n6KRhRbif@C%zYNFEsj6xI%81MTq=gnXHz7e5S`5 z?q~%H7V(swWN|L~y<mcO#mw97t$rW0zWW3VmhqIz&V9I5du#h~y_?5{r^`H8>CN}? zjH-LZyGhA=PE0>4^jjldtow=dbe|7q`!7y*-IV{&(_8H1-Jfgq#7>s4TWq|i{G^=S zjud(M*rEo%b&FbGyqTmNQ<N(AI4N&~Cf{D|a*0mm_h&+nYHdEeu<gdY)m_rPw`H^r zUb`bz{U~I8;mI2AS0`pCbvvg<JPokTDOzD?W_5+*wM<se)}5<%$ZWj1P-xD%+`cE5 zj%ZHzDY!Jz{HSF6sSWoQR^50I@N=2*_V}*T;^DGcE!uph$DYQ7=4AOlndX&~+y8vk zqg&q%+r2iZZ(lfh^@HkIqk~TF_e9)fwLmH5;Rffgg(nuWS-c2vmMK^v_|5u?#P6a| zL07->6)cyFW*c=Xw(m}9`q;NebD330n<n4Y!zt~rk|#O$8eCj>OyZ;U$9Ey2x!?Ck zZ_m$pdE-*R{crje|Jh4luI}jdUHkf$*`vGiYdY%%<CBj>S3io6x^yI2dpl3GRQ;=n z@ar36y&v<-9%cU6yl=BMi*d0?SEMD^;WzI${o+<#?ev)6{@<VXd+cTYnZ>JGJPP=E z<EPo$y4lN$RHL{5-MF*1{h0ji(jTIC>-Fbl86J_Z%eyTmUZ+0q#KbLaL7eC7^BtPf z)s|FbIkl;?J(2ykk(2Y?tO<|iaZ1WbOqllJLJP}xUyqGngPDzNj1?X?uIH@WlI_%W zT`i>|*<pRlb~TlXbf>QGYAHVw9QL>LtEs&B!p<C2Vy5UUE?o5@%SryOkHmvHm0twX zc_lu4J<`I`-%~8|!7iuDNXBeoyR+Jt!s9KB>t-7qF=cL3jWJa`s45&3W2Sgml-X@h z{j3S>%4$n2GMw6uv-NmaBsn!LS6i|p(W!0r{AK+isT*uuOMdUIX!AdG^2vozdtdvH zCl_{ea$3!vuxK);q}?mwbN;IQ=Y3y1-pk3n$2hTLJKGcCbJD^>d(0G%Y6~0fF<ICy zuJ+~Q!4}3UsZw>tD#;1{Nqc=gE*x(Wsr5Z^;e3nSuh|!lGYGRuWE>J`<~gB$?4X*8 zM3U3KpXQ2(4TP&C@|@&teIy>Nxp+e7NG|iIliy}?{$wyzJSr^w$uZrDzu)J@<At2e zHU^0uzuBG$|F9MQ#Cowsq}=C3!Mzr_yqOn{`wFw|NIWEPo%e+Lhio;K9a&CY+G;5~ za-8_5`@DF(i1VjY@*x53Ub#c+A0DfzSfn}ayD($Idwri1+eOu$?B*2y<lDme^WMRR zn!p?RPEBXkmfXm8YO_`Qvf*}%UD-^71AfA7HxeE8UC1$4JZL8T>A|TMo@k#J4_i2Y z9?Ct$u-#YU(Y5zmkFMThzT?NrZ55rdjCrrMV}HJF-#vd>`2yoR`}UOvCkM;EyUDVV zeMi1HhujU;F6FYuhd-9TyyhS_>uC7%ynpxB3EVjmsIl(32G8|6{dp|YMLx<-l%Fjv z^}68E<q~;CZ{6Ot(o(m!tUui7e>r#QntzurEptv*nt$o`mOcBe*3M_xxcSgb|I4xA zx5f5d{@t1%{_2SE9s4Vthqh-PntJ}I`O%QS$K_ww>sS}IC)bPYH=6tWK=Hvz_Fdt% zGIFIe{v1CaRXgeRrG3uDyUTicXMAzryk_Qfi>t@yS{>zd54>%%H9x1@wy&t5e~S#i zOzxyFliTM%m-n!^@^GRxchm8AIv%p~c5Hrd+pb(}3m@~p7iXFhw=6!|;w<a7bMps- zOK%?}x7=1d@L|v8TL+Fidvm(au{xNz+^_J&N@JOlS8{w7rSiY$RyEYt`>^j`_*v}0 zJ^N+iygXC;?=9Y$G+W5;c{fXXG5@W$_NVHyTJzt?XwCm1qc#7F%&PX)d{>W8{loQ< zH-6uV0?qg@1%~lo3Kipd3hd%}3iaZ93e@6z3bn#>o>}j%nYbW3?|0|))w?Z2n%?nE zUDWQJ`r>7P?U$kzc2&>AI~MuxJ8{80{>ugZ_%9dj<9RMv#`72&#P=9z#P=9lY!{7^ zsyjO2&XWBmj|%VcT-vttpMH&F)$d9vffrS~za?>1&Yr#}_IKN}Wo|sDwIg?btLdwp zzMXAL_Wflm_buEg_rCb^|D{VGPFJfru{zrOH{XvL=6|~vxVe<g-B(~GQ1VY{dBaL( zd1F?&ng=2k0VUcW5?;hTc(~BLvAusDs~lsMXhqA5*aw0O{TtnT_Pujk{^I$$rfDD4 z*56+u$FiNvMk7zW!r+ePhYtnY4;((em#LrOJGYIKjmC$L4;v0BA5;Dwkg((Bxu&Tf zmK<n)u=2Np+`OH#H8$neEENySI6hu_EAVjYZN+2R;kJ_{{`kdxnO!At=bue_{G`e$ z1*=cAKFFKMeRQvqcwJg|%#TXVqKE0rPPERStt4Jo))n*PCrDt$g1?&gL{bhtpRlkp z`uLgF2X%pZBJaCmB<_jttoRY|<k92giQWx<uBKdun@^}7`ld8p;x?BX$Kh*DK0it} zEj`hiP&|?Q=rSeowq92~IWv)+KO&-@2qnZ$^zPW|swa3&Wap8H*bD#U!bDRZP2I5R zL~DZUMDC-Xl*HRoUG?P3#CQI<5&A?ZA$_8Ehb&0F_|78|(HH*N9TQD?G~Hm|iPi+C ziQGqzD2caey6VX-6WjS?M%WXfgsO?&9g?nkg2zO59+?q(;U8m~c#6;zgXJe$6F`36 zqa@z;lXWTY#svqI4;^Cam*_4!JWIa*U-`Snqf_UGxIUNO@kVm0P+rZuOPuShOS`iD z=002%`#ieo$z#PGA6>UyH2GrYD_dZxZ>g)kf8O*tYA5xds-{1Ea{be!%_=`z&u`9M zrqs=*_poN4N5Q+#Im|g-s&h(Luq>C=0@bwzuQ%Uu3cPbhT$1le`0>NNf2P>2d*02s z?rFE;x@X;j>z;HQ>fJtC)~jnj#lSRPWyUo9AfNN%l4&(zFMS@pPO{&gJ>9Y+|9-%k zpXZhupE)bX?9G<(GjQEC+1J)v*8kpF%PGF^L^$WU=&Nn>Pi3CB3~5R(GqrtJ*zQ%J zB&{$>EARW3cQ=;B-C;cS?M>Lug=gan)zq7J6|prJ8EKqWYHo~QvR145!^~d!#gR`6 zzHxt)JR39jPxbK~E#2`;K5xD2Df2w&Ug4`#$0a9Bca0Q}{BmBeaEadjYvmc4w>SP> z@B8&p;ej@_m_n;RcliA6z5UxxSnOP?W`Cjl>-Ws}SFSu+I9L1TVjIywn@i<(yIs`- zo1(?M3J*F-%0;ed7Z*EK-Yq7zV1H|yL_*NVLw&76JevZ#4vL*JIO1f>vo%2eu#U-r z0&ZTzLrZF`Z=QGJbc@tDn!%kak-SjuSd>P;zOKoE3T|G*gG*|Dn_hI{REyL&n!=s> zB4?po+^oI*@8`uuW;9$ElX}$ac~p6dnAeAMPMY_kH4d|LfBkULskX%E^)Yh^eVu)Z zyJqaO+4DYYA<JEzDT{Wu8hzOiz;CO2#a*A9_rh%_=P!nu{O!M^G!A-jFOA4u$dj#e z<!}`D*Nx|#nAdE-ARz4{HEqL1Cr-0SjiYJYsW*}q);!NyXtymgqv5UCsqZt?dcAIB zE@auQGbL$ztI?Z{0sPgvSB~3p^KQ8B<b1|lGhSnPlt%wdohj_zVy70XaDO$p>{NSZ zQ^3CN%@+iU?R@ti2w3>k^<&Yuf`C@_(+^dXFLbp>=tpTBWaVCJk-w1VxsMi86}PHI z@<Ng2x>Fub@%;Iz=#mrXw@8hnG2E#$l7sf~oY@x86e;Fqc-iS^rkUpab<3kQ-rI?J z8D4dgTsC8h|7vBODf-c3UWON)B-J8Tw0{&kH9eA>*Wj9ybC03s{4n=u4SsH&DM?FO zjeNER@Xyw{a@>uZcf&y^=QZY<^TV!3Y8;H>UK)|SkY}~;spb1a#k>s8J86bRXdEt@ z{3$bQW~-3S)_^Wmu~Qp<eE(GvRJWoa@7s#mN2F&PoS#v9s$bOa<h(blKfKv_h&9ah zPF>uL#R58~0yV^*Yw&p6`Og0tJSDC0a8}?qiv@auI%g(ph&}P-`MlY@OKZ{&fzY}G z1)1MC7A^{%XAm>ZR&>RQtL{A0rFmoOjuo^P+D&Tb2~X>fdUgASY<v`J)7xDWa_4Qo z$=bBGZGv2KcdWvVHC((?gU<dwrzLRadh41H?l(;K#UQy&u@5dkDSvrxt4_!EOH#Ay zGrfE_Mjt<$Q#|{5P<wQIhyJnWJ3BUCmfFr;`>H^F_q~9xD`l3-v2VJ5S0{`4Tm9^B zhOwfN*B>wN&O4wODt0|%l5WNAA_c?1+-0l&EPipU^K{D_F8`ZLt7a}vZhvNWHur<d z?wTbpR{r>5{Pe@irR_VWoa*1S+Pf>}?C*sWw_gbU*r?W(Z)|RMb$j0JW$RiD??35c zG2S1THMb-8Rb5`@{e`i~!OpXn*-zi_^){1uQ||p`!p(0kUE1|hf35e8d8-BAoVZ-E zvHV$<O~W>km65t%-zkYV+1|W8d6M_jt!GY73tPQss-<E4k{KU*tJJiwZ@M&j>q~Fl zjd#4w>Q;W6^y%tSFa5|5OXqFB<$X<}(tB@8>9?2fx^67A+$l6QrYrhuYE|K>WnHJE zwLec%zkWRIiR$@veY)4X;?|p<{_+0GqUm2lWWLY6rSmmwbI(<_w8(YOY>%CY&YE`d z{?c%PV8fZ4ew3Vk%Cq&@w0!QluQvRQ-YRkF$?<A;&c|EkEquk2yx&t^Z2K$O=<BBc zw{C3tXj<_x^8VY<>o>OjoG!HN$^%z}Q2p&?ubxf4@=W*j%8Ct3=IvtpdPP?2W##JS z?>0=_Uh=AM%B2k&qN~G~P0wU@+^Mnm%W0D%6I})Krfhg}`b*Om9o2;#_DeeKHw3(X zvC=&`_}PXR-irfXUVV2oZQ&~MUDs!|uJYz`-EdAPWNy@ouW1Wg+_wfu?+aP(yz$ny zcN*v0D}GG9CGI1>Pwvsd@KaLrtFD^=zjI~b#-+K%*@C+Fw?9+)`DbhCZJ~EpAGj85 zXVdSpHmxwcv+m&O!)vAX)Z8iA+RRa1X?>^QsY=bHvWVDb@q??R_GpA%dvJ8aA~t>g z)7c+BZG59$`e)kSiuSzA7c}M_+xu^-)t}(M%fH!w=#koR<5o}1GsEc5{53oO7b}a^ zF4eP1oqw#&zT4=*tgj4HUp(L8t+wOSRKqQ+jNZRjjnVs{u;a^A!!^SF-()K$6kd!v zxar2OhyVZF+2<^)SCiTk_wKXv>}AKkc#A$?tE(V$%jD|gJK@_l>W1tS+VbbX@`5uP z<QFdesBU+*d*#bF;m6(t&-Yt8eQW7{E!FR5&&+gR@#lWqv2Tl*W3F8LyXkO$ul+K2 zyX4&aM?B=+?&tc|&vc)4JoB}u*g>W9OIm{UW<FT5m1X6eFO9;iaxCF%-%ig-^q=** zaYDuAFF$WxD~l04yqH&BcJKaerh9MnclT63UG<2`<^1~Gy_;%oGT83hHdjP(TKVU@ z#haf!7COGBn=_xwPHk57q`dt%Z#`_8V)y9&*X-Mtf_zC`uG81AZGTX-)PMhVr>CpB zXUw^9Gw!I%KjZfzbLUtcbbozh+DnoCIaY_Om%n>BH~9O<Yb)6+9$#_ZQ}#+QZs(V2 zLUPf^bC(I*6ulDRpKEoPdHK7CEy3SE9$d-(<HZ%{lA>$&PU)}L=;dAe{BiRcyB&4D z_xd99WNjW*P2cfn`=NzGYqs!phv+8G_g1^{dXnb19Vu;h`z8ghDO$m^T6R@?9^cjD ziS1GkCOGpJURda6@gN}i&H3EEw8EbyO{G^oQky>N%}ko(ohFezReSz2sn1h)rcDWd zoOvs3+oF!66<5liX-&~_5((aKJl&v_f8*3y!FfwvPHqkN30yysb9$Rqsrbg%*~(d0 zVvgt~J-_Z2a%At7*dtQee!ujRs(IsBZfAX1bR()+{O~lXJvKS(4xT=)7H+*{cSrBK zIa<3rE~ahCs}Ss2b+9`rg*T2zIqSnGgFS5e@`ulyoKYQGE+~Cwq1Klial<P-n@(@q z(k+p6?7|)O+pcT2%PrW{9r1h;=e4?Ao^`9{xJ<C-vf;>^>FxDMF-+yomT$tP;)REM z)OPl7>E4ldu5D%W4c8cB<#i!zU1FHeEb!YAQZ5+2-|X4^wlBBb?Xy3p3x~&NpSz^D zsDEoSNNUa7*%B}H7EPBtoGzCsH+`-8&Q0Aro=p1bc0P)!QoIn<Lf*6W@1)ox3B|4g zLiw|buYJ~gt8^~veD$_&i^;3IVvl5IulFezTz*EtO7!&Zj>$VCyJL?872nnhsT40f z6lJQoba%(&YsE@3lVXn;X3rNZTI#QFBL3)#>P=Uft>GJGR6qG`ys%Q(r|^}?<hfRd zRhGX?TonA>@Zd^ziT78Wdx~EP9@|y2uX{$G?Dm;{24`1(-f(oKu}|SEIW_B2`N?yt z8Y=y4kC+xuS9~eBZr1dg*0_7FJsbJgebP(XQu<*^@^v9M%aEph*AgeLQspT)$?`YW z$kl%O8G}oKMqPq@QxkVMe>FI>@R!7^0A`-T6^d-uS2&twvU(bKo}Ktc>D%mZ%~eJR z8K?UcUQjib*>q}Z?2*LJr-FZ8<5@O)cgHDT*)s;^g1je{j%>Jb$JJ!T?vC7NdQKH5 zc6Yc&{X2P>`RVSCy;~lJOg+W_>HC#+u3@EtALMS8uG}=s=Eh{v^}EY-4U1Ra(|oz? zZo8n|OKXN$-KE;zPcM~joU-m$l|#Ds%U4$})t-rKzYw!(>FX~`r8v0ytKPbuyR_au z|Eu-({u|A@7n4q1S-Wp%srPT4l$bTT2fF$@q{K643m;v$>BPQ&L3^vCK2G{x9sKs_ zHS-$otU~1(@!ir#_e9;1cy{g4vqV4LJiD~(kHiwfbn_I`u00Y<Ja&Cu@q~A#%dJ1J z$}xLiAK5H@aBtKdjd#}`9V=KaX3oDoyYO@2YO(DM=GleZg^R_ubFAO;)2i58{$bVQ zNu2kxwnqi++~qxQnXc<h=U+ZIb#$+UrZibv7DesYsW<aM=iWCr|NWXLrk+-`?cl!G zS2*8gOx&|d{r2j4w-)=faUbl+Kl5{I+|J8pzofHGH~D^Ak-w&R*(UG0^vI+Z|I*nu zpQK-Ru6dW%UccDx_Y^CY?hBHqjV5LB_U1<aKec_A_?zcS(H-k<aNoGIZ`190D|62V z^=}H*dt~r-=7cLtKc)V=d5d?W&-0!9TelZ(Q<R;g-!1#{LH-$6v#FIHwkPgwxoZ3J zz@Ixm_gnmZDj)s#o|5@C-*bi6PDo4$?kY;SZ}0D`^t<kx(iG-XLceyUG}(P?^M5K7 zciTN^)#u0~D}(nGzv6j6cUL>V-(C0g@UI&0Za?3!>CA@%s?`;*Ce_*$pJa^N`9$D+ zY*CB2p5?K(f66k&q+4sJJ=nBL_)Y06k?J{ChxNQ;qU-qh)^B}&ZB>xvy4t07Q?*|v zSyisI&5R72x}!{Zt4rt9ol2i?d7M4D)p7UU3s0qs7hbJ#$+>;i$ltu<^tqs%+h>hJ zLu!ts+>foc@GD&5^ZmKb#@t7tock+ct{#rdF?G{k7_6JeBCi`b>!I7nWn$)S_qNJh zIea>*=<~-VV%r(|vkSRDt`OVK!LPe9_o{AhZnfp>$j;p9HKEPYj@P5^XzaTB=-7q5 zV&?p|S%sf3ZWi0lus6Gq`{I7F?HtUy9~TyQA3pA=H*-OE8MDIF)f?Zko_?bxv+29) zo15ty-~N%Y)V<>}-RHv{A%D}5_p-TH*9oQ-iQUdCe#LTI_hMM6{(U>GBE=gk@80)_ zU2>yr`rc`gIlH5GcdDJ7dh*>G)e;fAt2fH}|GI=F-`*QPb7QV^RpZkss@r_G>TI)3 zvKPOZRB|QGL35jJp1s>mE%Czczb&Cjx6`LB4S8Yn{qHxkb4j<Ee{Sl?<<BxdsWQ`j zYWo}C=-yoZ=g-gmKg#W$qn%>A&3007S5Z#2iN9~s?c{GtQ<@dzp6p0z+6s>Bc^0Kj z%l+m)ni-s4@$QQ4o`P3)al2NzZ#<`Jyr%r5oY{^P`RtgY246kPBW}}W9yWXTeYDsg zJIgia_a&j(VWmx<{pLQ}6`Wp?B|YJ(=F?WyZMxo1Z+zRSx^3;A=7#iXH?}$U=9)(& zML%40Y}@OVRhn^oVgvQ}@w6<zb@fBY!<z|f%eL;-`j|7BKf?C!HJ>^6-p3`KH2+)k zY4iI_({)uned5mV4_=;j@bvN>E#f<+4xWzbeH6Ox*axfd&mYvnKYug}=Poc0=Pq>L z{d!`ir+n-*eIcFm;+oT*b@OO{cI99F$yI*!=c5eTpN<Or&dr@rCy=-O8^`n|sdHa- zetR~zLe%K5hoIbQ{lKz6J7w=z|NW5cU7LLT*D)cvoheQ2w+bF@`o$M&8&?<q;8KI0 zYUzo8I`^)K{NLI!eN9-+{PjX>{>1SXrI=P9%&J_-e=uvh|F5E}mz?CALhpw!TOMEO zarer?r8{$vUpx6SL%r(hyjQbx#pWjT1~0$y`ikVY(BFZf`993o*{_PLlumr`X#3P# zp{{SQUaW4lV=3pZ)p#fJ%izwseGAopnyh5C3aGuVf4=iU!bahv3zO8_4&PM2AF}+* z*&E7yQy*mPJLP}Yu-&V`CVs8{!xm@N8#C6MP1$g6q0pM`UzfdceGt9v-juzZHOAp0 z@+;4XbFO>dwP)4!Pp(a?cPg!aF|}smgQC(|tGll1p4<Cg=Wb}V{m)5jy|eW-?(2V9 z_#&o4r_JwvsFTRa=g;}FI?b*=aNV$zO}|Umw8AiF>(srmwU$Mv%+9yk)iB4#zE_$5 z|L<xG@$b2+f>G_;E?xgzRu_IV>Sf&1@c-pEpIzMKJn10c?UI0NtMBtyOsE$rlzbYV zvtr+~HP(L){eI#+-RFbG{+|6E{jYnstu+;$o6r-yT;lZ=$zztK{LXWB^?&rUJ;1VD zE@@G4zro3s$7lT6ySP$QZuhL|(+rMIwN;(*>Wbzv%hI-&es`Vym%n?wck>@cJBzOZ z@^h<N{LVc-{^CtmT$}p3H<6sNF*l8y)~$PE%Ts#Nu5ITNhQqN%9fEq6hdidsB!`&# znLK$DxGwL0^t8ogxwpH{%I&JppILLHXVRJeOg`J3x5vfTw3$pUzxsF4n(p;7Uw_>* z%AdMEvUAPs3%{#Rv&@Opf0b;VSLr^@Wk>B?D@Xg=2Uk~d7T@7xDZa}m^7#%Q&*!^* za$-AVYjjGkO<J@+I9=kw6<eN?S9WZcUm2R`R&_-B*&fPSE|;7Z$DArXH+$RGjjs+Y z-umjOz-nhsac-N6H4zUS3wIr8ewfnO-X6><XP37_+q>}S+XDqR-#&Oa>Gr|ntlOO% zKIgRyJio&ycy5<$(wvjU8TU^#Ti?@8dr~@k6Z1jcaz2smxgX;{-8HN%+7o$m#}?7x z^*5G;@4si-^Q?=-IX9^+Jj-LBhrvCqWyfVE>I?NOy{WcFDQy0OquG;8J6%P^P2(r# zSgx`%312^BpUTaml*y;Ved8yr>Aqca#Btu_948N(x~uzJ|6W=8{|=w~=J$s}>Tfv2 zl&t+za4_f9CC)U<(yp0)a}(QQ^C$l;I4CvCY|+Z@`Fu0xO}F{`uxV@M$;?SLesQ0^ zv~yp3s;d9L;NYt@-&bt<As5WK`jczZ)X3|bI#hK^R<Ll(XdSI-KV_i5KR`tLlWW`4 zpNra4e=h1@`ZK9P^=DGY&YwvwM}H>uxR!1FbC~t=C)a(oC&V?EJ?Z9|oM{yiz2v1r z_0R2p?o^g7bo_Qf?#=%RvAfs)=wO_0`(pBrJ^#(-X7h^w{P@58W~<dv@7=3EeY^c7 zZt24%_rfbD-@T_9zwBS+|G+lgtBolaCCx6^=Y0L{B(Al5X`gD$Kc{q?Uq6)oCVtY= zURZpxL8N&lW2Hxe+V{ds7JNrn<(D3t{^@<`v*fK0#S?lDDy_LH@$l>GkV}&CyYH`J zRaw-qcq@}o+<~udZE|*5tSWmNq<Qu;Nb`y%Uf%wa-H!FNo%XTho#%CDJh+tpa;`S( z^b3EBrPW`gJr#*vJ7;0{)an;GPwlL3c6omMXw04VBKh+-gX?XzXEr`$*ql3q{e_uK z;xgXjGd}JtQDb@LYj-z+<+9!MU9K~y8eDB*3HI?Yywk!n+2=*#BF<VL11}fDdkH*` zd7dS%Iat$U7}0Q%joa{4LJfcZ1_|XUPXB*=-rs#Qd6UhR(-RUdiNAF3Q1viqoHZq> z$EI<L=1~{p@V0-S7U(+j|MhvXcs-}{m!DzQHi9$1Z42Pv%cGUnn|=TEUhSo-cQz!- zt*+01cJRXCNt@dl#a<=vYh{~}zObfu+Xad1y+#3XZ8zKWpQg@8UC6jCx`<=r*#$k{ zTXn^}45DU!3W_@9w)pCdjIF-YY&4chr6wntZvIgfk-X4OExL%q;G|P>jzQ6f3R^Xa zuOD1&`ygc0rw4~`TFg^t+tT_;F?V52Ky*gK_WLtTjwEyU7T(a9dH(!eKJKTb|D4v( z(SKEQXT@gyS2c$wu^)9_EMm9*b46{}gDZzExW5`+b7Jn<ctPO1u6y@|`1w^9y@h8q z@()BOt#AF*apHvegVNinA5$f=rszB<l}=k|*A|h{a8OJtssCw);4jHi?uxr?5++Z! z?nu3&^kl0=!V~FFQ8HTs_}g`^9QXC!$CxK!^62gD{V73BukXKz)e^GJop5yKqgkrS zZM(%@Eu3wbpcMCDotV^u?$)*!ISUQhq*S{rPg+UtGt|H7zBt8D|0X*(?}g)&@;koY z&(NOLk>mbi^^%AsZc?%CJZBr-$_29DE7ZOfReY~u9NxCC=uLc^-MtyDTpw<=D8|h+ zIOei<S;!GS{jYrr8Jv&3#e59TO=3UFy*G7b#H-~IHMTaJfBdS*TF5vrBBSHEm{jtn zLX(Xb_8Zr@&h9m-(ai6B`0I&w2Iu*&C&Lrot(~8!C3gSzv5!ZJs*XEJ-h1UdyX)zf zc}K4wXXfVpaKh>5l$<Bp(=K=Z@>rPEI45Z#&vzZmii(_tcFRCfc-YDL%$5rh=X+|7 z2JJYrVs^x=Dk;m3%x$~nv^b&;RVybO{^r|~a?s#}lP%A-fPJ7qUMMD&G^6#{kAx{c zJ}VCM#v3l|+i-#5Zm*usvU755y+=h-P5gCcJ)aoJVYs-@h)+H3N~YMW#HodSN%w5L zbxjT^ar15rn!iG0etP*!<3$p4Uw^GMlbo}@*Q#Tq<w=RzN#EwKIKOX7czJWBPFwkX z=?jNzHm|TNn_+OkOt|ev)**@fFOQ53Rr4<_PjUSDX|exFCgGk-=PiYUKXL8dIdjG& z^H;qmj!fBe(y2CQ+XaSb9g`zjr!Ok?T=QoZ7XDhWcu$gKZ1NS$o3DKhUd_>2pR8LM zu*TF&aoQzAv3P!G-7CjKxOq36o0Q-2R7@&)(!}N$7o&T_gk!4~2R>gif1mO)<D+Vi z3l=TU+ZMndt#jpg8TZrM(cHWnFFPgk7;33+TX?(YROi%!y@8f$TGx-i5KhvZlPu$> zlk&Ug;=_-JbItkGr)`)0^+;F4)%<Zm($(9UCz}%21#)bBb#_~TJhzU?0Vi(WjW?W< z*BEQ5Z)<(sGpTcH!S=wNDgUp}j56r&?6vA%d(ZE2MbhW3$4^)aMD8))m2hJ2cd2s= z3*IHk`0LCy?|s~P_Jo~sw@1pyYZh^5=6;txx3QoutuQSjY0IXFg?bSg9h-ms(cATr zu~t=J?~etAc1PBf9A7cpLe{pYOknBT)$6U46l@mjvn2`07;!&%xPh})#xSvAvDy<; zQ+|<!(<7HiZ2xLinRL4Nx)bNQm&wr=gw93I(LDEh`2pe0pOp3{+8S#6Zw`=4iqhaW z)HOMhdHSMK&4t}P>d#&oyHy_5+@BGmXZbw*Yi`2<mg%~c0Wn5iihdt_79V-UbAD5R z{AOK~12Wvag*S_~aP0m1?nUS2nxh))XV^Y}rR;k_=$cfi-@2`9w?A<#JgU(;BjmZH zs@UXLHQY}puWJ3|dDY4J%{Cd`L&A@3x>uI14vaIr`fgi5lV#N!oeWO?-f13b5AOYH zdeNy}P~EesQ@bFzx2hwva%1bSh@^##)1opu_D);uT9Le^We3yu11mP~xVG*5i;s;f ziv4ZB3C&nAJutm6HE(|)S0V4ahSpy<QWrAriu%M`c;S)s;@J<iw>6&biJOzd+%EZ* z!`0R;`qKi76dC_FeDkH=s!iLWF81p22Gw>3cO8=>f!w_xz2fJ&+}Ip5|K8CZwrNYm zMe7o$cwZ^s-x^eM{W_E3l>XPN+ayjlfAtPZQs6gO*e{&+i9y|0;?We&<S&;$i!3f} zz4v``z;oq`F|IzFgUWrKX3eW|b#>Y7Rqo3)Yu&EZ9Wi>Z)Lmm-?=HXpLnz$)`>Y9x z3ps7)n0@}T@x&LN4L4d8r_C@prfKV6$ogPO-Mh)$49|VTyOvfhQ`!-g=EQ&6_eJtt zPUbZRiuZrbHaOP$CNhojw%PxkzI!W-7S|r%Cj0ux-Scwum!FTmky7_eEPX#)+GWXK zsrlb;r|{Rt7Bv{(%(gU}`8{>I%)<1#i=8W?^q2d6c-Z}Ar}geQr}yXQ@`-eBO24;; zPh{`r>kHOe9d3VPuCmrD-!7fE=1cJ_#$VQ71^&)`+Wp}b_jI}B2IcyVj1n(04ya6t ze~{r|=(@i|#No^}eVOG3Gk2U%@0X60)tG0^f8fKJmDLrmuGHETzG9TK`YO;YqpNdh z^Fcq`W0~%Mk181)nHa~CFTU?={sWaB=~os<Pc|9dFYI#7_<rIdlhO(4XF3bpPx{?G zZnj)5VRtb9#=|S!Z@j#c{HEwdQtsWiS2({}NLg@dowxD}6pA~iV=1eVX5lxn!{xhG z_lXZT3b|a*{JOXDcg6E7%zH{-DSop&EuOePxWDk6p@)ml=BpFqTu$c8Yz`38moJ%f zSfxmAj;&~Z`GOhGEL@dN)V<A663mk?3Ojf>arwK)9l`tsS5~%LJiDSSQ~t`(&!R-o zdtS*amgO?(3OjxGpL*+Hc(;(vrN`=iBvTu!-`&Gb%g;4OEI;=+a``#u=;d;WD}(zt zo>|!K-F;e?cScOgoZ0f8U8lo^KRde?%C7GB<}*;JzI;usJ>ug|=e`X_`}0CvCVl?& zS}pHU@R^hEWkO=UM8CCgC|ZB4u;Gc*gQ)Gy2jBehjI#(hQzSMqsiscH<LJ-G=WCkU zzxv%h&bC}GVR113#*-`EC1&jH%etDJQ?^9he9AV9D2tt)TP|E|P`a^qw)`1&exsh# z``B`?d*Apl^KxU->dh=7$+F7>Vs!7m&{^2->v#8f+H$#s?qL3nS68~<cy=W@=f6(5 zNVoBh!>Yn(%D41o{F~dixcl1uIrjCPyE{LBQr9SqF5J7iK(1_eihOlUQNvz6%Of%2 z7nOR>%(p(Od#d*5%<epUb^GFruhXAzue$WI{fT@`$xF|5cO>0*1XWACn^b$IC{-?D znKz%|VO4jDXI5oB3x969QSNvCd`yn@@|`LB-j}D!Et>BA>|xPAPVwkt%s(Hi-29Mx zQeHi2znSU&7fHLX8t>0Z+Foj~KPSo9RMozC<Mdf`?n_Qy8#4V*b9e0piSTzkhhsOj zC+gii9xz=dp~IW6@WfR;!D&06NZ5XQt^3X1{ZnxF+w%|pg!z`)@BU=%vuV5Kg!_p{ zuBAEDojrOkZQAMrxwPFW^2ISl4LkKLoyx;^ADuCMe$<=DXV15LZ+d)V{(ZeiNgo&d zDH9Cu*!^_6Z;W=_g!pYUuID^Ve|cP0D00v5ug<d9GiR&43GSM@Z{hWYZw@`3cr$x( zo6bHPi*pNudQRP&WO}76_x7|6=HE}msNHxxNwaKsN?WYn&BK1v-z2wt^KH1R+J0jh zOPT1-Pw&2{E%)1SX=U)5f>%7Jy<eW5z3SZQYaXtO*8c*g?^(8I%@^0z{;_p8Z(s55 zmOcI6S6}mQ@ap<AGTQ4`f4*n?!F#p9qSxhNiiebb%I^EJw#@F7*lmM@LO+il;nGW7 zIaT<~mZGkwVdpj+I%T_NOOgEQoTE!3au4%l=iE3PCH-yVxi)5-%?}02b1V)eO7|7M zH-2eq-B>yKzPZG~x968s2d9P|Di#*nW1x7{Ti9ri(ZcrYYF|Dc(-1rw-E6qWct-no zw$FtJ6Bzp>b&eD^yILe}kWhd6a+~YSyz*1Ui+-r3roQr6cKh+CmQ^Q`cG*4*(DZwj zHrHpnb&S!$vgtk>PpcZs6rYsq+m#~k9#hnCSZ^k~_f6|(t72y6@mrNPG5gKczvDMI zQQkLxMflfKZ)VK7aa*O<-2QrJxxgLWrSeQCJ_^l!5caKhLX3QuHmiH~<tzI(>WT@M zADn%(z46^tuDKO6yXI;<6O-@DXY8J9)1y=N$*{{~^@5|C(X8dcnT4BwrmlW)FX{Lv ztsUyW6-8$!JHKvgn<g}E=eeXu&KvezXg|)>YR99^U2C&O>&wTDyDq37nDyqgN!a|V zk1wab`E3!_e@tynvDFsM#J#s06Ph;*<o*cSy754BLS`fT(PvEjZMv*-a@)ize%yGr zPUmRZcILx;+nM>>?(*4{?UZGDQ)b<A<8fKXhU;$yk|$qYII~^zlWOth#s`ikuU<R5 zC)`HogF;2f1ILd$4m3aXY;12|&MId&Z>P5R$2)Hid^q&>!NaMy4<66n?%ZIW*DkR4 z?sF3-`|Zv>|IU59eCdOBo8{&oO9i=OHb?z3{QppI{+HGNxMSI~GS8d4uM73AG4`!_ z{=Z_Q@Ilw>pXOOjEm+B>-*novA~0s{!S07qym4~tu0MGC*o`-iG4I-gqu(7&D_nQP zH;dm_6rHcowfkt~MIpT_4_r6wXVdRuHmxv}S#$98;e}FrYGl?O)IKm#YLAo5+JoAM zHcIVDdZTUU$fMr1IZ1WSnuFaBLOwFu>S&dHD%^EL^T5oc<pSy5bCu?3mvt5HTfsXi z_R#I1jp7G)2mK08(_1fe@A@OR4-3W2yZX28Ff36r-BGzC$UDz&*Of`p4b!(i5qp%R zn<uDu<<YV88NAQC9>ws^{Al?mM>mfpJ*#lBMQpe9;gwN$Z04*vdiHo{)Ey?7)kk%Y zERDLO6tnKA?y-+j=R^-*l`?vK`R3NBI~;A-E|g0ioe*_Lg6;aFXNdv2d3J2q9*Hf; z*3DD=b?uSZLVw*n!>X$W!BsCJozvntZL<oMB?7voUAITwk#GZPsngA~dv^7aSc0E! zo?_bdM`DR#x_O3a*9z9voLQl0yuan;)*XUAtB>|3W$EVe1ZNd~HrOd<E<ZV|klSFR z*meQotio=CePY`s9%osEGakD(Au{05jHo*tR@Wc7UEC{X-ezrj=8<CF^#`I4(|F?y z^LFT+NZapPw)e`atN(TF{=^)5GVM!zlc#R|?N|0{^P8X5l<e+uG+21|{?=dqyl-!p z>Xhch9{RH(OHiik-@dE$=hg`MomPwgmpb{;nw(FuojFw&`m^oyPNX$-Z#p?W`sS0< zt8$L*5DGo*Q5Ydq`JyP)jC0*dwWFmsQ+6oMmdiV}tUr2_WI}gNTZG<h!LSo)JyU}u zCHp+4wJ)CZ^zn=oRtvpIML&<QmdTSuABVJr)lZK4`bg=pmZ-p*zJJ-P_fK`;JZ<8% zAyir9w#lIlvC48~8Ap!$^|IVpI#FP^sZe6SThg0QZO4snr4Q39=DYn!i&Cz6yhgCC zSnA^i-LTI7cT$`eoRt-;vQ(V(dyl-3)t;IVvHIGn!&ag{BGxxCNQVd{E#^|n33BW? zTO>OBC|h2^0-+|ygZ5i~S<jsE;n;NnNB+Cr{#{l{FP16u$Ce&3_qF1>5b3UH^-|-I z``#EsyB}G#8f;lFEB;rkU;0?~$=p7Td#^ecE}u8O-O}olVYEAA+DnZiKC-Se7A}^! zy!G>80|#ZfU)e|8?R!~Xtez-PYa+C8yIVzu^q=I_`~5v{&p*0ecKQYNYm$!f&4FKx zC7l27FtWco)xcpB2ivi!FE{pm-x;{c(;&)B^VkWs!x1;X82Fjh?pfitr*u#L-HB%f z%2yYs$-0KG^r;b6j+ATjnAX2=l4!z=lvWA7NI^G`u%3xm7siL{r#-E{8mPr^@b4=P zUkA?ZrcNJ%lttE?9QqKYEO#&C$nof2mWt&Q1>Rp}3bF}cJnSr5QL%(czCTppK_{1! zO{il_x>m=>7`@2NGxjbEt<G`cSQgeEF!RF&fA>A+FFTT^^hvnAI&qjo_NT-;<$IDP zB99zP<GcipimZLZGuiY};v~0<^_M*wIHfoZ<CF!DWvU#zvEY*WYwsTcvdmvu<X1B< znYaD^-2fxbcPG`3*4|91P?#+zcWPO``zFZ;8*|z!lx7R&ok;6Rzq(`dyhXvK9oIig z{@wZHboyuUO`acv%p~JZE^EKO>E+|z9JUJW*^2j0rnP+EbTV9iWe?BXrAC{C?7a5% zJlK*G^k%Ye+uuzuA2#Itve1~#$agZW<M1ZQhaEY`EVQOxbQWLP6UTX6CBFZ`j+~%5 z6MWkyZ+iJ~O3tqv+OrwYok;809Hd!uX3DSlhS`(E9!*Pe-Ju(4_^#!D{_6j;qSi)7 z)ve9HJMX-Rh4{bkVgDB--g{lRbJrsEIlJdwFY=f-LGxh~uW-zk#4hiL_1RzJRqp6K zTh_ggkyCHRghzWgD@|VgpB}b0=*9{2sOio(m+e?|gLjA4)P;tz1%<w4LiV>--4O9# zI{8vW|Hg?^+^;!3UbR`Ty~exa<E1|rZZvQPrY>BcpL2PNOvR!%pOn>3rdj(;JF~6+ z;FMQ4^EDDzrF_iTa?Ac`e&)IL%S7zw?sPEI6g#DMSn1}M4H0IwIup+_c&8e>)Zgs6 z5NVcNGVzp$VXV;4m@A=XoV!k{^-oHjys$l|>V;<N83X4{Ha!z{<TtBmAILe$W$3<1 z>CDtM5}y~HlF*pHTBzz}$AayB8oyq2EL`7b^QHUW{4kBh^7VPQ7uL?UH~(mNMRQfp z?4GWV72B-jX5XHA+GxS7RLPGA0^CKKOO803%6_cyb+7q(MKFHN>bu*2uJ6^g-;(=} zHT{p*<gMBdx1<Qp`J|n`M)v(g_SDG_8ghc(O!sZ8-SjeXea^2N%Ci~$PNa2Q-z52P zYR<6=&8ZU~Em8R-_tVLYbKYsSqsBK=Dzs+HovWJk@^R;yPognq&Nh?Ia`2}b9}`{K z!?Rm;;`+YtsgvC$H+dF@n@PSqv8?^}rk9VW<$QYTV&;5j!dZ^%sm8}lSN6#Dc`L=s zu0N#~&&zaj$qt3tJkL`vAGW;trO?fcdCt_c0@153Mq0}sUt+{+p%tmv=MmO&c#`Pj z3IFY*{%*gLb$6k2vUhN-b27VKdvfsy|F!=D1A`tW1a$7aeYN}S$q!iqdJG3UUKLGf z5Zd<NQ<cTq#A#!&GS6<4M~U0rel%S0XxJ;oxzS%)&@5BsSfjn>)CCeN!<zUfHfJf> zdGTeoJlfzU(U)=LaA5C;&C4e;95)qu)Z(Tz=ZZ&9aF(is+sdgAl3#3@)xp1bsno(5 zD+Svkr9K)&E89KGP&p9O+cIPMM2^p<LdlI$ocxQgdVO%*7T|m2_)VqW0G(?bhlP48 zW-JtxKW!qEu+z<HO#t_cWcSkDdJYX|SFy{l(dyU`%4)dnWV>h6Vdks6kxm@n!oT;V z1|2e!74*3x$j@TSYdGiP#P-Wpp9;O)8U0>$l>5rMTC7_vaolFBU5)+XsZ;tlE{?LY zJJI)|qu)r@Fz4#T_GYV3g%0kF-(Gi|7q{x#5bkdHE#qtAjn!A%`M2MVva-8Z_Oipj z)+*`7LS_EjrB9M)_x*75cUPSEQsYpt?9qxvSC1c-TWeSI#P-^yj|;zhxQp<Y9x?xG z^{F7<-R|8>jRUc=tveP^y>dJ+cMZ6Tu{IS-Y<Ek_;n$iyf7&<MsN|>fwYnE<xV`Oc zna%2dA)SfB3-?Tq`m1hPDSJ($%=X&9l|kZ(-MyErjxJ?<{XgVp{ML@;YbMp0YxvnG zEl%2g_-59k`9F<f`2zH-UOM?7(cSsEepQrB$**83Z;sV1-kVN-pSbB{I{(d-9a^*H z)}2)2k4iB<P<oT6Fx*V}&h)bqzn2;X-I?fOXDoB7tn!eGg~n_V_LRwq`*W&psL!_h zb}FsGc9Ue%<Q%sfs<REt(!{emcc_*}Yi(?gp7iwbvJ}=Gijj(X9$_uTlSCgUZ8IrY z9d{&LIK*Lt?e6d?O+tDZEf4m%X`IV!dAP>SM&?>a|79u80xxAnzbutQoV`aZ=B=HW z>a@|f^kMps8EzbXSuKw`+$6#>j~r&|{V`+xM262MLP_h~l+IlA=((At`eW5%CjRJ< z2g#ecnBIgrcAVDgDDY(6<9>oQQ*7hGM>%Xhocs-&GL4k)Xx_F;@2Gy+k+iT+LhQwf z!!@!$XDnBi`|wiZh>NW2jJ1m;HgEF2uleZcrB{c4ANSFfeXn3#;$j%<&U3lsN#d%$ z8lQ`S4JWO*3_acV%zvSAOmD5-9^b9T$7Y8p?{PI1O6YTQ%DGb1w;@E?aN3WTPq~8j zs+w!>z8aRuV$ACXnh3bOx;QSD^Rh~JLRM*&$o41KPOBZXy167mdp1vY%H_jZH@|EQ zFk@ab#aFJyd+CB1N|8L1RZkyQIr+&ja1)cygf#-jD%ywKPIhfvXr*y<?lPfis~Zv` zOQ)zUXgL_^Ghe95QEH*Y3c)r;sgD<YmF<3IsyM{=w!8?AHDg&Av1;0k{Em<Zi5t0U zbb=fkjI}rneQdp27HV}Ex`1m~tI+D4pZCPoLfQjnPPyRj&T_cK#W2L3$GPN5;)cE& zo=brZjaFQS4%(XX@=}Qs%LVz9O&=xqxH0uy^XLea>NE&aHgro7&+piwdVRN)`4!<k zr`GXvu5Qu1`oZ(gq}e*NMJA_APF$2zC80Ol?%3(HhQdvfNo#W4B$Q_xx_PHAd7;`_ z<0h{<n?*Twa#Bl<(Vo?Q43DPW5x)85V}u#A&7`ve{Hex=m~ZwJ?zg)1TC&Qk{i*ei z>Ph<d_r^~2m0NO3t)D%0GJDIWlgdsvpC~TOY1^SUTX5aUw4T*LlD1zaoH|jSTCN(u zPx-ahYLVEK$qP5<RJ~A{ZD)5XlJ$qeY{h%tOFvwUwc5`3%R8*&<s{L>St&<n{967c z$UZRdq;2oz=pvzKd*!lMFLc=Uw@P}o<&y1B?%gmrm@U1mLUj4=Wg_O?J2PVw9GbqW zExD24)Yf~GZPJwdlY4toXFqC9GJmi$r0taM&h$;f@yW|wH~ALEO1<p;TA?huq{#bS z?zZi|3vOiYn;dNVAo<&!K7-4(Y0KZl%}=mjv^i(n;cCt1ZS@D+-!Pd7Zhx{X#XPoi zhwAmMvx4qSdaXX2<!|cbq=p<Lo+-Zjew<v^zx%3FM|4qnit&-$n>_{IX2~&=ynH?e z3H^*IafY;+LvN<+P?{~L=PhYmGJRGPf9T0i7lSu3eVMdIV6UpSll;l9i{@*38sAL2 zv-iy_pEiznuR9(!_DSTuI&pZh!rnQKPoj(Zn@{bSn16EZ%D&@orrnvGHSMPE&gE8% zDwZj?J-7Pw!O7h&?zP5&!&%?g-kB5~yZ6z>buFQjTn)^3yQ{7diaV(`UomC!quv~& zJyU)8-=|zYUVZb4@v@w@9~!d-=bcXLId}Ez9@(Q@o=<Eyd6#K>&ZzM@spe{a^T}L4 zv&!|8eeb<Znf%@Q<`Y?eGi9FXXC+QAH8Rqfe(FT{anVWo@v`Bk)Q)Q1Oo`B)EqCq2 zvi_}`BopT5v_<I87BoAN){`0}X{$3Cq}Ugv*!;BGQL&p(tgCK*+2Ctdn=}0^gLR7W z5x<opcE7yB8tNvAF4~{sTA}#$iiG~&FVkc$=AYF4cxm-SQB~1tRa0j~-|>BLdqV&t z3-{quMH3k8Y)qUsL@A48n;hB@rYv_Y^T=_gUX~jR1o!n`^=R>x>f9K)mgT6@)mHwA zx>AZaRta|HN+sS{C&+JY@+f(^8`GOh9vye3Iybm08>+3Enh>#O*9SwB2xS&$lSAJv zr4l8U3CeBFK60F+mqlWw;J&vPJX$VFbsD;@ZE06s#VwzbZ0cm-r(E-XiC`P2)JFpk zWjnP@l>;fgEi)F0T{s>j$`Y}xN#Jy-Kw>Lb(wZs!!F+tHrzZ;?Qa=1DDnd;)=91vS zRN25aR|F4-%2w`JrYwKG^oY5T6<1-fyN$%9z@Fl$+KPbHmLCnbZQb=_n&3f2*+7|V zf`<iVD=k(j%O5Whd9b6;Y0ibfmdRFKg{!2#sC68F994Tnwm^4o#I_O_Lw|Rk&!tZi z8~bW>t^_u?T5)ZRa2K5RLgQG_T01$j%&!lX^Jh-$<KO$#>e0s5K8a_qP8`;f{TZ=# zF++BVNYdJ{Ao<x__lYKSyH)I1H<9DHsnFx5@SA^Bwm(_cHq|v?ud2E3nj;%7>F#`a z^KlR7+QU3Y*Bw8Tl`!}2q}fY%m?V^ayOEN#JbI~R*X8~ht8ctDSU+n;m3Ln7HftZf zE7LYiK52F^ZknHC>h2cBn}_1qSe!#03}aY%ltUgYTs>=Lf1Vb{1!q>ps#OY3`l3f( zter9^Y5t=F>AiMZ3NKbOb=7Glyjah~&m8g~c>xy_Pmp8BL9Gr07goc(pq)>6eoviM zF=Nq<nxBDh81$!nQDjT~YrIjJC*+O9UL)(BEytJ7*Qxy}rCgT6s&iZQOc3KiAJKp_ z0gQ)BL@Q=2W0DUJ6-ZdY<>Yhj)l3Gt98I&sPDh^w&rkY2WBm=rXPRXlJG*2P`;v~& zh}~G98~!TdP-p$r+@{A}zJ)#;CHu6twJT53t()<9L(*qcpN*P)T4skCkAB+_y6I@X zz?<M4yE4()N&QL2Z^Cl;cdJC##MUoQ`dqj0hRAKv*@?50s&DAue8he=PuuLE?$KpC zR^H$-7rA}7^rV=4^ZsQwSi(hTKk7;{o)eP8KV2od=D^=YNy0G!IbEN-&KCG>w4F0G z=i|#y#$CPzQ5!Y=G|di69sRc9L;U5Z&O5(ZSiPS5HTS<#)x##8(4@~Z9>iZxoU%vz zY`395D@$>xgP}Vs&*snviPLyo?$2GxBp1E9A!!$v#IltK4qsXG#C}Vj5bNC~H&~=a zXS+9bo!t<(@#jAOO|FvHwagAG9bFc&_6E;tmFS-3Q@hSGiycjiSa+jlvi{AaKRTf~ zO{!hK8zVMK{`xaJ=d;`N9K*UaEwh6>N1y4gyRqk>=<My=Q@TGIyKdxE(>6P*a`f46 z>!aTc95)^PA-Vp>9&Zh^qY+2bBo^P0bMxLNVZT^pcEXaR;GTe-wufDB6Tj_Wdfn;Y zohuhM>guIVcWb%X6#9Q!nfu4&rHg*A4PiXUB^sa;&Un~Jv?AhHe&z-ZhfmH6yiJ@o zL@3uJUgn6uWpYT|ytU(<ZM-teXA>vGaAlrilShe*oLr9o|FB+5v0}YoSGiPT#cDzR zd=aI#BiX$IdoFPt_3ky;bD^W%noF?x@k}?4d08!wI^87XvX2~AZtY0?6rjw)Z{k!K zugvq^<k7=*PDdIl*9pq`Xg_!2nWZR|D6vM6zga}7?VLle0M7*u*ZN+AFPA&o_i+i@ z%X|#f-29_*_q|#CuKK+OU#@ht%W(<XKe`sH%yQSn>0+odPprwKg_~_Ojyk+t!qjy7 zrdJ4?$mxI6nSQv1u*yCAtN+sA<oiu$uXDtAnmTO^Q0D12d6c-($)$37MoYp<w;v%( z1lvTVJ{q_yCMD!w;D}E)b=v5u%ro2cQDV2#kpuTu2{wI{S}3tZ@ZMC@M~NLyM-IrX z5^PG8dKm53`@wd(n@vwphs2G=rcMTK$~9*%aU6E&{lFQbn3Qn-0>?p}-heaLI1YRD zRz$29Fx+rGvn8R|ts-Wzpj~4c|DGjB4qLZ&H2w`!X2~}>v^=fXz~)Lv`*SWq=HD~j zeq322XlI(;;(u1^;|GQ6K6OQ#$L?ARFEsh1FV19N(eVC7=!1px??m|UyxAwE_+qhO z*FCAk7fS{C<)3gF7;dQ6uuDqVf2l?zF1w|DX_OX!wy086(lR%WW!WuBv)m+>WgR&z z(%R8z8>!4P*~H1vQJLql$)m(2PDc*BTPJ9DKfC4pcd3V;_qzS40lC~dv*p3!ORJI+ z_@`YrRAVbE@2z;TOu%r%?yQyt-EJCI*)0nvyV=x)cxkYGWAFVi!&}+r*(Hv{${?m< zQo{ag9Pdk|9x_KO*POb*A%BC*lWm*Zj|0~@<ZpmQ<?fwo4l3ET@e`BouR9W=0X<=i zhc!e$@Tr^cQfK?d(JR1no#UuMuK~|hj`+nQlX!A^1$ZuT9Che5;JMJz{*OzLdG~xb zj$c_Vi~8Lps<Mwb>$i58y;~{R^!iihM;Gn+*EkMq_EuP|6foT2pV9JQhnq%UX3N7B zZZ<N39TGR%O`Qrnz$0%34$5-hv|V`K)JiGdSS{FPE0uU-tswty5hb>7e!T*3E^r(z z>ot(M($U`jNkQ=J9|u;J@1YKb{;WLZp$EPP8VTsuM6<GN4{<0AX5}#tdGN4@$K`Qc zFyp~c(SVph#>1(i6+2XzFRl|0btrIT6?q<dpumq+?%XMdGgIF`?Qrs$I{Rs+>zOIh zAqNbcS>=wMcJP@j9O7UQ$SN{9<bXjWYfa+6>tEz1e<`jkO$wTQVhz(lmfa49_w+uv z-qx#-IDP54eTw4FU50AziV{;72lSi?thg|5afL))=#eYdI_`=$CNB<nb1qP#?5T#_ zwrEj9*Zk9g99GXY<g6k^4R!06l(jx^?sNKR=l(EpU#Q^b<F{p6ANlqj`e5b$@x!H6 z9h|9?w=O$*O{;p9N3g`Z=Sw~ooL|*p`OLA;>4Sm$!ip)2KS-#$fBbM{RR`zG%s!_N zI_?WA<}Lp4L)-mfZf@w2H(#~f6)Wa04!CnRP~zS*jXmL!qJ~%Zo)4^ee>PCV?wN*7 z-nMTOo=EwByEAjq2d0vZ+$^zgnOx0xANbB(cF>tWdgG*QC);9?rqA2F)UJ2%J=5T6 zFB0KzU)#0f|3sPA2N`{bk~hk<KCI|_^spsV@bbfxGOZ6n`VKulBh&iOr|;19ywys} zE)-jG9c+|oedN@4sKC(up<-w5UJ>7dn|cB<8@WZo-!dIG-TmO<E?<GI`xC`E@@}zq zMVB=`+!p&Faj~z!>QmCY9Skm+%{Y=}d`i!tXB)R%+f9~@S9msXv;2L_<Z8eBz=i92 z6)%#7kNmoPTTg&z8@I^jw@in1c0Wj5<}2WRo@cj%!Ck!opUvDn!Ec+|4b2?aSOvdf zI-0WkfWb+<iWwQglLT(*eMq>bS0V9yslWBf_ndo9%`5So_e3i(yG-A9Bj>i1{smLs z3Wcq1NSMl{5w@x!v6ah4C%mygRf}Uo2&>|>6$(z}qDLy$U1>?${K&z(!cElSLkO#g zf5?GmImqxPf2hENMlPp2;f^iUS{;SqnwE!?mOfe2V(rD{RuIivb0~=Mu%>9mj)hF} z*Fyy!%;Iv233Y7I*6JvX)ZE$L>veLkke;UIk?q?;4osI6HJB6L*j}vlp)ib<@!Sdp z7xAUyzi%wK!FWx(tfRC`HgRpz@rc8hq@UKWUH|@unDZv{?v|TPSO0(8zV=^ovhv#O zKgRRl&(>z+H(JehboHu(9}G>UW=nbP(Asw?-i%{iC~w#6(B_9hH$O~z%(F_W#%-;X zi1TW;!yB%6^lg5&yvuRJwyXf1HBvm?LW^}h`!1WFR50Y&70%lw_qIZNN^hSpk8QB7 z<)tMi-A_E6SF;`6u<D?}AN9INr`(QzOIo>a(hA+Di%b2Ae0aL_LYo&x7ri+0;>Ny~ zxRujnsvKsy7QJW;ZB8n<nlNLAjFq94+WA>AzhByK|Fyp^<8H{IOVd8pvz)&4Ut`+x z{~vGa{5^m8)E9gC)tCM=>3II<-ni`P`>4O_|Kg8d%l*0M*RGXWf_o<FbZwt>^n>3f zn?2KY<mFYg54fM?`nahx+#{m&tiAbF^JyCv&t>8$Th)*>olBx@^?}23qCak|ZesWy zBJjw;df%B4$De!F{XW?sa@_Yt;&e{tIlo@!NBw1Iygkief9yB=gH5OY@8J&r_uO{J zr~U0mr~a?iS^4Mu^ckoAD^A<`dwSUazvrJGKW=4aqPqX^#f?jSFVDB$mU-w+{lEEZ z|DDwOw3Bh~|DWb-|Fs7kHI*~|_^ke4?&|;g?qVl@hfVq{>$&pr`L|b|+}1kj8>#X> z-t$~@W|~ZGwdzjI?kCLJCo3&{dKbT6rDC2svBpW&l0T#N@e6BhklOj7p68r{&RhKI z^C}7!JDDCf>GJ|3lfHdhC*7Ii`swA=CpJ1fJ&W^Kg0)7eR$iHyf8gule27t5s+D&p z?tbBZKf*Kbl+w>B^?uemg7YU|63RbewMMaP$>hz--Fvs)Onjc^zU_q4&m~?(`vT4S zZP$a%?wtChW^#Jjjnt`6mg!95U#Ie1xZ`Hx^YBTtH+k6Yn=~hX%Nf4i3&2VXr#`7! zol<tA6|A&>t;+M+vYQX<K^#${YI%R%4B4_muxA%*o&4!{<jn?ea7f5UdY&_vOlyyg z0z0r{&EZeFdkWuJMz))}Of0D=OZgbmcr$T&I@oy|yoxSOoGDx83O2}jQ`2X;RF$}y zqIU#bFD;A=TEF<_^{`Y=-ar-E$*NDSa~`i)y?IHS#-wv6Cb7w$wcDoVoz<a~IbSF9 z#>F@B*<dNYQ<L0e&+gl*2bS8elX>UjoAB2VsUPWb7db;~KEL?&|NM>U<DQ#j%I3-6 zU3a}Ka#Q`JoW`S*QcqXk+uh}{X7}cM*(=^@?tQneF7zt1-HgTMTlVd}{LYZi7z8d$ zr=_K(&Fej}=nT(^Kgr9q>+Z+9xVgEosXW^^_eYxM1<TmU`U&0fFApRoG5@y+3sl^3 zGCgA{L-eX2PAtz?1$r88tgJigol|FO*!4Qpr(00$hp7IcM_DY);j3<FxJ5h)-^0<p zO3)`}ZPI3m#A)##mCmel+fcB!Yi&}?&bp)B8#YKCJhn9Jz`>tc2adL8DYSN5n*IL9 z<QuoM{w23i%<oBS=V~2P$@|C|YZ`OrgqNM?m(IAju&uw6h3@bk(Fr~L$Kgzn@0y3N z8#j3r-kh(@JpGTOu=rm`Zt*{k(%bj{$$R9leK6?ib~cmz$+!Q^E-APye^%4&_N)G9 zB8ziBx)>mp`lPcKb>HGqJ_FU);SP&a;nNC6T)qOvYv_ePr+DtqC+<_-As0(tkdg z{ZDs0t4%-l@S42pzUvVgzL(FHzRik?y5u|ewUkux%bHof##t`czPRe$pSS;h{7H_q zD}QW0E>>8be{P#eiqxi~E$P=j_(d9|aNU&gX4#xov0Ue9WZkr^qtC7UHhe06`R%k# zr)L%OL5aPMNvrN9By72tkknYFt}!ihXXDbSyrhNGq9c|(HA~Cb+59r<UDB*+%ME@O zFJ!#>JSzLu>tfF{V$$KAf@cDax^{Y{CCr;NbH@4<F)@vqy(_(*B}|;OGa}~m;Un|8 zd(8i;1_jOcOj_9_ptJht=_BzcJcRy+b|f@#1@eR_GB<~uIFcZ`$-re|dDl~}q=eR{ z84)WL#KJT?darUlO6YCcA+b_H^ULJPIYRmNlZBhi=X-XmpU!%@k4x~&|CmONcPo=5 zcdT|&%UeC6HN9(3@SZRM=Jg^+j(D?f{NUu6V-qgG-Y;_Gs57hQ!-MJe9yQ?#FU5GK zhQ+VjC+t?I*L_K`H_>#z*B=v(OLH&%+%;?3uUSsMR?|;EGk8>-7@}Rd=lI3;?!{4@ z;_OESP4|2Ji8#f*(<eFEs(qhnjhRe=iP)YKKTCw&I*-dQzL#Jd)q2B2y)0OD$`L13 zWs6lQBFCqkIZ&u-T<COCXHLjlrlS#}Mh1SZK0V=z+`=Izj=6{y8F;qF_=GJKS=O<( zN%3D}yxnx~qy~$gUls?SvB@sKvF<6`zPtyg^&7=!KhcbQ#&z{f?Ju9>oMtD@;vS0J z-g4)FUgR^brDx`@6HEv;yB!rB{M}V3{mO&~Yovna2=i~cw##O{&cAERw57ZXV&_~B z%M_A6!ndf4Gw!9q>z2|R2c%`qK8CgH?)e?FH(n&#q&hcYb=#~P%NB}l%U;ndEA=X2 zdfP6Gl{XiQlxcM<pAMH`E}fAup(5Z{fzaM9a~3S07X0Nx5%b-xcU;7Ew_ga|UM<pG zdgDO*d6OGQ+-0|Yh-=T~xte?Xpnz=IMfdjL7k_saJlMYN^%VUpBDX%hQ1jawHG9^i znlQWFemUMePcQM#Ik$4MtBTCosctGuJ3cQFl=OTQ6V=G!w<I-FY_iXzjy55iDHone z#o6sCj#fIEJ5%V(%Fy7hI;pG$``czo1ZZE2npnl29Pha_%)rrJ^Vw&$^$u*F!up9# z2d#VzUD|IpYTVWDyR5raV%d^CTii1>4!ZCzt<Ze=>(rG4vb?5+;m*1?R|2H_k8nD6 ze$vd-o#(}NK<Rr{(Tq*2_RNx5cUYuc#nzowx?;^?wRtZ#v@W;Gc|6VHvgX5>eFvtA zyv}N!cXamBl-ti=ZH#5I-nCUF@^sR>6@OD7sV7DR$6r3B{`F5yxsdf@9hTi$tzSJ) zOghrz!GG|K2mjGE9{r6s10$E+kWMw+V1Fv<k;jyyhc0S#_a+C5v2!od(Oc#k88kyr z?%K}2t+~8MHQ&yOS~#_Gi-nV_VVKPI+BpexrUm!>kC}AvmS1a$TKwfHnK_=<lbb5; zZOS;g)RtSVPERMeSoZa+;vDgPI`59gL>8y_mEBxcbtd@0vYfl(GnC30<es<-T8BJ4 z&DH<#QJVTyucEkRIa>}mt!(_e>g={F&o9R(En8FZV|~`gGm}L5|A*?$v%K^uGko&t zC$Bf1J!|TDrnf-gOn*VZneKuO%mH)$O_R+DkXgErWwq&)q^WIE5qit_bVTy%O}e(g z-Plv-T0oPkl-I@(XI`DvQ>Te9_kH>8&)zSmm1S78m1NeWT;Ved+u+Qx`s&Uzn|@B; z^=1=Gx9OBechj@75>BLFN_%bkXW6W8nQJC~waMuUo__Y~)(wgoJ1qYFv^dD2dEP8N z%BZ!fte|g7TEBUypSxyK^%T?DQy=M^dHi#hO}|}~;9lpm;+f};cRaT#mi<|2eQoh7 zf!99v!spzk1_)iA+LO>WDez2)5%cCLXO85kZZ>c~nR6!8i2d`FGe>Jwy%QT(ow)I1 zQJ34~h!rU;-BWs!x+Y0he2*42zUV92zqWqr88>m&;t!rXZN=rM>a_Cj4;7i&$hqpQ zr01R>)l7jsVXB!)i&i!1_yj3RPYykCFhI1(FtjyjhMKjOW!8DOQ@>R1{RunHdC%+n z2D`(*npbYR*R|IEmQ?iZS)Vd}CYEmg%KNf!?hgf%NH61`*GlxJANhTMm8K(qq<z>T z5nIzM4*G?i2Qz|~-||~)`qPH*`l)Z*R|=p0s2KVGctB=cNkHT0qn#HSZ*JMr^m?;y z=9g*LLW9-qGM{SStlgyKZNBuiQO+CZ`R7%nH7-B8uKw-Brw7{w-c0j7FLl{;=dGOM zHNne7vr~hQmt~r{ZOD54d!~@kmtg0vf15UL<k&l{gK<+;c2;iQ^#^A&wx9g;_F>T1 zoT;Jw#wMbV^8=6MYaPF@6P<79Y&JhrbBjok@0rb>VUA~la~P#lm)?KFyWwbOF1Oj0 zI{lAtH!Nj+ojNo7q}YvV*;%tAj`B^J8h6#fz$1Tw#2Ryn7kaOgqpY@HyYjf_O~dK5 z?C#yRA=i9UZZ6up^2F||UcavA+*>_$-6pTkUVGLpH0;!~^7-It!yj~1M85RK0e01I zeAoVNy7ne9tpEMg!o7E^mLFN;?e}OcpYWcGiCx#Navn^rhz{VKF7+{Y>B16~Jp%f7 zrtqx&TA>$buj{z&&sotMkKQ({Svpl_XHKrv`K*6hAB}qtYX57V|JV1?bgAIV>~_0g z)nnCHFUi>o`fo1`?zEfsZc=&anuW{ErmsAl7<oUWWXrXug)Z0Dix<vYUnSS^{BzBm z2m7W4-<h1YzozoT+xx3E54N$HF1@j6AzPV=N7d>up9x=!*EZJW?OC(<$#srBa)~i( z3;xazG>eqfW@kxGX%5QX{pe)F#ZC7%v+Uhg5)xLc%6$5bN9CiOgtWJ{Eo;g@CltoF zovwT-w??J!<Sn;WVVUbiUBXd$50*@uZK3*lcl7P4v;H~UTyXtXpFfLMb-}OA8vF<M zdGH^->CxX<JW)>g`O@kuF~Mv4_iftDocd~O8~3FjH<!(dS$2VQ@6wOOTPwFQ=;tk* zzGbHY`|P@;8775nd;1nF|FS_N$n5pifUbLwQgz(+e*C#8=f%0JA~%jCZqyNT&#_$L zB*J~vJH+*g=mXW8c15r5Hf&ztSF|D2`~N1L^=s-P&-^T%^!Uc>=bL$dewpqWGqG1E zl=)!j%^9(FYpZ>EIoGXLJjxsSf8zTqhn89R&J;T{X{y-qdwln&=-OJQ8m6yGs#3Mw z<u^^;Et0*rchh6L`<n4`#mlb0v^Z!I`6zb}@AdLSSFAke-Trhh_i*qNLCeK6bh3kw z{`@Pn@zKv#*?CV?48`1QJWT@oeoWoVspVo2*Q#-B<%GtKS}93AT#*sl)7agQYL~t~ z(xemn#w%&%4Fj*9gqf29b;6C9wWpjp;-$KIgIA94n}*chg(X+(rd9+zH|~u)`iUz> zQ;dK1va27t53dgGNN}B7(45d%AEwrs%H^~nX4ahY`{!c|Q{!D&zK3)?+Q%hXvA{_! zZ^eXGcdeAi8@Vhi7CGt7TNS`{KI`dImyL0OO>EaRIy#qfB_*~s-Pp16L}PO_*P}<< znwAu}d;K``VP})To&bR^Zmy&UElo2lH2V(9J^Z{hYL1XPd+Q_BXOC{GnniXM2+Zj$ z*w7i&((F6=h^EKMgU?Eyr(VpyE%nNnm-k+~X@Sil#{$Dcj)k6czE|~q%Ge$CCa`8s zLT`oH>Ibp+AE|%pO5d#4{kmsC>jSSTt&g&%96exq^WHSwHIuy`RkbufNNj0N3YmK^ zF=2^?tcKN|)t$;FQxbNx1@T-CV0J!wZ|{NiZ%k66jDD6yXrJq~IkVm>vBTm}r9u4U z;|YZsUnKS?wKz_icgNar?hyx3B?*PwbJ}k>Mc7;nNn&|FrRUM!Ns>Pnq^Q|x&1{|S zmA1IMNM5ES)JXj96rI+0tCSYMSl{I~`NhH%7Tc*ki>6PK{1LVF^_3%19}AZVZ7y&* zne!&di2eAKGe-+my&q1hP*0jD`C|Dk+vcxct5kTe$*!DaaxpyAQS8@>3BCT4Hr{vU zi(5IN`?}VYL(-W~ms~!(_H9Azo-b!5|8K}!r<~VO-fIvg8Jzdp;9#xnvL8|Hyf%}k zSsq^dwL+^mYUjPrE>WuYzRo-TsCJdyC%=bwK`PN!g`WZ%z0-Hdb$s9PW5ag67<Fld zTJh$0n%gcMQ&X0WDhLmYoSN+_e$+ag>*;Enoh7`{kJe5vef9LT&Gr=4;C*L`gL!Xe zXwS3OD><`e%jqkdHa?nF5$(S)x!8Bv(sjDipD&-a?iDXf?UCZ^b<D2$dk;G3?>*?^ zzxSXM|K5Xc<&lym*PlrJytHh#lu${ibC;g!p_@0Hj*I08T)*0SsdV=9O<@8S8}~-o zYz{2@AbfjvO74~Nq~D7x)aUdI*IXzv`*cdG@ad6n(jSj(d%tpe@1vF3_l@<VO|KmA z`*@^mVY0SaxB51&tDCRsA6<Tp>t5t*@gj#0FN+`MsImX!-gHC9de*7*ZP!orvHzd> zR(I2{)$?xNQ}N$ZkygEWO;Jtyw(Td)&+XR8XY7BwDQ@T1x#qfe6#eh)4(v>?S-oY& z>E%nedwiaonVXwdu-GJCW?Itv@MCG!d;P9Gu{jZ?(&v?ZW^rptw%up`oX8@@OU?y$ zmz)drE+s$6S#tb{WTt7#r^s#FmoKf3whOQGx@OOEZfgGIu;8CDKRGjfQzBn$e0|>j zm95FSiutJH-h&4i_Z~b_cQYWyG$ra*^876_MO%Ni<*d^?>;L-w)M?2-xBQdiRlTux zp@{gA?D{#&v|U#`UBj|<j_87En?GGH3afc^G*JG#mw(NhO#!<MHiox#iK~5EA)sv_ zn)KP_qgRGt<=WESYqo~z`K%FLKYO}d(HEun6WsE$AFlqaQkJE0P>(lt=CuIk-Knt^ zc7Ic&Z&e?BkQTY_^o)ebe8D+a5}Bn-ZXBtS-L}E6J@?I&t5eIjw%uo~^A0=tlW*oU zw>wjoMen>*<UA|yXVGc7k2>WOH_f;nVz~EPp}Sem6#eaQrk-u7nI5fonos%u(`nwD zX2$+3I_>(gGly^QX<hdxg5A@j&t3lz&MTVuar2(++qLQ+b@v~tnox4#$f8yevvVyX zW~*96xR*P3^#&^*J$Q1$q(k=_4&CS2uQ$Q<-zis~9FAEU?^+f~MhFJ^oZ-<Fd)9Vo zqoMi|iy0z8F?)EHPIfxqmeravd-i7Cx!Ka)uTMtB<geLw&PY3U_OsL5+I#Q&*QmEd zzs~-+V&koEr>gq4X4s{BKHAtOWOFf~Yq`{^Y3HP}9#tl9z1gODf42Ccb8K;fCNb@t zZ7&Uu2FRLySmxO5%X9JQHiL-vT%Jpb?99@u_XcxLzdx(kua%>3mB3L$QKN#GR-ZWm zirng<9kSE3o;)Z?E?pVqxaQ*H9-a@&UC*6jJUH>#D^n4Bk4KG70$;M#)kXe_9y!3k zYG@eXsKb+`e%9pm&nMN%51M3V?9gBr(>vMNd%EbsgD#mJJG9s}V<tD{2u*(4kg#OS zo1d@q<;+iTzK#=Vd9<)eqGE}H+Ai&)goX2ME{pI>Eje%?+tT2p7oX0aDa_LPPYxU` zwk-JQ!xvO>OW@t3*L%h9@l4xlWw-CU;IWpf_oe2}w_2<3A7iRN8}n21bM)I)-_5)# zp4Ti~E9ShlQ{c`uHK(r5FS+4!Q>Xa$KF#3?cWzqS`*7i=jg$Thz76Ry4e{Le^Yxcg zh5jC!-abB>(|<|LsUT>!N9KL^?cC+l&-SwB|7uF+<#H*AY1QysF`;p?R?4F(T#*(l zoXq@IPiW58dh%!u*V2N^-#5)rdh|XaV@2wQPTg4_13OOb{2ueNz3o+yO~<Rt_c#|D zIyq}e-?(TT#?`(2%?CdVG0U8_?&6tiF3py|=WQI)a_?NtC5b(me^<M$ZG5oxp2J5s z3%f41x_?jC8vIV`2{~QWXE34o-PErPH_L28v|g9@PHOtdvu4eG@5GgBo^#zbX<xI( z-XnSHsj5jP&igjaTWcudulAakW&RhouIZaLsU6+)?v|bnf8N)7Unb_SJ-PIv@3o$; zrxT9|-v2$(X~Nl`DI4x|-VJkZ>OQ2@e0a}yzYXu4&PS%P*rrtKZc+(5@oEJJ=d{&| zM}tgFHbn6H>I6D-$De+6q*=svYIWv<>62!@SeqjDOJinlqSv#8W~2Ff*R&(KUWfFk zZ45ifaZN+=XqKw+2CtJoYear`Ka!4_WU<DHr9GtM(E=_>iv><<-&Rj(t<_3NUe9HD zLwQZ2_lfA1vWo#t>kc`ccb`0C)nS&)B{PzC@k!5Ecvvm%)rQu{S$`M3ShkSu-Y>oS z)|H)dvv!D8Wv%FqlX|sad)uxTs~2keOuTqW_mJnCYXMEYN#Y?({-_+&6Mb?$xqOx4 zK|a$ZH`cYWZCkmpGj&z%$6KFmcvWYtUns(Da^-*%ujxh)iMai1^glj2dnWoy+oRW& zX58NPb$XrQ2h}D{X*=P3$Z3;}om}3ExZj_Ir`>$^X|txX)M6W!zpbl2*xGCjlJKcL z@3%I<x$9|GMndN9g22#(;%N76cWbMd57zB%eAI9+;lY9lj`z2IRn79)5W%Y{wsK)( zs?##94Lk0)e_;!IUB!G<aPPqb7t8+0zIA2ZZ`wIOA?|X3?ejO8Y+=9soU13b9)CF@ z<*{=_(FaYPoe>g#cCAZ!8)GV#?@VXS{j=uVuMH_lJ`tM?>~wC<keMdq!|AQJtaYhK zT8Qeusrhvm6MVNG@e;~jaCNUvmdNo#LfWr4q&y0U*tB6))D_p02M=yIeYA1Iltcea z{_{DyKAK;iH2GDD!uET|_x5woYIJW(d)&Cda)*9~-aF1Hu5#y@A6I?JI&tFlm!<_X zcW7pa<#EjFecg0S?33cz-`7?z5R4HsVV=%%_K>UN=8d5jWY%zH{*g}Bc5Y4h;50)~ z!dFM!MEW$#*@Hoj#T#8N1VzYP`jH+l&LwrQDS*v)-a*%<iAnnw%$%W~A@+=GR<C8# zv!rbcmKtbJk?c-St3GjJKgVwO3p{VcOt#GuGLhcRa`vE~qj#da$K(0^chxdjRy)t! zR=Lca%dJbdDJ^O9f}1x)F8vTsGH)?^^r6>h?YEh#6O;A|+&p6CxOrpPg_t#hTSW3& zP5vd`xViA{t~E>2xn?!LY?^lH#e`$_3U+}PbbJI%*dMd#wr)K5Zf1Q<b=-+#-C3?T z(h_1Lj5eC-SVqWBi&?|vt+|FL@6zvSKR&fNOfA&y{Mj@yY32gS8DcU?d(L<23)YA& z<F=FTW}V;d+BEG@(}ZLCX8b}XUGJLG7R_F8^MzK1-mVstd+*P2Je^q|S-on3<PV9Q zs(a6mq;uMFzh|A_Ti*2SLGOZ{6}lOkd$=<HIM4BCJ$oqHvDm<9%l<vpa*7$6Jp7q^ zwCCN`yOFSG-Hz!AOC)qM*s3^Ybuu=kE!@B0<_q-<zF%Cky6u{#IlT|i{=VytcB!NC z`%S;Ew3{+7XPMu*xhd`8o&`5|C}i;Ian0)XZkl%J;;w{cd-bZlPn_8OCc7!^!LkK2 zcc^BF<#EsI{oVBJLF0m*J9IKMb9giVbU&KS+RH1taPH@}F6X9+Nog-49^Ds}*UwN> z<C@i4>r}<Z$1$tBdEuEIHpc!=Zg$IfxJ>!~&HWhIl$O}D;HHFnhMwA0cJn!pq~D1d zee$1d&!5^>KlRVl1skXKF0lNek+J6ZYW^O+nol>Cbp-eD9(}S?T54OM563!|iuFI% z>8Z?|A=7uF?fiz6<eZ423;GdqZ1UTVu~sXtQ~hW#Bet18dT;9qHaG5Bot_7uC4Wd< zJ^jJvi@_IUO2kdX=eO)x^1*J&$IJuLj>;8U86y3xy|Qcz-+ofeU$S81y^sqsJpv}; z?asF!_$Cysh^eT}7q4Mk#$hKc%{sqzZd2Ohbqg$aC}-&9aYS)lZ*E`rP&alL%W<3L zdZV8{8b<Qc{v5Nq^PKL8>}oTy+f&OSsvv*w9`~%y@}{)MYZq)Rv|kdrrf`pdNz?O# zdd=CMcLaMxzO$S?VCraG7<=J{QrLx@J0d3H+nr~AY+I48s4Tze9rvu(_NHeKHZIs% zp_HL%!<&0U+D@%yRVTmbWtOu?LL4_6xL%O)5i$`Mc9xRXGSI&wS$Wu<|DcDV-vyo? zQ4`^0ma~U495)+!U5M!s*&@Q$vPC32+LpC<!Sn?i`9d!6d=WGeu4O&zWbe57qJ4!^ z$NV}8k!c)k?Ma`6?uy7r{cyBZk-2=}!iJQjh=@%FHaa&gr2Ot3owi}hp=SxnCX;pk zOSAT#&2QqJlI^J@-jk!LlaX^#JtOC;dWP6Fj#<4|o1P_1U9dAkGedI?M`le!lv)N$ zv9tAa-MDmax2~TD0}nn~e2vM`cw@kY8;b%x&L87(EH((f5Hv&d(vLrBeG3GAINx@d zAKs}Xq4|t+R^!j6w4}xbkr5ghW@4PPnvXU;OX^&(bc4y1%=Zs0WQ{&8emrS`<PMDt zH9Zcg=rbI%dW)N;9hy1e*!c%@g-n_zFZ8KCeN47#;-i)Yk`{UyYR_6`@x+_S3D@v# z<Ba0E?JOmqUCt$SP|tDkk0@}NBV5gL_E4DP=8gUrWZsCIh_gFM*<UP=xWLiZ!gWh} zl0LUvSMtG={U^hF+gav!HaDduuV1jVz--CKqqj{QlNYaFa8p9!%{$*ZzxCA{x}Wi8 z*PJ+3{x)kv|Fg5HMHb6CUnZVBxVXSYoWDsZU#$GF(CfcVy60y%$nvi%uW;Te+L!qt zH6p2d$A*-Lr4dQqJ2o5<lStHT6+AfiiSCmWgZMp-bNH_9zHax6P5ANEIz5@ohx#_8 zBu7USZP3)&c|&ws&<)usKQfyb5BhxA%yRg!(9sPWQW6p(j0*MV>}eHGJn445z=eJN zVLfsE!$Dl<n<kwu`mdoQ=)<$EBtNRcG-t7d%o*1CB7B_QYJDxrw{FN!lX=7It@o{E zsmMM)GsgF84tFO!et3D0;=$k(HPg&ZbRs3hrrp?MqGMSh<ah7r<_%L0eST%W%}AH^ zpZ?tJ6)U~V*S)=x_4u-Q)~P;0(Y24uRcbB-G<}Z`$-BiFSocu;eaVamYqfIUe}0&6 za^*;H=%qa#lPsoOOlT6Gd1~)6p*cq+b<=Abrv=ZsRK%>l_0Ewx-R%Vdr*ma46kWTs z_0CZ{UH`=XbrqWHUMvbW`<G=Y-Mw|rqZQMnEtWl1>&xERnjMw*c-J)R9Sfi8<z-cJ zZ7(^R{a};cu8Bu-b=7w)ekxMF_09oXUGu{D)4DbniloE0-Z|*3TmI4Gba2HQ?Kt7- zB^S@C%*(Jm7_7UzV%bx+dD%NV#iQ~bE}eF}V(HTyjq9TQt=(2RkDK_cE!H2_JNMFH zwsyK!|Avko#kIRuE(;d&UhK=_ZM5I-kIkVY*Pa>1uox%r&`3K{AUQ+saB5N%&-B)n zhB0Q#jucqVkU9J`DTpVWOL(z%M^a%)P>#w>fy1r_c5U5BF+9^7BMoEJjvXtAjF3B= zn(WFmy?Lr(j9%E$f~6a*b~W+nboq7lx@ITs;J9|IAaG6hL!%9LZKsd4N$7D)C-2D7 z>3*2>dbfn0`0V5zK{teA9o59#)Q`GtUTYY`ayw~<#<t@Hk~ahorzWNIOmB@fj4|8S z_poS#^{xP2oer~`GvsrN4D@e3Ny^)z*=jlSly|MlvNhi$Jf^fh2;P0_?qe=*A$?_A z7JVgKk?%^jY`fAH*{I36EK7b^x8(S-yB__`))VE-KKFdta5H^($EpQCB&PC6|D5Mi zb;HNx(&4nl6Xlrsm2K77+(N~r-yd_S%IWF*Vj&^=ve3}z(&R%OD;6I*(7qxzJ^9Xu z6}}q<^CFhCCuJ--eqe$}f9Ju8a@@^Iwr2C(-|cQ@ocMCb3;CCx3*$pVXH>{fl{K67 zwfB~Y^yyzNRT@?9RXkt1zRY+b`Euh0<x9zntCt*i+`d9-%Llzx?>BxlJN4*+@06oQ zUu$@CtzWfXnIme}rrw!W?@p>4KI!%lKKMXi<nZqEQ(V?d=$Uc-)4Sz*(bz4Dt?Ed@ z%olQpQx`__Oz&N17{h0G?1sCzeA14fivPP$a4nJ$nX7BIXm9sD1?kK8juiy%>3nE( zL3LNDq=ZDSk)gt^l!f(MPP*;SnB2VYqMCT^B45of{~oOu-hW}X)pfOxT7A6_o{CR> ze<d@=lP9C=vGNP~)9x4br=54WA7Ll#pY*o9)o$aZ%@?(&op(GRVJB{z^fTziJ(0z? zG~$ljj4f*^>)sq$)>GE|G<k83&iuyfg;DbxpBLt+%{#g=@`cdyH#t0=$;Ad1eP@p@ zu-GQTc5H*`#?brklWs(m{?*$hHS0#;ZT)HevRgOSh|D)CtYKq2;?=L~nDq0;9rJ1D zk3ER6Gn;qp7Qfk|W1lt}nhGzzrLpViNjdrO$2rsb*KDlG$?1KX>^;?D?Rkgq7G}b> zk8bFE=}AtOEs~kte6KJkXGw3e_rmw@wI)AWR?__ZbLC#|ulkF1_~J5k@45cI9w^o% zzJIljMvB<`Pk%pq@E<gOyKE2lPya<aYV)$TAGyxsIj8@Nz?{|R+(PH6^tsLE=yRE^ z;pZ}&N2bqgriDoErUHXoDUThtoILn1V{&))#bqMzT&{5)y?LS~Lci4fmP>*DEtf+3 zTPY75x12n3IAe0F`$aYB{>8q0dG51g?sS<gEim2`#xtkW=Y+>2w=JCys<w1KO4@Sr zz}1Y&o!S@GxTi1nHPdsQEfdpWw)2Kuu2-Ua%GwzgvNI>lJhClA_~5k+;iKy^CO58M z?5kGhK3nHar`gRL(z!(&j5q1%&guGc!$R_9;RgLnj~`VmQEyGY$~pVc;pJSOI(<)- zBfjjuuTm%a);lT9M)_b*g<IFlk3DS5jvCLJA$2|@(aUCW@5zrnd}+sxcij*=KjYA| z2vd)Z24PJ(C3i&5du%YAH!;cCW^v=!k3DMFjv7ZrNT1J0_Ow~tZ2GZBFYLJSvJF~c zyiySY+Ky(E*7c;TL<pYu*l08F;(<*SZo=M=C3SoH(zh5Y&AWJTMTMKV@?*(h38~d= zzGwQUDoB^MA2$}_=}uoFA$&d~A;)HMXW_>lv17-LZ_N-m{~{r2&8KY!`qR!IyAxr@ zUYztZ#;5D4cjC48TJJ@^>fX=Z%Pu(oU+vSaa~#tiEasY7vD`^)*T1mFoI9aMi#|BD z#@K`}6q)yTZ^?0vdXdi?CkfgF8Fg7-z5cCjM&tBT6>55#k0T|1MvEr@?VPmKAmZSU z%QI@H^eniZul@c5x3Sp=t+FQj+RC_%!hbZ+6muP$zrtp{$7K7+%1`f~&ipuU!<=O| zxc@DATB(}sZL6Bh&8ND#AmC)qn_we$|EXt=8moFIE^PYPKDS(3@}QY3Z<T+=yAZb} z5vx*i?k!GHGt->ede$o~xqFi3ja4Z*l`B(nLRTjIIk<nN*Rf~6x|}}G<Fi@X<6-E3 z@<xfrNuQWtBX094XO8Kr7JqO#8DkT&NrX>RqQ2zgnn{9lf{nVkz0w{mnzV6Wz)2mQ zAS3DHB6m6JKYZLVX{W>zALCZxu8Kc1PXv3p6+~Uk6`j8*)NP5y;uN-XS~EL~z0wlb zFM9vfKQb=hSaD&<$)Fue68>bK*6y17J#JlLwB*D`t0qbASeBx;PFvD?(v&mDd{v7J zy$}8{o>e}jCt>=ez%}7U%+gcN9C1_Kyum+k=O4{;XHQk+yj_=~nG<U8Z(o0|m)nN@ zzg(LQyp#Hkl#Z6E8gFnu>GLMUh?`x6^{~87?DC%H53*H@H%1@)@lST*d6S>3wZ5&I z&{?aMlDMDiro{p$KE72Gx}CMA97_Dz7icHGZ$%2r-KVw7zb`v3=au$g)t;g~=Jwx0 zj%_Z8l+<s%*HuyDcRT!~&zE2$?!Qyd9Ai)|HV8NwQxa@oCn~ong~j=)u*kVd6$@6V z*on$5^SN@MK-JjL>!eOkn2~hylru+dQ|*FxtWT)f^*i7s$Gj8ArO!>OSl{DO=zMY3 zkCN}}Ce5r^>T~%}w(91Ku7L(MUcWsh^;`M5j(vZ>q2}nB*YB5bMc!EKWY)KOLUXd# zk!M_5Qw|CKjH}-IIYO>C{2ZgGQGrwIjTraVoI8Pv?EE1bt?r-hRIhHj{VAj7QGI-? z&z=B9ZvD^`$BIRZ3jAAR?u0HB(K{_T|LXlI?>B#Mo7B51gKgdF39YNOQXY44S>9Oh zq&ICv09W_Zo!^(;yZrRxk2BT#xMt2+?IiYW#f09QT2B(DaqX1QcCV?jac$-C2~!lF z9CG52i|8gp$5xr1a7FRQAsVeSi;gV69KTv%w(n|zXwA_5rs6JZ6jx2?<km`gI8ElG z=X<tFvmbN5&#Rg9VcDM3As02St(?%fRVyW_mn$-2t&>^U$_dR=wVoukaxLBPXZzIk zIZsrc_fEF>+j`BR;92*n_Qy-xRMxp!aIAB+(D>$R5#ilgFk^?{!wm(-hY}x$wH!Y9 zmZQDfTCh*#-7D_7kJi|6&N{M}gZ<!N4)&wX9PN$mf_%dLN-|<{?iM+7x(Y0ANIops zXnttoA-URx(iY484|yb&w=_R^&B1<j9Y=fPTR}eI+e$KGWiA#uIlToN3~diReB{ug z+}ixh$-FRbe#^`w3pv;iKIC9OI+3Hjaj_tu@Np#>u|AOL9R(H^EA<Vy#lNsgi+^Qf zpZ<kSeEQcmk#!;S&rg*6@niCl85zO{U%dJp>J=<BIcW!n+VO&oD<gTPHwqfYs4Y8M z5D998CA;xVZ=PuwqnCEHV5x!it|kpPSM{TAi!BXfSc;Q(XgoVwAUQ++aB7kx&-B(- z!x*!+V+EEHVuzn5Rq%*M%ejV6Xq<S_bK}HpPag4umn8JMQVnC+rX4Ss86kK$H8GV( ze74Q;0?P>5!%vd}dBVA}7iph3a^=O68yefq+PV{BdBl(YlF(z8PTJv<)AMlC2F<q9 z$DT>(u}3fBzIy0J#^m0@i)!24`pkCD5XkjPd?aq~QL|n4e?wyKx@9|yvx}?W=ZhVl zT{q{)K9(!#w}N?sorRre*UkE|kmK{qWhJumwxu(^-nBYY#V2cKxag(6(1!xq;w`2h z=6mL335GWH>fZORv0kHQ7^NoMGiizAM#n``5&D6?YbLJ{yXF-ly;^luv#HS4qY<66 z4$YV_YxQGQL#IVTJyTYQJXTrN_^{6O@cw(J<ZES=0y*2fLatS)tZF<cboGcrXIA0@ zm#u~li>zMguiB{+<`L2~RcLC`R2S0?E{kSG=mqNPOkQCo<{iTB{a5R<k?x+F<(?r; zD}|;e?Qt<Ra9uQOhEAZa&!iP*YF;7i!Y)&%z8CBC+7P-ZC};AD97oMS-<)YHavo{~ z=DwM-g0F1rl-=ugJ!8thcGmKm;<cs5x@$daVqaco@z}6><(<%9iDsQ|iyStrefhid zJqx3^PG{X&qqz&}H%|yi*N?Y8s$1Z&Vew1#PWcvx?A^0!tfuFABrR^+bSvW*Xsz3p zb$W-(o-SD+7$a!Xb-F3-LC9r(;rh6%HGkjdu`1rU{AGIQ{t1HHTEY~Yn!CPeeADV^ ztmR5d+TRpmu|UDBPqU+WG1sF<9ZgFLBAmMZewAibtXQoe@?PY~f!E9I{r}9I|Dk^Z ze}<S#Q{&mG$;*}03inv;3JYoC7Ml8Kk&9`8=NZPM3Wn@CHUh4~%a6VgJJ+$Ock`kb z59Vm>vQX^OoWpOjuPx@6_rJpT=X5KtZCxpFFimvPjU`Swe$oy#X~*h5|BMp4J6p74 z!ECO;FQJOewM@4DoEv%1@_Y$Notxmc;PJ{Ao;}l<n+l5@48!<%dM4)0PTCb>Zs5CW zvuSt8b_3T{w`b^FmGznQN>9z}tB6|2vL$tUHDfPd*f~!&zPofr!bGX<8|T$8<P+A( z_!ao5nkg@DUAEt&rIQ3>_<2egryb!nRoSt!O=SDk3kOV7>onptW;Q<eN_#YOQe?%d z6tj66Gn<clJ$p2D($a#^GMVzc+@#CfqqSTL+*>u?t(wqSt(Ed<A6I0>0w*)MRTG-s zorItKK3(aYyNvZS^JE_TXUr#Uc$d!5UjD{?mtAMv*(|RM(axGxSt}al&f0tbNp0Bl zGjVCSUsCDhKH)W&in>Ij@)DLFh`#;w=A9nh^RItw*za<hb6S?=Q6pXR4KYuAeKVt0 zUbb|a8g8-v%1tXDL+uOPBI<K$KD~W=i``V^$BH(Q`ByGDOg}K=`l;jBZskOC&(B@Y zX_70i6tUV#<aJ1g?t5lo9sZ3Bs}uK#D~m>aEV#1OXnv;7mTAx9cK=lW*`##v`rA{+ z%U8`flGf?9!E;ei&Xg57^Az)XlBR1&-O%h(E9+R)`gKu8@@@^Q8){v8+q#mtq7UuN z|F-U@cSw`*+f(Y<HCaO8DMklVXZjR+s!8wAxT$nBvNP+!92e8VxJ6Mr6asVSOj^OW z&O1c>x{8)`{jI6}{OdMtJMOScEq=x0_PcVXDmSznWiKtAVSZ)8SvD=#=_k{EI4Mtl zs{Kg!tkBGa{XWZXs88m7!+UzCO0??ZlvJ+QlV=xMsNdk+K40|Bk<If3-%Ppf&s}zJ z?b8CU4bpe&;x<Un`|DJ^v-?5S+<e^{U&kAB)?8|B<#=rp+EF^Q>x)L7R!3trSJI<x zO%Xd5DwxgF>S(s+dh}>+(~=KyPF>2!-B=U%E@%qK2@_!6%w&4pW7);qr<_*$8SE{} zQ(K^J=+DRT%sY6|49%;&XC}N-Tjo*9eR;{Q-jzXj59X|Vm(&;HpP0AcVrquk>H6zw z+@5;^6`QUHg`C*5^^CTtQpL?btB?4vK2d$ys{XNPw9?jXrcW=|u-v^CGq1G8hG$b^ zadoPn`oV3jvS**x=^QEkI(I{`=*;iszVQzljEeR(bgw$IeQTJ}{;fuTs^#`Q_tX(^ zZBkw2u`xn~SBGoMo}|pG)4iZ6-!MLnc_$g8YgqXdt{ECnJzqA-LSjvy*2|dlZOT4D zE-DsFIy|}r)wPs@WWrpQ9PJPm5#*H#bTR1?RA<+^9v%HzdBKe<$5$&Jv@l&FvAT_| zZN<V)!>o+NElgGIH#AIDBv!VGC||j7pup6`(1%x-Cs%L2#<LAKCguy6G<|J4w(LQp z;q}WuEMILknwik+vn)b)GVdBLlL~*mw7jJ<0&6BPcRejiNSL|7pKTi3ET!vK*Oy6e z+FA1am(OpNzE=hZ4P}>EtUJu+$07Muk43#Ol#gTG3C5$TmIeiy1~V!YPUo+=rF!*d zlKZOQH`89ReGB0$TEFn4{MMt)rDff71kMBsbnWCyO4!#lW5!Yiv1ePS9N(_+aD7{- zV$(V;my5owJSE|Z!t=Eb=Ws;6P&~c+df}N6fhI{VC&O4r9-ROIVQ$eQhm>y086NUl zznn|(OORsMJ*|`l{aiC&tacLn75a|n!!2d&WqVdvmTTMg_^a(upRD=rbP6-S*4w>W z=1(V8Xk6u#^DON;9(4DB@VfLHZ?@Z=zh0PmYo`9Ptit^hOC=NczU*d-e(=<|cgOV~ zr;qqg*->nFw?msZ$53ihiovRhZ_^^y9(~~!wyWvQ(&FtCxAqu(Ix+Xye3d!@rL(;? zjHlAWs-@~C{@B>{X{ur0$EQUnCLWbI`K_ybdrvOUbkTUvYmc@Cgw<$9YOaoUiu}28 zyZZOmO-gIl9OF!Nt%&RuKh<?Q&dR)X&*Y%Uy8@@Wew@nM9uQ{tYU<U(g=;vpS94B! zD|$LBDOu?w`_aH9@$0@!UQqweU+Djt-!qSVIF;WdIQ71Wvi5%7o>hO$40nCHeQ3t1 zeCcHE{jxo)|CAZ-`()k9`BW!>N8_|fXXn)WYj&^wv#jDr>d)<s)2H57iwmiXu88}Y z`#AFH_U7eN@9WJAsayWx$LSz39v+?iQyz~3Zzc)sS@mbxi{OtEFJgb@E((9Ty>;Hy z`({-kb=EK9e!g84{j{8G{pm;p!xcKSb9h$$QTq{cuF3P`#!t5ow4cfsey_b>chAZ{ z+dgjlbo=1$Ps?<8^rr9a-S>1pU)z-WT@q3MW**vb$6UDnME$4g=w)fzj7^z0^Hu+? z5b;QA)pyx&M|@GlGwzL*Q}t7lI`vZ$H|nP(Pt<>suu%U=QltKqLw~Z4-AD*`UE_N8 zb1TQQUrr*<wTfXY_X(^Hi|+_sqkm-8HSwgWuemqA`q~=wBI@jnM#<14w{E_kmesMK zyFak!V=GV3w^pB?PpvvVUs`i|KD5g4d~c26`P>@xBKYi#&Y7V{qV5aCcO-1kcQHI6 zp48r}pOVy|pR#bj{*hZV^`9(=FMTX_ER5MKEu5L}SqQUUSSYjRnlt}wJ}!RHJ87%$ zO{@H8GoR0Y8u6ERZR1+OFKk^?tC*vw*E|-_5eSr=RiXDukgw?)2m8_Kf_%(7<JIb< ziato|-sZ~PIqlM>g#xLH8NWjxrB`_i{f}JI{$PLimw-RtC(1GZcV2S*$o`%$5kHQ5 z^!NTZyY%?M{?0EuerR2qe5hVFR8-{c;z@~jZ`F&wRQ#c@Y%B8Lb;<Dq^>Qx_FIrwo zR+N6ZG0~+;=7L8*x6n&ZMafW6HY*p^!&aZ_?Jqfhc<)-J@eic_zH61wpWPGXxc}Q+ zN`9QLY|B?C{Ic+)`NVl5byA_CYF2KlhuhZ4yH|14iM(9+<FrSAqr6*{&mWyj$q%1T zl#?!<D966PL(7SK?jjwte~PCbJ+SZFwBv{WA|1^?%lG^JiOD=!xlH9+jq)wm4d0~e z=l*b=qTQKWn8Wh>@eK{WBO4_xB#x&&3XqxIdblvh?Ay_emN%r2KTGnLiRRj!d`0x= zE{V>K58uAFFl&k}%n`AEe1qprN3!uo+rG1hK3SMaKYnyWrl&W#*ifxcxAmgot5XMl zNpx=fm>(h|eei^ZS(jj84qMytjWZ?0j;AGN$jt6lEX?6!JHBzJgvjw}PWL5BQ<I!~ zj@)3lsovMSpj<}Ub-jfdv+d&>K3}?%lete@m`N8uz9GZY5x7gt>{!9g8zP6FCKU5b z@76Vp(aiaK?7Pr?&YeH5Z>rOrEc?f6!s{QcTlP;n$+G`n-J{)#H-G=S>c7OwaqWbj z?^9Qc&CF@KpDZ`!r=DuzURN%TybrGhg(e&kW>ws=R6(R)<j4VMR>O}DjyiY31*E@= z961=vT3G1r7_>uIU#4sICygAHy~`9>c8j(ot#6XJv0Opzn|4PlGgs2%1x*$fOBD3@ zv^}`opR^`FSZ2c|y{k`)<Deny!W)Yf*!(m)Iwx}_J)F{X!(y!h-#Lwr?#*124p~+{ zoKd0Ef9P1(XD+7#M@J1kt&T=-uB1mhnj(HgIp)NK39yGhvCew9ROUv7B0Jx_(~aHf zMH3EP7OT6f$*^ku8Lvgh%}r)3us&SB@`&djc`Kg}`(GOz-G4EW`TuIZ*+1e-ZXEjm z-<WsXMc3WweE-~K%Ra6zv1tA88N5p5ZprO5*(d+k9A?>nJ+Z5P?ajh#@nwIH`Cq9t z{;)rDMdyEiXWc*hrLrFXzZk&&e|y`mANx&ATK@-I=*KPnv*dfp$0IxMI~cr`{&Q*B ztqDigaIhbI!@+)Z4o7?AM?pSeW@Q<%XRa1GXZi~)A_N{5Y&1AD@sLvO!eF1P>IeIp zj|%cNsS5ISEfwTrKK(_~)W9|0z%Vj@gMnxM2E)Mo0t3hV0z>C~kHlx@b;}Glcz)sE zGUvf>`|Bbq`yc%B-P`!U{|j4}{S|4RKO65QJnH|##(n>)bk3ih_Z~cuu4?YCchC2D zI6uRC)}!iMEH@fI`hR6>vcDj$@o(n6ga`UtDorL_C=$MZv55QrwIb;YMeOy<p6dMz zIUW3CT7lr@^FLR7ly|k@_~-m^PSJ^pN74hun$9oQ5it!EV@{iL^hmsWq|O!h$ebmM zb@-$f>F7x<*3sP3tx@0gUqMN*Y)Q%@9hUvwn*wSCQw=Z3OpIn;$<fYfekk!^XTgmP z$})UwINH1S_ZKYraQnT=zNsg#m90B(Vv=I@dAVTxQzxN=#`~vyjX8f<=-}%+<-+w* zQ#bu-yRY8l_QB-%^>Ed-#-}5U&&NM6;okM^XHK+})pPFLtuLnizkEc#_{@7p??;8_ z#8oP$JpO)uYLiZDjc=}S{b{c_CE+h;Kc|XK-tVzI`tr_CWs_bgv$O2|{HINwZIkDh zRe#i8M4k)Xm{9ejIp^-w|C?PFNqpgYl6X#DZO3HiNB$K%wl2G8Y{S9D^1Zlz-VbB{ z73-gE@{SYWv<sb+8~WTmQ02#iyDZ0CwjKOF^*+n{Q?93G`v~R#b!;+!x<|b0cagq; z+K%WojXm%6g#KmrZ#*i+af(IVb!PpXM^k*%EMj$z^Vr`!VSm+N+gW)viRav(`wM#c zTR7Ez9Qw1{E1Nm7>FK@=hktBxn30fadFFhUk)5W1+Kd<6pY!kQa<*`aZLPdIOLN}y zl(tsK#)OUW4?NfKaIw5TmHDZ7{+SOa#h(f8+Wx(rv+C!eJsH2*4zc{LNsL=2U;FZ- z(c4##_xRZVu+YDJ&+gp*hga1F(%7qJUw2RO;&)cHzMklR@HKx;kW@5xT>XQ~M!Ii* z+9k(7Jl^@9-NSoft#5GjEc0`JvpfnMcs2P}Eo^ko%6L@Jct2db@u;);`eiKqrc)kG zY?G>3vrui`lb>@>*+s<%uQ<8ofyY7XFRQDTE#-4RY<eN2|M)|xj8*w(w=9e7|FcfO z%W8Vw6aL+M^;uR;+py}dfX|KUH{X&C5`)&>@;{iQ*0}2JrDZK^LRTrenwy$jh~@SD zlEE!fC|VWz+a`jM(W@ZZS@T@hipI}US&x!7WO?q)s@}@FYFb6;?ajI&%xkjlw`DH~ z+G^e$>U}D-di4X|L#eiZ{ycrS&7o<ZyFUNckJeXRlcFu|i`@u&+IYIzXztTQuR<k( z3$^`$@A5w%%V^vC(q~g~?y?E_r?xzDTzYgmLp{smg&Lb4`wGn7cc7PP|65y)YmYpa zE?AXSyUcc;nA1*;S8FT6G!F``cz-1*>1T=Xyl|_D2G72@E{y47JazH(DaUZ9$T_Fm zi_Xlyd1SRyCePQ^TPtEMoxDFy&*2d;>Dt$nwqW^!nJ@G*#O$6Op4I!k>Dhz13wBmS z&bbn@HD;IXy%zIH=O5N=c;dPApF2zT)bEZg$u1L<wkT{Wk``T4@4x2giG;t^TI>J+ zdl)n2b$!l;f1WG;wX?sz-)<=@w&uUl(~OJ_|9&b<PH+C|-}TjACE~y9R<Y)0SI>&S z_qnbyKm1znc7f-PsEP1*ma~Uy9XEdrxgZlGZXzDu^!0r8l5Y|M=S)`rZ*0AErXybR zq4C1nrz?X36>i-A_V$SR>89@+pV}(6CX_oo6kak{u{FWn;o;;ZZxve;q8%OzFI}tH zn&9p5aPrc#imeHg>(zhM<Ru3v+<4IcxYjJ+&qHyBbU=mCZYQpTXB!TEuc`7-oFN$S zBknGTMveTsc9EuR##a9=>)S+{v>99Le?BYnP~7n(oaa4rqhjj=Cx?gCR;o(`eE2kK z{QFx(nu-})uYbHb!KpxP!G}v0vOzbR)E0dBWGNWL(Z?<lXLsC*>)^$PL*mM>ow*KP zXKby%|H8pT(Lyw!!m>P5K7?b}PtnQKi~A=W65GMpI{kCQ1g8u33qBO>dwYM^$<sgm z93DQcF<&BZhg)OMocHY_P3#~=hbK6F&{*)Hs7@w`V;+l0+_~*eTnCN+E1uZ=*+xTP z&j)TBWBq2PgWCHKZ2n}Wv7vQq`~%5KX^|U;?&yDbB_}I#L*u!4Me2TjE|%4Qs;^sy zPd{>{CO~n9SdhlDb`fUdQ{Eph{nmDyGQD+acsLJ#i)I_^A-1+@%U9l8y!DSNqbHA` zNz=h5w~NsiczA?Ngqc~-I?dm9X~hd(m8qA7jZdq~{4qMX=F^$oTGfwF<gD~Fa9{K} zIdxNr`V94pKMf7zR|)G(c(KP(Z^|o{ZWXKidEHB`4hnUilYSkv(r<&$qR(xXE~_@* zn(|`LOunhFSPmb_dU(__MoqX!Ao%04;}e7CCQNa8UV9~@^IUdnPe}UT4Krl#{p_85 zlI5}MOm#a)HDMo-)VRjUDMkk!1E1NR)SG!GjdRf%?fmUNYB!Wm?RoX>R7z9r&!FRn z`M;+<la>(SV4GSk@SfM$^9z3qC)*VDrbzv#j~?ZCR~Ee!&fd7w#`62hnxcIxZ7lg; zZM_i@C&2FLtZOzUeO79a|Ax2=8rL{yHEwN6OPaVKGD0`QER1tj^VFtiNv=Pqct(Ey zb@|vbpM~pW^;)}iqNgAG^hs&C&L_^Vn+h)FIPEoABO1}JkRj5|diH>nqp_hz%&%6^ zp08!B-w!W+&v>n<Kz$E0>o(@^P1XT1OYicUwOrDA>$gEMBrJ1#bEM|$tv6)Pcu8(( z`%qfY&ymluo255t`2xusiWzF#xM#KIg4b$nES<Dq=MJ$P4wVZ6dMu|WuK#;!hm@ZC zJ%M#Clhb$~7DXiUKAf~5Fh<0L`8dnjBR30PPM1E^S@1Gk>QMIwz7K5$d-iDQ?fbB~ zSn+h(uHrWH_M1<I%b6Zs-M^^!zRS`DYhFhN*r{t2uq~f<K7)0^_9JqtzSn0nl)jnc zl@uqvKic(V<fG3^e?H@z_dfH~m+qhQG|!f=w3odZX63|`uW>WpE?l6(@nqwt6VK}H zIbS!IdzIK}$!f*Pe}3(2X}3gf8l#<=ye7Z!!SX3GQ%|lDox1w|Wa&+o|28dWe0q6q z=)|>_E)y5c*N}P<E%tflv}2#Pl;%`Braa!S5!55-%KZ4&^s?*1wZS(Viw?NiS}u^# zVP|VQ(b&1LC?PT8_oc+AjP-(i;R0smK>}S9xsnn>eg{Q=^7y$x?*2ZL3)5R8pSDE^ z?aAIX<HEmwq5n%(%0{o>{;%-Se6y{}B9o(SPafN+zkl+NFyZ|xB8oP%itfMiX8Mgq z-SJ`HmORVxUN+%uY2LwH$LyoJbt`9PN-i!@o5?$i_p9&R8w=0=mgh|LR$yH?LsD(Y zoLf4qiZj+Kh!p=YIOh5MmsOa<(z&+h_%BWHDo{FawP=ylzO;Rc|IZ$guhN)&q${f- zAysuHkM901-OkXOb)g}9SHH;q@oJ5bTEtoZli`Q1zJ9T~vR>f@OZKOwvTLS@?RPn7 z{Ymd>?D}cRFJB$KBcK^~?LnbkOOWzZi_bq^1gYHHabR2X&p$Tl0m-i2ty3rIo{ltI zr7v)WDfoEnq}0fRUjjBQnek>y0MilgvP}y<rKo>2p5kiye(#RTqyU8(0s<LAkDHke z_Ao_PPG<=-)0w!sM)zGX$2wNgX=i#TI2CLTTK2G7_L>&Q!ChX?NwLR&Wi=#Js`?ey ztn*n=xG+d4_0Z|%{PU|=uIgWm-tfukb?A@4N7ac_b@g6l&Dt=LpWE@9YE{Usz{+(2 z=T7guz}8iIRd<T`q0g5>H+<S-bDI70qm@hd<ZwN0{jjIzQTLoh5o<mgPyO|UrRg$b zW9ZeD3mghI1wFnQ)VqJ}m9#*`3gM6lqxH^Q2Unk(_<nzS%4QeU8$qG9<-IvmSFqgH z;$1l5$hWM9g!b*<_ZaL+O%S^MBUdN1F`>He`6jImKRrAWiziNSDl}LkVIdOaBg3aD z*4H8;?e5&woUC~C=*J0@4s|~83wXm374dLBk0#4<C$6I}CnP=aF-T;#Zf@#~bv$_J zy5qrP?-o2r@DC{1Xs)qj!+B{>ZKnO<KU}jtlq&9>+U>G`$`M;f<sI4?BIPV+59B%; zU;KJ{L)yaG3vOEI9x440cp;`nV2jAT_VV6GkvEQIeVDsIaF3`-mw8j#gVG9Nws~!; z!v2RQwMHkNJhphllLv_rMIRM(mKNNfZM`);yGdrg-`4b_hRV-9HRtGhZT0kd_Wo|t z{s>jyN98pe=kNJ<e9NcBGybO~l&pUK(a!YxtI9ox=0;5mw)mhryW>NC#)jU{=eGSY z?Y<RtEp^VntxSS*0u{S9Yo$E6v;L-#S6fl08S}&Moo9Yn?`=*<Yiag9tR%yh_V=#7 zuzjG_rhBoq`#U!X*mP_PxYNH$V#oK{^VRBJ)E-`PhWV$&@9k1P25#+<Utb%{{`_B8 zI+uCI^WJ)%*MHVOZF$ht6d)5Uz&yR~?6iGMA3se@VO#UJcareA>nkmv*6f`nJ;(ny z@9TA^vpZ&~nQ7WzP+TD(!>cLM?#v~<(y6PnS+TV@M6tE>wPNen*L6#`>E7qGw%%}Y z=b9^9S7&B=Zgwu4?xK1_JMgB1S|IP6$t%>pl_$u?yXxM%w_`<cgmQ#Nh6p#y*#k9> z#)keEbk6W(+?@99Clg;;O7Q0eVaMao+?#mzgx03=-6}^d>i_(j_3KxR&}XYQ)25$I z$3E$1u!(Wa>U0G&&8C%nxteHu{?nztoPu>4If~nL6gC{a^J#&@2BireZM>%!J9Akd zappRDV?t6wjEAA2-I53iu{#z=Pc2AD2n{gUXr&P`LqH^lho6hjjfGWQx!H+pF%wgC z&zJWC0vv3=`1#dT47HXB^zdnlBs+CAZd5#aWXFW0#F~P~BF#-rjRzeM9+|KpAu%Ih zgQ1Rwg@l|)Ob-W_W(oh3p8KBWiU}_#9E+<zxO8*rjYs86Hdp4nJ5c>#(gnTQr~f!V z|7MyidvnLHeUs;Gu#8u?i}CglvJUAu`!}@XQLy?w$<qPPmz6j(hKB_5+5{;I-wru( zDD3FIjXPI2N!(bfpk`O{$az_dNO8Th;Kf6^t}d%3J}y&Q)Emw9C}DZijvp%&H1ABF zd`)H7pXNCNHq*rOw6mFKhjb(?<_awN&7LWpp}W0t^{N$Y^Hxmg<kd<^+?%vsQ%<X+ z@hMl*qq%#uqP=q;%u-s^%HGwn)T|&@@Zzyr*1`>ujxi;nSJJust$(`J)=g*Mdh^B4 zeT!E}{ZLrJ_c=}^s9?tTCYP-RaREPDp9>33eYC^Hw7_A}tQo3-x;<hcbq{yVcUk&E zJCOIw#1(2)-XYwvhgX$9zg5!dW$3#|YKB&zug}C4Vrty0zF+BZSt_9)$m=s<g_@dI z2=`=`srO$PI4|0y+3sR$5WQ&D4CO%GGom4Ni;pCAdTn%BBo(0*=&Lh%g%}%$*8a`Q zx?NOXs0C{7@(y9PRaw<JPw1+XzT;E#>Mzq*u*^T?t{AMz=Cy~7%`-%}S#?#bV$-Sj z3{qNwoNb;VT@Qt>9^mNAN?PKw)xay@XRZ#rs@6fp&QoDYLRSw6c4j?V;j*>BC!kWk zG-#2~oJlK0maDF6G#0vgB(gK>;hY7Z=DnWeqPjyTQ1hF22y?W`s!ma%tB1}OSbu&e z5G(qvs=RaEv=t)RDytf|3SB*7)tU7$+wtk%J9(X68{8KKy_vcqXRUUiZ_mUPYxG)* z9@O6F?er>$T@(~Ec?H`#uMpvIl~t{$n@&|9@fMo;V3Etx9SVWGbEdCQo97wAE&un` zdoI)7dpA4Y%I=&0qc8p`f8Mg!8_(|F_n@kHo89FJi7DCb^Hu5s)b>rDx%HmxwAZ&+ zuk73^m6bSmQkD6YY>k6zyi0GaS*W%xYej3W*QwhVuS<Dth<4Wema(F<Rw^rT|D;dT zN+X;(pJi$sRpCwDEXljoAk_2c3>$A}&a`ZeqcXgv2I0;(=Xf~lo(T`KYtz3J&~#Ml z)H5@wQ_n1<PCc`d%1Ych>679AMQxiz7qkWKxe~zaZ+hj3GVj(80iHi)9(J|~*<23j zdM=gqU|!p-iiHcs;xskq2k*Ha&~#nOt1!fwSLSkn@Nv^Chd5QA7EjnL<yGM4ta&b5 zBib-)MW?b<*276%Ro@%uUkqrvEag?`<;*+hN`UZtlPia!Ri7@t%FL^}WBo#r)h1UC zc=4KUbavKV6A)xK?d+9+CP^tT!&qltpUVNllTEK2QdE7ad{>WG^~TDDBCAcW94O;8 z-RSD9o0IYX@saPTQ_7{YuUt41XS$`pf%WIa+5lc(od9QU?w@}fQco=FKDt_W@89c_ zy|f(dJH0*zPHJl87rN@Qov(hH$CRr%jPd0^{2oO=Ezq0mFaF_3{Y9<$d2jCQV|~rK zR`j&hABB&1HyyLT6c!vGemwv2o9lsG9Bd~Uk0w|ee7IrnsK;3M^Vj4M^&c81CzpE% zik}qQ6Wx98MDm8x6*3YQs_bfhry5&V7bQGyl(D#>b$(s9ZP5g$?|qwu7XDDU%aZ!q zA=7KhgV%lgj<)lwY>aT^Sf;^oG=<gBz|--@lt4$FGeH8<#Ue)z#;_I^`Zxwz=;_OJ z$rdFf^vcY*p~EiLcdD^hx#+=z4Kh0{RM<7&Oy9=E{C??ie(92>R?>M(clDYF-94zh z^4+7UA^r>Zr?7AOv#qCd(zDr5%~N{5=ufOw=v)Wd!t1CJrokcoLG;KGE!K@2q8xM9 z1PZWciyk>@#p;>3a!-K<+lj_Ty`qFi!uxgOSj2vvO1|+znVqldWMj8n(FCXS1}j!) z>TY>@>BZ~)mW|E7ZmF1+2)H!${+qZ_Ws~Jp7u6Z6ftqRFA=f-TLxhV}SG86q9n&!D zT-0c}=!9C*qKw2YjaxIcy7-oLF6w@{XvQHP#qT?J=^Gf_30)HXW2X8Sp6iVDr+xYh z&d*%G`r-Rq9*?4SY@Np8XznW0#oc9*qq$5$?Of@H*u^@NpXJofTDvKv<H07bz?dLK z=HsC!j&Mq9om*Vh%B^?))3s<3&R-o$)@`mA4;(G1eHJOVtLvQFo_7y+-#spTJ5`FS zLPKSO=cA<>LVE;Ux7`qTWj^n6@kp@a88yRr5l*oVrK3WDCL1C|e08|GTYvGSwRbNG zn1A%phX<FhMa2huB(M6vPPs01y05`<PN{<>^^06}JGU<K*x)Ckd98a<Bk!V&q{SLh zH&mW<B(*J&yrG`4W-8aL*2zt2kEblKw9w7aJI4{lm3}xXTPT{PHzB&>`H#E&+y6|B z5aG=0QaZ{TXi^X>;yXv&l{@?ox1|4)8+uPJ9q@BB-spWn=Z^TL&4vCKWbTNXh?leI zw%(SlF*n=d|D0>?=eL{Q)b~Yhu6exwxq46J%RQg&iwizei+i=9J6-Bb*5|cpkN0H7 zy<RlCV%=fAxROu4Og1sMp5OkrGf`CF&PRR?y90|pEPt=k@?ct1fKAwvv<IC{Gj^=> zID3fqf6Xc{jd!QMA3G3R$s_#ziDAU;$;{i<Okrj(e{#FvV}P7;t9#G^sl&mR3934} zx^)xcr%n^IzqDS1<7l*N7TZ0|j?U9vS9JDFd}sffY2NAYZC`ahNvOr0NRFt`WH*aD z+1Q-@DR)POZvVtXv-|dKws;$DpdyfW^g`c#pFfG-v#u?ZzF9M~e$h^egwId18qWHz zW@Mi2-B{vXD|C1N8Ws+{PwcW?j9rtjHZ%&a_4wmyc;RNX{PC$tHn(qoIG5CA<FH}1 z)Pf(oqzpFf7WrVdXYrJV?GNW(WjMMf>%ajY{R=L8-pYIs`oP4(zM8Si`KG<^pF+b6 zGi8(7z0cgfv@S^K-ZZyxW|77Fo>V_&?W!)lv{?7(Bb%41k61bULYYOLuVM@{S=z9D z?YyNddvaqxd0pV%url8?;lY~lIdKa%e>a*^e6-@|qdfsW8zbhJuV(CWJnGVQ^yYey zOICqgGW9CMY^xAvwr5q<Z&<CW-*;6$xEQmshP_&|im@s2DuZ>-o|p>8ZQFDN^AFp4 zaaKsbi{=gZvYw6S%Qq(3F4gL*-e<W5Vpg+htP5vmlbgbHuk6_rp%2@NFQ0A{Utkf& zD`D|y>KgGEZ}#rW@HdRxn9?sEtTXM+#;k@%8Kwt>G+FoXzSmcMkXuo0>acN<l);8O z+L8JJcRtM2ub=WGE<0B3?#^`!H>%C`zW(&pt6NK7@AKIH;Bokx$6Onam2fM|@B3Bx z$ldPI-l;a%uSI2?GvBtwRNe4_{8qN6#wzA)m#XH*>HmYW4L*K||5y4*+|F5V_S3#y zIeN$cZP@FXerx&bkN;Nh_x&^V*tJt?tTU&%{o}g3{-4@M?~6ABf>&gITK?nP>iT&< zww??3{nUHs)}&m)pxVDz_j~+NI~3*nW9t5QS;aGdxozV}=@Z-Y=T&&;{Ar%C+jj0; zwshY%-TN_zr`_oJoPO}*qt*Mp{^&eeIVJyPV`0qySI0ZwxBK1<;yS<Ym**pP#T#?- z^e!%s-<X=!F>TZLBl}<eD*3%D$6IlF?jqBjKF(&>7pfhfzoFvIdgJ{oC*+>HvQ+wJ zm-+j>r850n&c*yXy{)8h|Hluj<JIf*3a@Q76E!;fr&TTI_{Rs@@rx(zx^>+|wa~t= z_d%SD^z0=^H%jh!Y_C<j&8K#n<xRbNVbT$v28VU)*Z$=3WsP$(7rm)rvUS_DOT07t zjw<c{cvWA>UM#m})r7YXM86hQF7@EsnHj&)a^DLbv&AuD!J>PT7X}=D?Eg_dK2*;- zNv;0t=_CFw*~Pxcug&uFy(x9=q+i!20iT{t0X_jyMK5Png$a80^luXA>E0C3GxdGV z&f_26|B<LXopJJ6^ro9(X%f2TTehvvOmr7A?)g;S7wR0n!_B?!XxL1<__nF9U)1wt zvo!yos5`wmN=Us<zk@~Hb>gEb8d4UzU25k#7PW5P&;Ptbbom5t=Ep2&k5o8rHgLR< z<0E3iKAGk0(JA$!*MeUB%x{_-x-TF&<MedC1;0uZW5W}ik9AyNj4qifU4QhNeTjuw z?!LyQkKV{-vAU*5U%hbH{>_!=H+LLrdvvQruhV<~bm6AcTuz0qjyy4;0>altjvOjw z-MBH{QRdB$z4<+>&wswO-g|m^=5nb`tZh=8SlgvGv35w!y7Bq<e6_lWR^D9Q(pH~s zOXn=zYvr@Szg=_N|9`hT^TP_cqffVpY+La2Z@JL@Pue-9-_|<|Id0$Ja?w<0qh?iv z(MQcWds_PwPabRE@Z>>lMA1h*ouwblw%F(RiWyy0x!0oFbUm^2QD}tG2Lqj%J0z#+ z+~M*z%WGF<FF!P?dv~H{YxTmviQT6roJ^QJaf8bT1)ab<9Nuhlt*XNPhbOhFC!RcZ zdBc+jkr727ZFH7?P}*Xz*4N^b_UXRSw|R+0GMP!w>R-&eyn1Tn%&F$;bKkvN`DLd4 z?7P03`g`Bqd&g!uC2Y|&V{X}YnMtRohFP~6f4Eoue((2bAMRD>b2u}!DB5@kwCp^w z>YZEYG4a5^$!!()zMo#xp|7mG?}X9WUphq+vt0EKZ#t1&A@;N9#G;0Yks?M~-AZoh zfoEpucu7op>3`s&Pr!ua7%|J9^Gcy+DHD$$(fKrUiYw#f%^E3QVy<6KE}EeiIpu=s z<@pnmXD%>s->y^iVxFs>?WPlp)1SQ&6gN^?_FR0a%EIH~uG~AK)@o_Ze)Zz(bl0gl zT&tz;hm{7FCg<+GmH2&IM0@yr@w1a6XD9!R7rQ!V*13-wf3w%St?#N^U0#{=HS2Nq zS3CQN+5^F>`F~z~r93Zk@2s-5c8RaWr+u+nvteoY()ymaUtay#81~-i+$-B-(R<Ic ztmdDQ@l|p18oQ)TSC98>`_-~?+m~Hywk!?Tt-GAFJY4%>$?Ec%iC^W6*WOE?c9s3i zwqFwthRI*NJx#7Z^r&c1*zYylUN)GT$!@x~O!?Z)k~2oL{eGM>TE6qkR+%;HezDCi zyOD8OZ+6bD<juanb2h$gSZgM0bbgugvYRDmN@n}bNIbdH-Tw5!Rc~fxUgq1Jb1QY5 zZ}pjtne%s=$r@Z;=KSn-$q}a6eUTZLIlFZ%zrM`3jF?*2oqH>>(|5Pd*37LNZf!ZQ zd-}}6Q^#hTt@Wyz-M1t0vf=eytB1G5pUq+8+3>}C>iqi==hk{%Ty6HY=;$(Az1v&P ztIp>8ach~g-mNWKa=BK|_V}vHY<^;rvOsnDDwcccmfN-3zu(?+nsN3wi;T;5?KxHt zHu$#7Y<$_V*i3fw^<~0+(M3{*CnjCiIL>)`r*ivbv$sX3mf8B<-f~`YHlIcIWyR+? zR*zbJkMG&?vL$@loMSxOo|v4w_;kI_t%a+7cb9C=?0;wW_VVFn%=4mm$+mylB)j&M z&FsFH$(K26|DT$3xn<+DIck=_BG1jW__957`tfOb>T=~dx1L+i=G&2dS@C<0)uVmB z$89#eY-x`dv9&(;V%e)_R#jsAKTl^%xqoK$x>bww(>BLP-j8tKuirKA{;~}Vw>|3p z<yLy`U;LlKc6%+;-q82gFTU3Ay7x`*!?f~CuOxoBUi^H$>2*l>-_32Wyj0n1KYq6k zP`Uj5$ZLs7mMZ__GGkqT^@Yhg8&_sdo%`xjRm8H+wYIYVTrXBH=_+5dqIUDXjmfLr zQbJRs>)h%BZ*AOFb@j-v^3~Fz%iU8iT?vT491=fW&Q$esTidT?&!((DeMa}}R$eXX z*3;9H_a3#XnfkJ<^X$gM%Vf)LZ8@zs``eA&%XZZ{RtYP8+s|x$*->dGYkYs1uvkRV zt4#-sHrzU@yCt*T)$Hx28_R5`-Pm$oW;S0$+GWMlxmHQ7zQ@;WdD#*=ZBA9r7MV3= zg{yOKCHDI6zH{2fOXs58ZoADH^Y5C;ZaBWo`P;3MBX+a<ZsuR+WVbVZekFafs&<xm z`Gumqt=+dXFYC4E-g?~O`&(w~%Z6k#*`hnkl>H($32nG(#OJ&%BIig{ckj)#jhwgl zYg92u-t^15YWXwrV%C~#Q%}A6l5Fv{bm~i|p#RTi=Uic0RevoeHas-;>X&^{J6=V6 zy=njAL%A1|m6^%P->!#V*-hMV`qrbCt+j_%ELyqJDt7Pn+ORDNqP71#bZyxdPm^s9 zoV@&C#TpX{(+Rw8u|Ca#;+GPXww+pL=l=fD_p{wS&hpKLFS8Bg{_-4KKKmdGkAcM2 ztELiL6Se<+@tyth#wX47GR<r6C3alh%`>$&*!T4D6K$gPG7E3~U!$5(@zMQ?7t_5r zC$8<+(5kwXB746!EF^a8$C{fvmhQ;O34Xa;;nj}6k%2!!rxN<Tem-xOl-0IB#>byM zk_zW5x)Jhc=iEmN{LX&O54jS$H$3?2pR!-CN^^AnwYUdnsO30+bl=o<=u>&M^RJI{ z*LT^AM#y}u3QO3#@Z(Wo=GQ#BRloOc`T5gq>HNjf*%f=g%wH>S5EDG-?V=gSPMJsK zTI}1nYv;yA%k)&&oO-tLL*dj(CSn5Go7d`n$hpy#!xm*%ep&PHi=^UU=hD*9zL!s% zWu}Sp-@Wb_x^=7Q)U&4V!#11TKIr7|YkduW_N#kIo*&cK#T~yAx<B-OOz=XjA2qj& zb@IaJXbIdFeZFZ!Y@r-?S9)Jxe(9P2Z&$q9wM)jfEB%nt;|rG)VyYy2+~1$?GHYGw zIlaTqs^rD*$cx|BvObeg3usTD|LV%qg$}lIoL8Q2bYPyxVe+K9(e01Ig&E74e1Cil zUVB*Y@0WEedk-=HWyz}lFxeq?9`}{Utq!$uTqX~uIymp*(CvPqbz#OoCf^w=;}iSy zs#dIel+Jl2aa$eJd`2rCi4}(@I9l~ctT{YG(aJ|+)xj^?E9)0JwpZ3q%&q<P_{IH_ zYby_jHtvcMTyZ?L@mGvc#)0chygM~6bZ|5ETIyeDDW1EPZ}*w2)@$6}M*d~Vx-w62 z#p%q(syRX{&O0`;y%EVcq1Wj4Ml9ouT%+2XtJZG}-QRDJ+WPy8@QT3Q@%D}9b87Ff zoVk>E!bjHa%!R}=$+BuOumA8{|G6vlBkrMk6JM<!SNq{j1(r(O{fjq!uvF`Ac)W?j zQn9;(c~gg_YIn=!`Qo{WK@;ml7xo#?7oXbA`X??qwDy<jOy;@9?t8A!kSl!mdC{sZ z4VTa7T)%#GmC)xs3ipe(v}Z}(U;X&d^P|j*UatM3|LW<DKqDWnD-Q!sc5e^Z`srUe zqjkyj4c_LQ)g@Cm_=|I{{u!S!|JE_?khk|(SDC$K3au_}T&26q;p(}V1%~RZvo3tz zFW%p<Z2PT!O`kUvUrc}3?UJ~EmDgLYxGCI~&Cj1YY5iOo;~{$9N<4I?t77O?*R?-4 z)?ARb(UlK5$^W!@)sA~RU%d1P3@H6)Y3pw#es#}MtN)(Ga^-rfD!rMb?0e5GKId9} zXwi(eK2hIN(f1!V6kil>6^g$bbDr5*_|rD0w}tPd`NI|OypH+e`BUk3$lW_3(f8;4 zuk+6JJw7Y#om9}pm3sT9{#s`-_hr4dwp7=*<*oV`YyVc>RA}dX+8m=cjsMe(5W5rU zpPsu`Z~C%-?bH3Y-rawhQ^dEu_uSL4=ZkuG++sI3T@|zX<>$1MhPr!F)xYI_EY;d! z@>5rLXW~XaZENwfH+W?~`!9~-n-gm@Q(U{<@~Cj}Mz7^xcB*E+{88qbTfA%O`m?52 z4cC8}lY4Gu@+WoGRU1FWnw}5;pSdb+idoRgS6`x*WL0Ix-J0_Hs^Qw=i)xzhul&4n zU|n+7%FLkXZ+}*-Pky4Vx@_m<UAOPmY>t!t{O0i@t_2GpSBt*9?xbHIWMaE4{!QlJ zPX{X_R|xrvt@4`Os&yshVR4Wcqk781Ys`@@GTQ&v`6mC`J4?ph^jSezvR=69vyZ*U zYSv}yJXm(jDKAs!QQ1fHgv<HW+v=XLN-ll4^4yejuD3rdYFPID^827|HIf>C)1sf( z-+ve{{Wxm>lf_KxrT_Y6|IIbr@PgY>t1RjHqV<cHO^$zkc)_h#USIF`U7ebL{YseG zb+eLHxvSEg3Jot^dC6OP%;c`Lz2Jt!yZpP<TFmAoFFzq$)_LdYq2of|Zzv!8{YJF% zfZfWOdfKXQHaZ=$JFP0SA>@!^wyMm=&_jl|RpxBiTT!K%U>X{-bmsm1AU<|G%~^3? zA$_j$v*#RkT3j5NP$Kua_gwnCi>zn<-nhUzy?NQaN*N=~`G?g+3yv;vQkywT(D34t zB()hKhko>TtF3u<tZUb@FB2EcT7F!7eU|H@4gaOmH}WOxUQ6vcTGg{HVt$~Qw84cW z=c+QjsNn9L&%b%Ee$l#@X=Z-Sbji<^)vNoK@7LModw*@tx|;2ql3ktq?j0?4kuHCs zTr_>3()SnYMf3kD@k=Otnjm*n`s+36_4yws-KskM_2-TZ`AcP+dv)vbu9eO16<%?= zqOr<HV8wZtMm8U<x|$nR%3rTVzup+$Zuh%HVnckpB72EMVL-cK_!l)*p8s3kRy%Kf z|HLlikHYb{`}3l0xjj_hcOH}3cYVfb{`%MwEA{$a`TxQLBf73EtgfG%n#KM*+v?9+ zrq?U7yti(wx8*I*$y&Fv=J{V6yH8bx`FU$}O@2PPpEC2XNAJp0vB_CA(>6HG=Fd3u zaKW*dbN_s^%U9p?_}|mMs#3jvjw9dZ?!e&G++@~d`%??dB__L0?K!td>sMN!sq*F* zzHTWOqj}CR+AcZG)s*M#qW{wsBfs!9+h@soYQFm7cFR}p?<S3Rv0Lw+G+goHf`}#0 zpB1LvYc-B%^;)jEG-H*3$+A<-y(@1yBr~2i5!)1!EO^;eY;#01XZNPqV#x`--uBK* z4BJ_yif67j?5~viJahHN21_aKnQJ$8L`rqfT)DAD^5(kAFX!7TE!Sn8N&Kt7`Aq8E zV>Z{a&ZPGqV+zaGNtt>~DJ)ATt@W7DwDjXE{P*XW|B*Spsr?t9x9PJ@F3ED2O`dJ` zNM__V72D*HEcn?}%-BDfvv_kXx5U)9Q4^B=zFlxQ>n~M2bE#qfOsUT^7jA5LDa9SR zW@E==scy?<8(S9NT-Unhe3|Z<LY3-_7X=Z?dhI693Jrb>3BKO6-SNyV9j}PVj`=H& zDi^Oam-&_+XY}{yqg<)t8><Yb>q>pTvBq$|uN3!<6&ok;N_9&t-8jQe%KOFKx3f;~ z%b79jYgOi%h0Bk{RAro5y!%+qu1uW;tB*PD%FuZfnzm%m!nD29rMxR9?wzp8==40l zvsK?WwXceNuim@U=JJf={ZgMRS8Z&NHxc`^_n6YW44r2ij|s`8?tb<;bX#`TwdxtR zA!n=jO`a9SC(E5TeP$e5-P@ok#XWP)#tu)Z?wKn#ws78Ll-yt~y)13-N2%bc`;WyO z%Q}<XbF9WKTW7)gV@_^aI!P_ZlG?I$5+k-{EAHNum~~Bhm0`Q0RI%h5!+yt`(>rd= zbTzczq>)(77WzzLhASVlk$&XLw7tUeX)_O><Tq~L_2v9L->WYppL~A&f4XDV+0*m1 zpLmG5%A8f&`XMFo&`190a+T1nt!t03zm+5<&2^rWFMZ<Sg*ru+GhF3%Z$6P8F2?%i z%%TZzBSkjqb|+2yyK3#*Uf!(9N2euCs9Tg?tXXj5%j#?Qn=~3^RvR5jOl{k-=7d21 zQ^`l0O`VzKrtI5s`dH9|jSEFqXs#AvS)<RY_}a_y$gLoO&C5ho*61;Qwe;J&@0n(S z#oxrj+n4>jV$KP)YfC;VGIh4ucT!+}wxm$O-Y-XE&rM<K-@Np|^B7GIi+C<4zNt+e z-Afgoy$+gC@bd8fy9=GQSFC%SS~yK=^}XkAg$Jsy?)ee=IyN@;>Yro3c}0DFZT^S8 zW>!C{b${K8)vMR#dj0P?@4qP^dzsFaLz>)Mt4=xTF5JXXsnXq%H@{0M?d9^d@1Kiw ze(^8)@V0$Z;m%A0x$Qj1(z_3`tTC7|VJe&O##0GNVcTQhURg0S@#6Be{QkiK@!!+V zM$eVf{&e0$yzbVoeXE1Cr1P(aiLYB{mFz3aeXGvf_vN(vx98vgW})-fcFnDFZsYXz z+xX8euQxIM?)aA7Qf-^9L{=)_<bUC>C%2kSOWwvOd+gPP)OCDU?V{T4K9@>taA;TL zE|u8m(QepXI%9*&>!qhtdMkY{&RDg;M9jc9S@E)|n4xoW*7o%qTOuW=+5L-JW!RoN z^W0N;?tQ<qd96w=D#z}6bz$*rzS>={4HmTXIaj?hSTvn4x$3pS!v1UC)1OLxj#y|o zf2tIB#L|ru>ZH0W?tQznW$MvA7a|Uwp7g}(<<nCaw=YqzT#<HVf8O5baff9N|DWzB z>&9^<b%}$m8)t~<ekNWC<qH!mnR+cWFU$yL^0io79x=7u>Wjnz=lP9RJQ7O|PdI4R zBeCf4jD=PqPpib1nO~BWwVHGGn{mB%tmulvoQ=C;gjXCdZTxjdAmhMxCf=Qz7doPu zdUxtxXtAzpk3IMD!s9)BwR$fM9xUQ>-ghnWh^p+dm}`lLrUt#JnQ$-q{>uvw^D^x} z8ypX3D!ri)5PFO2O7difS~G5wgl-4tZCoL5Sy`ku$1dQs{=&EW&gF&2Yh{1gTv&K( z;|qh7UcS)Mb%$q^TKPoGZ+*FUYg9Ym?oEHs+>dT&eO2JHK+m1^RiV!UyW^}<1<Om! z&Rw36$<#YT@4^g8Cf^y6yW~XfHQrjAelWS8ulCz3gM|5f&fi`eJZj)e=6hxEFhH}! z-sAn+$fdph3lG1!RQD{gZzWS{gjT@xRHjhtfW}{I1Ts!&HM*@4TXD<o)!c%ai=F#- zT79wnz|PBd=DM=8*b9TCg?z$d>4{a@Yc4N5{3~brr|oX?jaFYoS9seuR(%m)ah{=( zjYlNoghHbmk66YTfkri+tJZHmP35ySdvW1;!nZ?9wjVRFHCocnr(E^QVA*s&;i~CQ z@0-6|d$G>B{o&HTXAa8<v%E5JU7+X9@~SX!fn7Ye$&*bE%Ii2xo~^paIbG1|i^NLj z`LRpG6<Qe=e?2k5(5gpb_2HI}ku&Z|T`zf2V0(M%pS6YY3;6h1UVRE!AlJ|G>T|>b zMSCujM>8F+ZdqM^=xH0D?Y^rE&%evrbopVOcR$b01&2G{m&_<wFY-%%iE?!}pX|12 zWt#lOIYKKAD>m+u5nge;u<_R%fs7-WjmPF(oc(%}Z@b*>(ifYTo2=F3xw+ci?ak>! zB`NbRFFgD+$N95z@%QzmuQs?Z&{JnwHAyvKs{^M=$|?utX&feLzt(T{+fj0Tp*z3q zDk;_3he}euT~c<gdTp?%pHKML)I$pPM(M9EB!*>9|737ns?lnV=!(-;ja6&JSDX)L z>XrCrZq+OK&pfI)R_s;nhlnN4^ShU3`X-(zvNcLd6<elU?DOsF<%yPhw=euT@kT44 z-F<0k(Y#$YVk?gOGkvYpyU@|j)cbOo`SsL2e70&YE<6u1i+ouyd#$_M8O_$jx|GuE z3!VEfZF!Q|XUoKEp$h5@`R-V;e}=!l?5f|c?S_9h8|=LmUixB#-tSL8SMPOO@amet ziqkcXtD>D3*h#ZUZ3tW-IGaUkv-bi{>#yr}>RecOJW2MK&c(zNUb1d$E+w9M6(sSr z{j{0vDpe!y%dd-E+xez@{hryLRV%RKaA4yu8Nn6D6B~cY2xT0pYdrSm^6b~2w({Bb zO}kg1`NeYC;R&CAJrsQIl{m-$^*tRQ;~&m1kD0Xc-JgC=vGRq%<}Ln}uZ%($$OV77 zUR1eg|Fq+sm;9Dqc%Gu^^XaX8Xw^BD`~NDJPA=w>eKti;xpD=UdgHD)!Yhso{@tRt zxpc*|(>cMHzxn@h{<!${mqy>d*xdQ=b5r@Oz0XI?V46Sen{n%_NiPCaL)zu~OJ98M z<ztq6Ven)gUufm(!!vGM`Rus*t@A<Hi%rh2cODn({h4zu;y^%e>z*rd%8y!)CC$s$ zc^KKYH2KA{V>0{Bmgw2H_ne7Y_h*CDLg(oZt-eSsbDp1P#Urr@QZ6kyJfqRdM`FUs z6stw+vu(qrO?rR&T<YVUv0~!{PN{B*^&4kwl=7B{y_8{I%dY7DU&m+t^^22Y9p$WK zG;fNEEI6#!xa*C`isO8Lx9GK(zPPym$-MK<^BL<kEHmw1^G)`S*Yb~5<Ghlb^fFsi z@8W?=*R^66J6e5_jN#%wU)J{GLVAX#PEPmnR~OP_G<9-<&0btcPuA4A(rfMg?BJJ| zF@1WfkG21cObl1mcAoe2M#QW>5v!g{3r}0fR>fReIE%ehq9C|kvAk5`V@SK<?9v$< zc0W0LT4dLm%T@0T*F8CVVam^*>k$W7dRxz2j#EyWcq}O_OD8e6ZRz)`a=n&s&X=q+ z+-ubB{r=P;kNJz7r-xd7iCF7wT350n?f;}m3w~#}*9J)&_>$9J8YJdD(^_JFlX2~H zId#)##a_vb>84_rHmB5iN4muK9({8?;!w{dmx`Ysk1W;iR_|dtW4LZfndXItlT5uk z!h)8IW*q9B#Pf9Jc`n(uo=dYujn%j>D}FBBw)UstXM^9resce5c2KSp$vEVHGi_=3 zlRmzydsiQx@q4L3t=z1~kETnN><GBkXme)cUX!(zQUyK>6x~^+3Ii5!&h{#fsQ7l< zwVg4xRKm!&-7vaz#s*#P%hmsC%otya+D!L#_{X*GgqeLkt2u|slhBu<Ih94$E(<u7 zH;Jq5U03||7~7fai6@w3kLg@ZJhUk&qC&2E@0Rtt{T}nDy=mYwdEnmIW+R+&hPzR1 zk51%^Pa$jfEalX&v7EcaxitH=LDDR~<Ylh|e03vd^fk=A$zrmM(;}>D<tLK|I~<(- zI82^xaS%TD!uP|AmCp0+tax6mKHR}*6=J%9Pnd1$p+m*zWtVbh)QUvj`eVa;L+8Q- zU8dffdKX$`tAuUzUSCLG$j2=9(jaLiU-Gn<28oqo%aj-Ves9csw!dNDf9K_le_5n1 z#V;^qW|?L1p+3X+gT=3KsV^@Vy0d+`lIWr@d+f`#M5p;d5fyen7nKO<*vzkqv(ky0 z=g+7AHu&`ClY&gVlA0Gf0+~X0%kPcN%3pD~;h+_dB)FdTk(k!{lH-Tw?1mE2ifO(M zb)q|dhg<RPP`@zYH&btg!iARhrOFFFloa;dyV3Ce>xl`st$KDYKHT!&EAipP$NiuX zDyoT^)nIex<CZNyD_2cpYh1O|ae<&cr^&;e_w?qwTk%w^IXpq#s%PiY!!7cg4F1a) z%x)-QshRKVP{+cfC3~P!YJ<-L#nY@(8=V$#YJ2OKJ)HTRd+$a@{n8a)e6q(%E}oxx zVXKJPygob4x7l2mLl<mWS}C<5WPzeItJG%C1)Q_Ls#UDHwD5eEEZdrkiASPjkFB|! zc<7gokNbhgIZRTQS>Bv7v#;Nn&SjD^$07L`w@G5tJ(hl5t1mZK9d5|A>bbG*@C;ci zpBs@}+~=puPgPTB)ndHtJ$wFgMq?H!qp$^8;#wD4ZdM7~sJ#jZZL$iPx`0o4*$acT zReZwBQWLG1_MKK!uxAoWojqTkv71$Dlgk1_X_i?Ad%x7_+W5|2yxsdPYl(z`f4ku4 zQi;tD?VQ~I-9Mh&y+Lx$*YL<^2D`UR)jVzJ+|K7+`eKt$yWHv06=rv>cp_FFo)Bu) z6S4O23{xwgh}B;&rS=`Od6so1ecrJv%Y2fv{FiO)XqD=YT(Ys{sN}T1Go@0+5tClV z)a6R8ac)<%Dv?~`+;3?0MRN7whC(YI$@PajIIVhSt~lJ{8KocFmUSk1%CQ=^ET7P& zQrwd3Hg+UR1#jJbEaYk2A+FocPf8Wf2)eY>=5%3HJ70Rqi=wc0x#uM>isRZD#Y-fL z0^0?Tmq-+QwsTJ38n?^m>Wt$iy+3=dMI5*;#cjE6V~4O*x8=%>Ey0r0>hoig`NECo zp1yA^UGic>V7uPzk{25z+wG!DBsPS$E8Z?y5mqF-YHCb-mg@DYm!Eo%*{sVv^L*Da zro0TDC(*sGcdkU7(d$*M2|dKcFLN#XOk%m`^G`a*1!b+yTv>Sf^8b~N{k~RTZmv1p zplii*bJgLFT&td&>khZb{x`nuJ>53yyzRHFGwJh>F@4L{dD3u9i7!j%*@R<4eCZMY zA|sZaeQCQ{`|{2|w#5<ceC{PLibC4uj+eYBj%m+|UV3=KO{<<6ix1D(Y2`Cx`PWOS z+mG3N%Q%z1_!!f-Or4bd$CUUobe=6ZCd4;&!y)BaKc$Kz0xs>G#%`ir`eK7uyWZ;3 z7aQH$?XpWHHu$wGzAlycIF&CnaLwVCy;1tHVp(UBCmyR2%hpNgJmxelODAdCv7~9) zI*G1rPs@3wig(O@8S`)6Pset?@{$*y7W3K8dwJoxx-6T`^~4k0vTl2>B%aZhRV&e~ zoUY%h_kGK}>O0qGoM-N3wYd^;Lb=z~=32xV;a=66_(NR$TX#*{vh#z_>gbcdck1?U z(VOzcf?rne)rF_o;Ckgerz~5{#l#b#vTikt56{T9^4YQO>z${Yj@kHSoq0ax7?WSN z&Xb;FO6Rh4p3ONXbS^!j>inGxGY)T`dG6`^nc1q<*KJC6taF|&ZT00xSi7BhsYHQy zyW;jzi9*kI!}~7{9_F2tvu@Qh-#V}Q&ZQaWb9-5Pu1B0meQT<;E<@+ps$)X?G!t8o zH+(mFR<M6bapkn+^?b75US3Gu&u7c`;==O<e9U|=4W4Y^Q|^0V@N5O2a9`%$lF9{! z{q0hpE0=6+I4;FqxoBg@dsDIEm}JgsBb~kJW!YyIRxd05S^cbu?`qC6XVcj)FFfw! zt8JTdXHKPrK}fse;SveMn07<uk{Je@QhJtOc-nHU*mQQL&)GDo;LS6SUCCKy2<Jv} zX8%mc^PK(pyt!m7C{Gx=w(|v-zA*A_m%Cj0!q~Z;(YRFNQf#}RzxTQ_xnqA;9BxUE zIv;y1!}hzxYQy=3Qrr@2!0n3}D>lyXl=7Z2C-LX^uSU6k?`J*-hvB@Lcb>eT8D~}U za;<Z}oz<6@s}DESS@FDF52`?VBv%}6af~`28<%1Gy<(-|{BSAm7mMcVFIjy|X;-Gs zGxoPNoVNecU+Yh~e#Lb8|J8Xln-^^oSZMZhziD(k_x{|!TNMvJv&g&5cRVNRt#9tF z<n6w{-)w!^U~4A3>GU$?vRfr*>Sp^{B%EA%y7KhFyuN0$w*@Db#q!<Wa@=nAx1AZ6 z8U1sto^14Wx7qY^M!VVE4+ka%_ZLrV-YfGx_twLCzPs;i&Fp`lbL)AZFZ-QsFDFEs z$rfH-mb`E4%NFTrbAHX)D)Z*~j=al!*K=+?m7e`=N7iM#@EofLn|#}2HofdvuN%o} zk-SlHxvtrxDMydZ*>a;rcz^ES%Za=GE?Bi|+oQiS!l#c}`25O!Eq~DH*pnHtb|(`3 zekx4g`Lx;Q*;M{dGvn<v5*&Xnn9%yPSxIavf7i@VJB`Gco2wrg?R?XD<&?p;nhA$z zd~(!Ve44$oM_>NQ<{dQw2l_raD&9WL?wX@5FS2=SO~9dPo5GJKd)*XXxiz);r$W2$ z)8-hnY5bpV1lXN;zWS3R<LlGxtvy=uA{*D$1f03`$&oYrRGjGim8t$q!j@ls+AI?` zmA@!5((XjE>raLGPoFk3O`FQk6&Yoxk>q(ZhRsJ?TG(iBj?a;vO@@ZXr;n-l{K$PR zzh5LNt2pOp?^$b^AHJ{WPZ6%|d;5){J@*^K^tIm@`c1zv%rE`c(6IGe!-T8f8ahJ1 zHOyH5hLKZx&CIf!`L>NwYt3#kUzwA^UvM*r|3gGR{|CzqevTb!{2V`z$q5u*l@lmF zDmS6v=B&)E>6_;{taX_FhLJD+Hgk;LEoK|N8_YH5ZZk8TyUFZy?iRDsxf{$$ez%#0 z{34CtZoXc{v~|^-E$kL^Hn8uI+0I@evzh&e%vN?5nT_l%GTYc)WHvq1Oz6Mb{$|^+ zj@U5y&6i&Zm;HHmzwC#&TYQ9f5l@c$l*0?||Jlv=e^X3I-^I6_!B@+x55*kYrhX<l zrutCLvF++Q2~O3AoYc0d>m&tLA4+oDs;;vz-g@2C(+|q#_^h?5y)FFg!gAY0y}h@E zpIzK<n`k%rwy@ZSO}2@O+P8$oHtw-aG(3Gvc-n?7ve!=~dszD|yS7gCEoX7Wop}b+ zUEgwkj<_+;U_R?xPVO1^=WUn}_m;DJ#`Sp{X6$^+={@6eU-nbuEwbvttM9-0)?#@k z%YVk{Gv8V&&t&+|IRE8a%gVog)rXvxf!yY0y-C_&jclOe;oE|uhKpna4V7;TPBnO7 zKJ9PBTlTMWXBEf%E8Qv4?!A9ojvKe3<+*A6MHaDkCzig>KgCvQqg$^wU;Z>ZtBtn2 z$fw|+3p$=ZZBB}t!tYfP`EAvXn%HkHSxaj|?M^K8zS*)m^i%t%^iMCs{`uJ5J<ZPg zMMGZX(&n0gBjrz}<%+k~1ROF?UEg*5=qB}`>_=NaIr3dU&A#)FhWwL{xjz-=&wkp> zlsA>1YiF#T#v{L<3R^ep$xkWR{wDO}&VX+&SzmXD+nsn=_fugy`_pC{xoP~LDnslv z9u)puFhTukv(i5O*{2&mrzSi3P3!Hn2sKlC=5upKOY#1H(`El15ec5Zu8_Yjxj!KM ztNH&`hwZnzKG_kfcjV#iB1Jvt`e?Nyi~V;B%-{FaiRsr=ZqAqSdMZf{J15L&eCi~` z_V?Pn`svlFM$5xC9ZZdLUz_ZFs&&QQxSaz1iBFws+NN`JN(Abuq@?Ve&>{ZxlhEfC zF&>8=8$G`NIB(|U6{fE}cM7z>Ki#@QYf((csyC-vSL|9B)3NH+sn!*<K#UisT94T1 zh%Z{T)9B~-pX<(eUU~IpRZPdK$ESESwi=b{iZ9CAQKY!4Nn3o;tL;UKtE#lcg)Xi> zb4IXWxlf++y6K%AI|9{|o~6y~Xt}-r=VQM5Dg7(fmfl~nV%4g(xhwK=p8THwznQOo zd55|9hspD||9k4(nw|aXUS`(1jCWV2Jl?1yBX{!9(}g-VawiTwuiKcBu_k}r`Zeo) zqf6IEn1qFgUEdRZcShIlrHdSM^`&12f1Il~>+>VOjTx)ftzMOpwdUXDFT8uU*|XhL zT6H-`Yvcd$we_|eU6#zx{2dy|7kv4`#zmiGzx`XK@zi(jEU76gSJ(I3sy<|m`_H$% zJNn9>v{c_%-_4gp{w}{G)H1uP*gpU7npCYUX({ddsqVMq@A}+4pS3D$_0Mn5*Vc1w z+xBkmsaxf%Uhnw$x&G2ad69s>(WX;hN@V_e(0eGq@7s?9Ph_g<KB&w7izu^Xo4NFG z!sZgmq-k=_XD_ACh-Bk2KRrP(P15j^PO{s~)I%A`-(spH=ep-F{`@kzgt4|)Z^`_N zu3yY|&0us}F4p~DYxkGO*OGaTFO}adm+cYt@A38P|5pcE-7)Z<o`3ez<7JV*?iihJ zxSb|hbX@0Z**%@)ce7FtsV1kz9+NbFZFuiR%{$5Sk_9(kIIWY2JrcUO`{%J2LhCx` zmS0Mo`gmWYmCcX!Yvp~er1qtYz5X!y=q{V>5&hy~uZ=%2Z|052->4WLnRA4#yZ2_s zM$Xwse(U64jmkN<>A3RdFO@md82!%}J*nQ@^W&gS^1NB8hx*lK`nk;Z_4G(zq`U0T z)8&iyioM=&J}LHF^qS+0-Cr#;HZr#Bm_6BW)J<mNjTwu@W*3~9<1u}0+RKe+b!xZG zPCdZ4ndfHaG{N0xjMBD8s;!xv`fK)2%f8u54|7`9SI$h{b=2z2hKT7i#a<hpPO43d z&N(2{%{w!Dqu^&9v$Uq8YB~n$m!2+(tU6=vJ-;(e(%__yv)b&`BO;r7W@b&}yzFB% zcedE9rH7S*|9vXHoYh?#k-SkaTld=I6?-l{UAxL&H!O64*y^l+?$FQ`M^{;0NfKr| zrS0(WJe!VL`px9d$X`09ryEk!BsbmBQ4X7tdd6$BPlW2}FXAVz&hz$jdKiA0{q)c5 zpI^TiimiTC+8z3J#nDw=SCVSgB61G6bo0(k*(f+!*DS5;sG5(ddeB?{v)HiFvh9(p z{;u>~R(#oHbIFXnQ}K0qyZ*<Y2@Cxow)#|1cWCL#qpJ>GNeWHP+PKPOYeeYmsGKvt z-Jw@s)*Gr_N^P?|E$4sMeU<I%NOY`rXL9N<@3ySuv}sxKYR~oci@!`b9(B*^%a(}g zabm9xPbGyWW^G($v^64hR@9nRzPe$e%f)7IaF;V!85$i?JnbsqHJ#eHS*ZuKH}kwq z$_sD_-`w*uZ5roYU!%FRzs*{DYx>Mj)926bi(a$pfo|B;rDCrOPbJm*Mduul?B=!1 z-YEE7$L!gjqiQh*>Or}QXM^4*oDIrNI2-gf{%lZg{Mn$lac7OvW=F2tyISXRe9xJ} zi#oFVrhT41eO1Huh|q)4YqY#|!>%qBTg?^R9XfU8(N&kOBq^swt<mz+4GUfV%w^Xa z1MjWPrrui{O})3)n|g1pHTB+FZF+jf&$N}_)~U@r=ezoB(A(2KKTn_cjn=uAxcca> zHxs{?RKEUrx868<{%$epg7Znv@1k>#Fn9M>W^Cl_|2NxkVitGyxlPBdHh+oCpT-zJ zGxY@9=AIiF(+p>yF-qL5b2<LmnU4{EPXEeXE0sVYx@Sv7d$`!^OHa?Ay|#Gu(O)H- zZ#29UlfHB~Nx3R2$49^0_r>4m$6R*R<e%GgoIma5=PNqQbtb1Lq^C(19@j~pH#_wZ z_hg^v%j}k^EGfRsdb#7odEeza*B)*;x@*qHi2luDuZzzmF`tXhIpNsdyCY+xVSIGX zA=Tn(v3xU^9#7o-#WHCcqx)H-Co>}5WXw;`5Kfy}5H#(j{(@&aa;EWxKl$@CwePmr z>Tk_ruZ`UIo&S6-dEL=pXExtx_$em6=|GaQSX9m#rEcE{o%_>PmhQ|tx9PZf+RNgz zI?U^4r=H;5-1Fm_PIBI?)I+);H>!f&7zEBsQQf5x=^OQ4>t1`T-Tn1u!bV1EU9*(Q zN8NI^-I$>(HhaU>IUdtf(_U`8qf;9;BlUpSW}cb3(*#$aF-qGSskUZf>M!rjmd9o+ zb+!lR^BqU6dNxE%p9oHswQkWl4)NW*lGz&tAM2Q<?K!IEW1xO%>Hf$n9s}?BjcJkw zCv=?IW~Uwz*xVzTHI4HyD4(m%T6$Oo?6$N@-P6(S@1Mp--`n*kVWXVC?zQLc-MkfP z8x`#%bB+{u_f{ru<kWxqeSOetqnmS5`!&;E8ei36UN$rJM9Jo!8Hv*jjn5h-PSbhJ ze`)8-sdHLFtV$*x_l|q^B70+2+~$bV%~5N1MeBy$T_?8sU3hn>`I@7vtgj_q)y>}c zYTne>omY01n0oi;rM<j-SBH7t4E;C{|IZTz_nraebhR&rCrk2n<z)p}na`c}{@9)n z);&j8aotM_jm+A(N^fgK=!~eG1Df5TOIIFUb?Zt}XkpgIRaT}L8OZ2KQ{<|<t0PyP zT@B4Zeqqwan@e^mgHq*}3Gc7&DUC?msCQcTT5{{rUu(A9Xb2UP-gGNT`C3HInW%2x z2=)7$SC;-fHz&1!ciPM1^E%A$W~ZKD-rQ4>G0m|5jM2l5pc1JnSm@Hum)hW9<?AlJ zk-1TCx6ZZX?MHvT*?6O2ubA|v^GV9zqI1qLcKgmq^ILM7ZS$8K8Pn`$pD{{U8QGR& zc)DY4n&jrQI>Oujo4+)E{8Ig8QICOD`@~P4!PAo`MOyioc~93&due!8r?zc&>VcBY zJTnug2^ya@N}Cp`cE&92eCm{ol|5!w^9|j^4X(X#Iwl!=q+)UR%!Cq7VP3ttZO<g; z9*%Lo^l9>iBmZ-zWZh4nmZhJJ2Y;ULd$V|E`k6JyYZiZwOekUG?$t|~c+pkIY}X7` zx9J68e@eP%*KJieo?~cS`O@IIy?1e$<FkmYjjQA~MTGK4u36Qt6L!^EZ1pR}?$ECj zj;^XYkrZm1vXPVdY-h>L>}hhJ&s<7xie%F<I6a{?O>*N2on*1usfUCn`=~FP{{N(g zY}{XU=YOAq1LcFuJ{JeZcbC3Q-zfK2_gZ?xQMNrBwpgZ2czUDbpqRArktE@^S)1Qn zI;vy4Yt~YK^UXXj@}?=;o-taqJo4BVqth+-Hu+57BXju7rNkX`_U}1kct1(@T*R8w zk=<V{(l^>Y*EM_4bhIsI%Z-lpx@ONN9aW1lQ@@nj5?R$_;yphwP14|&j`Oh@sYfC< z_eiEs<9rM%)Yr{edN>pu*|OcGKh7n^zKdFO+_wAc&isvx{5ob&79Mr8*>q#Zak1GS zj?D3xo`2@j<5`ivV$4rBTuzhxv^LW1j>+j6(P=XaqNlwK=Pr6<=-vLc=-(%!<7M5Y zHxf7MS?gX)o__S#n{785O2wo%T~AW}7MXLVuG`ll!Eedw@U)j359-vK%}PC>wwdQ< z&NRX3Ge&8vBh_+@PnP6aWlZC12N&k$Pq|d{WtT;-InC4kbw=h!yUjXg3EPggo!NM! zW2cz3@%bd-XQx>+vSRsWZ+=sFM5nfIR_X!6%{-QQ(*)Je7(H7OsWxX)>aVL!GTcv8 zp6pLJ=mai<rXICgvn^t}so3j{*OF?lMdlo+>gN4<DoNQaBIk@-x9^P<za^)YHh+o8 znP%sG#wcM{WSfrh>5ivqlA90d2#3u|u`=g1_imS4_UGyIWsPF54NfG*vPG{sF3|l| zGHWB_VI8w2yN|l{Y`8IFqS$PMQ*%6~&r5rG@w85D)$CLU`OQ2pv!@CEJ!7<Nf211E z5C2P>SCojJF}(Fc=GX~!-`KvDX)iZi(TUZYx%7DI<}W*wrZI+}HF_ewxo1b(G{fs> zjUKicR_i7Ao=cu{@n?_ut_Dswaifbbl++|+&!jB&o{?1W(&Wq9i<WmxRCMh*ZB4w} z-P2xvx}jq`Z^qK|-_s;NG)K1Cn4a#CKWp@CW~ADmlr!^A>n{GhBe%q^yhrbW^<wUy zw_Yf{lZ-u6yV$!zVOL4%>5q3_$nbT0p0?h4+}OK)Z`#XE=XGqq&0cz*aWjua#x%wD zGe$|9Bag`#o^Dxu?9I*`L#zI^ZqGNLeZjO%I`#zL;_e%nC5F3u^b)rpTv~k2aB;Ck zo<~&Oxt$5q_{7g%dfFCo<N4B6RY%H;J_U)|rmf79+sq@GH*M7;L+{WJGnTF@|JSWq zt*72I^Q%Q>iQV!Zy$4$^w$3r!)v?)4y!hM;p>y4Of7zC<Dn7FB`F^)k5{8+T%U{WC zE}4-wP4DvAOUZ4Kzs{JPZiq~iG`g*${A@<*nV8K!Gt$q@TUFfSch%Bu`74>l!KHl{ zS6Ur^p~NOV7b46y{ha0J-gAkKikUwxpTC?hx%6;<+RIO8bZqO4yyrhpll*W<$2o3R z>JjbDA*l_KY9*#==a+V0thD)IJo$5FMhRnokKU7w7hP=(cg=X;tM`!o;?ny)XD<4_ ziU0R{VTriA-@T_23DfwP&t6KM5LxA8<URjynq<KN9cR8-sYety_gLmk<8<%2S^P4w zM9#MN-16xcS-+U=nqcQ9e)0N?q+gP;PW8>+&*v<5dtPv6!j?aCitp*j>djbs+I91n zAGdUB^=76XNZrh{GijP&_*tW8(wlvDq@9^}x^VGli^LK;^<KRPQ!cj7G27K)>?XeX z{0pIToqB(dCHK8{dtTt|6Ls%tMB+3)?X#Csr$$z-G4q~pnkKp7nvV0enW;yrHupqk zOyiX9xmo=4+6$X~MppA(-NZNCc;PfnBKAno;_k?_63)}SdUK1-q~;!0bH4Pc_*~xR zk{ij>^s3KZdb}wj?8(ye8k>0}a;GUKpD{{W6M3x1_;kz1B-sgG)6X6|Q<Y<AHGi#} z_=dADoVH2F9^qTueKWI!bGOU%y2*yeSvJ1^xxUya5ah-gsnhs2pS_gY7g=@2)O&tq znxw&f9cQr_sYjeP_e7>l<LvIeSv)hPL~e5Lx%94!tUji@CS<ya8{U19<R%q+$fx;q zJ?s4!GSj3cO`BgF*WsV~>C(fck-PR7dH3r*yZ+^6)-=YuXN;EYj&%ECaC*kPw3#3F z{;+%*vP;J}?b_n$7k~aqut`4<yqNpv#TQERBxBF`yG{QPQ}WVWr1*>2?+O2Bsns4? z@-((@VcJWBGdi(tvzH#{*!*Q?;xxwNXN;cgh;*A{aC*k%w3!8`X4p>O=l1;K`4=_6 zq+<^-EasNXC=qP#(M#KKQI*GVN69(M+!DTY9?#R~EyK@TdblcbS55LXx$9>xJ>M0{ zcE{lKg#5EckCsIqyJK{^<@T{RJLi~M^%uK6FTVGJNlzm7gzMt&AGcm4=}E>O3cR@V zzRj7!<1b|P2~VC@Zh39i(!*ApOCs~9WnE94W+#2dC}DAATaMA`j<ac!n~&-UZ<E^m zyy*H1n|G3P&zmjgjz}y~)b7<wntJi*8naz3rl4GMy7ye-Zg4JXh_sS1@t*FS_OkGX zPHo?eREP49x3^bHUOKCzylYmfkN9Sv3e|tH^FwyMF-W_Xy!_(NH%7Y}?z)L@I{rfG zn^f!>yT#r&{-@XPXRf@yaar=?^HSQYR!J4d{NL#(yKd{>lY5kAJ>JtIo9A^S^@jeJ z;MJjC6^{L@nZE8+y3lZ%iFc<;fT<(5$+JZc!g?tgp{~WQectn5UwQcH`O?^Pmu0rA zyeWu2q*t!;=HuL!+IL<Nz79fsFV!D*{1fVvRCw6Z=yX4J9mm1EK1ZQ437y30ibCHc zv=Zm<6XKK5dpJQ*s82%k;fz2bKMCD0vAw~HH%=S69pXz?eRC=Fkeq#;TEhHvA-*4; zhZN7N%6xP>WT>yYX4#?hU-td3Q8u}K{-Wz4KL6I>-+FhKWc0ri`u0-sVZ%HjzL%;G zJMIbfy;OeKl6a_;e}@_iyQP@Njl_j5v0|P#k~g;0PV=xxSlQw{&C?=jXG`)kZ;QmG z7rsw;?Nl%$d1{MnnD>p;-WJ<yUN_R`wlH7wwn*94qI`^dZ|4q;3p4UrXBB+@d~Ls0 z)Pk#}Ji4)0?rE=C<jk^a*}nKGTUI+1f0?x5F#pok8H~HX2>87<@>n3p%=*gMVFBY` z)>YGZ8{NK$uGrPcGV6l1^JSlBFZ2T1^Je-y$?TIio_QkKbg{>crwuK(W?m7w5h^kt zrnWd=^R`Hu+md|ED`M)KrKPTNt6d#l?2d8`=YAfr`h^zf?ln_39OvTvtuwvgfDz~F z-Cl<Ty;Wp3M;zkpZrN8|BKT`v*u~aEmRGcPIULgatop{#|Bzj=s*HjAA;rzAGKSuV z42@Oi7&yP!eO_BJV(lVt)i(uUhxF1_-V{b1vRkeqQxJ4WQC&r*Fy@fqag{j*Aup;A zc!LzWtGp?QIFxl-GqJx}=-W+|hYf#)_-?8vZhbnb;EWun`Wvxda-W<y!dAb~<FtM= zWy7s@uN%p`TWYs?S|qG)ao*-(k+k{3?2hM5y+1t{aGt;Q&#b(0Rp^%Vk3|>mY!eq< zajRR*Uu<p2TUXUL1%8M0(p!U9?_J>#+N&S16)ejY^467g)we}Vq1AzntM+EJEt?rK z_3&}4(icAqGpBzxIsQ4G>&8QM&fPW>Hyn3;dB?6@Ri?n@kfOV)Org&q!{e%R3Y=c- zUe(u<u(fO6lnsY9Id{*Qw&A!W=kGaF3l3Ovw$GVfaKu>gZkDL6imQ5!?w8oN;;qFi zLcdHZ;M>|2H*LeMGu{z*nT5il9XMCto!D~qnByTqbCoq-1)Qs;VqWa_HwT3hB6Dnv zJY?ssx@MI+XS>eyf+Jj<$Jb0LIHa}kJ!5QZ!q%>J(>5HI;@rJv>W1T1oWIvh->_<y zs>~+GLxR$(GMhaQaeBAxYcCOOx~jFy^$=gQ>YGizhq6ScZaB}!$^K?a!3jN1_czlv ztWs$4bKCQ4sb6v&m&wDU`rTsJpZyUljemLT;wD#H-2hYNX?oMwMqg<bDzi{Xoc{OF z%2+?HE00?oYWuiN9?WpKnx%B1Lz$`9Qs+X8v*fHaqvMi|RwaMCFX=tc>{~w5=gG!f zhrMq+t>&!0GhxH|WKMRQNd+gIIo)k06r8E$RKFwq>)j>S7rUck-g7+<=zd);6gGKH zi*26wjpx%^nDe|Wo<wuH=S(O#qr|DMBl7FrM=y@B?$=D5)@P<~IBmmOeP-&0^D><5 zXQmaL(BX7HGo|1R52w11_^)@LgFp&RIIVf6Z#XT&S?x1z!+8}>cAqH)Cs;V$d!`qh zvEWqi5&b3i!3m^Lg44QZ+J@5_oYg&3Hk{|+WcQg`a3YS={msOJGkKiqZ-joyeTo4o z^y9RCGhxH&GS2Fp$s5jxakA%3EI5(I>7FyG;EdbC$)F_!&t^IZ*Zpxl`DoU=81es) z-k1Ei|7pd-Weaz0`X(z@|LeTfpT%aEer%3;dRw&A)K_$?Y5vvFaKrbP>#sa~pIVaa zd)eCZnN-i=IsVbsSCTG0don{eu|0DBzruF=O9g%Mb()3k?_J-0ig+SdY&UJkd69{$ z8*hib7Ac%QGw8K#n#Yaw@9Io^Gjv?rzf6uZTC~3<na#su;qT)!UkAoU$3_N+MxWi% z|G%((e|(PAy}JD?cP`o)EPQ!0yXlqdt5)CmV;BGTk$l;Y-A9)dxb#WNcOObzrW2Ev zD*b1jRBY&-|4T}qST0q5e@}g>uXkzUle?x8TRYM(y%TY}C(CI)=iizV7LkI!mGiyt zBzB#M&Fi@Hc;AUyxel8LiMOR4UGJoN-j1H=dLy}6<n3pdLyVhMWQ>9j2^y=cnWn_4 z?(^HG*!Gs&y~XFf-RFI-dSZJ{?uyx`Yw2$DE?a%t_diBtRo>Lr)0cCaxs~4^2dRm+ z`ZO<f*0fiLPW(QZATuvL?xb$d9&w?vikXs(taBb~PqfYv-+7Cx>rV3O6SdnqY!WtK z@!85gX~Xe4&fjk)7aWM=Y=1Lx!>vp&i?r!2!ewde-EW_`Jw>we-Rp_l4XXogpNO6= zS(*3x#O;Za3A%?KuF;9%OOuX~sJvenX7y>$rHST$H(y$|beF-WWs*IIublbvK-BG? z2&c8rxigilbCRc=kag?2liG5^R;}Ysdg}@1Y2If`W~wCicM5%z)O*<QQHW1c{b9#O zp*~6Fhb<RxzP@PoX<9AF<m<}$nco&{o4DP~_|u+i6Sw~`4z$pj)OxxH<n?^Vv?o88 zD(BZN%Ur3~v!{~nv4Qz#ue!u1XD4oO{(go-<AKa_mv<kwo`}`!y7Rc{MD4k5n+J2Q z_)KpV`nE$casF!|z8z{0C*-Th6owu$3|E;`U|9_c^_yo-e2(wmV|=sumfO8qXHI;M zcE7ju3`4dcpLy!TBJJA`4xQLNS+dgc<%!+1Gow~<E5Dz2(BjVdJwX8ymHaAiJ{TA? zaakNUn`q7R!|9P;t;@T@kNT?biesKIKK~z-vFx<+%CB<$s%u`^aI)9vKb#=1B2(yb z$k1A4&IVhs{X6&T$W|VFJ<(h%cny25a(-#r6V|oL`A5_j6-elDPfwi5WUtY)XW>j) zw+O3GZ7JYrmrgdw+In_RP=rL~WtBGue<xoO3^-sZ)^R6s;fYwW&O6B)Pt;a*+dObh zQ=j%c>*R!}_lCiT>^7^&7(^dZELM>*3_oP}S!IraJ=g`G&)hSsvHetc>P_z9Z4<X^ z8Gkx-ZQ}RjH169<GV@LydfJ}j#;yE*cfYrLT!_`Dc@QU5=NRzy8?6@+b-U-xX>D`D z=B~yA8CzrJ#Rp`oI_@mpeZqEE=bh!NPcZLF(aV{)`_A;J_l5q4?EWsD%<^S&fk!;2 z`<ICYXS`pk3Rk7N#a%J`6qo82_oeEI?@3VN4@+~4yRz+8+Oln83r{ITuCUU$-GAuB z?to9X&bsoy3H#$@#&^2@;;+5kVZrw|F5I?k(Ym0in{)luqhk_p=uX{mcv8Gb{J*d{ z$sgK<$|Y2arZ*~mmry7&HF8<kcC6jWICh@L+V4BQJZJhQsX13Ap{2#S&D$brMoV&= zmqp@<_L<pN&EC}g`g~?<){6S|yEd-+w|AFJt(}Qw`@NaQ`|9RgJDng?m3HpANN=~? z%(m?|S+DgH+w*3=zWi%N?#dHaErfQSPMTP)Bee6p(?oWi3A0{;nrE>pZ$4`o$3)3( z-8-e=1UIL<&GZ7F_?N1}(^A)m-rg}|{@yUx$4T=puJnz0*0Wx|?5v?g`^}l3ce*}K z3S0J2m;aEP_l-oiXTP@CaY*YIwHGRtOKKPO8!CODsrjivcIN7fpA>R-U8(BN6#6z( z>tTbY5Z_FlhaH|meKR#4ws79ezG|kYm-38zdq&J0bF22^`nalN*ZUWplj=D<^UTjZ ziwX;^Cf}TPJY>1bn}Sd0z1RD{PF}^VRDMIhXnL*EcZ)eY|E=v``K{|CWA&1>U2`UE zI9|y4TV`^>fk4i7nTZ8Q5;>2{Oe#2Z@XgjNY4O{euCL07$uYNTp9>1FfGwvJWXjUd zJs0ooes9Ek-u~%{k3NU^?9<lyGd|y;U({Z$RK8QYsDHidyJGhzjN6x{?XsD=;W)q0 zx1Vu`82wdbK1Cc7>{pTb9B_z}f7A6<xiNQ6#jRdcSa|t`%)Ya8K7LJ}BhB`>z_xhb zf{d7$(<V0md6bTu3zhAdwbPDu&f?qWRo`6*eWDlZ^6sOSalFWm^WGCz_m-v2I#)bh zJLt8op7)LCi&~i7w$)zR%hCR2Qh|#<=kYHS3Y@;rPhWTSb#GbPS^lnLNB!NNf6&=% zw72d=(d~pQdDg}IHe|&7nQ$`aH1mx2s&7816xT+{AGjm9b5^{|J0o3Vy(swuKB7C% zi%ewqIk#l*Q^qsNppro4&F6qajQlDxMe&COzpKa;hacj+4@m)EKA+jDB_%H0doIx_ zZOPs`7NdI!Az!Ck#)p{b9ZNI1{i!0Qr$yE+eVza7#}gfu$|W?4rZXyif1zJAzfOt& zg|_MC)F~~tRo*w!TUwagye(2@v?#ZES)_He2(zVw(%6g1pfvW#>Dj-!w=#TbYzd*I zrN`U0TTa+%XdPy<FLbfr*7zrUzg^yK`hIx-^@sW5ACGlC%lekmB&~fvcg?E2wD0X} z<yU7tyz1Na?$?H|=@wF1cUR3i_UhELSF3J)+2~W+Cpcef>0yt}A=hu|*t*SLdVYp~ zwEgp?DY@%5{_?;1^WpusANFrwIBQqAmFb4ZhqtMFZe3^PTzYo*)!wVUy*c%F&+b_f z{-<`^UcatI_Sw5PM0-~{AD=c)Uwr4`XV=BIT+4lR<w`(oc<6t=*>Uk5w^o_odleDC z^qk?>U|uVp%L{K!to^mCnQLEk?9Alkz~$U$5}jY3UgC4sTR!tb;wAT+i(~g)I`?8@ zT(aG36R{0p$%@$~VupUzcV~Q*3Xa~>e@jmD`nEm>Q{!uULt{hZ!_PkHkNV$rIeTv0 zk1oHnUrTlV{g_|=<9L(q9EIZ=tIH+6Y^*6OHC?@0;?J+l^3K}z%U1n-E90DVD{)21 zyJyRm^_5<I|5PGvBi~`&YpE?qt9rIX%x@HvHi$YE-d($WTbE(b^x*Y*UALl+)%{s- z_2+Wf+GTr}Jv;WQtFLwCx|REa7e}2xCc3xIH`cef*XmuV*K(2Aw`)pgWNlnEO>@&$ z(b6owfbZ48uDK7zVv;uUEw`(xoxFT`&FWp})~?BT@WuWAvcvZJk9b9U&%U}5VqTh+ zu_8a@@AEV6>(v(=niKN#S@g?`>D}jVO<G#wWvO1&{#mJfr$SMGx6=2YQBN4B+f~^r zn(g^pmwW4qm0taoZwK~o+h|Z5wm0_vof}uqOznU1VS7|)aIvg)oc)~jy0eu1>r@ij z^ScUyOV?KI{j;fLtwucSouaSnPak^d7AQPhTKm;3t7|6PO$s9eyJy93e{p-!^t{7- z=BjUsUN5e{_;CJ~2Y$S*fwwP2{9P8chx_!GRsOfquJyeSySgWOGT+LpRwWU^JgZ-8 z-CMDCUGhow+{!mU@@ki-<?Wxh(t6+RDeD~1T3!>Ek80qHe|aJGu=?8OonM>w-dwqE z)3>{aTz6eAn>kzSsz-k2;bs0&&+koHc6eXk+4)=Qa(2D^yX?=#XuF@+W&cIaGr7J? z)K|;7b?Vwp8+WZ*w)4~5SEtG=KAhbD_JjT#!z{%=?-pN6T4ACUd+hzT(s;|QA^Rh5 zE?6~{r^fnk-4!-jtDKzI53*+@Pj`?l;||enVETGPJ79h-6YmZE3lo@`dMz|A%y4G% zwOCVrBXzRet7jHU0qu*KN-fm_`X4iWwN$*&P@NlJQtj)k$7%AY#Uc3|r^&-d`dj&` zbFR&Pu=1y)edF^F4h!_Yv%dPc)uC38!{osp2j_EKCXY5bB(LK#c__X2uwC>QzTcMX zUaEhPUB_|d={g5nIj$?umpCx{ahg2Y;-Gwv!{nKDquQN|)-oGH-xo}HysfV3z{6<{ zv3Xoq9!Ep=`N%c4oq4t9a>q@k-kHi5T6WIe%GaKA*;?k=jOFtcN@nN>OlM{K8nI%3 z#F4UEvCf+d54X&f-}<hEdqcA8+u2`xWkVx3uPA=KDB7Uc-rGDN|Jt?v#~+<@WjEd8 z`!?%Zd{E7W?8$z=zSm#f#bG9XZDsZTU-s62ZZoaO|KV`eNAy+btJkxy{tv%qZnjMS z=B8aAU!DGc<Kg|23-ed;R_7MxOuzr%UiP2!&Kp}wRtH8mSnZm1`1PyTTQ-;f-h27s z|19?(uf;2VKK+03VZ5k(VC4Oc$*!?irRUDF3Nu;B%&l!=TlfFH^`C6Tb<6Z@E0?!D zTQzH0O~U#6+rzYH9{4rQ`0KB~``>&}cXBxXs!vyN@8<QPp?~vIYh#O(_eQOj&c4D? zv3`-^T7h4G{{4UV;k|F;*{`zMTC4A8t;x%(TD&bTl&$u(+v>&(D|cPU{Pp*reaR2= zwijNZ^RJey3EMj9mFeI5`I4GRPj-uLwPf33ekC!X+WG2}U#Zs4w(n|h?n=GjoW1H* z=$2Q%YO5!ln#5K0A@!M&80$)-2v?gwo3>u4mkkV_`uNa$m33wk`?Ga7cvtk@-BfTx zn|pfgrVlstx#u@;;;>NJcJ1k^6IVs_i{=+Ag-`81;oR40lhl49xva}3@%sBHbJ4YX zR_etXs>m2S9^w>cU30D7Q>bi)TH^GVLf>Y5+<nF&=yT_+ZTHr#Td^u{&DwfzUFTG# zE0ezLzP5I+qSEmlMT&gvr}p$kJvrsFf_1%5%lR%NLB_qCw}+`IrR2;kb~&RZENHyb z$K}wE`&)A--BP+VbIYz(eYX3vYsEg_y1H`Z!VfZ=``$Y3nw>3ug(bLxF?4Qyu%61J zq@5FHct3R#(woj1c=Y$wB1OLIr&@Q!=!-upTpZJJer=H=<Mz|7Eq64<g$nn_c${f2 zQsi_$)v{vlp^HU|e6LTpuE;IiDbU~h)Tzd7DmUki7(JB~@0}Am*6z=)<+&sj6r%Ms zV&>lMw{m1}*G*qp|B1hqJ3IT=nnh3N^G)A>CZzpn<J?)V?+2uY{!<LC`ed~(<#o%V z4?Zuh>ffHXC}ZK&mogU?beZluDb{~9aMh~S>rWpqdh1=>zN&s%-1=3!R=xbY%j;ZJ z)Q@k~nbSYz`mA0jJ?*;R|C>?$b-z>77HM^5uc>LCJ?*nen7Mh_l`BhIqT{!{)#56Y zz5nV)Oz8WTJ7zOFtl5M&-%b$vcH-FCjn@-ozDf3+u512ikzlY&#ArrCESqrAjRd7W z37<28&7Kx&(x(3M=i|<Px&QKOW@Ss&p;xhfbu)Lqy>j=;l@)B8rOqzjk{lgVm9t#U zFMaycCja}cxs~gxm-)0DUZ@{^e^Ewv#+sV#i#)Gh+1hvO-CxH`S6^P4@M>!Pg{T|L zV%PVH)}QdPtXzLCHt?sP?CJj(ewC$lpS54JZbi<zmFtsl${YFWPimEKj*3z{l;}B= zWBSolB^$A+ogZe#sVOBm&g_`*v;IU*P`A>o`}r%<?#UZHescHJvs2~T)?p@3W@MM} z75AJ=-FC6^jFHv+nQr0+hhI2}{Zrh$G*Ee|*4+kQUCkr6@3GJO^k2Lz&c93~QZCLl z=g7INwDkJT)`tAM>kpp^Nt;$X<(7f$<&y1r+Y>5ZsfYO-=~!Ot?4g@7;X9kQz#(Zx z=1&SLHXJGw%ug_Wx~IH*xzGJwm9J9HKmNIIO-bl>E&tL`)8qVGOn5(jcqzfCU1PM& z@cxVAa@RUz64#zEz1DFjVe5%n9ihsGbXU1YniJh4G(JgiyUa`2XTmEb?|t-Timm?> zuHYqEJZ9cEj;nEAW^z5GXy#>cNQ?9M4TXm+uUq^0m;SXnt{3<E)c%Fv8fz~+u{gFX z@ayhMOTX(j*{cH*&#w4Yd)VqrLFfXmaF$htkqg+Sv%Gpd$ANjy+A^QyQc<ZjtDNM6 zR~sCdqQ$duF_&`A>O&INs|^lr<$CkQpxlQwh|8|6fAi#L`THGAzj0nkSnp8#Mlhq{ z?p3`Eopnyl;;Szl=MI%f@D^>eIFPvZr{bFpeK)2(o41g^l=tPkW2XXp#e*MhS(Tl; zJHH~=qweVDycrYZ?p>YpkFnzCiesl!s&~Izb~&xE^_Y>T*!A>1*=v93dUCH@y7-m( zeDB+(_OY`*woT<(qP5@f?!})9anE(XZ82NgFTUd4w8S6hb}gA6w7h7xss75G_luq~ z#7SP=v1^z8-+e_N4WF(}PFein@{D8W%q95SW4f*_?fYd?S0W+rE~|D-u-)@R^M%$o zk#?`<n!e`sN}TSU`KC;>*;emq@_KL98_$Cj{dR@4%+?cqJk48m$J>A{HTxb1ah1n< zJ)Y++`Nj5KfZefOAr0y=T1PCVcNyGUn&eZkl0{hd)b|hF$uav5say|}njCv7q09TC z>7k`eKE*2qF2`ye;+fuMczLPNnW7a34(Ch{n(;VDsyOyk!aVPvJ08yXsc@h)+i~LR zFNqT_PL;3!XnCu5v3BX#`joPz$;!R_vT6s|n>=?vOWb={V~y~x36lK!JEj~`ntrL` z-cp`<#hOt}yF*&iW3&!l?n}&EQ<~$l{Hmnh(hV1unuzU~a<FFlC&Tkgna-516ey0- zI+QcL%kcD4p))0CCLfeK?)K9DXOU*BsNT|zcb4)@+c~AbJXY&Kko*1GHDx(2&%4TH zq*)`L-stcX&9^q=`nd0~OU3!bq&+VUIOAkuEq#~Fzg3f6^0@Zq7sgLg|93ny$(|Y} zcJhAnjJ&xsxn`Sr9^d1wd8S0OH&QRv;P%p*GliN~&tkQX*i7#-xF5wMzUpDK;iYLm zxATfcZQoUVbYJq<DF#cQYIh~K?&=ojTW|j?fXh6_>#_KBqa81U?DBSoG~Ct`ebnde zy5o05sh9M=e<l96jOJgm%icSG)u+2%M;FKXJ>*?}x#DisyG5T4HMM8=^F}y4><nZU zyC7j+z}WneS^R_HK`&dW_?n8dR!bxFb@y29GVFi3%6|R-uF|56npq`_rrw(BKgG^+ z)yKH{{?|VPd^XkTOigc9i#8Xm%97psOyS_^#o8ucHn=R9GLJhXx!Hkt9ru;vrj2Yn zv@QsQvq(MM<d7UAl+n~3Dpk2dA)qyx>8gc#K=Wp%uZ3<482z|Sj%YTzS!iC6P-mI- zpknGVxpUkhiJMA)D=(Lt%#!tCi9@ZANJaxE6R%<10>NXPCdY)Cd<zy^bscez-<q?i zz+Yo^VfX^Ja+X(*w>dE15z6S8&D8s$+adXn!LE;b?ryhlU!HCHGAeU-v{cReX?FXH zPcz)UV{{~Jd(Vfp(Z_xq(-qDs^zsTb-?{Wqc;3p7OQWS~9!|5fD?iOJe`jik|6QX) z>Uolnlehb9xT&ex`1h8sXkD3i@BBNL9=LD+Qg}s|S*Gx`K>J;zLyFsb3NPpizbWwA zB_Uhj-TF6g<;L^6yx;orV*Y(S{Vpna?N3GiYPJnryMOBMQ?GrL|MYR_st@xVOz(-W zIH=Vav`zT}LpF<4(pm@QHNqJ^UzvP2EcDVW{pYZNOP(tvxzmAHjN{62r$)94uLX+p z#4=jaS)?9EHmX&u^U}Oq8@GU~n`PC<CI{X)krn+%nRq`;a&SH)p3yR$)yvzXQLSRD z*GrZ^vm8X@>i2xq7n1DVxQI(wr+&`gma1R%P9-b$Je*Q;WY5cKT=_ef9=n~F`JpfR zSH!DnjQ2`U3!J`VbjWRc&&P?;YB!!tDY=td=H1%wI&*%*ht97nJk@qrdq}+5<X8Fs z@-@3Xi&t)5ytuf6zqIuEi(hi<O1GGq28KOKto*sj**+v9aG!W!yWBPIkVNOLOubV> zGd{$L{;F8Y#k^+KA%WGa4GzuH>e;xBOL)!d1N$#juH|~UC9G9Sdi8||i?zONT*<|p zv+j_9e7K^n$-nLY*B;_2E4k=5D?X9y^PAq_XNftYL47edqmuJlCC{uhkl(DWb6^b@ zx8dePN@rGVkoYe5Ovh1P-`zSbrd9LJgWH$#ZpI~UX;^0vWgae}J6*dc?4+n$_v7~8 z`)5tqSkhi|SnzMsTiwlfH!eLSQufK$bl<ZR548JgYEA|==v#1ov~(A=d#rJ6xs2+M z7R6hfyDb(i<#(5=wpesj)ZOk|p-6(gyCPqK$V2vOzTMHcY-LvOue^O;q%VBNvCjSG zA=CHH>22mWpK~P3x&6w&OV=JhoZukJ#~t#x!-2Pt>&o$dCf<UzA6=p@2;|!ZEfu_1 zwd7x4_%5!mAGYy|+^byjf9hkIiiocshc^U2O4N4ex@~dkN1yU9!@?)Y*6xgD1tLl6 z?t*27BFX0NoZmKl)L4_&n$Zzov}8lSa_?UmNtp*Hj`Pc~TAT>%aF%hkI1|{TETd|1 z>e%9qZK8{wUh0?8{BvaDvGX#LGLKIjFYIHQ^FZTBuON?WMQzL7;AZoP`?YJ5RSs0S zIprj%9AfV|@?*k87U{Rl(a9@gThd-CyNQ??F4{O#u(@CI<3~<qM!Qs%Bk4UZKjuu7 zh&Pz@AhTM4?fos+OZMvx7j2jz*vr}@aVGu9aT_<LGpQ;^WZaZ|l2nfAxCx!v!u~LM zW^9X4w`7loPr6I8lrmee<VVBSi42n^ISpqEdi|1E*wQcP_3e!#OGKVHr^e^xmQ8kU z8jq7(HrcvqTuyG;WaH+fli0G!+D&6~a?2*GY5~Qt8?H`XA0-xk=@abT+9NS1>B#X+ zH>Np>Dn}ySl;$L>9IJE_irK&}n7A}n<x{~#!B+2{jF_||54e==))_fnk8*R0Nmn_< z>y|VpMdhGxwSeKh&D}@j@(dRh%ogmm?vc2YdgQpR8`GD+XVnys83{e)bW7?<Ryp|N z*Y$LxNe^mwuRL;?rFyYTqLQ+dzfqDwr=Waw<XOgjNh(LK_qg0R<k6Ea;rZdM@>lyQ zOP8FOX}ibJ=@D0c-wOMndnatyOldt-z3D<lycpZ+l!uQ^BUvJpy9KgS1rz7$B(0h5 z+Qj>)Cu5Il-h_M4CbKR*vT4DV2(c+rr<*P%M+S81aC=QobzHegM`Q0q*QWDFBn>N~ z?=<LL<4#?;!9h&wb84c2znI;#Q%VP9A}@#riV3EjR66DosWL<HTt|QT5#AT4>|@2a zE~hLs3>9MwPI;KTNr%a2l52;0s$k+Wog^Do?x;hZ#zF}zcQEQI&zsnKX!E8E6@FrD z=T9Fxt`x~q;V7mUH^sH(=%$X3lXQf11k+WH?0&?yMXfSYjBEV~r^lg@5^Kb6_igZb zVmPha=3tlL*Xm`g@Bc@i_iPWeFkSR8FG_d+@vhW<vt=)JeYY-~7R9@K?Uj{FH;bmP z>0Rmby7{a{_Q7k*)<t>quj|P<bHr+w)&H*5%F=mh0$%eU>_`(`z4gxfhjXSL77Vh; zFI_U*s_0<W<YU+F+->QWS?0X^3P*JtU%u?8hW68;D+-o!nXU_4cyO!Mm%_bVn(kW@ zf?wBuFa7f1o(J3InLkop4jV07e$)B>VzB^;-x-H>QtIc<4*LHk)6r(nC5wfcK^0S# zd#_s<Rm@eE&o2;p;M?c4=V)NdcFV4heD0jn`nDWjY%sOAz3qqI?LIHv#~#U+?ri=A zPaYRNp1WCub;qH=j@2@*JB|hRyp~bj5%}LHu1_N}<?+I+C-ifyquTF0@pvre&bHn1 zQ(^mJhH^`;!v4h^wK>mtmu*fyeVoUQ=}d~s5gj+BGifb;2YOU)<jLQVn*Qe91)aAW z%olmyI@xhd&yA<d_)#*yGUGQRp(J@_!EeSwj~SFX>o%|_8l2N}I=!a3M?)sb<*|V> zo4drvkG9H;btx)G{Ciw}%$z83T*9;9j$BLs$1=ev$+-zG4^@<<mP;5~obBj0?qRVw z?a@)(<6?2%qlddk<wg#_(gBv;9J*;?Mou>cl&7#6I{oBVwhJ?MlH^yO^2)%;l23Wc z9RsJxUfT`<;kQa#{{3hW^h%Iec&1g*D^g-%OzT7jZHa|%S_HkkB^K7S2zt4^adfG; zq$gDQV~${}zQn~JlLVXBOMLujs?4~}K<JUQn^MhbkDj$XDmT*jm5!<G_IUHq+D)YI zR{DmBWIg36T8593?UWhC41|&tl?CS|sT{l9qf)W#Pe+`Wkk7W=b1EvX-O~uH=o9RH zE@>EXq@!P4g7brtvZ9!=P$HkQ;WUFu51vlsU48FYF^k->u9$~Gf9qMJ?i{`{anXV| zeqvIWQxgrG#q82fDIL&>4A`gL&7pr%=~!8$$_>SH9mgyRnRo4bH>LHEUS!6bX{`t4 zB7fY_?q>L%BAB#aM@eRaYma=�Lv3l-V91<$aM<>mkO~esorik3eNZr_%D2xF>>Y zoi@i(CaTW}dYdut@ZFp<X2yXj4(@JdD&<FmP37`>R|K`*X1cmVIiPvBnD69cU#zFy zkKX^-B=gV3tof6UJ&Q^ge-}A3aH7}06x~~!OFpFciS$MKE_wN&P<ye~rGvsU%O)3I zy0>k4TX3n-p505YUHKP2XPtSZK(F!Fy@3j+;xvyQzB%!4<+h`la_1taro<b)U48#a zZcRt0Uxre6#iNP;Y_ke~{k&GbRaK<ygj4cv9iDyDTl?Rq2tFu|Y`LMuz3a^>rDNus zJT@$?JAAn64)^0Dk_&}zT~G2Yar*5gw&m1>)`Q}kK5X<An-YAYDTq5VpiPn6%Ou6| zXPbp`iIZ@;%2~T3vo5K)h)wA}(G(;U`NKks+bbZ&v9e1?BX%Nds_v!*Ph95+S{Zil zSF<wgp5u2$segm}8vA2;6V-1_y<3!>zkI=TKbE~~w@ypk>)igW>c)$cZDAky7JqC! zERpl!Qu*#xYStf@=&#fL|G9xxB#bA<A^GM3R-Svmi+?4myEmTuGH2W8e&*>5mL9X$ zSh(@A{FDVf|NCDmzkU0*H1})bt@(Gt5??WdC7)urmhfr*rRGfsgSPLv*OwO)61p&` z&{4oj^f_x{P@&(_`x2X7^Y1ZUlDk&TT-jR6T-CdkxvJUpUOnHx+_h_qGv5E5E1PRO zck4Yq8R^53;&pPL<D2XK*PQ*b&2;+~{>l@tbF*{vma87yv(Ir;(2Vy%QvRV+UakL- zIX$T2*iw^uZ>3$hCxm=8GvE64+m}mO&0=Evm*~eEt|~D8+vMqYJ1W}v#_ektFVC*) ztvr*@_TPKiEt@$S%&n8ZuDbQ5_GQVplCnw*Q?_RI=TnwlJ^Z@1S*r0+c5U;EkRbNG zN2d$f&hfLC2!6<w{%iRzyY!c{CX`92U2Bi`OMi9C>Vr)9s(n{~ta^3-)z5v7`_Gq| zuKafH_pMngbalL}|5!_35_x=dpJV-t`3+6w+xU)hhu(3nuv$OMs)cn=*3@v@>DL!- zTJ=1nFzV}@eUAI3|Gbtdzr6C?VI4P-v|B2F+nAKOxPw2<Zd#Jg9i`vDk#p_TTqn`H zi7pTGeyyC-^JnYQrK^{Q$#|CpTD&&kdj6_CY<c_V)CC25_Wif+{<)-i?asH2VSA#^ zx$pN{o*7m3;{Wd6_Qb5M1y+^8zu5kMe_&s5=k~puCQD?+kALW@YD+At{PQH_s`VGH zeC7N3lPlUoZY@+l9iL(EYh9xMcbVLB*LuB;|5j|V7d|C>bLRVh(<K8Vl7w$%>l-fG zup|FMy@>Uu%{Tr&wpjGk(mCX6M4!m7QyWW7r|<q>^mj^NP^-48Y}~ZHS^=%uUzMBn zO0S=Gc<3fovi-$E-S3q*E=BT-?9*fJ{hFHaAysfoiAnX1+oAj--=;SnduO!Zjw1h| zHFNzxab0qF_}J*ejTknz*<A|R>7O@LE!eP<$K;+SbMyAi3u05>)=UYVz`A9ZK6CHs z)P#bS9GlAK>1v(0Rk@DGWS%~Avuk9)>DBvYgic_U5<hj|LCy1g_W#*(UoMsYh<Ui_ z)N_&0l&R;nn47P+C4N}{dBOI>7p(HC({_K}TcWmFg<HgLdgHN`Mg|s<EH_v5rCpxS z%H@8_;jx3HgwGkNb4~a7`laqoH=px<n{Z|C&phimHmT}U2Od0EIwAFM#R`S&ie$+Q z9bM+;tCfc{Cz#h5ZRUTbY&YrZ3|6l8(+-aVBqd~Yn$|qbzPV$Q!^0}c3qc!sc$TH` zraRvI@V)Zz&kp`4rq7h)!r7#LpE{6`FPOr+KO|&=>7G42CSg+=4~ADBe%is$^LzWj z{Z$KAuKa#*TNL-UWpisbSoDQ2|N6Y~&@7_`t&vqVPZ?!3XGG;pEqyX`%TAksid{Sz zH$&Li<d?~wY`(|mD*ID!^U;O1rrB#PwydApc<}3*m%kR)?k<SD?A@icX_@-e#$#WM z3}#F-oh$$RZr8cE+eXoEzV43fJsP(^m`#d%Q=(XPZJl5W?|p4%tGQxZQ+eYxdJo=O z^RR92J~jPU>Ae2uyO%W{+G2EJMhqL<_D_00BM;vf6HVdWAIT<_Keh4TqdgBz7I-ce z%$NPP`r!XNf4BdymiYhWe}FeLiwFY)0|$dbv`^FwiRVv$F)%PJV`O09WZ-1T$uG&* z%gjqI3JqapVE$XSCOvn;LBAFQfwuQ{NAK{S^=V|P7Bw%L$opufNzTz@K|b4eOU=L6 z^hoOZOdo#Z`}2QVua(;>yDO;bX0H16&~4>eA=kV&n_j-{X_+MXydc2Od$IkJ>yz*1 zEO|Zut0!Y>gMyQz%)HYvyT4q1$W-PVYj<x;Xf6Md3LY8F{s0$~%l}U&ub3;hW$Lqo zC;qXrIP17LDV@Ax`shtE>pQj0|C^H9G6k6?JGpvHICSu|;tak=?<0<U7V}!T>DKn( zA3xsyUcIH-W2QjUXQjt4mDHQ=Cm+<%|HM-{M?bkQV3m5M$-bF=aXX)7?3ELJFhBRT z<+(q4J8VBcyx0BuLG{!1c8su)VgQHKe~#ro#{?J{K$rs-Qbj3=@PN|Ts{c3PiObVg z2CJPaszDD5I*J9Jgfv?oQIK`)6JTY#rqHbOg6r1-zmE>L&PoZcd@}W}VW+9cyCqkv zU6}sAso#Ex^KVg3P5#^EOH=3ndVAf}sJ@AVb&J~a#$|#cYc{vAS}~b088ex>9WCE; z#=9)^^WEFiE4x_D4mbSV^6OYkp>W#TPjdRjLeEqG9q>MW(&kOVyr9C^XF67ClW#up zd0#qn^M(mgc6>G~PRo2Pwcr!k{%nQ{pY!{~@agIi`@XclFT1IGuzuf})pw^J`MqZ0 z_dRDqR~Y#3J@IH8+u_-|mD5D_JU=bt_VuB{j{=<~(=Mgm4qbQqS+CmqZ${;n6TcQ} zg)MNopLp-UzMV}^Pd`qPD?2dtdBx26aVcwm@IPCTUg<x*l0|=$&5X?z6Ssbn)BAjR z@s<^Civ?b8*ZUmerPZP}*F~Xw3SZWdLxmQSm9vcgEegmq;hHLUBz4`d8N#-GW-P~} z(srNzowzTlDWc|j>N}-pTGL8jpPOF!WATN~DZkdAmT3}?)OJyy)FF~3xpLm&)O$={ z8e{C<nt94B`|~_?UlXg((hW1B{FMB})8-zikAJpEd}h>&1Cvj6o#N^@zkRuW*O};m zrITMUPT2En+VgYLd(X~xwyom(JT=%$M5|F#MRb{{Xav{N05gmBse7JUcCDG=dpGn> zfqMM*(ug&N-y6?%?U|kXi1(Gb-e-0@n|VrU5BBO8PZci+jr)|x&+^DjX2->+GyOjt zd(5)G(1<@i<>;J(gyW@?W*cOm)q5>^KG(G3abWVh-j^y;OQ)Ev{?w<ptM5*Rcha@A z#^?La1jj{hn)Rk4G-7wnOFnr97T;*`x<bKgf~-2>;kPGtf12b}<8oNKU!t!tp~>u) zgmsW`zQDe@1)F*^9|o$Lcr{9{ZYaGTsAKzxv8BA#^LEy3sm*ojiMbzlrR>Sx_Uz@; zkj^BJEo%zGgW@N(@PCm0R+Zi(l)EjJyK<Jpo+Tn)Yu|OZ757A%_D#K_aObi48{h2k z1tE)jx+M}XTg=mvp1o$BVn_D*%uUySA4v@rT>E-j#P3}%a(xxn{cZc}xpd0g)Xa)! zr4^sIsc<}+$q^Ol&3hvM_Q|%7iQ6=0oBw%i|HgH-|Ei-|#@(uqmHdL2Je+xdgZyhf z-OS>MbuUgo{4aa&*d5thHLe2ZK1zR^wr96Zu++TDQ<?kDMZYY_mkzgG*nMg4>Xi#C z9#0Gsejk|9C}q`{p?`*V?aG6_-kd>8Zaj`mT;q{jnYd=fLA#2*r$i5L6o_Ln?)%u( zmUv23+^taX+DFyLvQg}HO6MD2aA$pz;XnRy2ao+nv&U;)XCJ)yOw?lCsokfA+ofx+ zSKe<wU9g5(?30e;#8<4mKi7V?THx0<eZl*WIdhJu{$Tt)?fHpvv;N0>c+3kE4_xki z-(VqqJoU%2Ez&l*79UtefAT-SZ57vc`FTy;@wGW@{<Hax1XT#U_<VC0&+&vCo9;gC zbWQNu`p|*p-|w1TeWwe~aa%pIp78u|p=949O*U~8|6ej^dD)8|a7R7b&A;Mup=92H z=}wvgOQkX^+}LJyxoRbgUVJy}qql6ZlZ`Tq@B3Y$?<9RwN-c6fUn@^FKGnSEjI=_u zn#Qe<kLNDn$nv*a+u<C0?ahJm_-8BnEfWJX9X&tFoDr{>__T25_CL>3`*tPs1qGY9 zD;{#4_xYjf`<I)_LnjseVCR&7u(S8`hef(;)^c}F=O}!;J(u~x$GfJ%!s-t!-x!-E z=7*c!p5aw~Oh<Ib)>6rjg6}JDc1u2<uq%VR`)TgQ&`#%5x75R?R&=sE|7hcts@z_= z==UTQv5RXBPhGyYMn_ckxYw!`dmr?brg}~Z5;~r?qx5zl$MKj8yZDwadTcS_>eSXM z%TSYGt4A|<q`XqIbAqmPak*-~E$Cs@y}fD8;+ckWp&Co&`nE3U-MU9hx^JaH(n1Zf zcM)7t=|_?lX^2Vo&E4SbeQD#q2Z2Yo%`Ms2_x-1h{Pn^;X<Kb9JUZW>TDBrXvvQVG z%x1%BF5WfUx2+cHcB(jW<J2ARn(~TgYfn!VkozS6yrp^L#U`QBAMFv3F09h2j6Ak+ zSB1ri=|A389h7~2cYDmjn{A~otrl#}#}e0XzN`HtQ_A<#DvLk$x3^7xd-!!x^@pDH z+kRH^anp7A=e?}(vyi@a@k|95?-rrgTz;!&96ZZ&wD<Vyj8EOi?#vQ&`~0%PtS@bk zz?>Y50+W;8-fOfkTnMc^9TUBPH@~O$sn?|CY{uIhoQ==jY|^zkbS2jHLZ5zUe4w+T z)V*otA}0e5Z<LCQ|0|Upd9cTKwyVrx$J-n3FFg_JK6{al9N(wgM|QSqwFYVA&D)fl zxcRVq&5C@^!@(KP*F|q#e|lGS4Ld{K?QL(F=Qc1@$VOdl>(3G1o!oml<^8IqVsgg+ z%I52vzn4vV`#OkgX;g(wc2)oKZJGf`m9{>Vxp(ZOMO)J|p+aFn^U6L>kJkli`qN)8 z?)|}W{q@`@Pfu-YJ<hNHQ}?o<{71z<%$!o}pGtPDPmNu%A|mY9s>QN1EyS9?y|mz; zef*)t&398pJv6*uU1@mLoGhCmanC9FqEqWjmqQ<Pm|t%y^*vbd>!5FjY|K`cM=Jjh z`erN<IMumC>98UHmbZsJgIEfBq)nJ@<i2ux)oH(Un8~UBfLCK;fQJ&R32OkWiCh5p z1$M?6Op!j?3$~}|W-hy;Uh(d=&75tkcX>;vWlyTe|KnudBfGor^9R++J`0nFtb3NX z8gG4k*ChI~&C9g4dCRnX)P-0bh5j2fJ*$*^@!8Le!EWE<mzyShpLqPnjTf70ABA)z z>t)D%TV#5&cuB5Z`BtqZK^*)i3l^MxowNF&;d_BC52mTNPd_k6e?hk)OZ>5`ZT)}T z=B2jR*UUZs%0{OB<C?u%Z!<pU?)+aa!_%{LU6j&ChPuQ1axRLul)n*v-qZhNLFo@R z2kj(bji|M`W}Z4yg~E(+lKHifQhkeFFSz*7G`qThH(-Cnvi99O<{El8uk$MV!M=kj zcIuac3AwMWHf~v<+4MnZ-Q|}JM)und%zb@rfo9$g&h#~Ut3P#pm~_6PJ$%bemPDUP zhc^nD2<kCC`eJ#nefpk&J^g#0r>+y0<@+>m`c5{1LScdBs()BI**|DKe5jyo=Tt26 z{-cA_og>}*JbrKrt3PnP|Jg{q^SdDbxx=qrIQTU`3cY`6@yGdyuTu<nq44=yg>@4v z7*u7BR>wVCrK#fgXm4M$_6nz(6N*bEW(f*%PEzr-V&W9)Sj@P2+d6ik1OdlMi#2$f z6}lb?ED|Vg6L4~KanTT1=qtti^ws2Vn?IXwo;2V7x+qugwF~K{is!XO9Bf`*e(&Pg z6;N$Eefa^#sd@)_V|<HtuBlX&Gp_ev^7eUctua^B(t`?}cb$yBF1R%Nq?qj$--_04 zEY}uDZU474c#r;)o7&mU%}T3J9Gc~OWu|3frMFAkT`OB2=a&k5?<A}XW!<Ln%OWX! zIg^`O$O(?)aUEZbt^0KtTb52v+Q~M@(OR|R-|C5)*1Y<YPaJ#pVc{cLji|rP#V0L& zU&;qo9-MG`f{Mv1!?m(|!mkB9Yne6SbK3&$Z<>zBPoL{E50#BDopz|k{)?}=cYj8A zNLJ6u!wEIsh5X-wp7l<bce<W)N$T3iKaS2mf6pw7^$0PU{Is!vRqmAR=k@<ic3mi( z%zJWK%H`z`G9)xMI(UnwusNrOh@Lu{UM9@C@zw`^@6CTXjRPBx?_-eX>o_HorImE) zv&RKv*YB$q`Q6Hzr+mt<_1?O3ESUjS->%HOzW3(SW6m+s+*2jIr)N5D+~~$Qb*h@k znPAojS&XW4o&}#|wNj2uy=Z0;_EcexGq0S(v+JLnq#W*?GT#ugo6V1RMeE$GhF>uX zLhH61|1`ViK;gW{3)Yv57C*gM67cb6ydTpQ_uUgSms!2H{wQ*O1AFm#!G8z%cj<pt zs1dvHAS-;m)h+RuZDI=}Inx)}OgSI-nXNZ#K~?F7&u()y7%iHMj1(qaSi*bM@?r#2 z*z`|t`1UiVEs>sfepBosHo<@k8Pg_R_DOo6T3k760;6lpzqMYPf48+HtX#Y1r&s)H zn|e#*0s+>`ZilXz)NhSF=~~J8^7%hLAK~}RMSh&|Y-f0M+%JFnW;nyBH7KNQX5Vhs zf=ZX*EoUNM?|GXR;HPC>6WBe|QNX8Mb)UqW$Sj?ck3RogKK-2;n`Gw)|0YZBYWvNz zo?5U(>E3<5e`{A_mgwzQ{~j?vxUluPOA(iBLxgIBQJK%>2F^v>Br12P7oK}!uJM`A zYMNYK?}0TBCtMc)xW8-f>l~NU^NU+{>n=z-9B|7%?Bd5=TmOCbS-MK|MZ<zTSuXEG zb5@+soVm<u=lnOGAC?~a_iaJMt0hmk!zZ+hUENygq@u*&_$J1|TIWL0ik_;K%XSOf zRMp%(Rc~RCYvil?Rf2Pkqxmz2i>)@v2L($PuIilQ{?GPy+O=SrX}i642lgE9G%@$@ zZ&22(iPNw?m-H=!Pq|R-%x&Z2)1UK2tbO%qQq})uhn5_wW(k#IUh(a(Y2Oi1&RM&f ztshHfIM1B_^XC7{t&DxIeoy($u=L@DU8?10#qQ;?hHy#0|H^XS=vCLwj|%d}zn31{ zE~vF{d#-)!>shC^mM&~up?A6d|CI$R<Wr7&+5738Wo0?l`e;YK`IY%T{`_8Vry6cx zv8wgbcK_E@uhQ7{OG&LK$SA^g?*8y~{<Btgc)t`~INgu6PDY5g++lwG>8DC*(|-hB z{~;rzzi`_j#zm*ZjUQi?UKKxuy<XDmcYD>jO%_4#7cx9Ap6y!Ys<vq14d?35njdwO zFP`ElYE3z7=h}VKMZ6}(#!sR=V#73lDI+^aR`*?#Qs=0)^UvjFO*)}7b6aJou~;H^ z{4JSoG2;{0|MYRN+}vh!p{DJ1`r&tCAH)o<)y`PDS=ppk%=2z*;@%HC-`h8ME)zN4 zG3CwTV?{e9=EyxaI$#=pF=x`0bzKWxEh`v1k9K|kX}3sHE9|rMp6J$&T>_??j_O3o z*tEHB?-VOh$=&&GeTJg!*?0e)vfC|kGfK;r&Px>d&gU!f_M^@F^Tzvkc4<qWI}zCS zCC0H|?UY1Wh~^nN#|OMKW1F0kKir#q^>w3aS*`t~&h-=7K0o|p89Gh2d4HLd=rq5r z{L_4mCeL3P`|wipCM^c(@Lx6|ivk>O|F1dlS)`|i<?lydgSS$}y;@78Q&t!;tlG+3 zT4u_)s4sg))?7)Gl&49j-Y&RYY5sTlawA2xIh-a<f$vkx&+BWfQ#m>%d*vl9+oYQn z3-9d--u`)$Nz28SjUEMmxxbh)e4Ovio%CSAu~2t0P1VKGHyS@zH$Uw-aKkj(<G{)# zmNrg@G8Rs|75X^yn(u={8yn6~Sb1`X@xpm*y&>})c=*y|-M8p|Ug<JN@ym)`+VVOY zOCwHt`Z{&$$3?SC^Gx@?``@?koJ*I_i8d{*J+iD3EwRZm_l{3^WzJV{z(bXNuH#nq z>C-M(%3N!75Ot2;cTDGYTTc9~(=S{1PV^|*BraPK>m>eIC~dyfmzVC9-?wLnZu*|C zY~G#1Q~faQ!^DT|EU#i@?s!+rNB^*MRhsSkb;?}>#o5h^*IV4}d#O?4W!bIX(NuZV zuxzE>nWj{kATN!Kq)W@b6`hsm4XoI_=SM^j+s(&0VPEb=&b+{0vY3(evTuOu+zUsT zKTj~3^*4$6?1x&v+Ee^aWpS4*RaYd1S;>6)+9?{!C93fC*Gj7{f1{~QMtd3NM?PxV z;@us$_<7y}*@cT5*0H?F>x@@-U*M9QvWvgzjKg|Ht=<dlP43&6V1piz;i0el?%cb> z#K5qUkAZ;~I_ME!l#-~IRh*v(ANhDY{h_~<p~SKMzr*(^MlR~KeJ9}f^VyNJs$GIJ z^bDT`tz)&Se!KSV!XrQSuh+FY=X@kp-eBf*hKKX+|NfHuJBInYbi#VC>1jcdKh)ZS zmfkSX)Y9GYeb#mURI|_=6SHsM{&2heuI3Hl&NlsV&_cNU_BBP$2Mxt9tQ?%DXRT73 z!eX$#h;`j6KK7I*S0~Onn_N6IE^~UXs5`oJlkNMJ`l{{RtG=wceTsE<f>NT8#cPEo z-`jzU_p#0|KF0Z`?d-9Cb<BEqGb*^YJ<nLb^>Rk*?}A(Jb}xJ7`rXXz%1*0)+_DZS zKUaj_&TXBTT;Ba=<CVI^Hkp|`ydqg|OdOv&85lOX$@0!<eBT^1LnbGEVfMQv_qDBL zPMI8SvJif{T}dru^Tdvg2WnQ$WOJEujM*=_iC3<uQaX6)5~eTSt0JCni}B^25P$NA znD%|<Un`e3yoy*B5`H=A?J>QxE2^rl*x9@e$vqt-)w$v%=ix&O>>GB!z0YJV8Jn7U z?C}bz8++HC6O-N<de`}AWw__0w?7s|2+HheoUglj{<PW4o#$z<OI?0P)mNr<VdR?T zd6jpjtvkc3D#~wjW{3K-n6icD(E{FaXM^7?w7X`0;o!>hi@}M<`n_W%&NipdtmfHr zSYV;En#K+OImMT=VmULD=3Z>!l~ldnpq6~-v1mwTgITbNVUE*ZiPWvxj}HaynrXCf zyNtlJ&eMMRp98hM|31C+^kdM<{V}iR$?qxotv#Lj%-hAe#$`_GQ=Hjl7s~C5Vf`+- ze12TBzsy&&`<A;dZ8a}je&==$_ZzSKe)ITNFj=w~hlvR9U;H)F>h9MKu@*kHM>k8H zdh@bUUs~KLVw&Bf&s=}vkE%bmD0Kh#i|Go-p$(DOxHY-f?u*!Oqc0yAb!gp}zt=T- zms`bsFZ?obnb59FU*|6K+$o>=x-Te1`*pqGQiB_n-?krk-D<Np;{QCSRt1*dQE?j+ z@?IXe&cYp<-F@@xvBUYO`Qemk(VYrW28O&+Mh5sGV0lU!A}hqc-L%+h-QW88Y6%+@ z^DnD&hJJqTcXjTn%73d>nI9fjnUEW;w0HS(|M$Gdq9#qtxq0K+{{H{J&+q^DcmMTA z^=nGE)?S!@>)yIQ$*+5I-|l@E^VBQ)2K(Q<TzC8Xxev8=UBBsGTP(gSD0t=F^D()e zt)36APPu-%_22yPT?^yAeqEmRDr*i4Q?|BKV7za#l;Y2KOg~#&O59zw6>qM6es5}w zzQW&US>Y2O9XsKvfASOCq1GqTi9ZwO`CO^|*<mI5uKMSLeLa8jI`%p(xmr5yR*dk{ zqsz08g|;tofBV^PqWtdbzge8`)V+6RxmdN&|H<*!zwIW<ZT|k-rS8nX_r*UqRvkDm zc;pqcy}Q6_@jo(-YwA7}vuvz7<S%gKEw{bHhBv$`Cmp-ExYXTMe?`x&SF=_xGV9i= z=Gu8fI<&j&&*b)3(to!r>y#&Ku6XA5RQ|=;T3xw?#@9dj9SB^}yua;s_=y9&m%h2> z{Yn0J<$r<V2}6~Y+Z+;J=KFrVUz(k9!caGUk=(6&D>@jNe=Ohc6u)YP>(%=!-hMXJ z@;mr*t&ZCKYv(4$zr6qc5|8<cU9*hRMO<FLsWJA_)sRyVeZf_?=>J9K_@%bLuP#tl zEZw0L|Ac$%k=EbWH*Vj!qt}V$hH3n=SVz&F^A@M|oOplj=ew&La(jIqrhU9$-_{hr zESvR&X;Ao<UAkJ%-hY<fnl@*ha^ZjNFH7hAdw=PAz-gC+on8B#lDp@8_nYp0y=rc| z%t!05OaCobT+#pj(y6*i{pK}|7Lki)tv~&L0hj;3f~V%I=4{!zUH|f?Piwi{H0-$l zMA&Z$Y<T?EwE3h1*Q#|#O@(Tfd{C^F+0h}$8CsF&8*suj=E?Fi)(nO#?R3LG>Hd;< z;lMwCZn})2#xYkJ(SuXjnEcjBCfq%DZ_&ra_A_HG8FUtkvBomEXjSC-?s(MYp1|MM z9quY)`TW{x@lp@vtge64zGV5Y6THXuYrEr#Lz#b4(%<`@TPw?W#Nx)nLl2LgG}Q{w zWIlRBJeRGvZv9vLR}+I>egB`DF}bi?dta=<yC-`!w%@ktdYToTCN1~-WXF4k8zr*} zFCGtf6{ve?^ZU!*KzHYsyjA?$Z&g&^m}9$0XS>)p?jl8zLlR%3ZJhgm+<8}&XWRC@ zLj8KunOi#|?6VIjMQypk_c#0GX507+W&1CGt6p@b==AYlB^pPr_8UK4eqHay@y*#! zk5z_#n^SsW-Mi0fUzlp1-?3uK=rt8E6?NPCbm!NHUGt4a@6YspxYuAm@ACcsbU$to z6LAXo@i|n7-C_CV3yfwZHkBo=RpAv3aZa%Zwwy~`H)qw0aJkFA*3#aSlwPo}Fq*>a zCRMk1exiWyub*W}-mBBsi(NR8a^c8BJ+Tc}zbtsX_29Mj4kpt-CF(8H)Zd`#zc0n* zG4C_RSo5^a$NoBdD`xBun^gF5#`6O{Eq+IAwD^p^UEysid6c}}t>(NC=hc+oomMHV ze@|8GKI+~3DB&^Jq<xD!n}utNBkE6|ZQs@L;ZFCB=;H@n_i7pTS1aTi9#rm7w_D{g z+dul9uBFkM^OG+gzW-?NYwzY@$z3e@n;$D2zN5PS^>aZTQ3JpD+0U<=Dt4F6aA#nM zJ8u0<Pg3A>=#sP$`z8@-+qt@in*;A{JYRL=u2fac;&aMjKh9pZJCa`idCTGxb)UC< zJ?d<6(ER)C<+4Y<&R+hP;i1xhN5S8-m&>|-pS`@cN1(Otvx&y%+d)eXtmKKc+xGdE zL)^l^NQYM*al5Z5M7)^c_R(F!F@STz;;v2e1CB?ftT{DPL^FBqIZh|v1^#ygy#)f8 zu6%SiaSZUBa9sW)OXAVv=R}vu2(l_3yWYII!#;_N$-BYsTZa~hrrkRh<#h@xew@ht z<73OAWmnWbHTy<Ix9z<OW4>#}&kl%+YegyOeLb@%vawEAU{l89b;tVDz2B`gnsg^k zyZ-t73q@17J<m%Y-!moQt7lHnw)oQ`4(5VWg*$Dxt;$NybuKC4mz(@hA~~c{F0IJs z3D;D%^ZU*%mFc;)!{=J_s~e)#3GtyhJYPN>HJ!wfb7hW=nU&IES6#D^Ne0fned3Rt z0+%yLi>_?w|D_Tll6g$c&P&|Vyt~ifQqCp4n8{b$uRRcNpTf==P`P&Xsx@^N`u4Bb z{jFMstKGHN@M*-Vt%sw+rXAD%`SHn)JNDB=wrs!hx#4L9m*>CYv!8jM_Ij0F<1-R? z)ix=@i><(E2cz?ZF6(5UOs#o3eTt_QA1}!H|8iNN+nisvktaWt)z}yGw(pyN_}jr^ z)hpuL&MAlet>F=zx$k_%I=igQ62X&J3!ccTOZ%-c-X;<<Yq8Ab(}7D0`!WsZIbR73 zziuTXd*Eb&3}?gX=RtPGH)i?Ye<HKC>Om9l`jvKC+@ceEq<=|^eBOWEKsM#~&qrM; zVHbBic<STt*t}Y<_F6;aF80$;N^c)C>4^T*sXc$m1oeqqf7rfxq2YG&>9MY_hcA6l z)+_tg?;PJ*&S4uJ$(5OL+WTh6vYf>pTQUMCR)1ZdtiLpNk=N`O?7tKHI={0la80~v zVaTD*{Ac6QsnJtz-O!kHP+056_uw_L>u;~!bk%lJ-I;$(GKqPAie0Ol`da5yre|)x zpMBrtOQRI$;mgPTs~;`f{`|naKCdGa+kXm_9P?POAi1hyebM_3TNr*_-Bj{-Kc_)~ z_6cMDzWtva&)@9(x3>CaYG>SDZT){yrkZk`z9*QEy!doV@adxJ1fkI2c$MBK3u~*s znR{1GyuEVc-&D^l#h2y3<h=>pB3!##e&yZmb9SXo^;lbQbN<p9o{xOozc7?6exv$H zZpDdD7tVkAl{(#adV9<whx2DA3Py`rZPSq}`OA5(`1iu}?)6s{PAjG#6L*>9x#{oD z$7a<$Mb=SMWyPP(54^H4wDsEqeWUm}S<~D;cgn|m=e_jzIT`%=+J*c554CxAZvQ?_ zZd%ix6C3+19Cc@keA#iw_@k6e{?QjfUQeoOuQdmD^y)JH&3bwL!Im1n|DP+LIXEts z{gbOy*zkxia`pUVL*~Q1D=S*$SIVEC^WFaSv85rFAAFiqD(5}Tzq2RF<;%B>szs+< zoN{B9?37zK-`{HHwfx0}w|t}KtmK<<*LY(Z-|b1iR;BL|xneeb;~VF<%GXxfMQ?HR z<Ced0-=pl&`-_FsdYz{2DN43`oHnT^M{(jW`Dw?WD+CzU^d8P{djGX`T}J1l8>M=+ z_dew+9?)67aQUPc_OCY|`ow*%JST=*q=a+%dR7~|b4!k1t(dUm@Z+`b9}0Qge_gnT zeZ$0Covr_N+w@6t*Jv3p`qS6bwI}0YVQ|tnlg{Jpoz^ydEUs&AUYYr2ubg|_T(*O9 z{qZMmbH0g}dJ@UquP*6$R(0Rk3a^*dw)6N7+V!hX^!Gh}>oI5aSI0$Djz{iVaeVK; zNpk#0ENtfK9NX(++3$UP$MyJ2kJC;+fA;dLiP6(N=RURmeV427vT~0y#}8R!Q%==W zm9p=7Qfl9wPn`Vmhe1|m<<+7C?>no$?u?Oqf8KzjC?StqQ_Z2Po?ke@v1sOQiGX8r z8~Z-7?)|^zpx^o9o0&3SN2n>EeEf6jnb>*$>hXs@d-GJ=&Sz>|y(8kl=Z)2WrurD3 zul~7d-uv7McRxGNPhP#Y!~g2z??U&hYM;b1y%e&Gf3g4Y<3e4BO&e!TE1%`S_qVT* zudMl#<;e|Qt{?viJQPf<UAtlDxtnU@eJ`V8{zZxIKlydFu-5(qM#4h#h1VJQT$6d` z{Ha0z&Sw@;-W5OIDq5T=|KO@J<;d&{cjh=sv%Q{>`{u2x_XoMV>&t##c2~GI@ttN! z@>|w#Ts)83!%u%cT`|Kyy^(28-R+sZwi_J{H8&h6`w^$LK3V+OrS&ry9e+)YlL}a9 zzO6>BT{e7IWb;+c*}4S*Ib1o;sxk@*mKCkv7QA17F<vD4eQq;DUE3w)<k<&{9`kCR z%YQua;a@Yk%y;?9mk<8Wt#NksN@ke*e(vh5<$(%mJ0;~r0}ZxMSZ6UKT3diKLi>}F zI6s$vd%=oVp*l~FSnciUDwuhkza@7br)l(Sn`WlVizan;m9JQ${8)BhLCKW1>mgc) zcIvws^$Che96xbvo?~3@Y5USW@50pL_!LCC<}z~}ef)wU%+#=y;dADr)9<6q#a5@Y zeA;BYV~XqLD@jp{GqP;oUNlZu2>)r7^(k@NjH-79yO@8NpTDXSc*5m~RJ+Ol>m5!x z$F<7T&r7d!5Zrvx?1XW3qf^gK@9Y!C)tNIHHg8m4dN9h_F~*tIYewv2Rqk>lzT0KX z<)_cLd*HThc8&2y>y4d94*2C9D%>c%v@7CfXX%PBp?{{F3NXH9*kpD-o{Q^TvtK^X zN3Cra%Wk?g?B1BU`OfKbxn|MOEe~&d_@@MKef!%iO*-T4=KZsObNp0bD%&odTYqeO znSMz@hv^!w!#kEewpEDvS+R^?RLM5=-aFTWww-}(wQ^4m&aj=y*C=sqMdRIT4c<oY zvwH=9Gwm#Tw%?&n>e>Da4^_?_Pd;pTZw>$b_n+%qYvLb<p8F(v?{YzPYmD~d`)ed$ z-drQQ^3%qaWu-pyi}*g6|L0oHzmeZ5p?bIBqJWi0cSW3C{+svrKa=CncirIGy{#iP z`rWBhrIEGv?}Wd6l|Ip|`|M=NhS%SM7qjUX73r;<`dz<3`}tq)C(9rH<(Bn3RBf}( zaFR81)Ki)Ghqk<%!%uAeR5`EK@_(uKX(bN@x#P*xuFu%mm$jpo<!Ami35_Wt-#MeE z@jh9em26gW;npo3lZuP~i#^xwsc($7d>^l1&-*_9MS;-0^_!UYt)Kc<d&?=yeTS;0 z($-H{{q*w_#*P_j(SNP3%{wnyKJ_od`dxoM@ZM8c?B8f}Afr>(#Qs8p0E_+uDX(?+ zxmHAU?{Mf}r17L+5^sI@)W{?8hmyn^{r~+g=gqd?dPL%)S6GV672nwUZPDhZon(Ja z=-SGa@%_sjL+NxC*2ekH*17wn(^Y5W?$zVpcj@c>OP{UQtiAB-TC3N0x!J$g-T0MM zBJjg<%kQH5t-oIAU%9-l`iuU>BH7m2@mu+uuC2fM-SxqJf!bTj{ri{S+12##sB+CB zCGpo5*~_{vthP6Gd|#$^=hmV${dWmIb54KCKKA-n_m1FxM}?ZE8*Lm@=JYF?pNrvd zy1VjXNu%_>B;KVzzC?ew5tr{@_*ZhVabqg~0}mVXVg}D`KP($GWdzlZ>Rn=9@$IAa z)~7alr|0kbVzX%fk4LU%Y59sLPQKLTG0M5;q<r+TuFWZlH~UXao4@^Ebcs;TSE<uQ zSF+_3(susc`e;GJ{KXR<E34|fYtmnJ+5Yny+x9J2?nIwDeSF8#CkE$N>1=v><LbBh zwjcCuWv81mBpSZ$es)9Q`ilUDeJc#}-o42&jhbXzZ0}(9bd6cg&mVs+j}@q>%vAot zc&gkm*u(v7!dYuut8<Eh3z-VfPyPNec!|YFWv*kMDuxcd63v23#c~z49ohKFguCFQ zMD^M8EZQDQ4=x`$Yv45Z3{P_C(bKNg@{g9-<y>ClEWb-ZT=Wsohk$kEcU&w(xOd-j zc&9kK^1^!F^~Yk*)pxs}7c=7BxVhCg{7KG2o}<29-^J|@uCUK~p~#$4GF$7}_JdkU zX}#XwN7hVy**)FVE%)Lkw-wf5I`;1(GmQ6K{>d}BO(JsfB~kh8nuRw!Hobf0T;p82 zVacHrFTzEAFL)h4$~3WSMMRWmxQ~wRGHs=4+b+xTe^5)4iK;m|V^x@;5a;6{A!){x zS4t`kh9c@gk)aX`e?@4@rq*XY+m%)^<;bxsPPdYhver82OgW;vapxM<?K`w?1jNhi zxY=={D7B~Lan`5LT$2`F69~S|aX8%kyq3jOHZzI&L6&#Tu6?*V@1xFy^%?z%`@a`I zo^|R+ZFfZCn|(*uSmoVcw0&0cH{GhcAG4PKEXuX{^;A@(w!!#tshF0`cE&lcwHcpC zJu+`7sa0)=4VPgzSihcS%ZA1Zv*$gGVqE)I^t?HFO;hxv)!kD|mARIST@s59&Sq6| zD@lDeDLO_pXN3V@S~Q2~k%Kkfi`y(_M0<qGoLRe6mv7pgN2?MJ?<s6pTDwQ{!lKDV z*Xzz5Z%w-we8y$(^!c-%zZZP+_-nO}qMZ8Aw)cEG?<2CkYj&F+tvkS*BU9nOFYU_& z{z}PZRcn`8zS0#)NT2obo3_aNikTZt_g<E7_HD8eIuLc?iT06ii6_ooRp4^G#`dx0 z$LvS7PwY&pDt!9yB=A~_wF^9XR>09L)9jqF<cIX3MeTcQ?-zWRC^9bBv^CG3{^_a2 zZWp1X>{)Yr-%nWaI7|7&mAGvJ{_JO$Mp{KE9=K@cJ$qLYll`*Rg%#1N2mR{2)eiYw zi<mKWO>M(O%i|GypZ}crCMV|HVnfNSDFqFI%!?P^o>B8SM@7?}f3fG8JBKSaef(*# zN#L|_!5@Ql3zb^Ws84xv{$IH08-4|${ssm$*FXV>bmoZ@9<<Er-@rRnv!|^~X<I_f z`Bf^(iLLH7LSY>uM-Ot%zAD73o#Gr?;~UPS5S@IjSXHg^+&@93j}{yWK_Z-tC0R*| z4Y@0L%tFL?Ew;-(H%<!aZ*p_(+tTP|qh(g0+110_lC>zA`F_B{LmUgczr1#JjXATS z!~O6bqpGBYyrm4Eh0iRLcQFlF-2c8r+FaAF@v_2d;irG3`c2+n@Ay(s-+PwrhyKM+ z>nHMtYW6VBv4~tC)c#6j%O6FTM}-0hmS_kuy;w6Xf+Im%D_DY;H*rP5;giP>bU4rX z>EHe0;qS{<Q4w}edBZ2pzg^((eVBJgoBi3BJiU$$SrYTB`%OLfY5t0`ddB&NS-br& za|-XK39i#P<Sf_i+Z#45OYQK~>6fNWe|2no@7cEL`#-0pW#3+<Qd6Z{yMAk4Y3%X} z^>0jb>(^}eo!IZWxMb?Kmw#q|+?g9x<Ns^xm%ZCESL=zJz26@BJNQZ83g)-|Vb>~3 zHpeKRtXpD!N%VG_nb^rZX}NNZExb#nPq5DK-MrP@_-D1QiCsvduV8-l>DKMB+t1ys zF+FuJd-<E(&pFq$XZyR|tbRMm^w6JE-sW3<x+<?+-}F5yEoSL-Zbd(q-Di%A+^qT% z_$}^rige(E`%=Ga46js2%=@BnIYQ(>hT#43mj*@4+#B~R`JPgF{9IS%&?njRT~alQ zn-;gJEq>C;FSRSOS#!@t2NveLhtAG^*q?goy;ea-@`c3CJ!K5i+Iicf(o!ae{#x;0 zq&;_Yl0dinudna?x4Cpr3EiafJpanXr1_e6Pn|29^j0flUZ@tA&SIJS9a9r_`SkeK zo{RCHur~MPy)AWN+&($;L*K3Vd*?S(?%yfQ7L%O4%JTLuecQ*R&BpOS<0kjM{iom5 zUpx`MxHN9BY?-d->$#zNYFsbE=Ll*(`|RpH-E{Np8@8V_&c(h66s$g9x{N7OS1#}N zd=9z)@768V&Dxc{`qzK>T1^fH(5lvO^;Q347#J9G!0TM0t6Fo*is8ew#>Uq;KYsc8 zrTDt3$@N0LQ#vPo{LTb&`0JcHea8Fx$J6I8`<^@Lf6mW0P{U7G$M>xENfs~l<<FiJ zNFFkcdU4>&?=&%S=FHYJdzvl@wf<3R{c}l3KwH&Red8o<h5&CyCJ|=D65F}6dX0*h z7#NnZGcd3-@G^h^BLf3NLnDZll3buyk((15!pQ($ZVOs+i*5p6(3P8Bj0_B!ObiU% zC?+gmVgN7MjV~@KP0}j^oARe@O*#mpo6;s1@Ys`)fnhNd0|Ot5DKac5revf*oC7ih zgwYLZPH6JiWn^H`U_=-M@=8PFELNyN1&Kw8xdi>y=UMnD5)?&@3=ASr7eNgA!v-}d zGa#d~*uS7euPC)3C$SRjK~NBZFuJ?kldO0vB^VgYy%-p{P>h?v4lz!zI3uwj6|W&a ztG{et$il#2stZ~ojT~E63Q$8TQj&2P0a+>hwfC>&9W4e15avK}2bU_;h=P<P97aGA z@Y1PmD~;S37&P1vX$BH9q5)7ND)Mlc0C9woYPF2AJ_7>?qdKB=8q|d1l0+OvKpZhY zbn@pA3kC*%3q(*r9C7?8)QD8j)Cbm-3vtCO?+4#485kHq7&S_~{y~jMNvj|b7!sii zl?53X82A~`<8=$nkmQ_foQ6PLBB{#xAn*eN0|=vr$2XWEMFfmE65iaiTY`ZBgi$?H z_MQ=(4fXQ!a`K9C1S-TGi=JA}mE>h$0AWs)#F50o1Tmx{31<R>M9jgHS?e}?Gcr7> zU_(pjt3{z^q@<SNbO^){)Rq6p3B5m&8R8JgJ^(z?i@GKrVg@9I>`a21Q3P5ckJSi> zGf-!kAx1z-$92UJBar5s@tA`;Gly)>zA}h8df<6FJVv0_8puYZ_CSpQ*C8lIu(E+X zEXE+l@P?Iv;X@BIgC2vciMDxorb}>0zCl>Ff0Ua=NR^3eMp<Z}r&&a$uYs$DWqx*) NMYw*MacM=mEdY>CVF~~M literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_timing_summary_routed.pb b/loto/loto.runs/impl_1/loto_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..f3125b565af460d590098149b9aa11b7f87a279b GIT binary patch literal 106 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcBXJz~WhpD^_3=9kpgcvpmOSMX_S+~^gsw7Bcfjq+pd8wnS|Nr+pOjQGkJkVy? Hpd$?cMCv07 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_timing_summary_routed.rpt b/loto/loto.runs/impl_1/loto_timing_summary_routed.rpt new file mode 100644 index 0000000..393530e --- /dev/null +++ b/loto/loto.runs/impl_1/loto_timing_summary_routed.rpt @@ -0,0 +1,2807 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:25:00 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- ---------------- ----------------------------- ---------- +TIMING-17 Critical Warning Non-clocked sequential cell 63 +TIMING-18 Warning Missing input or output delay 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (63) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (168) +5. checking no_input_delay (3) +6. checking no_output_delay (13) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (63) +------------------------- + There are 60 register/latch pins with no clock driven by root clock pin: diviseur_freq_1/SR_counter_reg[15]/Q (HIGH) + + There are 3 register/latch pins with no clock driven by root clock pin: diviseur_freq_1/SR_counter_reg[25]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (168) +-------------------------------------------------- + There are 168 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (3) +------------------------------ + There are 3 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (13) +-------------------------------- + There are 13 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 6.012 0.000 0 26 0.324 0.000 0 26 4.500 0.000 0 27 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +sys_clk_pin {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +sys_clk_pin 6.012 0.000 0 26 0.324 0.000 0 26 4.500 0.000 0 27 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| User Ignored Path Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock +---------- ---------- -------- + + +------------------------------------------------------------------------------------------------ +| Unconstrained Path Table +| ------------------------ +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock +---------- ---------- -------- +(none) +(none) sys_clk_pin +(none) sys_clk_pin + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: sys_clk_pin + To Clock: sys_clk_pin + +Setup : 0 Failing Endpoints, Worst Slack 6.012ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.324ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 6.012ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[25]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.991ns (logic 1.639ns (41.068%) route 2.352ns (58.932%)) + Logic Levels: 5 (BUFG=1 CARRY4=4) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.885 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.000 8.885 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X52Y99 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 9.219 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[1] + net (fo=1, routed) 0.000 9.219 diviseur_freq_1/SR_counter_reg[24]_i_1_n_6 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y99 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[25] + ------------------------------------------------------------------- + required time 15.230 + arrival time -9.219 + ------------------------------------------------------------------- + slack 6.012 + +Slack (MET) : 6.123ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[24]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.880ns (logic 1.528ns (39.382%) route 2.352ns (60.618%)) + Logic Levels: 5 (BUFG=1 CARRY4=4) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.885 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.000 8.885 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X52Y99 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 9.108 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[0] + net (fo=1, routed) 0.000 9.108 diviseur_freq_1/SR_counter_reg[24]_i_1_n_7 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[24]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y99 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[24] + ------------------------------------------------------------------- + required time 15.230 + arrival time -9.108 + ------------------------------------------------------------------- + slack 6.123 + +Slack (MET) : 6.126ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[21]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.877ns (logic 1.525ns (39.335%) route 2.352ns (60.665%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 9.105 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[1] + net (fo=1, routed) 0.000 9.105 diviseur_freq_1/SR_counter_reg[20]_i_1_n_6 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[21]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[21]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y98 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[21] + ------------------------------------------------------------------- + required time 15.230 + arrival time -9.105 + ------------------------------------------------------------------- + slack 6.126 + +Slack (MET) : 6.147ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[23]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.856ns (logic 1.504ns (39.004%) route 2.352ns (60.996%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_O[3]) + 0.313 9.084 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[3] + net (fo=1, routed) 0.000 9.084 diviseur_freq_1/SR_counter_reg[20]_i_1_n_4 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y98 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[23] + ------------------------------------------------------------------- + required time 15.230 + arrival time -9.084 + ------------------------------------------------------------------- + slack 6.147 + +Slack (MET) : 6.221ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[22]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.782ns (logic 1.430ns (37.811%) route 2.352ns (62.189%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 9.010 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[2] + net (fo=1, routed) 0.000 9.010 diviseur_freq_1/SR_counter_reg[20]_i_1_n_5 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[22]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[22]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y98 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[22] + ------------------------------------------------------------------- + required time 15.230 + arrival time -9.010 + ------------------------------------------------------------------- + slack 6.221 + +Slack (MET) : 6.237ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[20]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.766ns (logic 1.414ns (37.547%) route 2.352ns (62.453%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.771 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.771 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X52Y98 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 8.994 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[0] + net (fo=1, routed) 0.000 8.994 diviseur_freq_1/SR_counter_reg[20]_i_1_n_7 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y98 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[20] + ------------------------------------------------------------------- + required time 15.230 + arrival time -8.994 + ------------------------------------------------------------------- + slack 6.237 + +Slack (MET) : 6.240ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[17]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.763ns (logic 1.411ns (37.497%) route 2.352ns (62.503%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 8.991 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[1] + net (fo=1, routed) 0.000 8.991 diviseur_freq_1/SR_counter_reg[16]_i_1_n_6 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[17]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[17]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y97 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[17] + ------------------------------------------------------------------- + required time 15.230 + arrival time -8.991 + ------------------------------------------------------------------- + slack 6.240 + +Slack (MET) : 6.261ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[19]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.742ns (logic 1.390ns (37.146%) route 2.352ns (62.854%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_O[3]) + 0.313 8.970 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[3] + net (fo=1, routed) 0.000 8.970 diviseur_freq_1/SR_counter_reg[16]_i_1_n_4 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y97 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[19] + ------------------------------------------------------------------- + required time 15.230 + arrival time -8.970 + ------------------------------------------------------------------- + slack 6.261 + +Slack (MET) : 6.335ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[18]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.668ns (logic 1.316ns (35.878%) route 2.352ns (64.122%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 8.896 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[2] + net (fo=1, routed) 0.000 8.896 diviseur_freq_1/SR_counter_reg[16]_i_1_n_5 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[18]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[18]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y97 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[18] + ------------------------------------------------------------------- + required time 15.230 + arrival time -8.896 + ------------------------------------------------------------------- + slack 6.335 + +Slack (MET) : 6.351ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[16]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.652ns (logic 1.300ns (35.597%) route 2.352ns (64.403%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.024ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns = ( 14.928 - 10.000 ) + Source Clock Delay (SCD): 5.228ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.625 5.228 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.456 5.684 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.570 6.254 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.350 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.132 diviseur_freq_1/CLK + SLICE_X52Y96 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.657 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.657 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X52Y97 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 8.880 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[0] + net (fo=1, routed) 0.000 8.880 diviseur_freq_1/SR_counter_reg[16]_i_1_n_7 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 14.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + clock pessimism 0.276 15.204 + clock uncertainty -0.035 15.168 + SLICE_X52Y97 FDCE (Setup_fdce_C_D) 0.062 15.230 diviseur_freq_1/SR_counter_reg[16] + ------------------------------------------------------------------- + required time 15.230 + arrival time -8.880 + ------------------------------------------------------------------- + slack 6.351 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.324ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[0]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[0]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.429ns (logic 0.256ns (59.730%) route 0.173ns (40.270%)) + Logic Levels: 2 (CARRY4=1 LUT1=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y93 FDCE (Prop_fdce_C_Q) 0.141 1.624 f diviseur_freq_1/SR_counter_reg[0]/Q + net (fo=1, routed) 0.173 1.797 diviseur_freq_1/SR_counter_reg_n_0_[0] + SLICE_X52Y93 LUT1 (Prop_lut1_I0_O) 0.045 1.842 r diviseur_freq_1/SR_counter[0]_i_2/O + net (fo=1, routed) 0.000 1.842 diviseur_freq_1/SR_counter[0]_i_2_n_0 + SLICE_X52Y93 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.070 1.912 r diviseur_freq_1/SR_counter_reg[0]_i_1/O[0] + net (fo=1, routed) 0.000 1.912 diviseur_freq_1/SR_counter_reg[0]_i_1_n_7 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[0]/C + clock pessimism -0.515 1.483 + SLICE_X52Y93 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[0] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.912 + ------------------------------------------------------------------- + slack 0.324 + +Slack (MET) : 0.327ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[11]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[11]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.432ns (logic 0.249ns (57.658%) route 0.183ns (42.342%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y95 FDCE r diviseur_freq_1/SR_counter_reg[11]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y95 FDCE (Prop_fdce_C_Q) 0.141 1.624 r diviseur_freq_1/SR_counter_reg[11]/Q + net (fo=1, routed) 0.183 1.807 diviseur_freq_1/SR_counter_reg_n_0_[11] + SLICE_X52Y95 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.915 r diviseur_freq_1/SR_counter_reg[8]_i_1/O[3] + net (fo=1, routed) 0.000 1.915 diviseur_freq_1/SR_counter_reg[8]_i_1_n_4 + SLICE_X52Y95 FDCE r diviseur_freq_1/SR_counter_reg[11]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y95 FDCE r diviseur_freq_1/SR_counter_reg[11]/C + clock pessimism -0.515 1.483 + SLICE_X52Y95 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[11] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.915 + ------------------------------------------------------------------- + slack 0.327 + +Slack (MET) : 0.327ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[19]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[19]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.432ns (logic 0.249ns (57.658%) route 0.183ns (42.342%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y97 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[19]/Q + net (fo=1, routed) 0.183 1.808 diviseur_freq_1/SR_counter_reg_n_0_[19] + SLICE_X52Y97 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.916 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[3] + net (fo=1, routed) 0.000 1.916 diviseur_freq_1/SR_counter_reg[16]_i_1_n_4 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + clock pessimism -0.515 1.484 + SLICE_X52Y97 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[19] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.916 + ------------------------------------------------------------------- + slack 0.327 + +Slack (MET) : 0.327ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[3]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[3]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.432ns (logic 0.249ns (57.658%) route 0.183ns (42.342%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y93 FDCE (Prop_fdce_C_Q) 0.141 1.624 r diviseur_freq_1/SR_counter_reg[3]/Q + net (fo=1, routed) 0.183 1.807 diviseur_freq_1/SR_counter_reg_n_0_[3] + SLICE_X52Y93 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.915 r diviseur_freq_1/SR_counter_reg[0]_i_1/O[3] + net (fo=1, routed) 0.000 1.915 diviseur_freq_1/SR_counter_reg[0]_i_1_n_4 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + clock pessimism -0.515 1.483 + SLICE_X52Y93 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[3] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.915 + ------------------------------------------------------------------- + slack 0.327 + +Slack (MET) : 0.327ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[7]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[7]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.432ns (logic 0.249ns (57.658%) route 0.183ns (42.342%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y94 FDCE (Prop_fdce_C_Q) 0.141 1.624 r diviseur_freq_1/SR_counter_reg[7]/Q + net (fo=1, routed) 0.183 1.807 diviseur_freq_1/SR_counter_reg_n_0_[7] + SLICE_X52Y94 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.915 r diviseur_freq_1/SR_counter_reg[4]_i_1/O[3] + net (fo=1, routed) 0.000 1.915 diviseur_freq_1/SR_counter_reg[4]_i_1_n_4 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + clock pessimism -0.515 1.483 + SLICE_X52Y94 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[7] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.915 + ------------------------------------------------------------------- + slack 0.327 + +Slack (MET) : 0.360ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[0]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[1]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.465ns (logic 0.292ns (62.850%) route 0.173ns (37.150%)) + Logic Levels: 2 (CARRY4=1 LUT1=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y93 FDCE (Prop_fdce_C_Q) 0.141 1.624 f diviseur_freq_1/SR_counter_reg[0]/Q + net (fo=1, routed) 0.173 1.797 diviseur_freq_1/SR_counter_reg_n_0_[0] + SLICE_X52Y93 LUT1 (Prop_lut1_I0_O) 0.045 1.842 r diviseur_freq_1/SR_counter[0]_i_2/O + net (fo=1, routed) 0.000 1.842 diviseur_freq_1/SR_counter[0]_i_2_n_0 + SLICE_X52Y93 CARRY4 (Prop_carry4_S[0]_O[1]) + 0.106 1.948 r diviseur_freq_1/SR_counter_reg[0]_i_1/O[1] + net (fo=1, routed) 0.000 1.948 diviseur_freq_1/SR_counter_reg[0]_i_1_n_6 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[1]/C + clock pessimism -0.515 1.483 + SLICE_X52Y93 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[1] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.948 + ------------------------------------------------------------------- + slack 0.360 + +Slack (MET) : 0.372ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[23]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[23]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.477ns (logic 0.249ns (52.216%) route 0.228ns (47.784%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y98 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[23]/Q + net (fo=1, routed) 0.228 1.853 diviseur_freq_1/SR_counter_reg_n_0_[23] + SLICE_X52Y98 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.961 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[3] + net (fo=1, routed) 0.000 1.961 diviseur_freq_1/SR_counter_reg[20]_i_1_n_4 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + clock pessimism -0.515 1.484 + SLICE_X52Y98 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[23] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.961 + ------------------------------------------------------------------- + slack 0.372 + +Slack (MET) : 0.373ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[12]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[12]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.478ns (logic 0.256ns (53.516%) route 0.222ns (46.484%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 1.483ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.564 1.483 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[12]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y96 FDCE (Prop_fdce_C_Q) 0.141 1.624 r diviseur_freq_1/SR_counter_reg[12]/Q + net (fo=1, routed) 0.222 1.847 diviseur_freq_1/SR_counter_reg_n_0_[12] + SLICE_X52Y96 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.962 r diviseur_freq_1/SR_counter_reg[12]_i_1/O[0] + net (fo=1, routed) 0.000 1.962 diviseur_freq_1/SR_counter_reg[12]_i_1_n_7 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y96 FDCE r diviseur_freq_1/SR_counter_reg[12]/C + clock pessimism -0.515 1.483 + SLICE_X52Y96 FDCE (Hold_fdce_C_D) 0.105 1.588 diviseur_freq_1/SR_counter_reg[12] + ------------------------------------------------------------------- + required time -1.588 + arrival time 1.962 + ------------------------------------------------------------------- + slack 0.373 + +Slack (MET) : 0.373ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[16]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[16]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.478ns (logic 0.256ns (53.516%) route 0.222ns (46.484%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y97 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[16]/Q + net (fo=1, routed) 0.222 1.848 diviseur_freq_1/SR_counter_reg_n_0_[16] + SLICE_X52Y97 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.963 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[0] + net (fo=1, routed) 0.000 1.963 diviseur_freq_1/SR_counter_reg[16]_i_1_n_7 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + clock pessimism -0.515 1.484 + SLICE_X52Y97 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[16] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.963 + ------------------------------------------------------------------- + slack 0.373 + +Slack (MET) : 0.373ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[20]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[20]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.478ns (logic 0.256ns (53.516%) route 0.222ns (46.484%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y98 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[20]/Q + net (fo=1, routed) 0.222 1.848 diviseur_freq_1/SR_counter_reg_n_0_[20] + SLICE_X52Y98 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.963 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[0] + net (fo=1, routed) 0.000 1.963 diviseur_freq_1/SR_counter_reg[20]_i_1_n_7 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + clock pessimism -0.515 1.484 + SLICE_X52Y98 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[20] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.963 + ------------------------------------------------------------------- + slack 0.373 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: sys_clk_pin +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { I_clk_100m } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y16 I_clk_100m_IBUF_BUFG_inst/I +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y93 diviseur_freq_1/SR_counter_reg[0]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[10]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[11]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[12]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[13]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[14]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[15]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y97 diviseur_freq_1/SR_counter_reg[16]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X52Y97 diviseur_freq_1/SR_counter_reg[17]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y93 diviseur_freq_1/SR_counter_reg[0]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y93 diviseur_freq_1/SR_counter_reg[0]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[10]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[10]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[11]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[11]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[12]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[12]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[13]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[13]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y93 diviseur_freq_1/SR_counter_reg[0]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y93 diviseur_freq_1/SR_counter_reg[0]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[10]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[10]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[11]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y95 diviseur_freq_1/SR_counter_reg[11]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[12]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[12]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[13]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X52Y96 diviseur_freq_1/SR_counter_reg[13]/C + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: + To Clock: + +Max Delay 181 Endpoints +Min Delay 181 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[0] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 12.220ns (logic 4.704ns (38.495%) route 7.516ns (61.505%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.195 4.105 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X55Y98 LUT5 (Prop_lut5_I4_O) 0.152 4.257 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2/O + net (fo=3, routed) 0.980 5.237 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2_n_0 + SLICE_X55Y97 LUT6 (Prop_lut6_I1_O) 0.326 5.563 r tirage_1/registres_2/O_7segmentDisplay_OBUF[0]_inst_i_1/O + net (fo=1, routed) 3.135 8.698 O_7segmentDisplay_OBUF[0] + L3 OBUF (Prop_obuf_I_O) 3.522 12.220 r O_7segmentDisplay_OBUF[0]_inst/O + net (fo=0) 0.000 12.220 O_7segmentDisplay[0] + L3 r O_7segmentDisplay[0] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[3] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 12.023ns (logic 4.706ns (39.141%) route 7.317ns (60.859%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.195 4.105 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X55Y98 LUT5 (Prop_lut5_I4_O) 0.152 4.257 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2/O + net (fo=3, routed) 0.985 5.242 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2_n_0 + SLICE_X55Y97 LUT6 (Prop_lut6_I2_O) 0.326 5.568 r tirage_1/registres_2/O_7segmentDisplay_OBUF[3]_inst_i_1/O + net (fo=1, routed) 2.932 8.499 O_7segmentDisplay_OBUF[3] + L4 OBUF (Prop_obuf_I_O) 3.524 12.023 r O_7segmentDisplay_OBUF[3]_inst/O + net (fo=0) 0.000 12.023 O_7segmentDisplay[3] + L4 r O_7segmentDisplay[3] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[4] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.907ns (logic 4.727ns (39.698%) route 7.180ns (60.302%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.006 3.916 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X54Y99 LUT5 (Prop_lut5_I4_O) 0.152 4.068 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5/O + net (fo=3, routed) 0.984 5.052 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5_n_0 + SLICE_X54Y97 LUT6 (Prop_lut6_I0_O) 0.348 5.400 r tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_1/O + net (fo=1, routed) 2.984 8.384 O_7segmentDisplay_OBUF[4] + K3 OBUF (Prop_obuf_I_O) 3.523 11.907 r O_7segmentDisplay_OBUF[4]_inst/O + net (fo=0) 0.000 11.907 O_7segmentDisplay[4] + K3 r O_7segmentDisplay[4] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[5] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.863ns (logic 4.484ns (37.796%) route 7.379ns (62.204%)) + Logic Levels: 6 (FDCE=1 LUT5=2 LUT6=2 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.195 4.105 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X55Y98 LUT5 (Prop_lut5_I0_O) 0.124 4.229 r tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_3/O + net (fo=1, routed) 0.936 5.165 tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_3_n_0 + SLICE_X55Y99 LUT5 (Prop_lut5_I3_O) 0.124 5.289 r tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_1/O + net (fo=1, routed) 3.042 8.331 O_7segmentDisplay_OBUF[5] + M2 OBUF (Prop_obuf_I_O) 3.532 11.863 r O_7segmentDisplay_OBUF[5]_inst/O + net (fo=0) 0.000 11.863 O_7segmentDisplay[5] + M2 r O_7segmentDisplay[5] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[6] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.851ns (logic 4.727ns (39.885%) route 7.124ns (60.115%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.006 3.916 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X54Y99 LUT5 (Prop_lut5_I4_O) 0.152 4.068 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5/O + net (fo=3, routed) 1.003 5.071 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5_n_0 + SLICE_X54Y97 LUT6 (Prop_lut6_I4_O) 0.348 5.419 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_1/O + net (fo=1, routed) 2.910 8.328 O_7segmentDisplay_OBUF[6] + L6 OBUF (Prop_obuf_I_O) 3.523 11.851 r O_7segmentDisplay_OBUF[6]_inst/O + net (fo=0) 0.000 11.851 O_7segmentDisplay[6] + L6 r O_7segmentDisplay[6] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[2] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.520ns (logic 4.462ns (38.734%) route 7.058ns (61.266%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.006 3.916 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X54Y99 LUT5 (Prop_lut5_I1_O) 0.124 4.040 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_4/O + net (fo=4, routed) 0.613 4.653 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_4_n_0 + SLICE_X54Y98 LUT6 (Prop_lut6_I0_O) 0.124 4.777 r tirage_1/registres_2/O_7segmentDisplay_OBUF[2]_inst_i_1/O + net (fo=1, routed) 3.233 8.010 O_7segmentDisplay_OBUF[2] + L5 OBUF (Prop_obuf_I_O) 3.510 11.520 r O_7segmentDisplay_OBUF[2]_inst/O + net (fo=0) 0.000 11.520 O_7segmentDisplay[2] + L5 r O_7segmentDisplay[2] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/registres_2/O_reg2_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[1] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.428ns (logic 4.737ns (41.451%) route 6.691ns (58.549%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE 0.000 0.000 r tirage_1/registres_2/O_reg2_reg[2]/C + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 0.456 r tirage_1/registres_2/O_reg2_reg[2]/Q + net (fo=2, routed) 1.388 1.844 tirage_1/registres_2/O_reg2[2] + SLICE_X52Y101 LUT6 (Prop_lut6_I1_O) 0.124 1.968 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19/O + net (fo=1, routed) 0.818 2.786 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_19_n_0 + SLICE_X54Y100 LUT6 (Prop_lut6_I0_O) 0.124 2.910 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 1.006 3.916 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X54Y99 LUT5 (Prop_lut5_I4_O) 0.152 4.068 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5/O + net (fo=3, routed) 0.302 4.370 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5_n_0 + SLICE_X54Y99 LUT6 (Prop_lut6_I2_O) 0.348 4.718 r tirage_1/registres_2/O_7segmentDisplay_OBUF[1]_inst_i_1/O + net (fo=1, routed) 3.177 7.895 O_7segmentDisplay_OBUF[1] + N1 OBUF (Prop_obuf_I_O) 3.533 11.428 r O_7segmentDisplay_OBUF[1]_inst/O + net (fo=0) 0.000 11.428 O_7segmentDisplay[1] + N1 r O_7segmentDisplay[1] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[1] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 8.853ns (logic 4.111ns (46.438%) route 4.742ns (53.562%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X53Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X53Y97 FDCE (Prop_fdce_C_Q) 0.456 0.456 f modulo4_2/SR_Counter_reg[0]/Q + net (fo=18, routed) 0.856 1.312 modulo4_2/Q[0] + SLICE_X55Y97 LUT2 (Prop_lut2_I1_O) 0.124 1.436 r modulo4_2/O_7segmentSelect_OBUF[1]_inst_i_1/O + net (fo=1, routed) 3.886 5.322 O_7segmentSelect_OBUF[1] + M6 OBUF (Prop_obuf_I_O) 3.531 8.853 r O_7segmentSelect_OBUF[1]_inst/O + net (fo=0) 0.000 8.853 O_7segmentSelect[1] + M6 r O_7segmentSelect[1] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[0] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 8.683ns (logic 4.107ns (47.292%) route 4.577ns (52.708%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X53Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X53Y97 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo4_2/SR_Counter_reg[0]/Q + net (fo=18, routed) 0.652 1.108 modulo4_2/Q[0] + SLICE_X54Y97 LUT2 (Prop_lut2_I1_O) 0.124 1.232 r modulo4_2/O_7segmentSelect_OBUF[0]_inst_i_1/O + net (fo=3, routed) 3.925 5.157 O_7segmentSelect_OBUF[0] + N6 OBUF (Prop_obuf_I_O) 3.527 8.683 r O_7segmentSelect_OBUF[0]_inst/O + net (fo=0) 0.000 8.683 O_7segmentSelect[0] + N6 r O_7segmentSelect[0] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[3] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 8.291ns (logic 4.111ns (49.589%) route 4.180ns (50.411%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X53Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X53Y97 FDCE (Prop_fdce_C_Q) 0.456 0.456 f modulo4_2/SR_Counter_reg[0]/Q + net (fo=18, routed) 0.649 1.105 modulo4_2/Q[0] + SLICE_X54Y97 LUT2 (Prop_lut2_I0_O) 0.124 1.229 r modulo4_2/O_7segmentSelect_OBUF[3]_inst_i_1/O + net (fo=1, routed) 3.530 4.760 O_7segmentSelect_OBUF[3] + N5 OBUF (Prop_obuf_I_O) 3.531 8.291 r O_7segmentSelect_OBUF[3]_inst/O + net (fo=0) 0.000 8.291 O_7segmentSelect[3] + N5 r O_7segmentSelect[3] (OUT) + ------------------------------------------------------------------- ------------------- + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[0]/C + (rising edge-triggered cell FDPE) + Destination: tirage_1/registres_2/O_reg0_reg[0]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.302ns (logic 0.141ns (46.682%) route 0.161ns (53.318%)) + Logic Levels: 1 (FDPE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDPE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[0]/C + SLICE_X52Y100 FDPE (Prop_fdpe_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[0]/Q + net (fo=17, routed) 0.161 0.302 tirage_1/registres_2/O_reg1_reg[5]_0[0] + SLICE_X55Y101 FDCE r tirage_1/registres_2/O_reg0_reg[0]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[5]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg3_reg[5]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.303ns (logic 0.141ns (46.583%) route 0.162ns (53.417%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[5]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[5]/Q + net (fo=15, routed) 0.162 0.303 tirage_1/registres_2/O_reg1_reg[5]_0[5] + SLICE_X53Y102 FDCE r tirage_1/registres_2/O_reg3_reg[5]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg2_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.312ns (logic 0.141ns (45.173%) route 0.171ns (54.827%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[4]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[4]/Q + net (fo=15, routed) 0.171 0.312 tirage_1/registres_2/O_reg1_reg[5]_0[4] + SLICE_X52Y101 FDCE r tirage_1/registres_2/O_reg2_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg1_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.319ns (logic 0.141ns (44.170%) route 0.178ns (55.830%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[4]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[4]/Q + net (fo=15, routed) 0.178 0.319 tirage_1/registres_2/O_reg1_reg[5]_0[4] + SLICE_X53Y101 FDCE r tirage_1/registres_2/O_reg1_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg4_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.335ns (logic 0.141ns (42.145%) route 0.194ns (57.855%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[4]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[4]/Q + net (fo=15, routed) 0.194 0.335 tirage_1/registres_2/O_reg1_reg[5]_0[4] + SLICE_X53Y99 FDCE r tirage_1/registres_2/O_reg4_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg5_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.342ns (logic 0.141ns (41.216%) route 0.201ns (58.784%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[4]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[4]/Q + net (fo=15, routed) 0.201 0.342 tirage_1/registres_2/O_reg1_reg[5]_0[4] + SLICE_X53Y100 FDCE r tirage_1/registres_2/O_reg5_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.342ns (logic 0.148ns (43.251%) route 0.194ns (56.749%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X54Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C + SLICE_X54Y102 FDCE (Prop_fdce_C_Q) 0.148 0.148 r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/Q + net (fo=4, routed) 0.194 0.342 tirage_1/led_pwm_1/p_0_in + SLICE_X55Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.345ns (logic 0.208ns (60.272%) route 0.137ns (39.728%)) + Logic Levels: 2 (FDCE=1 LUT5=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X54Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C + SLICE_X54Y102 FDCE (Prop_fdce_C_Q) 0.164 0.164 r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/Q + net (fo=2, routed) 0.137 0.301 tirage_1/led_pwm_1/SR_cpt_leds_reg_n_0_[3] + SLICE_X54Y102 LUT5 (Prop_lut5_I4_O) 0.044 0.345 r tirage_1/led_pwm_1/SR_cpt_leds[4]_i_1/O + net (fo=1, routed) 0.000 0.345 tirage_1/led_pwm_1/plusOp[4] + SLICE_X54Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.346ns (logic 0.209ns (60.386%) route 0.137ns (39.614%)) + Logic Levels: 2 (FDCE=1 LUT4=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X54Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C + SLICE_X54Y102 FDCE (Prop_fdce_C_Q) 0.164 0.164 r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/Q + net (fo=2, routed) 0.137 0.301 tirage_1/led_pwm_1/SR_cpt_leds_reg_n_0_[3] + SLICE_X54Y102 LUT4 (Prop_lut4_I0_O) 0.045 0.346 r tirage_1/led_pwm_1/SR_cpt_leds[3]_i_1/O + net (fo=1, routed) 0.000 0.346 tirage_1/led_pwm_1/plusOp[3] + SLICE_X54Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[3]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg2_reg[3]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.351ns (logic 0.128ns (36.426%) route 0.223ns (63.574%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X52Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[3]/C + SLICE_X52Y100 FDCE (Prop_fdce_C_Q) 0.128 0.128 r tirage_1/compteur_1/SR_cpt_val_reg[3]/Q + net (fo=16, routed) 0.223 0.351 tirage_1/registres_2/O_reg1_reg[5]_0[3] + SLICE_X52Y101 FDCE r tirage_1/registres_2/O_reg2_reg[3]/D + ------------------------------------------------------------------- ------------------- + + + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: sys_clk_pin + To Clock: + +Max Delay 2 Endpoints +Min Delay 2 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 2.478ns (logic 0.704ns (28.410%) route 1.774ns (71.590%)) + Logic Levels: 2 (LUT5=1 LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.626 5.229 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y99 FDCE (Prop_fdce_C_Q) 0.456 5.685 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 1.330 7.015 tirage_1/automate_1/out[0] + SLICE_X52Y103 LUT5 (Prop_lut5_I0_O) 0.124 7.139 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_4/O + net (fo=1, routed) 0.444 7.583 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_4_n_0 + SLICE_X52Y103 LUT6 (Prop_lut6_I4_O) 0.124 7.707 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1/O + net (fo=1, routed) 0.000 7.707 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1_n_0 + SLICE_X52Y103 FDCE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 1.745ns (logic 0.580ns (33.241%) route 1.165ns (66.759%)) + Logic Levels: 1 (LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.626 5.229 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y99 FDCE (Prop_fdce_C_Q) 0.456 5.685 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 1.165 6.850 tirage_1/automate_1/out[0] + SLICE_X52Y103 LUT6 (Prop_lut6_I1_O) 0.124 6.974 r tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1/O + net (fo=1, routed) 0.000 6.974 tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1_n_0 + SLICE_X52Y103 FDCE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + ------------------------------------------------------------------- ------------------- + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.609ns (logic 0.186ns (30.551%) route 0.423ns (69.449%)) + Logic Levels: 1 (LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y99 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.423 2.048 tirage_1/automate_1/out[0] + SLICE_X52Y103 LUT6 (Prop_lut6_I1_O) 0.045 2.093 r tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1/O + net (fo=1, routed) 0.000 2.093 tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1_n_0 + SLICE_X52Y103 FDCE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.859ns (logic 0.231ns (26.893%) route 0.628ns (73.107%)) + Logic Levels: 2 (LUT5=1 LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X52Y99 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.482 2.107 tirage_1/automate_1/out[0] + SLICE_X52Y103 LUT5 (Prop_lut5_I0_O) 0.045 2.152 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_4/O + net (fo=1, routed) 0.146 2.298 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_4_n_0 + SLICE_X52Y103 LUT6 (Prop_lut6_I4_O) 0.045 2.343 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1/O + net (fo=1, routed) 0.000 2.343 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1_n_0 + SLICE_X52Y103 FDCE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + ------------------------------------------------------------------- ------------------- + + + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: + To Clock: sys_clk_pin + +Max Delay 26 Endpoints +Min Delay 26 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[24]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.770ns (logic 1.524ns (26.414%) route 4.246ns (73.586%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.246 5.770 diviseur_freq_1/AR[0] + SLICE_X52Y99 FDCE f diviseur_freq_1/SR_counter_reg[24]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[24]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[25]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.770ns (logic 1.524ns (26.414%) route 4.246ns (73.586%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.246 5.770 diviseur_freq_1/AR[0] + SLICE_X52Y99 FDCE f diviseur_freq_1/SR_counter_reg[25]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y99 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[20]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.624ns (logic 1.524ns (27.099%) route 4.100ns (72.901%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.100 5.624 diviseur_freq_1/AR[0] + SLICE_X52Y98 FDCE f diviseur_freq_1/SR_counter_reg[20]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[21]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.624ns (logic 1.524ns (27.099%) route 4.100ns (72.901%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.100 5.624 diviseur_freq_1/AR[0] + SLICE_X52Y98 FDCE f diviseur_freq_1/SR_counter_reg[21]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[21]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[22]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.624ns (logic 1.524ns (27.099%) route 4.100ns (72.901%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.100 5.624 diviseur_freq_1/AR[0] + SLICE_X52Y98 FDCE f diviseur_freq_1/SR_counter_reg[22]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[22]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[23]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.624ns (logic 1.524ns (27.099%) route 4.100ns (72.901%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 4.100 5.624 diviseur_freq_1/AR[0] + SLICE_X52Y98 FDCE f diviseur_freq_1/SR_counter_reg[23]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y98 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[16]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.469ns (logic 1.524ns (27.870%) route 3.944ns (72.130%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 3.944 5.469 diviseur_freq_1/AR[0] + SLICE_X52Y97 FDCE f diviseur_freq_1/SR_counter_reg[16]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[17]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.469ns (logic 1.524ns (27.870%) route 3.944ns (72.130%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 3.944 5.469 diviseur_freq_1/AR[0] + SLICE_X52Y97 FDCE f diviseur_freq_1/SR_counter_reg[17]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[17]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[18]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.469ns (logic 1.524ns (27.870%) route 3.944ns (72.130%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 3.944 5.469 diviseur_freq_1/AR[0] + SLICE_X52Y97 FDCE f diviseur_freq_1/SR_counter_reg[18]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[18]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[19]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.469ns (logic 1.524ns (27.870%) route 3.944ns (72.130%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.928ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.928ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=89, routed) 3.944 5.469 diviseur_freq_1/AR[0] + SLICE_X52Y97 FDCE f diviseur_freq_1/SR_counter_reg[19]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.505 4.928 diviseur_freq_1/I15 + SLICE_X52Y97 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[0]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.763ns (logic 0.292ns (16.531%) route 1.472ns (83.469%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.472 1.763 diviseur_freq_1/AR[0] + SLICE_X52Y93 FDCE f diviseur_freq_1/SR_counter_reg[0]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[0]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[1]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.763ns (logic 0.292ns (16.531%) route 1.472ns (83.469%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.472 1.763 diviseur_freq_1/AR[0] + SLICE_X52Y93 FDCE f diviseur_freq_1/SR_counter_reg[1]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[1]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[2]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.763ns (logic 0.292ns (16.531%) route 1.472ns (83.469%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.472 1.763 diviseur_freq_1/AR[0] + SLICE_X52Y93 FDCE f diviseur_freq_1/SR_counter_reg[2]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[2]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[3]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.763ns (logic 0.292ns (16.531%) route 1.472ns (83.469%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.472 1.763 diviseur_freq_1/AR[0] + SLICE_X52Y93 FDCE f diviseur_freq_1/SR_counter_reg[3]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y93 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[4]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.827ns (logic 0.292ns (15.958%) route 1.535ns (84.042%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.535 1.827 diviseur_freq_1/AR[0] + SLICE_X52Y94 FDCE f diviseur_freq_1/SR_counter_reg[4]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[4]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[5]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.827ns (logic 0.292ns (15.958%) route 1.535ns (84.042%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.535 1.827 diviseur_freq_1/AR[0] + SLICE_X52Y94 FDCE f diviseur_freq_1/SR_counter_reg[5]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[5]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[6]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.827ns (logic 0.292ns (15.958%) route 1.535ns (84.042%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.535 1.827 diviseur_freq_1/AR[0] + SLICE_X52Y94 FDCE f diviseur_freq_1/SR_counter_reg[6]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[6]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[7]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.827ns (logic 0.292ns (15.958%) route 1.535ns (84.042%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.535 1.827 diviseur_freq_1/AR[0] + SLICE_X52Y94 FDCE f diviseur_freq_1/SR_counter_reg[7]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y94 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[10]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.900ns (logic 0.292ns (15.343%) route 1.608ns (84.657%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.608 1.900 diviseur_freq_1/AR[0] + SLICE_X52Y95 FDCE f diviseur_freq_1/SR_counter_reg[10]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y95 FDCE r diviseur_freq_1/SR_counter_reg[10]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[11]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 1.900ns (logic 0.292ns (15.343%) route 1.608ns (84.657%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 1.999ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.999ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=89, routed) 1.608 1.900 diviseur_freq_1/AR[0] + SLICE_X52Y95 FDCE f diviseur_freq_1/SR_counter_reg[11]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.834 1.999 diviseur_freq_1/I15 + SLICE_X52Y95 FDCE r diviseur_freq_1/SR_counter_reg[11]/C + + + + + diff --git a/loto/loto.runs/impl_1/loto_timing_summary_routed.rpx b/loto/loto.runs/impl_1/loto_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..bdbffaa27edcf8ccbcfba95d49b2d660ede08f1d GIT binary patch literal 198589 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jVqjq40;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2hsGX8+WR9*%K28IVh z3>$={S|!)4TWWVz5+t%fp5cSM)KS&{|N9-Ls)0lvXftfkkv3F>+Ge6>EVP;_iaj?o zFFrT1B8jy)CowrYgR6kC_S7fOkFAWSK6w^)FfvbIWLw0zov|pjAit<2z69)<_+p4} z6m)YFE8+_hOEQWT3=I@?p^8fLlJoP5ONtUR^HNh3bkj0(QWbLYOY+fl#~0<7mZYZW z6%~{y=oTd5Q&Nzmpj%W>flmoYTDLs0C@(%gFTN}@KPRyyGe2(yBjW}}h8>Iy2N)Sn zFfv?VWVpe|_<)h&10&-MCdLI!j4PNJHZU>lU}8GJ#BhR%;Q|xG4JO71OpGs>7(OsD z{$OHgU}orGW}LvxC>6=Yq-!X|AO#CDh5s<XsKIK$V8QP2g5855f-!+1gQ0+-f}w$t zp@WfO0wco=Mur893^1oK!koegb_(MQMn<Kp%v|zZY(d~K77~Rf0ces@fCzK(ak06i z7H6jC39*0zlgo#TB_Oe=M99S@wJb9^)z&=G(7>QXK_MV9IXf{u)i${}-Pp)PK_R#x zH8sUn*HA$tAjsb()H%e{-%r6%&&XK8$iUD-*T78I&{&fzgo`~awWv5VKTpUrEVC>z zC10V;$iT=%&rm_b%tSXSvqV!tAvj#YsWdYuMZwg-+|1I_Od&r{Av`rj!8fr|!N^F# z(89{t%*w!0!Pg~30i>Brfs4f@u_RSU0;I|<HA%tHNWsw1%E;8pzyPGeluL|@2jVM* z;1ZBZwjgk#;5y96#qOM+o12)IA~a-EpOyoc9T#_TWpR9RPIi1jW}YC!=dXYN|5tVZ z=TQcRNC!nw)&yY<1_K5w0T2s}!Rmw^j1IDJ@g--ZCTBwuMNmP75QEYcMlO!L{P^UY z{N!w@grL;)%;J*NB7L94lH?49g3P>Ph4Rdj428UW1+Wr@l%mYC)I5cxN`<2Q{1T{O zL1vznLP};?W^rn1QG8laYGJ&gesEBHa(-!ENorAiQEGa$p=qpsAWdyB0@<L!q`~CD zq$I?}2QD}g^GYCo^I%XC<q|9?%_&ZeFV9RV$$$&{a4DdaGVz%pH|Hhh#HZ$^6y#^- zl@v><grbx~0ibY6%P&&MO{~bwEzMO(NzF;D)L`;pRMO|-%gc|?%qu7@i3f{HNe1K> zl^}u<EQO@Okc&SrKR&;-1ffF;RR=^4NsAB{Uv6nmNoGM#D%=+$TmtE-d8tK-C8;S8 z!D0^vB~C8Zoc#O(5L28>xFE5pBr`Dw*>xTaN)lWmFbRlF5O;epDDiV~=YY~dJk$&W z9;>MWT!P><l$n>V082Mq;&36<K<AQzOJIaNmnK|}Q1By}j~cdI5^yun!VpOdYEW@W z!nL4>7c_T(d<OO~mkeAfEP%j~2MHf8Sqxd^;Nud3OCyD$P!|)H@Dr-!Dpd%{NG(cL zNGwWKFf&jng5^W7Uh3yV1q}~RcMna0QlSd25+tjQX=yV!f5L1ok+2sELy9HTgd=3h zWs2l1JOP5LPfx&9f<Y(|U%C@=<gz7fbVg=!hC*IyYKj6<!c_oAser8ngV1G064I}b z7nd87m4;>(kQgn=NGt)zcpj)EMQZ0@6fJtNU~>}+=L$wL%Yamq6jDl46-x3IVBrQS z!Sw`!B~~yBZD&M^5!9?E<iur%WUsLTI4dZCT5?#+HihDX)a1;x%+wUP+wFwHxPp+( zFd%3~QfY}oX>n?iLPlb-LZU)iVoq_Y0;r7$aXzS3SfVEoB*82+n+bPWAY{j7g=DRv zF`BC(*%ng1VsW;WPym+?l70hx+KF+ofR9i%S2`&sf~(*}1xSk-5@QM}scGOgaekgc zW{E<6kwQUHenDb-VhO1HD#<9yFHO%-$Se^^m*5o&M~c>5l$t}xipvbeB{)kSm_CU0 z#d-o}5)49Fc#2aYZ!UKfqv7Q()CdLi^q-fS4C<AX<SQgXlqeMEmlh?b>It|@FbKJ$ zm(N1RT>2;$B<JTQW#)k!>xnrE;4)kxGf$x;BUK><+}za@(3fBkO2S{T3psGvpcsph z(xJ5hH2H#Sd8BkBU?agG6i1+X5VGbnN3jGcBf*TvkuC+yB^ZR#aMUk8TplRKq6G=4 zHpdn)3a|tNsc*nO7VwZ@kW%Af5Mq#G$}3i4_VuXJU^ZZI5(bxo&<>aaq@U*0$-==X zB*4WIoReQJ#2lGgECnJ!B5sMrB?u8IWiA=lyu_rOR0Us9LR4_hFUm_TQgDR!Q6Vbj zxg?;90#b{MGjlVGa}|P8bMwm*b07+gxnwY86oOKV^K(i;T{R(LztqH{)Z!8aP`f`r zPr)xgB~?m|O95)CC#ZR*-~wv2DY&GjC6?xtC^&;!z(P!Z`BDm8Qc!gPMXAN9B?|fq z&N-=xMGB5Z$;Dt5(p;i0nZ;mVxaDM~XOuw9f=G&S33#UG<rk$Yc<TEr1b}+9U`={l z8jyy!f@@+?PNjlRVrgD-hC*VAf>VA`QGPin--4UnsStCtxl|w$3L%-fsS2Pv!8fr2 zVv~YvMRIBZ$g9PW0FmPo_f0KIPX(z38H6sc#wF$nYS!uo`vidkr64u07@Sdrc%1X| zic^cq5=%16Qk9&zq@j*<&d*EBOfM}0tAchM(UXEmGFTC;V1lHDpwEn4PZ+`Jh5_Cs z(FFHI7#J7~VGK(c!x6^tgfUV<qY)X5g)n|Chym^bOHE)r&p4M6G&Zq-k#Qv>NCJen zf?43cxzq{9^Nd#+L4y@H7#SaeB|u7EfO_iEKjHjFCXgrycQ7$bVEPXOj5Cnf3y|0= zkk}uX7=AD@STH&;dN2eqL@*>UM=h4;nkj?QLlY|G;_}VRQwT^c%FIs@O6EOtl+8%A z{EW7d>^dnCLnV0*Mj>fWaDUFwz#uo?(<#&~-YL}0Jw7w9xJ2Jmi#bm}(MSiyOi=~~ z1xHzst7RD&7C0#JaWDvRA<Ph}M6oiPH+!BG)XMmRP6H)%4n`qm0v$DjSbb-5tgb?_ zItSfq4Gu;j6#`Zp4y4_N1L$v~0kqqA0PQvzK)X!`&~CE<wA-9KyOoN$n0@lgg>rba z=FKt`EjzQ?P&U4RlY}w_+&CbuJVRKT1G2P&3`;@n4-zdcf%r5R-KWGCVdQufZY!Es zNwAgPegzkqboVR7R(kstTtw5|uMk`5?N@M>KzF}FY$e^VS|wa89+~MG^evjGVJjJa zErt4(zJ-$(HLRt(Zy^N*s7p#(1wf&1A=c8}w~(TO?!JXsOLyNw3JbdX7Gf>wz7?|I z0Ch0g1-N8Eli>=CpgB4V1_uUc|B)esxd1fFJByKF5hKGYMh5s)v(zajE};O>yp=*Y zXx<8ISDewRhlxuDKJAM|{m7d0brQ?X%!3TwD}ZPFpyN~-3<Zp!*>uJZM*9v%#z~Bv zA)daTe(t)4=16RdS&RbCMVTd;$%#1%;fY0gnR)567}=q$MU2vZ`FXnF>Cn^^h2qr0 z($qZAyqZFCYEI4~Mp@s?;$qMk40sd`G%|}kkoOa`M1w&Hc_vp1nS(T90-d)tgiZ7! zjhH~CJYiCJM@$$94wx{IK3>8wgNXrkbcA6O6L(Q&ajJr@0*Km$!u!C)^@E9Py#Q!E zix9D+5K=@cbkSn4VsK*cVu%vdaCQs|iZrn`RPYH6F|;+*%rZurGY31&!9dTzz#y+! zL018nRH=30Z9DL&Q!8VL-|79m;8CNgU~(>)T*`Rt#{d0m!R)P!8}9D4-wS3R1&Q0A z1+%Y$$-7|kDVTf<CclEozhJTzJPOqdCZ{ri#<k`$X@6thzZ5L87EEphlY5!+g_`Y- zGR@j+V0RWQaurP81(Q#~<O|TS6k`K3BY2q!!whDI1<VX9m>D)OGwfhyIKa$sf|=n0 zGvf_rh6l_HFPIrVFf;sMW^7<#=wM-(z``(tg<$~;!wMFL4J?d1SQrklFq~pZ)hNmY zr{>g@^i<uFqRjO4)S}cBa9USzb8&WsjMS&5fR+Rxho?d{C>6j1J1sFM$H5dD@&(`# zF<V1uOw?*#qLV$hSXe-1_aheMEcXh9_X&kJiB(X;#o0wcS0NZoItK)4&R}I+z{;?K zm1zen!&O$$SlkU(h8L_1U%~9ZV6v4BB;E@qr-I2vY+E@v7=`M&h)mRhLOj7fp3biE z5vE3wmc~-N0Y&)*@o6c^sqxP7feMUXETGVjV8~#oVoYmbWb9@1OA@e~z{og*krBK^ z2)a}WyiSSX0V4x=0F*(ffJ=*mSx624NL+kgyg_`lL97CUmr!16iGoI2zOA8-0%Vny zCdkqTMuuKSP+BBxV>%asE^M?xY<y<Ck-nz^s-G1Yycj@sK|F}G`U!{E8W;%$F3cu> zREwnez=4}nT4EUQX%O!Z3SCh70kOOY9J+4;Eo=$<HJ?k9gIP!wcj!W00199NfeT7N zge}bF(&Jzh(!y;ac<~K5fDQG7qYYwFeU1oXkS_@b@dQRv?DNO4Pf8RV(#eTMMU^J; zAos+B6e%!zu|Z01<RI6K=_ew{i@9_;n1wWn3W4~%cyp=*dlnaw+1Ldmypi%PJk0Us z*hOr|*g2Slf)p6MWHrF84vczB0aQ4Hvt<<{$V^CmML6*Ua-q*3aC!PW#YY%M8XB3Q zCJkbZ^x@*;U`C#jP+;)lf)ybKU^jw`4&qXGIF}R$qmUS4f&(&10+}BX!s%GT>9_!o z!T!hwL(ARFq|&r_Pe{oCnx{cdrd9Lmi70m?xr8~Gh4|6DLLf2jd8}ejI2seU<OxhA z3GspEm7GI@eBvVvA`Q{vmT+)Y60*S`-3Dm5C6%V7!@>>Z1mtl0^7o1jVb8^JiE}Uu z38QCkPeW4$1}|Y)$}%#;tewO?1c(ScqI0q{6>{<cb`Iu|nUh2CWH?Xc3<oQA8c^0d z<EZhenT=Bz9?&WqGu|^IA|=KW%4DACnT({cqDdakocNZedH8%<C=nq?*F5}~nS({h zQGvmWA5s|?z?P_h%C-hjvl!HYBV4dmaj9}J2`Qo%Y-r1-(Hm@1ydL>EDX@Nk3#g?E z>j!}Cw&S`^M4QP7Ddiy5?2x?$IP#jk0)rQCQEFjnCaC{cl9`(d_b{lB4D&GK3fLwI z^qz;E0)rP%Vo_0MSz-=o$p}LK|D9jpv5Z?kIgSRk_8{9);En}#vI#nN2OHxBHii#u z3?cmBAqxUM7;q!o5H#>BMXXB1pamCv(1Og-3OAX%12I~$EpoXX?$L@{KkMv{GHtZ8 z9v!VfY}!yDX;g%&qZN!Kj#k`Z<zN(QU?kLcho^kh@d{HZeB%|6J_>Q;6@^^d9Lz%M z_=`zUcRD`W(2&r81t>Yf@;(F3f{M64wFPL<0@SBAM(tCB+NIE83y?nvH?E27Q$y|Z zk4Co-y-#f%8xK;1y-zKrf0u~*fw(?3#6|IW@g@YiF~oKDvWZS>sGVw1fRo;-K0ey1 z2JIw6tUMg;RNr7k8Ydm?RNIo@sU|)vqxP!F%gP5wd)35d<<VX><~r-qUNv~(JY+{Z zQVB@kO#FmO-Rf#CH4Y{rCH(D0P*V|QIL~xg_Nx!6e5GH%8q^(xwXJb>;^F=3a1#2} zma+QI#PzE!WA$m%ua?Tkz6zlGCt?*q*+0Z8fbO6F$zBBj3dqrZH4RrA3~0alJ}Lcb z%UFHXezp04^s6nY)346s(&u0l(k4_io8jnKgU0em>R3-?B*{Whrx~SEFsDJ!x`a!Q zgPGuby&)tF=-ssj6`!afpum8<&ICT5fq%Ywv}=vFsc*DvJ=(P<JYT)AlbHExB3tF4 zHWL-H@@UtZ=&U^2wI1zS_cBJTAZEgvzL}WLU299!`4IC#*|i3B=m_>!g>X+<x4RD7 zuC+I$Yfa1oMbLH@qE^`()2d?)S~>t(fk6A^^`jl@bnr@1nl0lW(2n&DP{*3M<@Lts z-D>>H>p|Tf8Z56jrcSS#xY=s>3UIX9V`JD#AjryLs?AnI?ZfC(8`GdqP26lX#6?u= zQWLl43{-BSG{ZsRP5NZ@=n8P6o8iQ!V`?rP9bExlqCebMfP<P!RLIGrE5M1)$)g?W z(GGPlBQ;lmQz;MAcLg|lgKbcDr$OB~O1jg7c&3_!?lkCVEuy;9=A+$d28Pky>Yx*i z@$8BpD&1*w^zO6?)n=(l*sX3(&+fDdjyY=3N@$YisEJ(s4Yd!WJ8d#x-D!x6=-izK zm76Gya8P)UcBes`&WKxMKf0lMbVK!^*ia2>Dp4UPk9Ma=yVE%LagA=M#yk{(n%!wC z<zf1Er_E7kr%gt?(;1+H2O)E?;4VDgUErWam}ncSLDQ;Wjf@Kz2`~Jn#Tjsh$lYmb zodI|4{@a1rRqZCb1JAB%w#KQWXTVW*)*MxP)uU&?jh+FAe$K_{8F1i30U-13`u@>| zs5LIe3IGGpes#lG?EBT*#con<zdFQ4@p<u}^RMuoYe-yQnz$7Jpt=dAqcge!fC0V5 zfp0s3(CCoG=#a&r7_tCePDF*AJUV1CI%F|AWC6P+nuv28sX1f;I--jTd6>RK78nhv z;dlnzve2LO+p7-hWD`GRLBd{jBhV5PqV}qTR8n!Ty1x1s*k}c4YXf9B2X#q3E%vI< zPuYiOv;uMlTw_S)=oxTB@(egq_Ns%@GwNP-3#zRGAmI!+BZ8~yLCF!8_i>*AN8Da@ zBS@E0KN$T`HVaah)f2f_9cCfMLI4XIECe8KuR7F8R9gu^+}=1)azi}^4ix01F9jIg zt4?%ld~{_!;;=l>S?17ddT|{eMQm`<<XmQ2pRG>Kz3QN>jCu?ld0BaMuR76Ld30(0 z=+b)X?p3E&CZ_LRbtAOJ^%jG2H2|nX2Wzq7oM6YZSKX20H~qTSpdKMX$C7mn9O$M= zqPo_GM#$>{C_Y|Y@eRCd4cg{_t!sUZ2A9E2)ZCA!YYn*!E^l-4XxDn!cdbF`8MSL| zHV|EFLnA^f>_N#9miH;`S{oX{me`|RfdQJlC25I0kzH$;g`oS$P=<)j(7V>)32Or! z6V`IAuZftjCa!A@brRKXEhDaL4N7jPU29N~k9Ms`SJ}h+zXZ<vAHB9rh}PGZ5ucS& zyVm4o<<YM7XxDml&YJ3T*7VK9^zB+38lf)xGaHm$Yfy&{)?y{6YrRu~j$La|kC32a z$?9605q-kC8Lhh3pg|67U29s*T7v=-_X+ExUF+m*3h#5L>Kc1eZ!RNc*4oeveee3f zoUm?2*RD0>baBk<z(JdPXwtQYT8PoL#yDY}eqC#bljz;G1{I$ugA1S_AMIL?cCAOd z){MQ3Luu9;RCAzqt;x&Eqh0IKuJvfwnuM-3RZmz4b$qB$AJe&OZHC&l9-b$x*I5pS zuC)<p*AP*&)*zKsTo8^lYdzYv&MeN%qw(42msnCYis)d^km*{3(lhF;^}s9$H=t+N z8oC%7vuh1n(nyQ0HOxYct~JJjaJqG^p-!T6*BX@EP-m?{K|b2G9_?C>cCCk4*BX?S zQM=aUW#!SX^=Q|6v}-*;U2AG(V)}NijnEbw4$lSQgScyLEy}>a!6>A`!6>9c=%Qd# zVrQ+5Op#};1vNmsw`>g+oE?LLB28>fG_y>KkrojbWfrG87#ipq7#QRgE9fd<$U&t_ znRDtLY8e<599tQi))zZso4r<CKli{|#<V#{_H1R0^jz++ml1Tf&{0Ok^>ZE0GJ^D8 zWjuUA!1gZV;R_RNpMquIg2}I7@-LWdWdiBy1(Q>mR>mK2n9H;?ve#}YSY$1j+zKZ5 zG95g1(f%mY!ZtC7vtW^{VDc`Qd<rJP=dLp}Ff(>AGfrS;oWabvfSF+hGvfwk#vROz z2bdX7Ff&|WX1u}7@PL`&1vA43W`-Zkj14Rd9V`qJSQuuoFf3qUSi!=$frViQ3&Q~x zhEsIv`qHtB9h{S2{)mMeDe7OL@IImNx>%JpG(v*C;*1ou6+%3{;*2!o4D~@A7cWf( zeFYFJz;zO<poWXHi-N8~u(OMTwt{m&kmd|l#s#blD_B`~urgd_1;z3WR)!a>3}3<Q zzhJVJ4J6(RCZ~eQMQrB<I2eT*7`ceeC#W59VwR6zt9WS#TWo@REq4GH7YDNtTd*^z zr9og-s3w~bd>aaG6T`T~I2eV5AST9xaw=?}B`=8M91`RcA7Kz_K<WnTd>rQb3!$1T z#T!tRUl5;EnwB2#8Sk&a=*0rEH-aI90kWgBmvPzbBSb9m#<2p_*~eRf!Am$VwM0Q9 zE#KD65c7;yU9KlY1Qc;Q)S*krF?Xng7J`yAATgPd%YcJXNQY3V3|+VHjIl-COiBzK zw8@D@MU^J;pgr^PU{%;peoIedBO(+?T}Tf~H<Ta!mcymX!6>9jC@3In4D_8nF{T(u z3i*jdhCIwVjF2~%5<w1mXHR_LezoEy5viNVaECZ4J};i0;SL>uLJxOPCz2N74z~^? z+${zw+@VgQceq3Dgg_5>OHzyKenu{R4n`qu0@=$5Y8}Q2la^A#XvrO<1bZpN!?c=+ zYL2+n4s{UK4wxivc`&$fi&DUV0(^AfbC?Z$?p(c>i0UwsOPGUMh~E>`9E&G*;4^a~ zqXXflUILdq2cwWQn$ajNG(J#E3D!b0B)Nq_lanwgYOE1)I-Lyf1tQ{wxU6gl>d~TP zWl$KBo|VZO_*8HtoCX59_&6AZxDaVTh|AO8DL%q5($EOxRHW(^(i<jhqz@M#2Q#vf z3JhLcu-37GCaB%rKzvpX;gaHD6cR%?Fy7NC)D6~yz~WfCpM)W|cncBfg{*u$@etxp zdfXkXp?E8XY1}R%+N3yoTtsBz&l?U95h=uFVo-gII(tE0eY}c=gGI<ufx(MEIVV3k zTcIGexHvO6vp5%?B|t+!gfp140)v+z#E8<o<kX^)#LT>sO1L41F9_@<Y)B248V8e* z5}_8EDeA%`ODVqK)RNMI__UPd)OhE37f^57&BfUjZu|DnwM0a$5v&D?S`y&BsKQ=> z!Hc&jwXig^C^bc)Br`V^ZYgN64(4TYHvO!v7d}W>KRJ#DEg?m_T>~`QN6@kzY_=EJ z7(TEu&}R75geJqM$$=FEF?{;-&0##lr%h82j}D(we8I-(@agFA>FDt34Mv6sj0`Ur z89p#F2#pS(BEn*H_>{N-CBxC-Q_x%tbi#ms!`35g_!Mo;1GeE)T&Eq$M5WMR_!QI( zrhJly$nF}baf>qMLSA=m^dMo>F-JUOE|7WD35*Og81Z)D3h)f(_#=-zLFa5UlS<QI zbGD#iA5e!6VplKY=<sQYzCZd=#n5o0*<3dfBQK-Fr=!EC@DXHadc>EHM~6?z$izcx z_|zm;f20ndnv96yQ`Vh=^cy|}jrI{ge0o@z7OPJ|S3qhpSTQ&;criqwuRb*<clD{Y z!hj5)_Oc$qGkj`dJUV<z`PoVI9zJCuarNnS-qF>kUPyD@gu61Mt4~p@Hnhb8gadGN z_;hsm6cGX=Vfd8TRglmt$UsXRQHHTB25J=~#6k341qo_oq55Be!He{zQ=`Lpqr-R$ z7;&$j8eIixYh;GGWo2|2Z*&-MbQllOK%vP}<{>qVhjG(3X!{N6_3=<#1&MZFx5e;W z1-W|-9f$EiqfP@ojAx8*6(rficv>gQ24WTDw2k<N@r0WXjIM$la;qRmhw)&G?M63| zGmdT|XJqVU9Nk0?%MzoT$YCKt-0D;48bI{T%%D~*NhALx59486NsGCe*%-&&gPo2G zsJ9vqK8$BV;9L*T=_IhW8Sa4-B3I*q8d;;mcogh_49Bx*&J%gj9BfY&WQ82z8JN-4 zcqHX}nv4L9Ze~u-#yF2lAvGmE6}(Xxl9WL^k4HB%<2?9~n)!HiHQsPvjc1I$8V}R} z8X3cQ7!9#uxtV!;7#)Z4K%-8urXtRr*YM5Ev{{X3M3Z5>ecVL@F^qSH|2Ur2cy?Ud zM~Cr7hw<`D^GZ^S;)_z#qd_OkjGhHKx*BhEH6ESM#)EF<MITNA?Q|rmTRA$6H$;Z< z(5}D6wi?d}$1q;jo)Q`i<C)TP7!TCQ8Xd+P9mWHld_mS(W}{~k3Xy-D+30G#(bagP ztMNux<H5UqMn)rd7!RW%HY|tn=BNwMZ&NL3)M=oH@eF7(j5nLLa3F^9?oPrtjQ8d5 zmeFCn(P6yNVLV0#lq2;<hw(;-@kWR7z?bRJ?`%BiKqj5TeZW@Zp`DF~Z5WR(XXC+F z<AFwu>2@|AsF5`~j5j)rH#&?5I=LQny9x4{f}^YPMpxtEIp%WoYLQ&X?JJ;s0}Ce{ zR|F9iRy0{sJES(%8jRdwJdB3eupGt{e#TF~VLZ^N6Y;}%-a<4u8_&=j-!PsLxo6`Q zUnv-fVZ6fC_=fS8%^n@b8+w~+nMphw?>^V)*?6O8;}LOv>FCYO;039W>!RsAoCF=j zqIfkPk()bV)?u8{W<Ee?v_Tz2=QG;Cl|9OE5@;}s^vxurXS9u;(KdQUTUlmtYH3k? zT2X3YyrKT+RST$dgQGXqW+U!@h77)tdS%M!=FVZhxf4_$qh7T@UVS_S&uB9=N1Zx1 zAD(BloxDlM;Uv)L$3PD!S<+-UDXAuZAcm8w!cXAY+}Zki<LIieA-5`QbT|pNe{1xN zw$U@%8b;4(L%t)J<l!W=BPy^BC(+}qFvM^YJ<kd=1XcE<!%3s7lSWr3jjm1t-Lbxi z?I1e`vrv!%gO{vEa!&r}>Lkd(57uM<h+1(odR7?ta0a5nYQ(Qj0@cSObvVg#L<}b# zZKmUJ5@_^epofzzXfmAiZGYB43@2^adJ4~Q(uSfHqr*v~!%3r?3`aK^BCX5O4~|9~ zV;H@;GvC$_^8z&D4nZgG=y~XH3jJ3np&gZgZ8(V@XI4S|AD<Ub&oiqGL6!aJaMI{- z(&%u~=y1~LaFP@UqmY;<(F+ZU8X_4TP8uCfVq_2^?kX5ieLPZ!lPpHWaMG#}KKgBT z1C4$R^l*|HO@@;$@5~&C;iN+rr|}FYrKK$!9Znh@P8uCf8XZm=9ZrJZzA&;jyP<8_ z$2OcqkF%2y!%3j!?esc3$q-c8j}9k|4kwKcCyfp#jSeS`4kyiEWW={=HI_@BgHcEt zeZ+0_>?B4;0wG7_aFQAN*-4<*0O_00hvH^8vk@_zq$o_s;Uv)L2P|n4zRB<|0|NsW zn?_!KUaF=LgA{`zXu24LH9-Uj8-fTBwgeF%><A)2*b_v6a4Lw%U@QbtAY2O~8W^`S zb}(*boWRI1mk}hefRS+}m;vH!1+#WAZe={cxRvn)xHI|?EC!N&!3e6De!}^UOdwGZ z?qFh=!1Nym7-t}{7cix)K;nL2;`+hFb&wf+IFvRAqmX(@W>I2#YP_L-a(-?>322YH zp?+{sd~!iad|6@+_!>IUIc8EC9E?ILaK%Nb>6yhPMXAN{M*99BMFt=u8gv4w7K0Uo z6N48+6o-bJOMt7bp=N2((ph%33=9qbTfvS8UHQwvFcr*(gu+rVdo7sU3ntHk$*W-U zE|`1@Cf|a|uVC^om}~_HLob+|%EZ9XP(K&UUJ54Hg2}C5axar#l7QV&rfDx!?9PHk zu7b(CVDc%Le8I%{gNd<$nW2N3VFEM53}%J}%nU1-88$F8>|ka%z|3%hnc)I6;|*qp z2h0pFm>E7WGyGs?Y+zyNU}2cR!Z3q{VF3%n3KoV9EDSqX7!I&7oMKVbD9S9(%u5H~ ze5_kil$oBMT9lfiker&6qW}sb&08!iZi&Suk656IZU!sk0#=3<tc)a{X7q@egHfoI zi<Ed3;tlrkbasu8Fg1!aG%!$L@L~Zu3HjhmPzr-(9^5y^w=j}oZy=hzQoI30`33Q5 zDFvzV&hdeuHYmC?KygRd8AV*$9Lz|m8Y7_^f)lD~Y`j6VL97CUmvCNciGoI2zOA7- z<~k8jN+E1#4j0jx1L1AcNJ9fdNN_v5B7z%yvpc?~#|}2Z3v3J@*ceF6#HO+O&V(|t zF*I!ST~IQyGdL3){v1@9SagEwAj-tft^<{cLGg-6RY;i_IaNVQT*4`tgiLH2tB*4i zCnIHIRA*2>6Pu!DVpGga3`!}4y-qL_BQggfxQ!zX4UEXj#QbDsViO`Vu@N{En;=hK zfoft%CbqjUe=ya=`gZ!GnK(5)8WgXHR7Jl`Y%)kPu?fLU3`!|f$i#@ufg0T8)x@;R z#E@1Bj!c|exOgyS;+_Vx(M&v=iK7W;Vo*w<LMBFJ4n!sf)x;EK;sd1hi9sbcq2{y+ zzM5Fyd-Y(-!~!O6!!r{<B&|;jidRIc8m);jo713_LO2schk0=i7$GtTV%pU>($aE} zwWdw+<zaS>^@Ax7A9(9KJo7M#<65J67-d`wOP3gwQV8c^f?Z-n=0Fsgpo$nejELOz zCt_R++M32%5$B|)#21w3#>2;^b5c`^VOi5zN*{EBH@vLDsTigK+wfMt@gT{|0lngO zN16I=4%7Ph7Pk5rXN;lsj7_kQw~#%&h1XuB;VrPe@G)xK!&{)ZBb=|2xnwz*g(R`0 z*MfM1_{=;71~2%!ClkyH7?d;!TT;wLYNkNsVbIt#dBa*PkYO!7RxA@txC7f5G^~X> zYk^xaN~f4#!_5UWvZde?8e$5XYAWBjVQ^H*pZ|u~9cB8R!ZS3gWF``)nvSwznQFov zX`mQJq%UxG!ffc#AR8N_3~+(%B_bQoeXnjuIER&R>2WX%X<^C6(D_qX9R<2BAwJp| zG{yzb*G8E68kAND+nU3r!@($|f#23>li2u7&^hBKn1K%7)KtY-)W8Uug`UC4fNz~k zEBdS+)+mNq=Z|Ka6d!n2FQ>G`G~UxB-XAor2g<03>8@VJ2ul-N!oE)9Qs7_~lELEZ zf}GM~{{qP113Yxe-twJ~Hi?PVyYOZNns+f%G!d;HCfb*n#>jI4$R(x;sKo3v**G{# zObM2-(Gn9@W<-ycnDi|%jiDu`0cNJAMTrTu4tI%Z67OjMFELG!OUyTc7St#)QR^c* zl$fAm2s3a=UDLON4O(K7xc&i@p9l@uLS~0R?!>bGVfCxcgQJ|x7h<+M%Jl3|%Ft+8 z(s&UQC|(h%ihcvOs4Yvdy+pJuL2*a8wL{7xCU7=JEMhXlY*&I(3Sm154)!222WoJW zH|rt*Y4&(<gFA`@)=xpKHUjk$B{&#_MEv8;i&N8cQ}aq(GK&jx5-Xz(V&OSf7PU(V z>KU5Z8Y}oag}Q;7J1wowj-a)O^#i>YvA$!P!%?QrrVkEy*CH~|b1kB?tL7yZUXA?H zl7i9_g@XK|640`y;GFz&jJ1huBz73D3vn<C6%kq~hlpuJA~QrROhg`0B(}HM$VjxM zNFzRAONq$P*D78T(N)agQsQ71l7n|&FjgfRMH><79$s9s(VlQNuIIAnU=*^(VG~-m zi3eqhXtP-G!Ly(aqouy5AtK;Gg&HV#lhpp1!N_ID!6;-!whNG&L|_LXI+14ao`&!y zkr{H6X#3~CL^O%o7`Yrcn1yV}bsDH2k32SqrOlL45lHh$GSv4(Yovn4+vyoehWbd6 z1R7K^K#3$oyOoScYNsrc455*Ps1dP@wAj3xK}0OoQj(C3^gT@w6Pu=ymKF*Oq+PT+ zjgiZigHgzmywqx>?~iIdB8ISy#iW7nc6hv0nux-zm66MygPGhi#t0fg@Ua?W%ofX! z^HsEo9|J^D2P)}!U~At^BP~Jui=cT36d2%<8@xkf<iroM{iyL{j)))J#a!jfWkkde zbb0}!kpwC2!HeGEfsdsKD_ZH{K)9Ys;8NjW6jH!x3Ajqp_Y~sv^mmGnFpRVW9S#T$ zU*d)yD~YnfAIS!2b)BD7nilT~sVG1d9CAe=m7Pe0=fb!oIGC|FGZh#p*drang|qpJ z<P9tZ1^x{IJk)JV8x!4@ULWT$INH+H(?M<NN2;$z+tP?FS)*-f$i^Nl4S><MH10}f zv@K0qJB`8)&uCj3M;ijsEgfx3Lx;H_EjXlrA)+n4OuU9RZE4U9MqY6VXxKpC(+H)r zL6^2Pvi<nl(ngS$pigKBN^g`JZE5h>E55e0)jVq=nw$9B(olaQwWTdUi)5+TmWJ7Y zXiHmI6490x>ZGVG4Gugm*zQ{cO;9tvfsujOVLhB}Y2#RUTN-OX(X}mYLUdc&x7luR zw52CHO?NoT#Bt>5Xj^);Ej>Ce4XxrVk%v4-$E9-;E72PxhNI)shzUu|z=1Z^@QzDw z-r7K$wi=?zXo@l}Z35YFLsDCUoN-TN`;ppeAnOt1p4i5vK|_VTjPw|nHibqIyg7$u zT)Ov1HErStv|JNf(10d);N#LJDC5$gz@W{zG_w7u@q;=pjbmnqUw0G5<I>>Wqwv7T zGA=#wpB=?*X{bMu+R~OJ-;jiVTpDHrqAhJ{MnqfsY#BvuX>j1tpe=2JXiH-aD7v<# zO>woQjUa10jNofL4A0pPj<)pQ%6^BVOzBE*M%&V(ZRydrG_;BvZA+uCU&CxJf|>#F zquIbqEU-)q;+>x!9has}Tg?={hQJsUN$|EBz1Daj+mF;%16hyKmPWMIOhH3ykR3n0 zjKodvkh8`E$zkYiX)MjT!z=S?9Y2Qpp2jHS(j<?YlGE2g1OsSl2RV6IqQs9ed|aB0 z_`$!%1AHbLzP9w<O->ZIrJ?>rZcCHASg;cB8V{HaNNs6DBHGd!Y)M3T4(A#VaNyCP zEp3X_mc|xPbZtwU;c818LfX=Z_0lir4U)F>9Pqfb#qY<XZRydr^k`dpv@H!geVWjs z_R(?a(Q#>lYfMa$*Gmtzap}8RG@myxM4vZ6TH`^xacRW70ZLmMG%Sv2OPe8|Er7Dd zgBs(~W+?k|uykaWnpzRj+{C}e1L{wtwzLJw`vvf~rC~N8+R_#lM6{(JQ8q3O4m=vP zrOgm+X{-T7*S53~(QWBvffj>fT-xkZpTkimv6~M^+tQ<L>Cv|IXj^);Ee*Q(j~;su z>9EGb1Z8g<s4+|G+yOb`p3oLXd}h1}-nKMi3omrs6SUoqmgCY$4nrT8#&Wuz)f*Yw zj7x)VlZ6&EDDws;D0@RefkB%!9?141%^QHMM`=qV<_%EV(!|Xh;2)Pp-Q$j>Eq&;< zAH{8Hs6Ub0(j@m)@VBL5HXya7&53AB-&&SHgy(RMOM?TC25o60q_#A+fTC+#+K}kB zwEj)A!O@n!I2E*Bx=-fXXj^);Ej`+nhE{Q-Ydl8Rcu;cK3Q^~H(4j3ox?UQ`-a}&6 zOW&U~i->i>WSp~N2w#I^h_d&PUVR;8`%&A{NNYUI;yrQfJ*39Cv>~B!>6B=1BAT1{ z$EBhEL~2W08k5?W#NU>N*??$ETN)G5miCdQXpILr@MzGMHbk_gu?7@TZD~O^@R@ju z9E?J;x%nxjIr%2>MxZ-+o%2icN>Yo!#}9+=<Q3;&5E4dAZ3U<1q$Za{BekK$kj}z0 zvNeP+kiNErcW^YGGkcpIjxxFInLacd&&(tqg14T7gHb4pi*VE;maQ2>wt%1=lS=F^ z*-FB81)|yo&3tJo$yhG&1dUGgG7@*@T_l$f2eS|#A_WJcU$|?5dGeF0UNjM-FNIu2 z9E?JG2&2*S0AxKav}}RwP&Y()lbq9@x)`|(IT(d>NwE{DW&l}<7-ca+KFH39^wpV3 zTrwQYLK3)qP2h~We`_j;s2Jk8lsOoM<Z;=7e!i2L390o$1u+&Nss%F>Xv)NQyp!Y~ zVz$wQa*1;=V=Xu-I^8Ll3s-pz-;j<ql<-#yLXg9qD6JHb4oJmSDLB378XT3v><mz) z@S=3mXr+Ks-y=$}K~*V$mVeN+QaJlXje3;=@)3NVNR<L;O$n(*7_pTCvYkkk0?0}_ zRSKx5{$bhLI#;in(n<m9OQg<%8Ofamyp;mX0;EcTn7%^oCQ2#=aLAG0Rlrp#7$ABI zSVM^}l>*X9f4C}zt`#hUqf&^O2&xoz?r$Bf6ox{jK=Yo0p#c>u1?UM#ke-48qMikn z(1=O_<R`*&v&2>k$adnZ6cF>V)b1&OPhi5gO(^v<F(oJ7o&waDNR@&)b$bdh3lNop zIWawj|IEY;AmQpMfJ2V@l>*YyRairbs7m1p0|NsWn?_!KUaF=LcX4HLd~!~9d_iWO z6oVoI6lgMh{`&X-e_;nh2t(Py5-P*s2xEA{7^xse24f+J0^wQ^(ZI;m!N@d$kzp<) zh`WH1aV3}m;%o)8b}%v>U}QSM$Z&&^;UQQAB=>@m;R7SXPdLAk2_y=_9ZU=pnEt~6 z;|wJB0;ZG|NZb!hOh1^Iv^l}UWZ<hKQZmaji&IOB;?s&!3!#^UA`O-qnS!p4u;5@6 zGJ&6_omg6upPN{c8gHoY7VH~eoLX3#npcvUm=hly6dxSo7~%>WXG6X%6m*Il=oX1} zx25etw@Caa{T7L{XU^E%WjuSv$Mz{W6yAc#uVC^om}~`yNiUe3%EZ9XP(K&UUJ54H zg2}C5axc@#GCRAYOh2k`5P2C4;|ylT1<VX9m>D-PGwxt!JiyFwf|=n0Gvf_rh6l_H zFPIrVFf;sMW^7<#=wM-(z``(tg<$~;!wMFL4J-^hSQrklFq~pZ)hNm=&df_!NKHvk z)h#K?OixcON=;EnPR+?t0A0wakerjBoSmAYkW{IFlmZnLs*5s<QymQS3=9nNiWSll zb8;L^p`3!$qRjjhTSKU1E$HS6x5VNS_{|fqSdde57pt;{Mo6$%oRNaILWrkVoRMan zp+1P?;-#seuK;2NxXxf@T)@h(f|b-uX#TKrFbXw5Z;l`$bs-I+8AV#cPS3+Whz98| z`6USuF*lOJCC9-mB!w;2=9iX02GrmsIF`F#Kna8JVcwNomK=;irr2!3kvF12ZSi<R z&_Xl#E_KkUSR^<2Coyu7nlE8?Bi%s&wi?lu!hN_cad&4mFmhROFbkQH9w6uo%&@F+ z0+n@yqoju9V1XA`s26yEVgzmQ7~F=zSC=eeJI>C*Bow5;;3ca8&dMmc7?g*>Ss#36 zBWz<iasCSA;^SZt;_?JnN`?joxk6mf6XFex(2n9Ec8uGHi;sgD*+_~;@xr;JI2eV* z5Dtv@bP9EY4R_*nEI3T@p2tvt$6$ZtP>|vU-<p|;bc{X73CP35RrBhJC_Ey$ggKan z_|d#VV03uTV-+F>TN1eBIT(ec(Tt9F3Uzab1r;BN;~Wy?6CYs^X^0lLgoCS+kPZGq zXf{B@EvYmu9d`Ub$O*{d_T}#t8^U=n4l+KBUhI1sg6=SYAK+$$rMO+#DL_QvWkW6` zLN_0DYb<)B1<@4&RV!p&Fv<oQKt9SvkLHpQ>Q$ql%U*4vTgnYJODms74~|xoOLval zQKqGb6zuWdy~PN-duxQYnn=AAx}S4+w3_;lej}oIC8N~@S~(nV0$Tn}|5g*!ZrrUV zQ{)>*p=<LYZB^Q}njnrMqSaJZFG|BG0q<@dpeO<DY(=#ir6G&BHXCI*K8>RUw)_s> zx1e`J7F3apHe@NeY-qG0JKB&PZOH07OOZOh0PaYG${+ZZviuro4OzkIat@&3KQb<n zrS9-wq@{`dQKk~X$M_qv@JnQeRznu$;#o?D{{~+}mbf)^ln(zbw^>C*e{|$DWQp6v zNY3yd(G6Ms;K!p4S%wS-*svjuqhz!p%Q%A(-&n8E=!h(QEE;L3m(&s2ovZf}QPPq% zBAYppk%*;PLv%!T+3X`k+}ut6i0lL13q-`rfQ-lv=mfTB*nRL&?0~mmA61FiA7#2J zF?F;BJG3US>C=J*B@DtdHe|G5hv)=0?V3xF03l*1mbi)D(FttE(Ftt$J?!Wc*rUy* z5`A(eut#un31wX)gB0>wFr;;jpu&lPfx!?;Ga#*Ngf4mXge`f*v93|7gHa0qx<;u3 zj8e2&*U0sQiOY%uJW<cd!63xy8DCUfBBjZ}D5OeYwE$>8uul+t#F9e;l;c1v71?(^ z1UK~XtW-R9<NyA(jK^;Lw}-6U+zVcXcoZyh7R<g1ChvktYOGq6ahhs(l&K+e5B^3U zV*@i|2Q%XYW`-He4B%CZ3>%mkb}%!LzG{*26bp|=W?n&Qi9$hsQHkaymL!d$)ZF~C z#2kg>jMU_8g~as4%)H_f1<2AyUC`1-$TCKhwNDhTU=%<&0b=+kltqn`SOqm)oLv-j z6@tN}b3l+Lxl0(Avv4p9d2=Ds5p)-#L8K|t*|MND?Znno?p&N4%+N$h(Hg5jE>R9f zAwh8JM(T5W;&dV5<w9B5jfAZV2YDL0J_!4|Do8kh(k0>6W&)Qa2eXhUdX97qf~?1c zuMo1Z#N6NvO7nzm$l)SB&!a36LR;+z@)bDj@Qna1VmrXj!8|fo`-R|Hl;?@OC=a#^ zq=AtE9H4Yt>X*XsfQa#8vIdqI?->y>HWEu{A)Y7tLOhbfiYDv)GAF*J={mpjX`w`f zTo#u)2cwWOdO3l%&JR>`<IBUKB{<+Dhc6HBV2gw-^CPeIU>K|KJlcA|z2{-H^-zMd zZXRttR560;*<Qw>)p~&Bd3+@g$X5hQp3&9=33~-bTMxOA_C9D~K7ow_M1|FeZ#{r= zF}^%ZkJf|HXzKy*j>*y1!)WUP-<~c|Jv-D|50E^MujB#wYP9vh$gqNuVFx3_0Y-)k z;H~fv7#SdY;DtuV9vBI&O&T40z#KuO=GX%$7vsyr^k_X8kG390TMulY`MRlKauM6; z%tbM1c9;{qF@9LiTtM<XzLICO^*~_R?r7^_wDo{Kvp~cN1=MUkfO0XuJWP+)g9)zI z!?nvV2kW{Azvd&O>mEkeJs=$`gQ)6ZbEl9w1#tfv?^N?pS@!@+^VC}R0Lk+xB@gIK zL8McTMq3Y~t%uYS1&y?PTPz0`jIMhCpMO8Vtp`vp#+Qfb(Ru)#3yF2z!)WUPeAeUW z%*E);#bB7Zpl0g<lIQW2Jfp3L(bmIg>jBra75RH{sX6ul%EkEdFg;oiW}{;dqca!a zIg8Pm3&^rA&;}a)LAU3Enym*&p2t`6jJ6&|TMwhHhr!)?0OewQd6*up2lLU^!)WVa zwDo|#33OPu9w2!hU&%AtdKhgzjJ6&Ick2O^i}B@Q(pnF;80S4`aWD$0q0i2s?HV-% zZ5zcpb@AQk^I%>0Q1IyN=)wn@Eqqupdf>w#Ts8?x^Mn^Z<Z_Xa=~2oa(491-uY5Q- zdg24<Rz%PRR7fLNqbELqE@u=P-E~3kt_$MM5e3)X_%bnF8W5nJqoWOo(K8<y7+|v( zqYa3`xbK3h4G2i4$5-|cIRG)*fWUTY_-F%Sv;mQpZ;S0}Na7Yh5ZQnLWn+Aqn6w5& z3Rxo%MxX_pSQ`+Fm+T;PJC5S|xd+xVDz2aFfO_O4NCbA|Bz14fu@*aQf0Rk&e!BzS zD<2tPM@~|BQw}5eqHMGyCzCabQj_z`Qj02SdgdhBwK)XNoFwidZPb$~wG}8inR2w9 zL3%p_lza&fBT#ZOB`9kUwt={T3TWAYQbbvz-d;P}&KU9&9de7e5OE43Sw~Y&JoJ?a zqle-^g=yR_nqGMFdBXuBLXNn01~?nz%fxhPXMmP^j<z#!3{;G^GybxI&W>mWucYV& zlcVDqNMqV0uBRAc?F>lSfUk%eZD)+OGjK1c7;R^eyA_nG?F>*h#+Qld($2uSqJsa| z=D|9i(O}X%I-Y@ghsNl51_R=Z!9jb|2JPD!psYc)@eD}WfKo((E>Rg>c)`d(?D_A5 z|G=uz@eK5vJfK(RB$cM6$9u;6D=>PofKKj?K)SzqNR4NJvN66)OqX^BXz~W@c*bZu zV+YIVc*f{>1|xV9nK~z14Y76xq-?-fM2(JTjE-lFj%QGRg9uf}GeFrGUnZtYI|FpM z_h>s~bl!xOaR)2IRq!my4OWI1tPEel?9q0{2ySOU$_9Kz)Mz_nw4E{9&KTtF3{W=4 zmx<}p&Hx<)KHAP0ZD)+OGw5(q_YfP;fRqjRim1_c#%McZw4E`?+Zmv2j4u<@qn%+0 zI<yOGJ7e;{b%S+%21ilN==zM&^%*$tfE>Z=GeB8`TI(~QWdlkPWj?y!B!i)0bbSWo z#&HD(FQL5AcE;dtXMnRYzD!J)b_VEpuhDkK=y=BHc*d|8&!B2M15!5NE22inGe*ZV zM%x*Kyqy8c#`rQZUD_EIxDEgx9nTnD2g<-Ox;|rQt<RupI|EWS;47j=+Zm(njL~++ zAa7@YvN66)OqX_s<!Czt_eCS4`%OmM86&uz0Vx~s6;Y$@jL~++Xggz&w=+Q57+)qP zt(^fnM2W*jfI)&mk%57MgHcE}H$SB`C*LIANIy6z-Z{TCuOzi7z9=<48nmI7pd!$2 z7z>C=CMB6giRr2FhWd%6CHc9DB_O6-uy1^EYGG+=UP)$RPJD1sd~k?kh%4Lza`jST zFKA`02E@%O*xc+Np9~6y%)E4vGxeR-A!?PetIdf|FG@|#gD6#nC{@Izv?w)&7=^_p z`9-M^h1w7k)!`;4=jRrbq?Q)N8-gM%xu7JzEHMY9793$DC<g^03CcmCMuKwCvLX_c zgASY}K{@D98AC|O=wgOUSz=CR3M72c6Ew-1K%qpkCahtVlbRA=P@W5M7bxCxQd5d? zM;vbDASYpj6>jAuItFXR;Wix<ar%&$(1ynZPUT?lg0x`dzoOLi%;J)w)Z%y}eSeTL z0}ugD)5NL;g$uE2L4iW7T2P1(tCkeMff6xca}7xd2Z&nY!U3X|xNv}|B`zEwYKaR6 zh+5*p!H9%#fT$%d93X0m3kQf=;=%!<mbh?$s3k5Oj7bOwh+5*p0iu?;aDb>KE*v0g zi3<maTH?aNgoJQ_s3k5OAZm#V2Z&nY!U3X|xNv}|B`zFHNeBmsTH?Y1qL#RDfT$%d z93X0m3kQf=P&k0wt<0G1Rx?O-ieAm5wp+0%0)+uVMIg_!gX*>wj3AqpK}<#=dAu!V zpCD9Yu{W$;2$}_QAQ5I6#_FS)g~hX=mOQ#y*p(4B3!A&Jm_?MkK;cV-yNqJ>U92Es zZw7B-kkH5Q2_m<bVL*Y+kbolBW>EN%>t{%?l4~<4w6!3it%fbM(R)WeK^Scqq_{y- z?V<@WSrxm<D19lPAmTe-E`*fAJ6=9P#P-n$n2FR!BV;B*sf!N8{~B=r<L}P7Vzl$| zs&~<a7_Uj3@c}`uB)A8Zpj}C@0Hoe0hy)LS(mV<70VQ)%+yhcil6ycofduz}sy|ZP z15!_tdyHfCNl8DTDwY)YfYg)Z9uv~s1FFVJaSup6N$xR?)ps$5WB`5441i})z$b|0 z9zLjMAlWWRjv(1CP`)79E=X!8*)C9;$H?P2yYt}gIhHuWs{yl+!mS(<Vi;uzUJay} z4+$j_%qKB?aO82^?g!;@62c!xp2clGD9>Uf3Y^NpT?>dEq>u4n*7bPCcw8}>9C#FC zX>#CJO|&yWX^m)SfD{w&3{VcnX!+o9GAKzB?F^7&;++9Xyu>;KlyZr721qgS&H$xx zVx0j>d_+3~q?mYTfU*Ly&H&X#L^}hdn0RM^YAwRffQ*!ZI&wrf1EH80XTVhxky9XQ zL5ZJeXMhwF?+oH{3d9+p#80#{K#GZX25~tB;tWvYC)ybx#l$;<xSRrU1}O0p?F^7& z;+;WUPJuWBl=z8u21qgS&LA$QK*myu%qa-P#5e=4nuweNQ431^M1%%HG4akIE~h|D z1|@!?odHrzyfcW)DG+CX5<k(-04XNk8N}rjh%-QmpJ-=*6cg_Z;&KYa8KA^Zv@<}8 ziFXEZIR!G>PGn9&C?>`kaMeWQ6o^_Pa|%K+@y;MFr$9^wC4M3z1)-RDXAqZDASQzn zKhe$rDJI?-#N`x-GeC)-XlH;F6YmV-atg#5pu|tKGeC-ocLs4e1u`E)WKKaSCdL_X z)kNeJh*~0Z3PLgQ&LA$QKujhwryvv)?+oH{3dCek;wK_)AQTht4B~PM#AHz7C)ybx z#l$;<xSRrU1}O0p?F^7&;+;WUPJztW5t&mEiivRsTs09n1)`S7oPtnHyfcW)DG-y1 z%qa-P#5;qyoB}bK$ee;uOuRFQ%PA0(L5ZJ;B#KZ>yfcW)DG-xEiJxd^fD{w&3{Xx% z%vW=RMx+^p*gfNua`Kb2c_3U4&-kR$l9K#9P6(gXGrp*}1gwi!fI)&m0wOHpA8%fq znx31QSK^XcT#%Dk8Ep`YTh0)VoDm*5V?1&uc;rm+$eG28L;N6&@I!EFPHJ*Vv;l4@ ZL)=nExTTC^VWI2~S&az`RnSVZ6aZ{wNz(uT literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_utilization_placed.pb b/loto/loto.runs/impl_1/loto_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..722e79994a0d02b7eec8e1ab6a90ff5c840e3f9a GIT binary patch literal 242 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZmh<TRI;Va0d%r@X2A`)%I}F)&PU+AGYkqTIbzWX6;iw!M-J3=9l+B^e5)xGj|e zvDeBoFsyL=E6>0X<a|_tfkDCXtSU%+t{MXayYo{u5WCk1MBX)GU?^~2Y7AnpwP0Xi zaQF)%osL>EFr09hWX0hW>gMho66AB%4y0tRJp;o5ho|-+_FESaxz`mW(&`3cuMGr| Oe*+m98tjh-f!F|loI)7@ literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/loto_utilization_placed.rpt b/loto/loto.runs/impl_1/loto_utilization_placed.rpt new file mode 100644 index 0000000..54001c4 --- /dev/null +++ b/loto/loto.runs/impl_1/loto_utilization_placed.rpt @@ -0,0 +1,215 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:24:35 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 72 | 0 | 0 | 63400 | 0.11 | +| LUT as Logic | 72 | 0 | 0 | 63400 | 0.11 | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Flip Flop | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Latch | 0 | 0 | 0 | 126800 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 31700 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 15850 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 1 | Yes | - | Set | +| 88 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 0 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 32 | 0 | 0 | 15850 | 0.20 | +| SLICEL | 25 | 0 | | | | +| SLICEM | 7 | 0 | | | | +| LUT as Logic | 72 | 0 | 0 | 63400 | 0.11 | +| using O5 output only | 0 | | | | | +| using O6 output only | 51 | | | | | +| using O5 and O6 | 21 | | | | | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register driven from within the Slice | 51 | | | | | +| Register driven from outside the Slice | 38 | | | | | +| LUT in front of the register is unused | 14 | | | | | +| LUT in front of the register is used | 24 | | | | | +| Unique Control Sets | 10 | | 0 | 15850 | 0.06 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 135 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 135 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 270 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 240 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 21 | 0 | 210 | 10.00 | +| IOB Master Pads | 12 | | | | | +| IOB Slave Pads | 9 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 6 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 6 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 6 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 202 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 24 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 300 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 210 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 210 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 24 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 12 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 96 | 0.00 | +| BUFR | 0 | 0 | 0 | 24 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 88 | Flop & Latch | +| LUT6 | 41 | LUT | +| LUT4 | 18 | LUT | +| OBUF | 17 | IO | +| LUT5 | 11 | LUT | +| LUT3 | 10 | LUT | +| LUT2 | 10 | LUT | +| CARRY4 | 7 | CarryLogic | +| IBUF | 4 | IO | +| LUT1 | 3 | LUT | +| BUFG | 2 | Clock | +| FDPE | 1 | Flop & Latch | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/loto/loto.runs/impl_1/opt_design.pb b/loto/loto.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..f48897163dbc753b3cf7374b74c7470da92fa856 GIT binary patch literal 15410 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5Xkbth#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^7RM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=Wbx+V&MX(W7Ht(XXNT(49Uz*RnREbv{FbeC{?gkFfgzJAwvag zh18tHg5uN^grI?*nWciBf^TYWeo>`@hOd*Rl|n&kVzz><f{~%Av7V)cg@Uz0dSYgt zf~|s~k)EkJh@V!JnyOHcQCXasoS36vt6*tpsbH-D7A?yxDk%jE8XB8hm?6h>DA6%3 z#U<gKn4FQS5R#b-N_d`#;K3ICu3V}v`FW`d#i=FWR21RjtWW~ciIjf80n21;s>KG8 zi()ml&^0zij=nH1Ce>mA7I<c{<+66oO98n6mvg|$RiQ?~IU_YWySOyhO2Np`(7?jf z%-Dbn+3)LcL|88)R~N1bGshNT=D1?Z%+yHF!q5y6TPBtkdKO05qRZ647+Z81n}gCa zyu|Y-I=V!-gnaVTGm{nk3qV0z1y0A<BFdYKhbtfhls#07!Kqh@+0eicH3_qDF&Qd= zi^janlFY=MOcdi#To_M;aco>HhI)nyMoL@?&Y+y;o|>0h1a_c;V_u4a3%I;j2u>|2 zEr7Zh#fliT6yeO}2=M^OP(lV0kuKKYNEh9VTphU5g#os7VSp=LSelvXnVA|P(uIMZ zfdR+}aCSw?#D<nwQ-y&ks5*eBibP_=+(^Mlg-Zz>unNwIQXCxW!Jv}RFSR5mvlvv* zfy#4~P>(|kbyqHDSg0F8;tH>k!!g`F(1JvtOBWg>3Z5t#WjK01g@{DQ!o_5yV5G^V z4yyi&6haG95=&CSsSR3*xFnV&Dmdro<fJBp+AY{}J2B-G8yAZas6gT4;z70vn{hbf z3}gVZW+LKj6OMvnA|qEH&VoYE07s>7U`VIpB9w@j1Ba-Qf{_H581YdRgq9yHxy&K? z!AQ>t)@(qF@!=cFiD-f0!Q}=G3{X&{5|JB*Z`imJkpfw`n2Z%bP5YqKlEk9)RIHU! z6C+ndNPbCTj)HSWVrE{kLP)-XQ>sEpQDR;(sP&$jq7a^1lnUx!DmePNDEO7;CZ!fB z<fox1$;>M*DOPYUN=+<DO;JdMs0TOsEsTw{nDYxtKwT6AFcHOWY^ZB!VQhljMh)cB z3@8QnQB;c+GV{t(i%L?9G>SDreGS!Og`E7v6orD!JdI*aNQ2kX0^L+Lkg3KN$c9>S ziNm~}3hKrwB&X)&z>P4mL>LjpYHX-$0%{V#J0nhLsZWzj9g_Nt6<~(aKY1C^sem)# zk|*FvM1KOgLy*j+2MHwAVufUAY5*})b8?D7V+RUFsk!-Oh{z}wV3lGvGBbz71Q!<v z%vNwp0TWT6h&8rAiJL&;Qoo6Ukr<Z<xS5uiSE5i*lwXjT4sIo5tJi3f1pUxbktvrk zB!EmHZ9O!1(Jn=Sn#x%E$p*%BN>L76+SnojhljDJu6&A87mlO=PGn#LTS{{xE~S|& z7_oD)2A8L%V(o9)qoo2hE)_^BFjWBSK@9~{!$gZjb7Lcvt~Qx1rGbuiLoOM7KExi+ z2^7UMEF!>h3MQ~ctRHa^Yo=f%%q8d)>gKMHk2ws0(!KLWi)14%14tyBDPZWQe}tRR zDWBVNX%OpM?2+HW$mI$NH&DfaUWeqTDU@eqCW8k;6N^&8A&b3c(F0X2#+Ig#ss&pl zg3|_q0H+c&Gn5g@2;x$Sxq^`_mvnGOW?G3tP-=Q+aY<?swqYZb^fH5yD<wF{M<FOR zH?cq=IUmyK0k>0vgM5miEuSK&aS%_Us6t5PrzyZV3dxXWTuDA?tQcevC>%^p%pl>w z%*6<4g(3MH+#WM9LurqNq9tY<E-Of+m@DA%=I~9{?p#Ks`x|=#FQce*!=KKOViilX zDTKJxY@uKz$t50;UtFRPP?VpXT3ieoE5<Xh6^NEtEV#@diN!(zhbgp6D4@|kZ0#I+ zm4D7$dZhUodm<^KD3RcfKKu#9leh$8sbIv%#p9Nlhj$!1iwMKmxL7Pf!%n(f+EC*_ zNew!D4H}4s6no%dFOW=dMq)u~F}BuwI$9d^<MM%|L6G?*n2nk;O)S$AlTwn+snHa} zl`>7~R5QmC8y`jrMhaYVVW~x#X_cTrhvs}xhb0d*%2<|JQi&}JNSa!4&d-Az2AOAq z8i_ImI^-r2qR^6|BbPla85$|z57ObA^4!n@MVCt(8Yl|ToC)rf58nXL=aTY=FT(&0 zwB@CzDg>A2<|Y<Za$&Ck^tq&LaRD4!#JCtqmg#5Ys?r@OfWx(2Ok7_WYZMe9X+!}# zs8LW*b_NeC!N!>s5JExFQ6`8GY?>}LMIk>4wA`T>wA7<4H4i+kj@+#)R#2$n8mQ3F z#St8D*to8-)hHlF9kB&S4Vs{V0?6+uf>?x5<S{uQ9b7dEpn|`+1bw6mrktiWL&gqZ zR-w@}wHdP$i)1@ZZN}HnASO~lv4-SUBA6f@pm@gBa0MGdJ3kM$3`b5m8h}>CnRA&! z*VtgLA;Ge`W;nGoNoocL<d<U~DnV%mCUTjFXXfN66lZ4^C?x0PCub{w#_{vg!E4RH z0>zNUZ3U@CnfWOSHlV?eykhWN5woG8i56Qyc@bz93Op-oU=YP-Y@%yuj55}PI|?x! zNo*9Z$1%U%$H>)9^87ZP`Uze{uaM&B;sv+g6r6KX6Z7CpjZmTv%cv+cW1wgyHb945 zhaiCH&=lnohV``klhR6ylfjK*Y`voQj9f*k#h|4Y$O|G>i&0iksTSi%FJN`hwU1yn z`jRPxAY$1gXk8nR6tjs1V$H1#mlVvs#rcqhuF3hJg})`Kdf;hi(DF>sB2CZ~G&0)= zx_ApTWddHd1zH1ZXlV@M8yXv!T7nk|n}ZkB@>K9Z7VKgfV}hn5m?Mw^ZP=zJ4=%Bw zRM1jfP`@0yNE<XY51MLcvM`1(@x)kz9mQ&Bu4@6xneYkjIxctBVg=A5)M6b_aT%Ui z1X>Rb;W!s%mVlSR!bHKdx2na^MZyZHdCB>uc_pbusVRD(b<Iph7RalkLCcoR5MxbX zRuqegt_jKrxEGfcXpA44Xi^l4OOrvPjcKJhIiPNl6qAu9vZ1Jp*+Hg(%5V4@zQ=<i zSMYK1AXeO4A>{)@14KT6u;KZDlZ)NL$W+hB#E6)jFqM%@+_fS#xfI-efh|&pr2<w{ zGh<@|EzW|R#5~80)Wj5{V6H&K>TCtww9K4Tg`E77e9&U;`22#B)D*p<f)WMYf+Td| zf+PjqqJj!^;i7_yC=Np-T_Y0<Bb4<PEsTWrBhbVxnA;lcxTJ$p(~44yGeG&oGe7|p z0L7Ul`9+zj;Dz(dM#d&u?8*5>sp+YCQEY~mx<;t$QQWzd{PGn_i&Kjf(6lQQ7o;X< zre&t4fHED6p`j^~N$iG}x`yTkC{rnATuMIqi7BZm3Sr=V87Kx;g11$G+bJxD#>Pf) zM;YmIY3t_~l;~&Xrt3pA8W|Xw=ovye`cPg<Vo9QYW<eClW+P+p?hbekr+foJ3lo=e zNCs%#e{pF}Nik^I4`|gtXyz?5PXU~iRH3abQwuZX%({b-D^forKR2~lKi9}8J0~+Q zUEkN$#o6CazobAHl>T&6OG;BR6Z1-R;R-;)`XEBDs5GxwKQp%=C*BY&fSOnZ7^P@u zxCLVrtC6mu8A{S7y{G~uxuOz~yTJt?N>Sy(r4|k<U_o1MAS?Po(FmIHOUlekEUHvU zEK1JEEK3E?VH%m4!58<l8C&R@SQsELa(X)`iajYV36PIc6~H6(E=~&0&{7?_2sA({ z0wHW;149!%GjlUg)sJWjSeSzNpr(KYwx+=ILE&RTF8=VM{E}3KfSknSR2L^J1tVTA zZdf}<&%h8-+*9D!SA)W@;#{Iozn10{r>6KMmLz9@eaywh0rN3cylcxP21-VtQai%M z)lH!&A6(0Un;6VShK5?)kgaT`C7C(JQEWyAx<-a*O$udf1}Nl!c5)=<DCDJ<fXXWt zDP|)BODv`tfYzD8n-pGL*i8dh0jQ=K8elQa5R_BlrVWl}yBL=UBrb#UOG{FNOY(~n z(^JtCuQ6&`HUdR1S$TDkrC(TI0le{v21V1$L6KeIQS1WQrb#Q`ejF6OmE;l!W%*Qv zfQ-uGlqBSuhlh&`Ry^q$q9$oeJW1LBTK$+|?TM~n<Wc~&iByZhZ8wFC#A1b{)YLqM zbjT(waF2*pirLJ_5Z)i-;o?dL?WHTo&&(?^3grr>X45FYphPbv8Po(c*EKY@0F{UE VCLkXdmup^%LQraQeo=}5BLF>Gt=Iqn literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/phys_opt_design.pb b/loto/loto.runs/impl_1/phys_opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..9f3da238e159c8d2c47c793d266c313459be1985 GIT binary patch literal 3421 zcmd;b;^J}6&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ66E49$fzui&o3y6Pf0D# zOwWsAHPJORG|pfsV5wl4z{nuL#MQva<=|LSlA2pkl9`vTP?E2Zo?4=isF0JHoSIjh zs*sjnq>z@HSW;S)s-Uh~tgeulm!h9vq>z$YmYEC@0$I&$Y+#|qmYkoPo1bSSz{Tg8 zTac5Qo0?aWSdy8aXT-(DQITw(XlP(i62)d{u4`;!j_im6E(7=c64LBsm0~tFG$6@N z%M6AB)(TdL$Ah?pxPnU(i@@GiEmjCgEY1e|#L&Q4i#s_#uehWrF*C2E*hrj9)H5%$ zBr`EbA+#VRu_RR?Br`WNFFlIQ&_dVH03|p#F><kRfnx*JjERg~eT*TQxv2^o#hO+M z$pxhfwh9IYR$!!OV4`5HkeZWNP@I~AB5tanr{J5Kn_pC^pyBJJX{At*nwYI%t6*$k zXrgCkZl++Zke-;C2hyWwU|^tNt&mofnyLVb_RQqO90gkiLkkO-Xjx`aNhw6o*udBV zIRcWoj9rUMGIJA4Qd6M5QwT22%}p$-RH#u1_Y1aFP%T!dQ3wIC48T^^C~yg|O0k+6 z85tWOr#f~nRx>>V1M?_06I~-CbCfWj$H-Oa0#1nv<@rU$B?`r<C8Y%l#W{(|*$Nur ze!-dwnZ*j}MX8A;sYMDU8Hsrc`9%t;g&<d#<b#}$SFEQHoSj)v07|ThIXMWg7FXt# zWTX~n7Axczlw{^+R)JGqu^z~k%!a0hXl?|10+b(&EkF?nPZm*J=6?B5ca>%4=YUO7 zNXswHOVLvZ$w*bmOD)OCEG|(fPb^l*%P&#L%}>cp%S=tt6JV8MvNS=m24uRCu@Q2j z^WYK-O3g`4ECx9rn#CZwmsN_%!WegIj$$=5*F|+{9hbXmv4Uq_T7Iz(C}_eHi}Et_ z(jgq@qRbL-3V?}%@-0Y}Yf({tQL#d5UUGhEUP)?EYKk5x)iW7cAi3O#g^S7D*oc{n z(Eve2v6$$Z7$T<{e=aH2Vuj><P+kWmoZ`~t<kaHgw9=fMN{FGB$cADmk3dF(0tj9l zd2p$PgPaa3iolsnAtkXS5mYQCW#)lWV`5QqMrK(mD72Z4%*?ddKyeMqi^dkZCKd+B zf&GDzt4OsNJ=3WcqvSQ!VjNivtPYyFz-;uKg%Ct!EKttpkzzKnKom?;ToNE3r78qx zBo?H)I4L+o!^=v+h?k2STmV=Z80Z-of=Wpk7sQ5TawB#wR&a7PV&!5sv@iql4UG+q z&A>&exe+J;c`A4y#oF^h;b}oG{_vvwl2nC&oW$f*7bkN4Y6A1CDK@{p8WesN=Mshb zwKS(VHN_{fBsl}@V=gWZ<mg0Z<A_=Vn0F1ZdDoUp43v^UMMs2-tD8bmekrK(0_R_5 zBSS+iZb&U(T9TPl9K~j2plf7^l3%^Jl(8A0kdvPdE-Ug<OF-o%ixjhwfh88x3_yiB zJged|t+*gH8B|vynPzB!#WX`uW`&#feo(}v7?%hnE`#z*OHzYN@{1DFQ_&NzF_wIb zJwnYbpb=_hfh|G@S^D*Z)@;s+$r&I|lbn9dVMUXXIX3SOvM6?ev|wSrMJtQZxLjNu zIHDN8pFa(XWG%@h4$Anc3IQ3F#VJX+svtunl$32~gu50pH-e>XV{B2qhLH>0SW+#9 zbQ&@eixrYmQ}YzkQ}a@bK&?zjyUfhU5Z>nD;o?fpNKMWz$j{6xF^c30*U!k$O)b{X zH8RT1$;?aF_jPq~_V?2-DbUTyFUi+UEh$aOOw23Mg)0CF>w^frqSCx#{mk5goOna9 sKs=~LoL^9)my%o%#cpV>YiMi%DjMO<K0Yok*Sr*kpw#62q7(r}0Kle*^Z)<= literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/place_design.pb b/loto/loto.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..1a8ffe94cbb4cac56369251a7fa8926e2b29c91b GIT binary patch literal 22639 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@i)bP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{CQ+<L#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}odC$mIq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#HLlZqSb2B4$E>;6Q0|NsiRxV~kGeZ#H(AdDj3|!utgR>S-1rMa` zOXOlwEf!#bmvJ6kZjcBBg(guUsZgWfoRONGU0j-LrC?~8WMFBKVr0sNoPXCaa<OoM z(*tT!=w{^VU_=jZu-~i<3>1(<9WIDH>|tJ4fX4th02Hhh&?CXn%n&Auhy`%yAUk*@ zri4ObbD5EXkqMWPr@sO?d4OtmeFf*7{N!w80jJW;oD_&ak%9}jg@7%~xpJwx<maU- z6sMMei=GG<XN8i?Tu^z3ROmqJA!Ac5Hi%pltFeWyu_<x^mWP&(L%D)s>DWktbhn}< zYO_Q$Q=^n5ltjG=N1~p{$km54QR|tSU`^M0#uf?`Cv6K$>`5EcEPxlLk;F!`v4W8d zmlR4o!pbGz{FKxjY|$Bp77Mmq*05MGR={l(N@yDyn5CGSCnuXxA+*gbu!pvZDdnMU zZj3#&4Uh|xFk(a7M8QasOWYY&(Lyp*vA%C&a#4OUwxAA13u-Ga3s_K_DBv)McHs<4 zd5Ag^R$A+sn9(Vm{n0|hjLQTX8n7}5wV6RgNNmKBzb7zq_2SOo<~R}@6#~K(l$gQw z8A{D%j?x12B_hGGa4{Jv7zuF+xaZ_2CFVdv2vm+^OJ_br7|6!OVgzb@@pJLI<>coV z733s>yFl2?^F)g&11>#COo2>5(Ty5MhRMchW=TdyD5V0KaRdrTEUA?Wg@PsaLct8B zP)Hy)NR1SXl(`f`3sMqGQWZiV)nZa=8mN~R>=UFAkXVwT;9mghM}u18C~f9gv|x7O za)Jf3kpe*zQG(vkGTA82G}+P;CFsek{wzSPFKj_?NO?g)RM^K88}`NuMoL@?0r|xx zx&cM`$*INQURGwF0!kXimcnDug5H_S5f=2u1P!EJ$QxlVnM~;w@*%{Aya~8>h+Z}+ zIOi9oVhiqcBFyFBVl&b+0TodiTxyWi56w<akn9uy>!t-)=9Ofm7H49ub5qb_)|<-{ z7PBD3i8B~Ah7*%h%ng&0(@^pjIsGN9(MyH6HN{?{nWB_vvBbxLk%EyNmkefjD|qHX zDk9jx0!nc<iIFSPsR-23&M7U)%LNaDK>7k!3ZVW&a#3apxSOq7tfNp;p09u^kYAFR zqX3f1OD$K(DJ@A$Q%EjKO)N=;j7YIcF`JqhYH@%%>!A1t4U-t45mD^MM!JRuCML+e zj{S^WajxKDEro!@;$l5eX`GpxSp_y%LA6*<0qkfPR|nLSPs+@L@KY-?i@}{9)nd?4 zszPpl8HNOC0FK$z+zi!ih7h;$aB=0OmMG*GDfonjK!jMinDMY7elRo!bqL_&jow_E ze)$R+ndun{X^DCHr6me^sU^h<Y5ApjDGHf+3ZOwl$e1yt8)jyTa7+}dv5~GBN=Gb} zOU1tc6g5bJ;0zCI1yBU27K2S<HZnx>7kI=XFSP{X7cMT2%)H`~#JuFxC^lmwT_a=A zpdj4cM;W;i@rONzwK@tZsX3{TSXUrA_)QFvd<=3LH28VBxS_$HoSKsZk}xo0<6^<j z0sF+<93{vKxeWaBL0#a|0)?{7{2cH=7$gGp6hPxo<%z`#dHE#@1*t`#vDwrVJps^| zw}}ZNCXA%HBwd076oOLIGmA@7i(n}cY!=v3GjmXug$G|fH7wQSQV-6^Oe;ZHTavF3 zkXewLlbM%F&<VxVaDptCG@28j$q%Q`i>P5OsB0YL=!@_;y=)C7$<}->Z@+wn<ixy` zOmHI-DQknKb5bhv5_2<?^@~B1Gsy}?sp*;dc?y|%C8<SeiOH#;l0cy-wIC-m8629R zRA*|0R8WEwmZb^GbinY*m&0-t(9{?@9&BoWZ9LeCOP4FSG&eV~s1h`hfTf;BPUxVC zM%d6X^00>!m#!@dfD17Ijcke-7bD3sn;5y~FzOCofWt%Qn7DQ@)hM78nF_>!8U+Q% zl$6vI1?SY9oMHuq8U=-*R7gt#Ap(sum@0igPz4WC>XM&Vq7af_nw$aF=~<Fm1kUIX zgIuAL9tsLIT!S}6b#VmgDPFEEyfq4-wvmE!er{4Gcv6;#Fs(sWV*m~q{31|UG^J=< zL$E=xkugw^f}^SgG}{C<fC!3?ZVO8-s#Nd*H4EJ!%|b%XqodnUS~`T>1(K(Y+aOVl z7N=;f5#$CD$R(I~;M|7k7IYChy3GltVT0}vEPOh;4YkpQ#VzPUbaa~wv<(*wX~Tg! z0Qq?ep71uDUn;114sXMOiy?$_AxRj)S5Uwdfy$yupmDL5Zz150H&j0{6m7!I1ng<# zd^+^1GjbY3QE1~;j$HPL@hT$)ER8$(Ak1(ar3yp~4ht?bcyK6S9dASl1tVi)10##1 zBm;`a>Oezk7=z&S8msd{3k5?ieP}3Ph5_=@j$z_`A}7d<!4qUIsU?Y-1SZIcoKQCg zjXuk8Nr6^rW|k;`7k4Q*=jW8><|*Kw<;6Ln4l)k6Q7GxwFwMj`)!4$qVrZw^Xd=d# z*|=DY^&lh6u)xVLE`f%xf*PnV5aj5Kb!<NZEnC=g*+Q}f$UuCCp@wymiAhSLkumDx z1#-so2ega`B{r;$6^taf#2kxK6BUBX6AMuKuh=GQg3v<QlFJ+x%Ek)VjX?=tBcrsm zq-1l8)S;c0iJ30}r)3ibBLyxw_=qZ~affAe7rYP?WxfPw&2Ow{f*7F1Y8*;<8>X6= zr==ODQ9eI4py`?DvcnWSI~AOpn3JOroRL_Nsz6K?fT!#*RlsK$YFMWk7+a*KrKHd% zZ5vTO!)^guyoR{~1w8%>UtktUY}sL^U?jpN6qKr)SPa^c04kgn3NrJsmd<`?<%TJj zF|6D$Q@}I=HCz+ZOw!CvEE0!yxDs7vm{Y&ZFej<ZFi%P{F-c8BT`xklI*?xJ7-u`# z7^6%;O>V=(`>8}^V-_wZ69pqJE)CE!8OZECXc-wwD*!yT?VOXEn5P?BfUQXoPJ~5l zTr8jk7DiHB5@4&K?J?-MHh9Pyo6%HTT^vJ%8#%bxO!Ppj=8a^zq`|I)ua8Cv5Nr+& z<8s8K18s{0YHCYLG&L}`NVLQ;3QkOE%*Dk4b~&hrBEZGx6zb-#;F(vPS_Im?gUz}A zMDG?6;S$0eWq=xqvTiYsh!BSC98u;{#558uh@dv0G>P)KT)~|#96M?<DvRUu3re8d z<M3~)VK%lfK$?07Z{z~4P%t+F*$AIv&*#!mEe6fsL)RL?=GAHx!u^76Rf`pB6hfd3 z(9Ei_u`!aN++3Wl#U+`!phYT1Ok510Svxa50|Rpq1H8KdJo##7h%)&ajaE-OaXCQh zNki<TJ;+;cP}7yAxv^=IVWK%orAgkRKLf07KLb4jY82(51-F<@5>wC;7kHCoJ`>kn zMsTvuge7Z*%#_r;lFYPB$dVh>8FD>n@=r}s0O<nl1=LXpg3g0O1r=P1GRsnnAacRk znFR%@DGDj2si56%@Qkk?lwS&7;^Um3mzI;6TvCixJv4o|q^1`orhrQ`tjhABTbNQo z`|9%2719zjb5g<kJwfY1m`se(c6y;NVS+4CVdi2qh+;J{(=|0iUdj}JmU7IwOkML* zKpQcz<TlXuFqGtCWMpKVmS~cM+IA%~xqw=k7{g<9OfEPZo3K(6lqjG{1hifPd6di$ zULGUQWDlyw4>380nA#s+Z5SySsc<QwRbbFGO{j{V#K@I_T_>!xgp`$f3U2vD;I(ME zpb?$K<mA#K(5h(AO0&Fl1*A=@3I)(zucdjQwJZ5WCGikNpiIJSW@w<r3f>P1Do4Qu zY7}Tcq`3)7Zb(AQ4W3-?ka84~1dPDzc#&E>SbU3;KMYe$EsQP9(@^urkV_Ur&cPvQ z@o3Fu36Doe9Aaro4#$`yazxJrG<qt*C5DzI!R_}_tj%|vBYGgCup2WR!`GjP_A9s- z1DRL=w-AazZ3@U1Uu+FlVg{|ioet1k0BEmXKv8C1i2}UU2-*dfo?48n5r2!7OC1zH zx?s0}`)$~?g179mN--H*Ah#}hSh+e`xfJ5b09?p(yfv^nC+GY;s9P0k6oNBA#}gn4 zDb#S)D7a)6r6z-Xqfi50cA1~25S&?+3Y}KQD#lfV<UnLLOc<*e%!PjWMI{-?t_53V zSYe1&j551|^GoSwmx60zaS2vGfIWyrfc#3CzZAk#X>S*}1~)TASsspaOx8pXlwWY? zmEl-A`Jh#U#$1N5YS0+cia{!ihGPH_xewPw53&!}Ei(^!U!($_`fpe+61D)3G8h^g zm|9?4Bs}Drfw-IVkVYV)3PkR&pbTB2=881)RMSLL<3T*cO-wJ(1+7@n=F)`q`N2oL zfRBMe^|+;Jl7XS2DeAD$kV_ABT<)sH3Z8js`NcY*0lM(SBGBq!2*(+=mkcHfP6w*R z(4&?VQuC7YOF_%)Qd9ImXXG#$Ss<Tg#KOg7W`r1O0kfi5Oms~^V}tM^DIYE=)nd?g zumZ@2q~g+K&>qvY(wv-1h@mJ)J)xi81u_p*-NDW4VC2$-ox%h;)(K=d=sd7u1tryD zCD2Z;g2bZ4oSf7gP)C^6)Xdn}1l**{bIeFhOaYzg0nr$roS#=xl%Eq{oLW+>1M5R) z=EIq#C7JN!dN>S?bd5|bj6vgV@PW@rE^Rcoq^IUVmzKlMvw|Io1r9>6Yaqi{P}eYX zF&am~3`YsMW=1Y?*NW6+$iN!xU@6dm8ECT{*dzmFe>rm5WAT@QZdqzkQhsr&f^J%7 zPO3sqen~!x$K%0+1Sxt&1tl<d7@>G_7bDjaMl2><fp%)6oFD{Sc&KN9Yh;TGhkuxv zVLgt**c`{iz;qIWF_bG9>RF`dM-DtBVWhBA&@D)U1TGf&f+Xxgn?_m;t8ghnotBx8 z?4rzkB!^(PX+0y?Ojsml=HrPvLs0Ny8I+{L5VjfiqT1L3N6dL}sfB}zD9{;wkTU^N z5=#<6<IYK$d7yneiABj7nPsV<Y|d<CW(Gesht1eR*Te#47vP6MapaX0mjuX1sgNZX zE>58R8sKu#3iZG%UM_A#UNQuoe+4`E2{f1oo)m$cd1V3O8yXuJTViXzyc!gq7Ubd& zFUl`Tg|xq2oU9a#(ENwS<>KNP!rm3<5`}uVG^aQ<#V4^OIRorz&?$zbooHqXi(PYU zv1`jE21-nznTrS)S2u+s$P^5?o?$jJG}PjT>}Cd)N5xTWMh3b@;Ir`HRjC)3GByJg za`Mx`Tg*XwD50hqSYk2F05l^<(6r)$)a1;>9MBd^&~|7RDP|)>11zQ)g7Pcew87Ea z5aSYo#3g8YD>b+zzbG+16+QhLqbFzF=@);54vy>!PrtB3u$&W<GeDlEcKUreC`u=I z6hqE#Q=pY^KMe}sN^*&VvV1Cdk~}2|x&A>egbfW*(zKxwo+=1`nqJMwr4W(<Iwlix zlx{|1u|iU6YMuhLp$zU?uu3tT85zR%*BbF~aV3KeS}e%V%qua9;0n{v$j?nJ*3UIE z%FfBmOV{^xb#eCh(=RE|1+`{%Q%g!yG86Mkbm0m>!ulXWuc$PySU)qjASd1sEC6dL jr6d<bu^XD}8X8-G8r|>~Js%er=&XgH)a3l46ahv6YTYU7 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/project.wdf b/loto/loto.runs/impl_1/project.wdf new file mode 100644 index 0000000..c259e36 --- /dev/null +++ b/loto/loto.runs/impl_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3136:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3136:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6265326464396532613863623463386262343734633234373435343265343338:506172656e742050412070726f6a656374204944:00 +eof:1399417093 diff --git a/loto/loto.runs/impl_1/route_design.pb b/loto/loto.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..d2d2ec4ab33baaa7f2a2dd6f6aaf01440156dd6f GIT binary patch literal 16154 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@i)bP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMw2L3BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zk)>H;s*$OY1sAem>lnFMxWKMLbx<!OR~KVQW^Ss2MzN-qLUKW=f~|sqft7)Qm63^p zwL)r6VnK0g3PR9OK~KRqH8;PgQbEJlNz+Q9AT=>t!B)Z8(8x&7)Dom8Jux#+!B)Z0 zz+BJL&_cmlA+0DiRiPlGvN$t2F$W}LVGa{5%PcA>g$Np1SfE5-5OLvYq+le@B??Lg zsYME&d6^}di8+~7;N%8P6eyt@PK2p!Tr5U<h6+YfToP`X6$&Bw1^GGo>6Hr3h@^+j z=n%9Bv*xmdL>S00Tm}u#xC<aQl#LXOgt-I*ic%H8F{t32lbV=UT7WHhebIu~gv$sP zyhaKbCJfJTC8mr4r(R<PBYrO4(1Mi2l2nC|%-qbpbZlXYvy3s)Ggd&+jT)XwCg!H5 zNh!$`mocWKl`$qJdWPmk3f2m+GRDYK&&1LUR+=D{F-As~Fj3Sp#uT|&tmKmO%qvMP z%1uqlM1+(=aA|ICVo{}nDyaBpHZ(KQVg;A~MiyLVH45Q=!M3J)=4NIJ3N;ELe!;c| zdIkmtAWpakgku8Ygg`kU8LlW$g<)c7hFoFzqa|oFE)!^ihGYix;tVwkOwEi9Eewo} z=pF@@dWI&3NKs&HqGw_TibwQf-pB}~3@nNg1?He;1-$L(O+-<_!o_5)0BRAs=j10P z=756-M~e193sikBT}YrBE1+r~o}o!h0l~t>WCCu4Kx<2U!AWFwX#%Y-VJ7;emcUF^ z2uLh0##Z*=tS&)@;W7v{*33=POj1$}jfZ+3z*!8Nz*;o$&_rqK4Hy6W6ITY9Dj11y z2?b>q=#~~JIOe4&1f_zDWo)S{kO(8$xL8a<30H(m2wFCHmZTPen~n+w*bJqhy0A2a zR0`<Tg`d8of%fN`)^7k+3Zn<<wuq+|p60n`1cImN)-+|1CD3Y`K_K~F-bz=X=R zg%4UF7;_my13>{ZT@244h#(?mvv4t)DHzFeNxP)xBvyikTNHw`Q_B_n3raF`@$`;} zsXo}aSj<4VhM$WUVmi3Z9*VWij<a9`nSi2uc*a#25pe|$Pa_2*NiK2coc!c$;$kWo zEhAWQSwJ#^nVyjX4s(WQ7>A;TgbkM!G$a%tDGW6DhLQS*XIOX<k=|Iin9RYw!+`wa z5(SU^oD>DO%nEGP9g(GhIkYr@8KnTKMX{MjcJ*ndXJ82GMq%r185=<QVT5{HIEx~X z^HH3Rk~9pHjgw8%5{-s@Kg%60o9J=rK(dLs0!l&{p1votmuUg+WkQCc(lYZvBZ;Zl zQZmk7rUkg6o~i)TJUl~_$e~$F@X%~nYEfodC1`vd+*nUh$V)B3+9AX_9%8A0)r{d8 zw#4*>Sh<)D4ZtI(E~y3i#hIWP5J<wq8n8GU&4vaF7zUt(s*zD@qGgIfO6pMWVH1;L zL7{4>U?j#R0xl2(it>|Fi;KbGies<|XNom6gcPc1W(?1;C1$W66t+g-?mIYa!6j@F zo`LC7E*aP2lFZ!1lGGHaGNe&i@HC>SImW0g$?XJIV^dvIbL2tUJ&atl7(+6kCAb2p zyOfu%kXM?Ulv-4*kXV$ekdz3T5X;X~0L^}ai?kwzRJdEA8WQsob1I87i}e)T@{1G_ z6_WEobJ-=S;I3F=a&l=AXt)`w7&NP%pO&VhPyn4@EzMJaPNhOr#1}&bq`~v2%!WoV zpMyu0jZvq2aZZyM8bQ(|O4tw26d6fGGZ>U2jlq3nP>R$Ahl+x0S!!O10yNj+$n;@o z4JlhLYe+-N&=?%RIE+FGX+ukclq3_=v?1TBbl{Q$hg^|@Gc<*#C<K=#g9^^H(wv-1 zP)=nuLYh900%bYWc@S5$0M+5ra?MKtH5fofgJ{qSfZ-W_9$aEUsi0gB@+Ne-3}hJ& zs}z%kF?{kLV>L_^tD(6rc)}dM(4&saUA0)jGcPT_SO>IxAw01Nv@!+4aW2X%0Z+ff zM8PGIYB6-ZheB#za(*djDl9cc546~V$;bj}m4*=u7n6lKXz7Cif{0=<(KRtdo=)@O zl2R>(mvt!$#V9F(MT*G?WxWad!Xl7)D2rd7GIABE7NajuP%TE8G*m6du_OVk4mwc? zW`n1qkmd*xf{6Jk&>9IIDP|K3lvP3CVjjBw$w~pV5(&CS!W@Zh1Y#Q-LWVp+Yf}s% zQ)5P~T+BvBhDPjMtcFGw<`&?^OXlEZK0FmXkcC7u7`en<D^ioei&;P+2wIP&080t1 zre?;*23nj2If;3W8L5dWMqykb&>AA8C|N-_Ei)%oAt%2iAGG!+9_-o_y`q8=1>J%q z4Do^_1>K^83Jme0f{G{(LnB=y6AL5I6dgQawKEc0B1S9cU@kSY<C63YP;gExNzBPl zSI8_@C@lc3L;}ro>j|(*u^1W|8)>m8=NF}>r{+bm8(QibS{S1&FQR-!RT~qRGN_4A zlv-SxQ&J3CEd*Kx1!^2*<|%;VLbVuDSDRXxA!nmqj9gLr8Tq-X#rnBMM%g);dFlGT zt}f30e)=T^x}XTuO)V)+$xO^E(S<7j3G0Ihy`s{*V*SkAf}D6mumD<Y3ouI2&~yvN zC{`m~Lo<}5;YC`G=i%Z)B-<#A{C<qYT+qzO)xZcfFgLX%BR>VQPzNbLAPJ*|79wxp zP=k_BaHzqVXSNc|GlRqNm^sXqOVuSmFIAy9wFKO+jBs&=HG`4X-hq=hld-858>ERE z#cFJ!YYbXk0WUV(xl~|F7k!Z;2fF?cWeKA>@)AZiBV%1dW6)YKxKZnvxQx(pTo7c< zA+pKXGN73ON|keokt>aw84zc(C=p<kqLWP)j8SYxx`w7k2FM+mAkuQC2$v9MM#9XY z|47WCyBWE5z;Y-gp}_hw3c9(874Zd$B^kvEh6W0{P}@uM;E60X1-W8F)s3}|!>$A+ z8)H`jstt9^6N~cV^Yh}%GV^mFbHLbZL#pME1TJyN5-Z61tboL##N1*lXwAW7X$Z?& zM(SLu3c&@bsVNHSMTse?Rtma?I?%a|kjjEoD}~(5y!hP23UD5@1hvTE87GU&8P6J6 zNN6aOLY9f)T?ET)XbR8kpeCS66q~Vyt|6*zQy954VObs$pRlG(v4WCnv64b&o<c!l zQDRO`Y7VHj2DfWWklQs5Ty_wR@kyn{@x|Gx<vOsgaAsa|5o9%ZPJB^nX>lrC2Hf6> zFD^+eDJ_P@nK4Q=70IQI=C1VAJlIq}T7d*^{(@a*jO;RIE=FUx;h->vuV88+F;6&g zIY9l0;U(k*k0FVa=3x#&ZKtJkIe8)iNddH4Ss}AnAtkdIyzE&|!7pC{$y5b!C@O$D z44@_y#7&@RVKy;B-T)BAW}<6oU<PWL!_!p{iGKFt@_?8R@dPxokiCl>iKtCi6q$k~ z?0(-*qJwI=s-X^oB{T&rB>+-G0;UY&0kqaNx+F@OgD#1)%`p55gcT&lN*Y%RG*$}o z%TtSx(+5}pYv4m<phILZ6(}(PQ2|azpoqiK&6!TH$wn{dVK&OV5gSdyQj<&ai*$29 zW7CewC7ETJC6%BdtAfOIaJ8kU2QI;wObxYI!5)udH8$2YMJciZxQw~nU>ibUB_kn& zLBj%!a6?&)jdfAXtf%4d18A_$fF|P);8tWjB%Cn%BjlIt$)J@S@uel1u)PS#Nf|>D zmS~F#O0bu13mLhx+!8ZEdsj;GL6eIq;L&IQqQvB!RD}{y??1gLzqA0dxhF3%7qY2J zPaz~Dvlvtd6r~oHW)?xWzCf0T6s4wT=I0fI$AQA2+c46LGE?-p1lXjQEiKKo*dY6} zjD)xZJc5Jbo%}=nTpWWU<3s!dqS%cLbuEp-O-{B7Hpn1`E0+?&k(scq7^w;<rh^PO zH-;MyHps}(9JG}NZjc9;S~zID3bdCDymnn7C9xzCR7oXe=7APwCl)1VWR|6ZD=Q;2 zGgwOr+$J%xKxqfR9Ta0oQd|-sAEhb;XCxM+x;VjyOOZ#D3=BXcNCx2DHxRb5k)frY zg`p8>><GNd95j|>Xl4Q88yZ;{8DSesdNn9~EXc(lUX)*wst}Nqn4Ie3WTjxl%f$`z zoSuOpI+u%!V+ea!oJ$ny-O`-m)D)k@lH?4qr$L+QkYW@T0HByA%e%H*VxW`+8ZVA; zadlGw)oPh}>EJS-*~rjPiyN{{6IAvTN3j_h=o%TKl+#{Z%GeB0$jMI!4-kW<=b@$< zSYk2F05qBgZ~Wpit+*gHIWsW_JlPL5&CmdgX@;Q83ODWjph&u6Tq2OT1kFFB2AAX) zC8npMr(a{#^a~mM9lF^So__sOOL8)cOB9?FlQTe`CO!R{<1L*ASrofKwjjfNOKuSb z_p>p!68Y1hNY;{E;-HM5st}M-S)7uDTm>O}+t3IlWgFs4*>G=Tt%z1Law&jD?No~) zyS_6LixrYmQ}Yy{op10|6RQ-nnUSFuY}+>v7gsW9FKa=5W?qR=1Xma}N9#akWon9E hN^(IIyP>(Rp|J(1WQ2EW`M9`T!Mh5Q^NUgh7y<m&fM5Us literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/rundef.js b/loto/loto.runs/impl_1/rundef.js new file mode 100644 index 0000000..8476515 --- /dev/null +++ b/loto/loto.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/loto/loto.runs/impl_1/runme.bat b/loto/loto.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/loto/loto.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/loto/loto.runs/impl_1/runme.log b/loto/loto.runs/impl_1/runme.log new file mode 100644 index 0000000..8e96ad8 --- /dev/null +++ b/loto/loto.runs/impl_1/runme.log @@ -0,0 +1,730 @@ + +*** Running vivado + with args -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 12 11:23:53 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.883 ; gain = 202.840 ; free physical = 1413 ; free virtual = 14279 +Command: link_design -top loto -part xc7a100tcsg324-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1931.945 ; gain = 0.000 ; free physical = 1066 ; free virtual = 13933 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2141.410 ; gain = 0.000 ; free physical = 953 ; free virtual = 13819 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2141.410 ; gain = 569.527 ; free physical = 953 ; free virtual = 13819 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.69 . Memory (MB): peak = 2153.988 ; gain = 12.578 ; free physical = 919 ; free virtual = 13786 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 211085630 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2652.816 ; gain = 498.828 ; free physical = 503 ; free virtual = 13371 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 1 Initialization | Checksum: 211085630 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 2 Timer Update And Timing Data Collection | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 211085630 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Retarget | Checksum: 211085630 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 211085630 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Constant propagation | Checksum: 211085630 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Sweep | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +BUFG optimization | Checksum: 251a8a732 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Shift Register Optimization | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 251a8a732 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Post Processing Netlist | Checksum: 251a8a732 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Phase 9 Finalization | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +Ending Netlist Obfuscation Task | Checksum: 249fabdc7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.652 ; gain = 0.000 ; free physical = 193 ; free virtual = 13059 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2966.652 ; gain = 825.242 ; free physical = 193 ; free virtual = 13059 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +Command: report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 185 ; free virtual = 13058 +Write Physdb Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 180 ; free virtual = 13069 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 19b090d25 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 161 ; free virtual = 13086 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 196a652db + +Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.38 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 189 ; free virtual = 13088 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.45 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 173 ; free virtual = 13080 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 173 ; free virtual = 13080 +Phase 1 Placer Initialization | Checksum: 206d57cc6 + +Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 158 ; free virtual = 13073 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 21c3f6b22 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.5 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 19c2f5c99 + +Time (s): cpu = 00:00:00.81 ; elapsed = 00:00:00.51 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 19c2f5c99 + +Time (s): cpu = 00:00:00.82 ; elapsed = 00:00:00.51 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 193 ; free virtual = 13060 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1acd71bcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1acd71bcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 +Phase 2.4 Global Placement Core | Checksum: 233028bb0 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 +Phase 2 Global Placement | Checksum: 233028bb0 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1f43e3888 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b44da236 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 22ffbc78e + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1e47ff1ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 153 ; free virtual = 13050 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1f038efdd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1af4f748a + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 17bb44ef9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 +Phase 3 Detail Placement | Checksum: 17bb44ef9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13049 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1ba5038a9 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=6.007 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 19735b1a7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 2223fa4bd + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1ba5038a9 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=6.007. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.1 Post Commit Optimization | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4.3 Placer Reporting | Checksum: 1d58387fd + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f7e5a539 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +Ending Placer Task | Checksum: 195b01157 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 187 ; free virtual = 13058 +62 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file loto_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 166 ; free virtual = 13037 +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file loto_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 167 ; free virtual = 13038 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 168 ; free virtual = 13039 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 168 ; free virtual = 13039 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +Write Physdb Complete: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 165 ; free virtual = 13037 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_placed.dcp' has been generated. +Command: phys_opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' + +Starting Initial Update Timing Task + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 188 ; free virtual = 13038 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 6.007 | TNS= 0.000 | +INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. +INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. +INFO: [Common 17-83] Releasing license: Implementation +73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +phys_opt_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 186 ; free virtual = 13036 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 184 ; free virtual = 13035 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 180 ; free virtual = 13030 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 178 ; free virtual = 13028 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 176 ; free virtual = 13027 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 176 ; free virtual = 13027 +Write Physdb Complete: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3014.676 ; gain = 0.000 ; free physical = 172 ; free virtual = 13023 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_physopt.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 2039d80e ConstDB: 0 ShapeSum: c3a3d9b3 RouteDB: b1d25f96 +Post Restoration Checksum: NetGraph: 98d52cf6 | NumContArr: 788702f8 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 296ae2528 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3122.594 ; gain = 107.918 ; free physical = 187 ; free virtual = 12883 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2b4755bdc + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3144.172 ; gain = 129.496 ; free physical = 229 ; free virtual = 12885 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.766 | TNS=0.000 | WHS=0.004 | THS=0.000 | + + +Router Utilization Summary + Global Vertical Routing Utilization = 4.35218e-05 % + Global Horizontal Routing Utilization = 0 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 167 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 165 + Number of Partially Routed Nets = 2 + Number of Node Overlaps = 0 + +Phase 2 Router Initialization | Checksum: 256318032 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 256318032 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 274f4de13 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 4 Initial Routing | Checksum: 274f4de13 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 11 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.914 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 5 Rip-up And Reroute | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 6 Delay and Skew Optimization | Checksum: 207761928 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.010 | TNS=0.000 | WHS=0.306 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Phase 7 Post Hold Fix | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0221091 % + Global Horizontal Routing Utilization = 0.0471725 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 1c3c4fa22 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=6.010 | TNS=0.000 | WHS=0.306 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 22ea9d0de + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +Total Elapsed time in route_design: 21.31 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 190db45f2 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 190db45f2 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 3149.141 ; gain = 134.465 ; free physical = 221 ; free virtual = 12878 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +Command: report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +Command: report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file loto_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file loto_route_status.rpt -pb loto_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Command: report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file loto_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 168 ; free virtual = 12822 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12822 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12823 +Write Physdb Complete: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3219.812 ; gain = 0.000 ; free physical = 167 ; free virtual = 12822 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto_routed.dcp' has been generated. +Command: write_bitstream -force loto.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./loto.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 3496.727 ; gain = 276.914 ; free physical = 204 ; free virtual = 12506 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:25:13 2025... diff --git a/loto/loto.runs/impl_1/runme.sh b/loto/loto.runs/impl_1/runme.sh new file mode 100755 index 0000000..d5d4fc5 --- /dev/null +++ b/loto/loto.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin +else + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace + + diff --git a/loto/loto.runs/impl_1/vivado.jou b/loto/loto.runs/impl_1/vivado.jou new file mode 100644 index 0000000..224dd2e --- /dev/null +++ b/loto/loto.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:23:53 2025 +# Process ID: 50768 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1 +# Command line: vivado -log loto.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.vdi +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3300.030 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :15504 MB +#----------------------------------------------------------- +source loto.tcl -notrace diff --git a/loto/loto.runs/impl_1/vivado.pb b/loto/loto.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..4dee4010c68d6a2e02b438db85474924b1e8bc41 GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!sGPfby9OHEQRG*U1$v@$ZaGBj2&GB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$>Zlpf literal 0 HcmV?d00001 diff --git a/loto/loto.runs/impl_1/write_bitstream.pb b/loto/loto.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..ca9aa63f4fd5e0a9a6e40b482cd3b390ff185299 GIT binary patch literal 2775 zcmd-I;^J}6&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQsj~^FUl-QjZexfDK05W zP0Ur$P0KGzPF2XsFUi+S$}EXuHPJORG|pfsV5wl4z{nuL#MQva<=|LSlA2pkl9`vT zP?E2Zo?4=isF0JHoSIjhs*sjnq>z@HSW;S)s-Uh~tgeulm!h9vq>z$YmYEC@0y%}* z*uX-IEjd3oH$TrvfQ!#Fw;(4qH#M&$u_QA;&xnhQqaxWn(a^x4B#O<@T-Vsd9N7^C zTn6s>C8XKOD#dJUXh4#kmKh8MtQD*fkEe0z1eNCHfjsRJ<gAcbtdOWsP?VaSpO=ye zax*9>K>kV0OMwPAi=nZJr50yFPGX*8MrvY;ksud8T7XBf8ye|?lp*`hj!V)rK*2e& zBrzvHT_Lkrp|k*GcuHbPs-6I=6pNvev5^*ga(+>2dTL%2yP>77p@lJuTkW`Hklk9I zS(2fkTC7l#QIwjPQp_d5D#c`EqQ#t2lx)Pz#b^@6YGkZy1PWoeZ+EhBHL>|-78is3 z>+I(46c!AMNd;$rKQ~YJ_%MH;5Jz`c1((#~%=A2kfTH|@)S{Bi)M6_Iztqf<jMO59 zl8jUZsK&hfB6N*q`8g$t>8T0@5S^7EZx*MPC}ie=H71u96{Y5t#HWDG*Hch%&d*EB zOfM}0Cl7_B#Jp?;m=VRPIjPAd3K|g0G!=47i%S%eQWZePmE<dgIXn9+<QFNp`?=^~ z^8%W3kh7EXi;6%-CZkviwND4+#{8lbkQeh6Qc_D&i*hsbQo+vl)b~d?tF)jXzo-P9 zAwY%}Wabrvw1VAkpr@eVSpxQSX?l8UaY<?lD2j^<Qj;^&DnVuyrz$`LvJ@N#AS2XW zQ!+~wTp&3K5$5U&X{C9|;4}ygKKD>hke5?3LEgxz1X&BxAK~Jxkd~Q~s(>&uEk7qG zzZ?|F#g%y_i4|5{T%dr9hsO&fR29k+b4pVU6{1liCYDP<xjZAaC>183SqyVEQm|tf ziJFGMMjH_@+6WX@AU{B30hDmcGE*SIh8j<h0OsNfN=<{r5l9=f_(4@!TAW&>kY1XZ z0x7m~^NUgyGV{{%i*muqOi#5~fK7|pCCJ%GjEg})PD;Qf$hjsUGfyEPClOo{STII$ zKmthDFoU6ht%40wR)lbgg35@r%)HFvjMNkbXa)pjJQhPs15@NeL5xepK*6=BD8Hy! zN5N1bJh2E=#uS4~24h1@lzg4Z<rI*Unpm8wP=xF`kX=Qo;MAr8q2p7Ek~P6e55rS1 zN0=cw0%DnwfdO)X7tW;#D@4#rEtr2`1&SWTOmkBMEpAATEiK8+DTb6S>_!H<Mn>jF z$VS_9iTUIwrht-NN@7VOI8hes>FI%L1{Omjb3+t!z^*eiG&4mlBt>CH6la#CDuB#E zGQk+D37{elUXvj?qA0%<(+DH1MwlTx!k$YkpeR2%6;e#*7l860!XL(F*o-hWG(k4P zhD+GFC^ZpWnI>hH<R%s%d|_gV%?T!;qz3neIhPo+en>qIHG<JxixXbAN3oa~=%NIG zCznKcQ6|i0h)xAnnEROw4Uu#k@o;hJ=_9oZ40H`q+~LM0@03}Ro|>oNoDZtYK_yyn zX)-8m(n@o3Dxqn_%m5?Z8Csa2Bn}TQv7l5?DhDMGXtM&+f?<_nvM|P7gGaF%n(JDC zS}X8ste(qVwOGM3FD<`V2UG*Xau=B64Dx((Vh%jdfvX4AVrXtuNX<*mFU>1SElN!R zr%Waz3*_b#D;Kk&p#><B8-oY~FcHOKqKg_q{#;V3#R|#rKq*EF6i|9+GO|QA6-yfd zWF#nr;Bo$fk*i3xI3zPSRY9Xz(@G(^pj5#Y<UMPJ)SSeE;?xufS5Lt=H8;PgQbEJl zNz+Q9AT==?B4MqNo|u^jW2Y6RrYaO<R2FA~0t0FgSg<U!sH8M82dt1wfJchi!~)TR z66O*_D;KO3Kn*to11kdqD?>vhwh@SJY+`AqXKrL}#L2~OWNxNsX=q}^%EfGCU}D71 m#cF6|YG4L#>zNyYJj+wT11U@SxVT*NQWSzxlk<yG1Q-F*kS6v3 literal 0 HcmV?d00001 diff --git a/loto/loto.runs/synth_1/.Vivado_Synthesis.queue.rst b/loto/loto.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/synth_1/.Xil/loto_propImpl.xdc b/loto/loto.runs/synth_1/.Xil/loto_propImpl.xdc new file mode 100644 index 0000000..ec103c3 --- /dev/null +++ b/loto/loto.runs/synth_1/.Xil/loto_propImpl.xdc @@ -0,0 +1,43 @@ +set_property SRC_FILE_INFO {cfile:/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc rfile:../../../../src/Nexys4_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN E16 [get_ports I_button] +set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN P4 [get_ports I_block] +set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN E3 [get_ports I_clk_100m] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V10 [get_ports I_rst] +set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN K5 [get_ports O_red] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN H6 [get_ports O_green] +set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L3 [get_ports {O_7segmentDisplay[0]}] +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N1 [get_ports {O_7segmentDisplay[1]}] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L5 [get_ports {O_7segmentDisplay[2]}] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L4 [get_ports {O_7segmentDisplay[3]}] +set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN K3 [get_ports {O_7segmentDisplay[4]}] +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M2 [get_ports {O_7segmentDisplay[5]}] +set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L6 [get_ports {O_7segmentDisplay[6]}] +set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N6 [get_ports {O_7segmentSelect[0]}] +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M6 [get_ports {O_7segmentSelect[1]}] +set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M3 [get_ports {O_7segmentSelect[2]}] +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N5 [get_ports {O_7segmentSelect[3]}] +set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N2 [get_ports {O_7segmentSelect[4]}] +set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N4 [get_ports {O_7segmentSelect[5]}] +set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L1 [get_ports {O_7segmentSelect[6]}] +set_property src_info {type:XDC file:1 line:73 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M1 [get_ports {O_7segmentSelect[7]}] diff --git a/loto/loto.runs/synth_1/.vivado.begin.rst b/loto/loto.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..b02dec8 --- /dev/null +++ b/loto/loto.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="m22kling" Host="fl-tp-br-637" Pid="50135" HostCore="4" HostMemory="16257804"> + </Process> +</ProcessHandle> diff --git a/loto/loto.runs/synth_1/.vivado.end.rst b/loto/loto.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/synth_1/ISEWrap.js b/loto/loto.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/loto/loto.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/loto/loto.runs/synth_1/ISEWrap.sh b/loto/loto.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/loto/loto.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/loto/loto.runs/synth_1/__synthesis_is_complete__ b/loto/loto.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.runs/synth_1/gen_run.xml b/loto/loto.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..c4d68cd --- /dev/null +++ b/loto/loto.runs/synth_1/gen_run.xml @@ -0,0 +1,148 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1739355769" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/loto.dcp"> + <File Type="VDS-TIMINGSUMMARY" Name="loto_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="loto.dcp"/> + <File Type="RDS-UTIL-PB" Name="loto_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="loto_utilization_synth.rpt"/> + <File Type="RDS-PROPCONSTRS" Name="loto_drc_synth.rpt"/> + <File Type="RDS-RDS" Name="loto.vds"/> + <File Type="REPORTS-TCL" Name="loto_reports.tcl"/> + <File Type="VDS-TIMING-PB" Name="loto_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="loto.tcl"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/loto.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <Step Id="synth_design"/> + </Strategy> +</GenRun> diff --git a/loto/loto.runs/synth_1/htr.txt b/loto/loto.runs/synth_1/htr.txt new file mode 100644 index 0000000..9c114a1 --- /dev/null +++ b/loto/loto.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl diff --git a/loto/loto.runs/synth_1/incr_synth_reason.pb b/loto/loto.runs/synth_1/incr_synth_reason.pb new file mode 100644 index 0000000..4cb4ed4 --- /dev/null +++ b/loto/loto.runs/synth_1/incr_synth_reason.pb @@ -0,0 +1 @@ +�6No compile time benefit to using incremental synthesis \ No newline at end of file diff --git a/loto/loto.runs/synth_1/loto.dcp b/loto/loto.runs/synth_1/loto.dcp new file mode 100644 index 0000000000000000000000000000000000000000..aea71f735b6930deab8fe5a6314738f4a0797e96 GIT binary patch literal 43325 zcmWIWW@Zs#U|`^2_z~q3rLGv5^_G!=fr*uYft`VqAtkv$uOc@mG=!Cbc}v-v^u1HO z`)3=7v|c~EenZ68?!<<aPeGFeSw5|(aB+}}Rb;mo-hQO7$8&OhyiuXa!mA!@cI|y$ z^ZZxbI*C2=_$4h8=Lx&Dcdg4h^?HS&#QVZuuTOA@CX`<1ab}cT*uyXCD`~oqXU)?) zTZQXiM*4BoR2*=A>iT+1_1<ltYObn#U-!M|8`OL4fR;yF!xl!jgHp;54ssjtEGYkd zwk-ZN<N3bEg_3Kd&nWS{d1^6DQoE?Bqw#WRc<G0&cdKp*ee{h|6u(sNXB}|eWa6`Y z-^E{-oK{cN;ObMZ*<LF$sgUjSoF(Rq-{(A9`B+_By~ihf*4Ei+h9@pMYrEX@Xj`dZ z5*l3gBDiMXleH|0_w1+XtzEx*Q|+0)NhfC{Y@g*@wBziCNDedpHA&mGzsJ567g4&= zD=GS;CSOyOf7zU!KU$0<r`H~8@-sXt(^a%vG&N1%NTaMrewF9sA|`?OzU#c!5AX0U z^Ayq7efn^xr(W0EDy{y8g){b~m(AMy?6mjw7yqP<Hs3uj^i5;6_$CAA%eO1?R2Ro+ z+N^kb_22%Uj5NJD#~DoTA68z+p8toda@kjd<MaHr6x?=KJ-H*_F1l%tcN{-&?q;VV zme-B<7a#Edw`lUDu=;0fo*l^EZT0^BuFr1ve=5TMT8V3Yn}4YJdH?>~D=z+EMok)g zL04{iF)}b@GBGf4GjKBG<d@{f7nhVK>6O8gN9_bh@52rPt?z$|7Kg0Jjam{~t@Z6v zbHu6+1=XCg<tHzl^}8|m%Cq~sXNP$R>`}4Ke_s7wbuy<-@Lj9eDLj{txBtAWGxzL+ zVCmfR$~Ph`+ol?B=;oFydvS4YK<{Kv`5qsZ_lZmW4ta%qShQ3*;<2aqm;aKk%fAOz zA6WHFOe?6@@yE3oC*MBDg(inMucbU(aCoXnuRH&~tuc%E6@+L0V9Diw;oy+hV&mkO zZZV<x?6&OPrW!U*x;DxzMG0$i53trOxZK9|;fh7)^c@crro8IN6O(J{oz%C);QG_T zqTIZWmuZuq@*3a#@GvsZL+-KTtYkCa%Ubj1J{MGBSby_Sa^S+JTfc1;e7iz@??T6u zfrTp`tuE;JRwikY`?_s$rMPiGQcV1h-nZF`c70b0=Inpw|MH*z<bQ{fHWa+Py8AsB z-?McujT~3&?N|NDh#K~7asiJ$85tNBGchpmp@w}%3L<ybPH@aV1P%T*7g}6gqKk!i zRTDO@m~wC0*)%Ef>vuQo-uUc(xl%^xmhFx2_g40cw;7n0W~XlbIAPB|p2Kx_-XESG z5+pxQ@w!q|XV8W>EPT^mTzI#!r|H9`8<kd%8PuN6%WhQN<DJNRra+%{*MB+9$cVh? z6PtCsn~y#G*cJ9#a<=}xPnzD79xBW_^E^{v!M97>7xb7b#4>zHT+^<;fLkHm_3_?| z25c)IzVY`Dkla{%pyk#kmA-QR4W<9|A~#GtoaMeY?b6k*?MsY$|4-g{K4({)&dP@Z zUY{4QEaTZ5{h3R&OYdQ0dCBqY%tz9PIYY%ZN<ZWhdM(SY)BpP7$+b5;{15iJ7VOhJ zP&0q}(|ggL#X^~;zQ>PF6Mg0o&z&q%G&j!U+>EPxQntQ1B<mO(yK0?$;5EZyo^abG z%=ywj%}m-Wckjylz>OOEXP-`f&CA5Vz=0h41&Kw8xhQ2LBL~y>zY#eVVTKm2P6{Ak zVrBxO6b#c0vN>1ZjMNC4khYG;@D}sq=sAn~;-<cQy8h?=f>pm9+25OAxl-%Odh2sk z#@y!97HL@@YkqC`FZxDUMme7CT=tK&Bf-^Nw+~zD7HvK`b?>bOL2{En`OmM>xR9Lo zJpbIb={g_$pZ8?F`(gjS%P!%AMCwtO^E13>eqh@6jbAvbP2<%np3A`|E82y;S~!~@ zU*%fA<$FNp%!lmJS{&>CRjk@6ku-;W?GfLd+w8iQ82z6d=dV$pxq8OVnEMRj+k=1K zYiJkZdZabsP3LE2Rgrx58Mjm4Su9$b!mna1dqamc?QkhK>)*#3EB37BdvTRZ#;@D% z?xie_D<;Rp{lDY{H5OlW-RkhTTPI8=u|FwcR*&F!t|f90_F1(5lYVpT-<wY<iDI9) ztb``M@o;<Gp?h)9{HUpB$<{0itx+4or1!Y`3g*79h}y>5K5ga>*~bh&a~JQMurFfA zm#tryHT5pkZ3(D7priI@vrF^qoXRyFq8q=c*-cnkeay=AR9$pB+cT#%H(&kSpWk|H z$+;ylKj(2&l~*M3P3_@c(igMyiS_%*+r$_%TKO{$g#7Qjd{u4vO@2PMo2$FO-;g$1 z6Fuqarlx}{Z{+@2opD$8&4n139|2);wr*#xANIb#+NjoNey;H%wPhUV8I}~y{gxid z`OjGNgybF7`xTG#P*YHQb@mD_F$RVOjtmT3(EO`coRL_N3NJe6Msruh94);Tn;E`% z^;DBx+Bc80&EERa`N8xu)!Rn=hqJbB67!B)Jhg|nqdQ06O~HObikxEZ923PQDk>%| z;U0(F7ijjk)#)-`VlYkcxYf30si$y(;u78^7ep?pU729Au=w1}-Mfn;Gs8c!U$XrF zr}*5S_x9CW!%ad$_x@e7x$^jrBR~GFUfjHM^`e{J$N8QuvQ566y!+U)qScE}GPAFr zDRaO2Wr@i>xz{~vYHEv~__?YV2k$(oU0i)lZ`Hb0tD@HZTOTDHVxRPW%fi^utuHr~ znF*Dv-gvRu>Cum9f0_06fqUNn-8^U6ydwpN-b#Aq`}6L)yHb~T(W#ZvUOYQ?>&r^t z*Kd8=;(kJQ_AT3O>em&R{9^sfq{YoUvzFV<eOY#S=Dd*lr1Zrr3UfZ4%oTEso#V^< zb;%}Qr6*U?Z-j;JQeJc|^VIJ@)=Djxm%L>P3xDwPzF?xZ-14s#eJjePEK3==v`;Ml zn!atx<g<$oW`2lhadAmjoYwcgLv8uR79}S!)9I&WF0RRlyKM4Aql2k>O`2e#l*y43 zP8T1Y@mAY((#3z3JAe4T6<b%F$hP|1)ls=>;ccN+Zr?rf{mV`l-0#~k<2}crZ9LlS zG7IZ=OR{=wJiz&S(!OGMfru9^TYY=d3Y(<3?pBE!&dn51w<@(rePY_}x+=!JQ9x5{ z^$fOxNy2(*CS5C%pYOhZS7q7*sSA5gl$dudUA5zcZRC21RS!E-En@G!`&m2lpIlO7 z#Jep8nY*@cU!kBBCKA@=Wot0S$Fy_mo=02WcWnF9bs@lI&Z6T5r$78$S)pb*=S6=< z@Z4oh-pQ90!XA1k6h@aNcSpQE;bdL?Xy&`Qx1V*J`W=bz>^U!-Eqh$y`!}tM#)#)z ze!UDair->A(dm2)f4->U@|#CAYhqf>nRaAl$Xs0b%WB0g&(+G7HdpNZORW6*wTvIC zZJg(pdEn_LwT+E`)_hxfV};sH?ejf6-WOdNghc|>%`6>Rd%m0#Uv4RA(ssAerOd)~ zN%9%t<<@+UZ~i;-ZA#nhqmo&!FOS~t<K7%OC(<FQC#Ov6O1}7N;q{SoQk6D#SV*U@ zIq&;Tm5n|4@48vbJ3K_bEzet_yzBF9!}~K;N{_wu<4^y6`Q#K4TfZB{1%cZ-rYL`I zSa(gM>gpk1{=DUGJm%fHY3^6l-WyvlnqXp9onGjE&QS2`#I-jj&OIs{sqky=wIrSM z(~=Hwe*Y@6Eqt*=$(uLl-Z-QQWSe#MB!5p8wp}&hPVS3uDmLF^&L1t66?C3abwJkZ ztJ?4FLaIue*p59vVIU`+W!j^%qBhZRQJ+lA{Z+Av8|TXAq)fK(U#oIA%9O=7sXchT z&U)srNy~$0pW9)*?d!_+qd)s>uS93OW_=-ct7_r%B<UQ(S1ln5R$KIp-1lvd-0|#$ z_LC%;=l32>ls6VM{j~ec^7yO`%l+PzHT_jSymxPD5`SbfbI_AH29bGX6&iCx=I%PO z(qFBuEu-mDb7|6g;X4y0z22=6o^ExpaiZ+r*wUmqYkNx{8ErGW+I4#Ft2Y7lduJ46 zP5!IABYMdV_k$k=Z{MBo$#cEyuiw_H18qkc-8D?MCA#ZKi?M(1llrS|qrT2d&31XP zW$?VqOXp-3Sv?YY5O6P$aorago`R{*6B<_AF4xZP&YmM+$(;C6v3K>2#6n$5X)DbS z?VtO&{;<yz4!`<;!m9iiGAqR83$t#%$r5ST6_VIkF@4p24ZEl(t0MnP?Ed)m#(TGq z?yl>!{#xvQoqS^@+ec3Cr>6_<vK7i!ADQ}S^0eUd(iI95WrFy(z1EFc{$^{3zvj!s z-i_0@8ofR$AMMv+K3O?$16TGc{{E${k1kKM+W+;QbdbB#DcN_y`?r5-{L!=2&+&ca zmHD1|N0(PEtDd*xV$m#1U%@>QHP?#7G^(Of|0S%o`e=E2rDTx9<{h4#8}hEEhU-g2 z|LJ_nvU{qPL{G>0Xuo8o-Ngo$Q*IsVTH|+T&8j@_Wx>6VxNRb`%yw;ht}C!T!p}SH z_J-MVA(HK(YFpnV<>jvz*}iJwqsac;7m}~CM7Kxw`78;3x2>-HfbScR^s5}(yKZx5 zTytK!!#Ol;V$9lzsH5V#H9@nOMa0UZ{NA2;aP8ok%4Wt5Oi7_0cS^oLKK`0{k(wT# zeckJgQ9B*#?o9d~{o}Lwv^_T`T|d>X`_t50Z&H7B(dL{9^S3`yo@T?m%IVRs+4GK^ zVpLp}A{yel`o@Mup{8XERz2x&SP`_+u65<K6Wc>%ezvKWpZ=|LQ`!G`Nc9urX?wc= zS*InQd%k8-$kjNl)5am67}WW1d9_7<5zalG6s@dPxZI*fR?SZ1|G7z-KWC`E-}>b8 zw3>sHOh4s$|2s43d&H+m?|W${H$VA(qixX@@7ldQE~Uv$azbCCM301Rbd))*94#lw ztF+iL#iT>{!wJUz9=3@W6^x{gto(N3DqJS`@x*K!BPkcR<`WqL>MtfX!)1g&olxxW zY1?`x?$q^pH~(o)3SFv}eNBF<+b3uFKjDI&y3@8kIuZXk>1Va~w^PwNk`u*G+TGOb zkJ?di>*?odGLsZzc1%Cvvr=@GIP2Pg)rUk^m8E+8dGJr&V8X3A?fo^+83l#5|DW2G z(|vfo++NQI-!>hMy<hjWT`?w1QSoZh3cf9ot6tUY{&G@cLRj|_+vQRl_pIG@_th#Z z>kG?o)%;lbh{b*1eE$!xgzMj_X3R@&5_M}@-Yd5!!sA2LKK64m!fQ-~Y?4(pzC8Hw z_NSc7vl$D{dK6rdSX9nsyW{my^U|himTDG9JwB|N_+b8L&b~Wy-#fmR5uTH!Qt|N_ zdw1K5{QLVQg|BFQ*3aMLy@JJ5X6LoEwMQp@$ZU9?GkdAh)fXQ6qV86o*vv(hZQC8w z`$JM)tkSl;{C7N#|CNV*?Z1;n6PetWOz-u$U-83nz3=t?-`zI&ESVtqQdIfU?f15# zmnQD}dE(<OqlsBP9`<HRwvC5<Cid0r|6>s<Ju%L-x}Eo-$NmEc=i3Hd==dV6e2Mq_ zljrY_O3NQhHH%&nrF?0><mv~>KRw*vRXi<zdP}9p<A0t@)w7*7OVam8ZYwW!TQXm2 z;=VrKhxaC0R;%3Z_%h4TPk+z1rtL=Ze_u#HJ+|^*$Cq6fmsNA;?s_*pe_FJEqVlEl zJs$h_s@j(y&$A87>ou2{`0wzGyPG5Cy8pQ@QS!~B*KLV;k4OB!={4`~?SH<o=<$^l zm#WJhF3~NwzbMBDY<8dIw&cIbv6oBP_ilc0LcL((Ik}ZLJH8YvUkdO4cjR{Y{_5Wm zJ9ifMc>GUwse1COymZOo^8J4ucAB~Nc;rh?lso?F&NAuK?pcOPe-BA~TrPiV{qppJ zozWswE-=iz|6`ZY>XUnf&q_V2V?3)cv!(4s4aeCiBYDT*08^va9JX!jdwib-XIvA0 z7^u>6a*y~~HYJ<3H07E1oi=x#S-gJrR<+J*`9)__f|A-f&L>?~Zkcq`=~?>33trF4 zKUB;-esF@p`~aiIGwBy%99s4t$l^Zv$8z3Ib%BSOCjKg4_Lcv4JK>tLujNIO(w&~G zeo9}eJKQ*H<<6?!^D(_~R6g-T%|l+-v*D{}t-tlB=I?gH`1qqOYnbEDt=8byXO$@n z>vUKZraI%gScbkw%YVaZ*Vc0>JzrbXbU;S<jJeRCOExdLIq#iNUokJ4$w27ODTzh$ zVkHj_SBi!jA7|n2VR<iM`{Q~|)9!bt)j7@uF4Hwhx%Gcm@dp;$SB3}G^D6??InEj$ z<aBF#d`v)Ao8#>IC)EMg$63^+IPaMX?FsYvkg$)vV#yiRgG$N=tB(nYetqEmlcluS zXTuGT57~>^@9X(|`u#IC>%8GXPq(J~61G1I6Xj*jop<~zBka=4@<08{gQ<&BXUQ-6 zAS0|}DD>x=$A_YY?EFuKP0kn|3{pP$zDMzw<3e`*XU`WD_Hp`|3GK=M^1!`SPNZ!` zW*_G?Q6UW*m3MZ(eti6uRoHCwa+Zj|sYS1aMK9N$?0<N)$8gUE!!~mh`?l$-$36%h zmAE(Sk3^ic#NpQ*i6#F(B$ixE<k5HNdDwfr|KZbS!#z_9Z1%MNFxb^(*cNVl?1SF3 z;~%&VNyPa|9Nyi*znGgXx#D92Prg(g&wBozhg+Kr_qhKs+#_hvHl6KUG?(+s^Ad5K z5{IoD_>~jSOK{aaTX^VuqRMSaXUp?8SKin0xLPJ_T-&L)s#xA_(rm*ik9rn<`<C2l z>Avvn$AHM+hEq=WEbK|+DcvmZY<NbZE9F>#=x@U*yLuL~JlnS5+1Ess6iMgC(-K-y z@@_^`4X3Q{Ss3><Q6=WDgjR%o+oG%T{HAN=wU0V)e0e<J@vq`32Rs;l8-^VEw@ah* zuS8aIeXPjII-aYW<ehK6>2Lpavw!L<=~Ef@>qXk6Zuc*|@$I<t%D2y_9tvpx{d$qY z_v964{+0$V`dzu=>R*YjvijJN_jPioD(v~c?wQ~IX^!EPw=EO>%nhFYuvoH7(%IBc z;$%KEcSl3NbJ8qBk()96p<5eSUAFc+OU*RAa;|4#gxQ9M6(0*dei^k*n(@0`>c#;k zr}w9A+C_AeSCs6O^NMEX=D5tiF(8$PRp+?V(X0f<g~`t?m)J`>$DaBc&><zU&+bzp z*Ux}Fqqbj{4lpe`cU*Dn35iu6@8nOpF>`a=KCUQuLZZw0kO!|+gu|8J7E9hrIG;WK zGvJ^^ghNZM%%q&2g;O5athmdgqaaly6O`1m(CKlF#z_#jRz@hIXW@rOHLYb?ItsID zWUB5Sl-S4iu;$0T!v~mNIVBdo&}B>hQP%wV;cFgd?v6D1_BcO-HhtY4a{HDVY-lK` zk*RuqKw@8a0#Cf1M1+G&jZD?l0}}g^9@PBsJ9vO;(b3}z%~^UL&SpOLA+)iP)n)Zd zWta6cS|%M>Uq3z0aBEn%zj4mRok704Z9S?tOe=GfU3<MM%9Yn-yRXTkvnG6kS+UtO z<{u7dp8v5u(&AzDfo%>muJW`@36@RU$-MQJqb#?RrD>vAN5FFLfTIm-Wv8?Rte=xG zW2;un#mF<Wk7S<QA-%k)`uo#w{@;82W#>rje%8dhI51oAe50_YNP4mG&bybzb3&GO z|76h&wOrRVyTV~^!m+t$-a1;z7A$@7)@6C|WzJ=HPp-^7<NJE8%e${vjG~UJdRgxj z`5w|4loMfW?>XnzmfhKp4!k?9DZcKaP>oEhSe=kX*{!>_g*&CQ^Y4pqm8n}};lyfb zVq2SFUn=0gDoFN-n*OuqT_J@%?!xDf2OZtzz2mO8i6DEvpgfzZm$ik7+|8v<$sd*M zc6x;zD{GBh@65bzW7@qKzuaSYt!JKodpv2<+6yPLyGwLUUWT?a$t@}S@QnFv73ZU? z95Q+f)1_Rd2y<<I&?@HZT*|k0R@-&a8RAQ29lx{ASnvG!D6_-m6Oji@CS`9h@QUvG zVn1g=X2rw?;jPWvl_QooFOF$HYQ=DG{R0(W=R~$ZS>CI>I*IKn`Z}S0xihxv%~_NA zMCY+k(7X*X)*8}@t?Qbr1jAkl72PV~)H1bjb$90ETmDMu%Fzv8*A>&ZmIZu0B<1Uz z$(_Bmd6w9<Om<hhE!yraksqCX*d0!C6#6>*a?0*%nd2AR^h)&FYoQ{uioQ#$HyEB$ z?s_D;tAta_>&BC(j?Yb=UTOV#lYhB;rR)NY7UL4Rkluo2>zduobJC=2%^&E*w$ItT zwb?()BSY$x$%|{1A0oLtW1IMOUR>l`72)x6iALgraChbO?y`VAj`P<wdof(P<!H(H zJVPo==*BAV4~{&4Z#jmtGS6z0&pnVZ<+^lM!HELy7tM?}#GcM=JtcPTsosTT{<ac^ zJ)51UF<)3J(pGBnr-j9B(Vvn7{cZ`>Q?~QmOMhq++dfBjR@?k(2mIbXI4)mcXI(PC zg?oo(31=&J>Fp2SI2YeKsBI9lg!#d9i3hKiR5&(wFW!^-fsH*mP%it=3)ZriRxAD{ zmQPXV)|cMEp4nLTRl}}oZ`F%O?#}%CFBdG6y&P}p(f4-WmG8RE71by6CAYAxTD3~- z;r!(-N6Pc-zszN;no|GzhjK>m+D+5nOYSeK(JIflv^T}5yj41Sx@=Loyv3G!EAy3d z$<<dgU-#`cjAXvJ`OUhQA5U)FYw3EcHsSuk%rzxXZyfm{$ND9W{m#leqU~2At?bMm zu2Q)9@JNA|ZGFgBKFJpAHCw-(n)&*%adH0gs=R=00(T-A_a0t+{ZMXL``)zsjkfJ- zd?mX+mdaavf0^%o_3&BQ`}U^2rB+L4%~1Wu8I#WZ-TLI;XKu$+WPOgk4K+4@)NGpb zW75^s<DWy1@%hT%FgNRcwubqN=Ie*AcI@4CVU}c7L(V(LcAmrkyk;*~-^jQxY3;V@ z&sY0A`L=_7{jKHde;;gF-JtpPi)xW_&03}+_Q|s!_-oJDu){o2C~vFQOT9}wchB7< zc}#m{6YnFf!j}gcQ@=fu>@ZY2#le%e&HP#Px>=TXrfavIKXZD;g;jhvnf|AQT5-<^ zEZrx%FK6wR=~JRqB+Hvh*#w^-Y(L$fx!Zi%=Z9j+`#yd7)3UPaUJc`&2*$|uuUJ1w zy2b@QThNjD&B<bC&FbsbYwZ~KMI1cTS@}iyVcF`laZy!oR+v8i{avV7FebY0>nk<= zw(94*a=*sRW07B(kn-V9;1dlUZU4U4Z@06At#A!$yJM&|{m`mU?K}D^jdV2SjqS9i zA6)sga<<#kqK=g(H4X>Ac3RhP?h~W6`%|xoSVxDeugxOj4+gwSelHRcXIGsQGLLKd zl;>;Zr>;)$t-RM3xKrxdwCAP0r%W%zIj+C${xqs1+#$p^l3jLl+B0^im~DqoMOKOg zsP;KVvvywX>NxyTRKUFN6yJ>y#z0;_^*LdTfotb}-LQ~p(X4&E8wz*U2CY0huW<P? zexGL<7js&&wK$fi?d0BdO89YBklDeR+;68HnmcP>^WpOgE+?Em!>{#s$He7_Ek#v= zWNny*L<O!+n9G^I{g&^SLe`~^Y-T4OKlx4R|J@n4HwA8gs&m6k`FQsGn+7Jz?yn_g zHV9s2`o*#&!(!LM{A06z{nVRmV598*+U6$n^DqN}R~DWJBaQp_U%wV7F=J+ny3V9+ z2d>TZ-0^zC7515ux8I$dWRf#~;^U*1?B`}%&NSzUR<uo4Sbz2Jgt?Xz%pWmdm@l5N zx#LX}L$p47qCczf0=^kMb0&&^*xa#OiSfST_JgSj*7uGFx}JU><8gNT?62x(uH4le zW#@K$RL>B8aP0h{_G>q^y*SwK+bMoBut_-jCUhy=(j!I7wUi42RS&ON-q!c>ZNWpU z-D^`O*VHcId4BM3*uSi1%}w$b7FZ{Qv!2!SN%eWxa*-?R#Z*tzbL|@~xn3XovTnNg zf$wYX@2-l8<M{vMcli4$i$Cy-#?*aDh-0Y_lgPgx8WbF}W6>V3*Gcb=ZrJk2InvhY zctR|z@uKs>U3<7=wi<p}e!x{a&HPK)2H&ZDx0z=j-gctT|9RVb%NdgoO6~Sy6FC&0 z>31}B!?|Pn;^ieC-Ut7%8*r`L_Ew;FalXSflbc_KAMc*Dvy-zcaCz5@^ODv}Ro~3I zH!sEOnig}k`s*nd?VIA~r7G)X-*_>B(ab8<RQh#lfaHx-$zS!TL&sTv&u~q0WMJrU zgv`i-rrq=^^5Emf47?7X_9M+Y7#gG+q$(&VD3}<U8(12x6gVcqR41}y;@%nAn!Aqu zwDoNf$-X2eta51I;pj(7k_#j{-tnE`ecL{>y_e^r=}vbgnd&zO<#c}OT?^NFVLvzR zBBRxU63_cr|M^)TJf*PTznS6DTaV(B_h%QZe6V2iv0qU!F48M+?I=-DlgXaqS1Hl` z$63THwszmC=^v-u%(%4Zv}osXr@NNBcU{U)is*ZmqnBiLbz99()vuq|o3f~cNTzeU zcSrwNuBSJ3{l>>1R$h8KHT;RFYK#Q4{?{rti=~F|PpZGRjNUEk9$;(3JzHbrX3YYw zCi7qhXT4&6)>c*ZRZqBg`Ts1>6A@Ij&cAJt%ym_DT8a7;)iXV7k83eR23OvZzkcxl zgzL{E_<!ZHo2wk&B=6z*$>Z0RDVERN_yYwuh8>%9H}L(633Zld@8(S1zkTKM&vu^n zCeiup8IMX&lDMy!@*z<6{g!*|v4K1c(`R=Vwm<OLw~`~DW$9X7PqF`^Ctk19er^yq z$>7Ip_dnnNez%x%d0l(%{|eEj^_*w_AGvbF;{WS}!`{b?bli5y*q?pX#4u^qy>ko! zze4_Ad9}4i@imv<S3R3$%}doCG6f{LemVv|ujjoPd~e~-SIVb@zMOh;NjV~J{V8F$ zWuYfj&wa_N5PR;mi04i;(_C%aIK}@byuPoSd(Gl>h*kgmhL^3j5(^KDJ(Zrk>7V-= z8|x=i6`E%mc};Kp*xLS<ZRO#=yA_w2zBS~Yue*@_T6Nhr{TZdoJ$k3kd8n)TP6_$^ zjy2(LN6U?3ovY0^8BVK4o%mR%vLPstMdh+l)72#pjJ78ka!uE5aeXImdgErR!uklc z&&sa_UY4>hVAgrSIBU-A)hs*KUdjF)SsL9Jvr^G{jlIK6hRNbTo2ri}n|*wc@w<ib z$2|8R5mP>kJUSv5am}VVq;>Hsd7hRHO-?z52Uo_0&Gf%=KSFYW_@CMHR+jz?F$qYp ztz8m#(d*ms9*0>AJH9k%MfJR1+dNYza$Z>8n<Wc$&&Vn<O?8yt8J2h0YhR7tOBKV< z)q+f^RTa;lS}uDUn&h|B|5o)=;U#&ig12!L-c<`USDmBsgm0~UiL9`}`(3g!|J|Q1 zk6V@TyZmR{L%%M=l<l=rv!)l{UZW(>IN{cns}IVV;#SXbe;W8ox1eQ?MvmO}&s|TW zUW6ChcE^j~sMEfc@ZCL(@rA$2<GJsBR({M`D)M}ug_b~en>O2r34G;$@3S4co#>&n zVZ-^e_gp9F)I@VSp4PmXAv=wE^7mEwdq3Y`G7NE8Vpw~B=_9Y|g)h#nb6gNp|59X! zk!qXTW8b;&Ufq1P{@T&T9tU?1OSj%&=Yu~3N;b@w3;!q4c-m}@{i5m>Me2etst$ZB zoLZgS-*D^r{mdO}H@;W4aW8fd6jC|Ar{~E$R-+r&40$$k1q!D<zQZ*qY5grDuEO}c zaYy=3$xl!AO71=q_~Yv1<15!3U3C25wf78twKJS|OZH7aVs?CngWi%gUh6k!h(4KN zTkMy2toN%)OY79f^QN;TUiZ!Xqi|UEZic$z{F13|0etpL+-3z-Z*Y%eIu&{Oz*+TF z<%8TkZcC3WzPgk_y^t^X<Rh<3aUHjkPR_Z?`imiVt?JQjJH31EtMvQ5c&yGLDl~i9 zBh9wMev$!c`?hzTo?<^O>f58<`r|1}Z}qQ@JN(o))N{Jw!e^fE7yhrg@3iDRzw@*^ zN)bX0u{$$91-~u5y?D#7Q&$u#zPvB&_WQBI|Kz7{YP;5ObLJJjI#79U|Iv?T+W+q` zcc1>_n7a2A=jj~#<hy&+pZc>r&)3}IDjTE9_xkF~e-3$GOE#`Jw(pEaf0UC{$Q{$E zpR^B@EnYIUR`u@eGGmi>lRX1&rq5Fj`?W?|bY+!*iHG9lNplM2{!RX*(xO@)(Z23d zSqo3rt6~m??)v5YM^ct8lAo_pvdr2)+uX<{R&?pFFY(K2r`>UL;FLYu$hM<ZOviin zg=yxUrarDK?`vL|%K5w^>q4>Up=KSiz9n2&|0?Zeu=wS<VcAZn*u9TZVjQOUajBi1 z^vH?lrGJgt%_CP%`#3}fym>e07V{F#J~uAQ50)4Aa2(jmy!K4lwBE0Wm#kn|J;{y1 zw6-E~Ys^L6$B!>4+P`%Pk$AQ)TmH&h*5#gWs*ir^_1lwa`SIb??Yp1dZjYL_^-;3h ztFJ%r*}MoAi#DC~^|u7)|Nrm0dz?czR4_ItY?AqXiv5(Sc!=HHg}>@MUc@J@>-sW< z`OYKbUT%j)mJEg0zVMv-oHuduA<5?@)7<SQ)K9;;<nI-hpUL9y?#2rk{9c$;s1}%Q z$K^X!P4rxr)*I9N7Q%wlrz<!1d9|F_zc?@2azgy%{9R7(Sy`N#k8e(6t9O3Pr1mT@ z=8aDmXZqZ&QIoEx_3ZxmE!QFW#<d4k6a31}uH`=zI_M^^_kk_p@^-JkUg=F~n_tCE zbK+QC_rv#&@%-dw9jz_w3OD?J{64Ugk9W?J+YReGy-&`%#+jJCF7eEn>V>TRI>vGH zFY$ziNJ{LHvdsR!;?5Q49S{G-W!|!G+)}2qfHC@*r}rb(>0JU#xIW1k*<ChRd2qH& z*N20bm(5!6x4U|i>`Q}7$-gySKO9WlB3mA%_t?9zcY8o*bmr~}3UA8RKhIV?_dYq@ zwYNA_LDPEH&l;WIn<W}jman{JxvMeo(8RQ`pfz#FcDXJuWu1KfvFfhyHOE(eb>nic zIP_$yjM?+&hv#2i=_NeLuIcAjHs%f)=J2)h!u<R?H{bd#JN0L^?y>|vul3K?{$8*t z{m8#dk$++j8Zb`sKls(^-vk5y`oyNKSxhng3+gs3fAVIb)hg*fH~U^IS4E{=O1YVv zb!wTKXxo#Zz1ov^s`Y9Ao!Pj3c6)xxm0RBSg&GsfW^uRMF7aHk$?40#6(whEcP03g zy|UXeN!Q-TX^DJ~`V!^6&xA!CzbqI0HvRdmntC<q#=mv#JSxA;OERq@{`!P07k<PV z@b^`r_+)9ty9XEDGV9oVeeIi6`E#8u>%LxJm_GN=#l;hL{=8!?eB`aqt2xy@&&n4q z+N9{E`%E_dmdLK8_nr|Y5|?M4>J58YzhztIfqi*9BNP~ROchD4<Xdtn|JH1QBlq@X zC{$iLptw=yce9y_PKbwJg4te=z{4dC9lj2KwJTf0Cr;1{-spUIu6$y^qJm=+J?47n zz23dy#~qG>nI6m{H$K{R#BemL$z@5a)Wv$1Eo^-n=u;^nGxy<{`Aok~s|JV!ef_2_ zTa@VFWc;Th(DbVG66so|pSIQ$R#&~5Q0Nr-Pig%h);n8o+Fe|>p8wjClYje;>#HnW z|Hz!(KIOfqT0-T7w)62vyVo%|9x03Naju*1-H<-7{r`G~K%?%OrAFbJ?;gDQa3oh% zD)UOMdFa_YEBDWjm)-Z`$6lE<$&=>u4-1v;v+oS#t}an9oFn!xHDY$6;kDMjWozw) z!%x1?JpR&%ZGw-&*HcCTsXLCk{TE-AI?3_U$+_niXJlxv`CByOlE{v{80lGN;$`op z%ml*P<}G`1KSXy~v}WAq)1MQTu3Gb(F=671gm2sZxWe{cyc9m^Cd*kh!48gB9KtcN zLVI>*J=aOweWo({iQpB1ZHt8kdqYez?wJ%jY;A}Xne3b?71g<V>gPV`eJ<VldBu&A zM%Uik?kbaZ)Z6;_``nDauS=&(^3ANDJg@Y>&KjrIOR<t0wucCY9(LTKdht-G_dc#k zQ>=Zn3*&bEu-)O_{CTgsF>67LBG-q_{GQL}H0}7EvqM_0U{C0V*c0kz3t6t8y6!9Y zT*M&Yc0mw>zgu{U$o3`2?Y};Gyz2PdC%qG&o%M<Fk`hfi+4)!}`San6+g=pkGR!;p zv~h;7@{@{Z)6e~MiExsUN_keYBmG0ysoYs}t*-N&y0BAZ?!-Nd#4cU_zIfR)`FX3d zGTm+&HRON0H2>5Djvp72t{ihMS#xk&uWWF+E(^bl<J*=;MZJ$-bSCO7d%?iGEBTf5 zi7iXmh5qDJ9I<%I7<g2^-omO}W}o5dq{8)IB6esP&-AUz;_v9F*0MfxcAw@~d!JyD z$E8l%=R4Nt@|W=)*NRgUkSGa{zH7Ai?AJSQnOr3T*%sU0z8w8b+@AXxn+3-WHrd^; z<X<`Q8Yr4+O#FYnR%NHChQzVG>JqxaZT=J4!jC_*DPnHf%%Nzlxp2~({SKi`(~bs2 zwx%_we3)Of;Gi=1j*F+yh=%IM#s^;wKe@j6)IZP3#f*!rJYJo6@9<^52%B$@$$$1W z>)$$UEy-V>e&S16_pVuuE?iA&*ZB+|dmH8+O@Dn?`EUA{rgBNX&0BBv^>!+6c|J!a zqIhTCiMs1;^4I6H?&Dta*2_kE8Oz=&&o%@-)mGa($veDV#$d?=<3G|IeHq>r2ckd8 zPiK`~Fe|2rEqsr@<!2tDV`kf}JB40bl<#2-;r}SEG&hX>y=s6_rik5yeak&N=5GC8 z;d@%>=D+z5bjmJ2{TY8Jp-%0Bu1MD`3D(aK4t6%Yj8EW@3G4ZAEr|K1yNg!+B_Y+9 zdmDw!)?YZo-12wAyMqgxUun$Cu5H|WG^O^YJoAmJHOk8tZkynp=l_hW-N0r4-mj&< zA9pWX+_yJCw99k-v5Wb>;l78%l};x|DQ~HZxU%tGpV7ufu8+dkT%Ou&uJ>h1C|{6s z_|QCCJGWJ9&h1)e)c38qM(B;&?5}JVw;H>x?sCq`pVY^5F6zPG|CzqaR<B(9)$Qk0 z!Iz<Z?{$4H{`u9uVs>8H#@0fC>b;XhH@!5AEs^#)xOaw=mMqJuJ+@{GXYXG(dGfLc zbxl?$eKi*C+Fv5JZIXgr?T`67+j1r}*yh?<9Nu2K{z$H))2zaBUTrg>3s3$Q6y_C{ zuY3N}^8Ce93ho_Z>i&}J40<dthR@o3mD5LBQ}uTJ(}=aMn?$lc6*Hd6y_3Ib_o`!I z=_jxLch7iqqiBor!u@aO{){<RGttQI^@LQ;gm2f%SN^a#maD^Rk}~Dt^uCY18q#&E zQeN11xxGBi@OaKlmVGN(vl>2&pVbY2<aln`CgrxNmFcb=f#C&Fy`NV#e0(nGzwDBA zn;UE5jRgCoc@?srwKvwyPpQl0b@=x5UN*B{YuBgPxBpg(J60S{)LnRX|GrOuCTNv+ zp08<{&{I0MR()@?@8+{}FZu62yz$LBRzL9q8@ZOA+jngxHZ4$m#xmRPP`@*S>5Lis z;xk0qc>fsgxo!A=uCcj5O@q#|=OraO`k8_mSQL}y^7O^^8Qx{MZ!?EumqNtZlBAyo z4G*OR^yK~@-n`xKQdRSbb(V&*5=U+=Wcaj?d492Ca*4Rsxw!LEZO4SBFaJ9Euh+6A zPXz8YXYBm0{@&3+f}!$Ft^Mp-<-AwtSNWw~u83eV>iP8g=&RR{4UT`~GP<yA%~B`x z@BNSTl78=)Q&xDX{@lmr&;RFs4ZOX-c**DcDx!CK72=ORz2W&@G~P&gV@KqfCld?8 zpC?$gKGnN&Qqq}uS&VkG`Sbbj%6S@D9%s2S*QlTNXVEnl3T;{a`*FzeI@75qcP%?| zUFp!LlY!bR{MDyszWuJLnVwR*c5mi?)-Uy%@&1xVkKFyvuAQULH<Qc%sSo=Owr@2H zKW%kYOP8s4uN0Ukb8O|(gLUWPriX3dmC%%&J+;0sz~Y%8f9N|I+hiwwKiT~ELfj|v z-RJKpnezCz%E4{_H=Is9x7qXU33c&qq1UHU3mYb?+?{K?cUpYV!hji{9X;nC@Vcv} zHFc%kg?}!cD#y>y?%utBd9`#?A7AmoV!oUW-V1KHA2@n*@wvkX{_x+s9+8{ewYMtz zv3dU77tR+G{<J*$`!6qMQt6RsKk<TXzSFz+9(J73U)+?eTO_R;ry!t~ICswU!`Hjh zH|!D)NRtrV_KVSdE4OZOvT?VH!P4VQnMp^zC--{wYQ2qg+8^xq$?hPB_>+xJ`(hif z-HCnK+pFT>z4-A@x7uwodhTx9T2jArT0U>?p4_9lV=K3j(F~h^r*?cx^{|ad5_7nu z5|nGsB&aHCmE+j2zh(FD>AsA=&gogzJZdPO_wU@wd0+E5on)`=-Vn3y{)JUr^jShG zE<{}u;j;g~+h%GH&s6rx&`zi2t?c4?OLN|YJXq4X{nFD(uK(*C9{*YXTF8$zNO9i2 zDayaA{27WF&pmj_U;gm=pWaxVH~RvvPW$ZO`rl2-q1lJ;>|H1Gd&$oWcE3qU7Ukbr z_wuOWyPGD9dS^v3GaX6XeDx$>1oP%t!S_F(FzmHHzP)F{J%tOVvCp?IkhlL?|8y~% zdSsl|!^e-Jo>k44{kO1gWw;LK#=Q?FsW!x3mUy<!L;CM$?@!EkJl2*P#IB5DIKKK< zahJ8@!Ea)1D=zkMOx3w>GJRbL|8X7V)xs{e9|XHgGwx=ewmBJ|^~SHx<l`)*gY}>4 zcrNEQRdRYI%#`ZBxZK#_L++VEwoPyKPByQ*V)EhP<>zx0o*gTnrgq$J`#Ql-yQN;_ z-+Pf?{CNF|zxk`U=7oAJT*T39Bh@FaJLhXoT#E9h2oCnH=x1|wo=IFMbUAaumCoa* z0=wBoPtRVLGMVkg#0eRmi&6razbSSw>l`?LW#`wD=IJTjryZZgoPY0q;+@-{%t=?D z3rHAOs_Aq~CG=O6zLx3VquE$>YDM-B#}oUNLyi01$$4jPyXWP8=4anz*@x{@x5h>p zYs;TImpA>9{lfp5_J>~_-ZJOWo@N<|j0-ahw<cMYd^nfCw^`t_hx@Swzn>X*U3;tK zB=41fXtiyk%;oqA*&0gn?hPwDcC7s4Zy&Vv&esd)y6)9{F?20ma@X*p=CjPmm%pA1 zUQ~J@asRt*@=IP1^_yE)FE#D)l$~cEx8@a}Uh$m3a5>-Syle~n?K@cWCVcL*TCTIp zDdZN1q|z?mBXe(maaE~4z3@)xif<dV72a^a@^YO2Kf3ifa|nB`VEdNS+`nZQcK$py zMeG9a)W??%S|%K~Gyi`3QQNXzYqOaPM83v4d-2K}X`b@G-+t_io^kw|_x4-Q3n+h? z7V`bt3-{;+-`dZ7^ZwQLd}7e^6PujWPxf57)FEKUHre|ecaf>wnYS(5f4=R=-}F0X zb<OvA=jGo`^fjN!=BRpl!EWC_tB;&jTj_kD>(lkRIkQS<{ysM4#hkjoKZIxM-Q-(+ z`R+~i75}9YxJ=$_xLZHzo9g~YN7M7mfk}PF22Y>w3(_hQn3T`A^{$kk+nJA3jBe(y z*>tB`AX!3P=Ek|o-CJIB%)eMv?d8(GVQWIi;(yW$yZv`?XT(g5dz!%cBz9KX`+sRW zUTkoXnw!5_`^3+rcZ}<&&wk;eI!*q8g#Ws?Jja^CV-~)<x+ccyQ}?TXJL?rz7;`Ed z=;0}jdi4EW{M!pRtJ4-2%Dh-=`@kXKU`K2Ei(I|>EV;Sal~20_3;uP>NjQp^zc5`i z*IMvyMqq@}4bx{2pUyRTvcDrON#L~amQ(v@H7?d(G&l5?^rgI-J2#*7?axfDZn85A zQ*JadzVp{kkMF`db0fAd78YlBzkKm-U&?LnCs{U?>FV;jky4z0*;iHW@L#Bra%V>N zo1LXby)~b%-HSQR7WGNJ>C%F!cRp_afBWaTxUEM7e9qloBD`d|vB8E3yNfo>jbD>_ z?d03pHPdhXeDb}e;X}`(SA{3_TecmG@G6`t+Vt#OwBgN@TUKWubGX0aiFw8Z-m?=n zdQG@><7CmYAD6=J*D0qxo77Mw<h(#Ac3Sphb7?!fklA(t(R>dRZG)bt@&54fe|;qP z#e#3wJIZH%yYh{BU9i$@$)`S_{n<a+yq&jRWQxLttJyct9uStlwI(m*!QE{Uf#)Iv z*(AOF_y3ALp|3XO^l4-38otv99oYoD<0?FBB<ruXp2?M0>+V<){9dV%-E!yJsIw8G z^&(1-XUlC_?b7IF_T=U4Jsw__(X-4ih$hUQ>CvSaP}2DO>Aw~CMCL8=t8@Hz!8v!b zzqDz8mXX|#TUzG)GuS5Z99PU0C|!N>yn-*=O_>{deS#X9myQO!GTfXsWzF|XGhJ5i zw>mFd;&nk_U!6hBq<@k|(zBa7&lavN-m}5Dt5jim{DjZncD2O3O9?rj_}!uC;@cQO zV{eN|`Abi~3Z6A@NpDN$Enk<%ZelG5HtRk8)3-RJ%CGBS?wnw=C!*U9rMoUvOuJX2 z<Tg{w_Oi;l&H@H=HLKGfH{DH~yl?)7dDV*=6n33y_%~na?7BCfmWm&b|8ZgVihp0* zH>k{-VeNZ6*C_aWp+wap?X0V-%gw*%KJnn+^Zvz&IfV(b`~H7wv7F;>bho?wo#zA- zwp9OJjeo<K4()s`^8Mk=Kkn{#cxT<;<L(-J@0%L0>_*eW0!*IbPI-RE_Qk9b`Q9oc z%`stZ(!BVMRq2jR>-JpH+Bf~(q`<`XROSaQMZ3=CzhDcEv^jQSaiEQS=<!GMT&BA+ zct40w=L?@U*U)&G?!HzY*Df>n+=WYL%-viyY45xpuRTtNzd3oF_iXTc%@Y=P)H2pp z+&C62yt3%{D*I{943C$rwBhR6x977)u+gVwS6Kr2=RP^V@!)5R`Ln9^z8`$g@_fOs z8;+|gWka|H_I<v@bors)(?icYVy|rYDBe?_(fXKkt)k+7*?-ZgA=8zPOQhGQTu<z` z@?`C848E}@aKHL**^_T;-`?I~QEPQ+?ke`o7X4>F3ArX)tRvpfPo3B6YGHJY`}?~{ zL*;q*zdaQE&!n)vGTWY8-``fXo%6=0*{@x9^K5!|QDDRJ@*f@Vw#-`i<eOvEMIO7A zN4Hf4r`xm^A7Qxf_K)F@KD+DWmACh#rf%Z@_5A4ij^A!?q%PVv9n$kvJu>%0SZeT= zZ7+YHi<Le4va0LwT7#3U-e27>G;TiCv!8X5%iQe`PukvP*#4?``SYXvB`UjLihI}R zroD4ftkx{%>9Jwf{_C?YTueDpd^?|h*#y1BEvHX9s|2s@e=O}}|3Eo$iRVX&iS{Y` z4z%T+S9`I#%P8;5L)+`t%hq1+`#$4kupRFK$Au@n?krUnU6~|dKS68Ro&NzxQtoa( zpK?NmS8VEmKWh&cWY5o7w`!$ZjdP=fi$H50Q!4+hN7b`C11dz%@164Z?jKgZ?5hIv zr#lAPiB0u79K5Njzu@f0Gf7S7#Sd}hJ&4V0fA{}c%}>TR6SJzNzb=hkeAwet^8cH9 zw%1bTett7Y^x=Qk+YXz(WgN~i9hbV>(z2i=KuhUW{*JUx*X`1-{JkFE!=*p9%$G^m zsEAUi_{k~SYiQ8>VT;|V^5-*bm9Hh8JDm7#=DT3K&l6_vG%&eQ8@cN1g!L0AB-9Ii zx7xEYxY)bY@5RjHA0G!gGyeJc&_m1Y5I^^awfl2qW1da_dp+ZAfp#mWMC0Tbq06TO zUo7GN?_fVa$LKQqB-?=H$%>uVzD|`gRkLXi+F@)}er)TTt+AHNyTtP59b-Hu!fjz- zSE4s5xkLZRw`jvDbH403F!#fS*qIg|97H?rsa?`g^{lnn;kEthlXk(Hrqf^Naq9^5 zp8t2;_UlR;+lhs$9h?gbqo%rUIW%GZ$$1KY_vAaixT?{0b)tv3#;=LXK3^1CY-q&b zAU)&bm4#QivssGe+&AuiwAy=~mw&(-H-XFzGs5186{<1L;5zZjO`G#=V)Ls%TRY}E z{qOmmFvHy7KxM4U!HLgJ-+Zt+GWB!b>wDgf=H)z2td;RfOcv{!zsqm`cK1+6q2HqF z=D4fJ=V$wcUHQDeZ2Gdve;foS7k)B-T)og}^@cqnT4#TV?lj!|`2UqN3<ndlu0+<S z`(9jF#u~}R-<<u$PNjbNr{u?<{>O=aw9eRY<c&tR?Ck%$&n9j;C2b~b=d+%hlTT1~ z*6-4u92?&Q`LlPPm9ex8i2wX}={pU%?k9&CYV`lUU=|h6o?4V-X)xRK+Qvg_#nHP1 z1m3M!vhLucjdQ|xd;9m+u_megFmMsu#FM4*c)DAynl|6Z?y7Wk!>gO4BkEO)<{mve z@y{^__v5_h?(VzJ`eR1EwZ^&p*M>XdO<GpHZ5Lha_EUV;$s>P)nK!IkcYck<l)py> znPe*qxZ9*x&dqt&eyD%SGfh`5_0sth+me~S96TJde{J{Pf|qU{d8^AB-2^2KJ5<Ha z&#w5@nY-qkrrpo3UG6s-zTNu#*0IfQ!n>wz^LBhsi?5To`A^)N_mRnmf6SM4Kbh_l zb@fepD0H_sCL;LVEB$}<6`wq>wbm8a8VcO~wD;6p@r8EJf@%&u|M9}AYo&Ri=6qqZ zy<2VHpRT;of9gx^vM-nCxj)?Y<AQe3!=0MvSgh0~jqh}Mzxi=rVc*#tpLjm8MGTo; z*IMT}RxJ7+ed-qP?&*ee->qN#yWp|a&WCS!PL>3iUn<k(D|3EwL~fRpbL|55nfW5@ zXM!$<Os+d|R`d7TCv5*5_l7q$JpBKl<?aoMgZpL}+`YrsZ;)eL%`)eMX?e=hd+q|4 z4utd>pV>WO`R#+3RO;h0BAUe>iW)wVK3H_v+l}?N2kQ?F-rMgVtefXB)5p4-O($gG z;k8U3kDtouoA&Sc>e-XFXtVKmo47u2IK27G;oNzPjP1HOFReVJE%7pNxx<>K)x}GE zTa`E7o}v0Zgn`jtA@c9MyzQ)F5#L1%^1P#W^0<Fx{h1S%n%<>QuKMb+k_hvY!}Sub zw;LziDSG9-`S7$=2SXIa7U@O4uC+_+-)8Q|uqn;7I?VUISE-VjVn)dP|HAqCbL@EK znkv3u=rS*8DCFDsV0s$I$uD>HAF^gfbO&3coj-Q%@f``hdHm1ryqWg?@|sB#h4%BQ zOir5e`LONQUfyditCkojT7C=vrD&+^#Pf%HUvuwnzRmh?V_j1VW`#R0zPf9Q<Av=y zIY-m)C#g9ekncDf9WN1TlOe9g;jI!HnPx7pvsBQXH}|!`?Jr*&Z_R2K^^UC#&Do+^ z<;m-;b51xwKRB$bSNhSk({bN9;+P+ItnFI*cI!{2$>n*rmkvG5`K`BZ&2CL5ZN{f} zTm>HAtbQo?kCoZhUn=d$sXUo~tCl7#oWc3=_j2cudH4R`Sn^5!l+4;)k2f4Je0DnW z>(tQLquEnbwp(5O{(Kqlog=en-gx%5d*98i&T3VTE9zL)eKi!F*3Lf`T&5%3k}vQh zc8kN`f0NW~D}O}uY&Yc>e0pl?l^t&Se|VW*It0vpur}<n`)~Jv(5aykDfvZpN`g|~ zelt${{9%bfv-Y9AKT|Un9}5r5u0MHoZRITMpQ5VwlLeO~%zU$~Lguro%{hgo951xz zpJO_;xy|(bO-n9g$ys8Oh7xIK=M^3f$t&49OD9e9OzH6_nw3)CTunO-g!de=+_a3T zYTsS)MNT|7g6wbXG&pm1bI#G0$XUiyUI%&!$8da^7OH>y3*&tkwXlN`*{gPW8Ql}~ zm_E;0RmX3d$UhMQ-)p@sT*7ZWeT-&{PAPC+$?IAxd{B3bnZN<Jv%)Q2-2Z*Q{!{D= zlzSX~c;X#{$eYYA>W7Udm@k@tz~uRZ_`Q#0BM$KgZTtNs(8;r~de%nofNL*yotVB{ zsmy%MzSvpIpEG6gDv2(v>f5DZysP&wr%P-(YcQMW+p<eSZ>}5u=4M`$kYBg!wWssf z<a4Imi`h&KO;qQtIJ(U9)|n6L`eLFi`g^Kl7P?leyq{7sbJl(4tE~U?xBj^6!)~_1 z`{?zp+d`bX|9Gs=v1;xrTfcqD-Eh0N0u6IxzZ6?&X3j5u?rGI&SNohL*E#c4e!5qF z;Muk#Z&%JrdHC|;Iky|ukqR2G`zp1r3NL(r&h_PQTPKa2zx}hmp2&SIIlrLy^N(d) zXBxIEbtZmgVB+swr0Q3Z;x+f{-2Cr*#e1^v+J^sI;<U3t<lDiW>Rg_u3fp<LQsWo( zZQrnbwr63RYag48<&hh!BShu@t@xf}w5ZEAd!OUqn_F*$xl5Vp#ID~Sly|Z<=c4xQ z)}IN>zRF}4>`u}<DYLZT_T;IDmRTs9{1sXCac<@=2S!h|{l_-X5ZKPm-z49qB&GcK z*^6np)<WM|{6g=zZn&6l<@`9$T%yJ2*-L+oNcPXR(W=j_*pmZa^m1w432tcN*`e7{ z(X?bi<F!RG*H5lGtMuFH`N_pLg`4#DUQb?ea^78ofT`cYbwv(5{PtZnTJBcbwXXp$ zJN33swCdez7+tVknxjJV@QWui7B+s_#*!G;A3kGEl4QmW=Xlct!kN*X#_JmyINqu? zH*tPhl*e3Sta3`Bc+Q)BhLVR*FAB3b`rEqyX5_c~7iUSBzbZ0eS@Wmfm05MFOs46N zFPnCryC<tL_4=%&MGS0$hS5J>@CSckw4ExYm8oLC^XJ{A<`YxHb$>O66hD!CeXd`7 zzR2oj4=1^mnZ+Nd;M!>S@7vTvcO-so*v9L=L!tSu+a;m@i>Gk^&68(uJh(c;<^F81 z4cxUUzc<)V{VBACL4NwzZ;`tkBD#EB-hBSI*`z+!P{Y#dVo7n=t)qOFT95yT2JLH{ zf5K~J53_xP8|z!P#~E9u@lWYGSD15pi|wnolMim07ya+aV!a#b0$)Dss(MXlQ$1An z;*!bJc&-Z9qVp0h^X9J1N}OW4f5WTrXU)C6M)q?Bo%%LSOF6nzDZP)u_qAiUQ<vqR z$wy{Xy#5mR(Ec@l#^$EI*T4V#q58`$Wh+~{?5Sz8TOJs3e3|xNATr&3P1N*REE`sO z<#8Q&Rqm5?bc5w=R-+E}{GB`GUz~X#^kP<(wZ|>@S+?3L+u37&|D78oIe$uMQs6p~ z%NDnIv_$Q`y6}BSxO}kIL}}B@L%Co5a#pvQW<L(%f4#0(OC~SIy!BLc*UvM0Gek|+ z%`s7N2-P;rkzQG(6xN|KTX?q*=fb7uwwA}7^^v;xhDGt^m48bFUWT<jaa4KwcLJ+~ z%zd|-+p}lxC~xX?YHVxD;awKi;U}9ZKDT1o^Bu|)1RuU*T@qfWT;QX%;I`r(*~=aK z?|vw(Pgef_@#02DTZfu?&lkPc%WYfrN4(ZJXXA^-iyWGs@9Xasi+f+h@__sJt*z4D z^-a><3*463>}5_jE947(Ct{{M?ObZ{-s&GMhj^Dc=IYI`53&9(%s%_l3XKL2E*llq zcdff;C%fEY@Ne1sBiG6?>;8)~pShDaAL6|5W1p!ihagkrpX`GwF*{#uj;wd&l5ML$ zbn9U9*SUt*_pV>udw;{e4S&~hUyd;onHO7nz0lZf_nhdURf=XoOr_i>zUP+9xcxIr zw38`u7WFrIbI?HOxt-7cl5?GZZg~~i@rG>fD|m3Z?11wAWiQ#RyQjuP1-I`o_Yx|Z zan;EEf#lm6(=Il6@Wu1A*sX1vs+&LW$#J`~JRM!ZLqV&``O0=Y6g_p{NyXLIDsooo zKMS)*_kT3yJ96_ndAo`|Ek7Xm_XXFHebIc?iw*6syT12t`evXmVC!?>W^~G0X`A$2 zk{eztc$(dq#hI@@d-ub(2Og%k{&g20d9p51=&GVb*@oZTfovP9bv7;A@M{0zPuX(Z zo}MuQT%{Qns{1F$<|#+aI^QbP)hlKu<e{~O#Y`sg;<20gL0PZf@!ND}Ou0SVeO-EJ z|E%iMeVJ2s*P1u7v)cWL@ru}|IkSuN*y`e0iApx>I&|%)uY0h~TIR6x=WBBNRkkda z7yF*oZQSQ3=luPm{mNgeCh3<amMgthU-@^B?6I=-`&%=r+Ja+`-S53y9^IEVN#a&_ z$Bs_J5B1Y+_y3o_^{KV-r|iz#lYafux9$4<cD8?2h+m1-<g%-^_7|cuW)x3r$Um_x z-jB=o&xewQ@6;Bz`1b5mI5GEBSsd5hFYNVgDu=J{SsHv&Z%>!m;_#-}0^P4tMN>p( z@_e=AkE>aDf&1zOA^BHaE1!K)V(n4moEK-(|7@DT%LBU=xEL<8YUXWuJ8P-K8SlPD zO56E<viF&v@Bh12dGo|GO+Oi8zWmH)T(HI4;yhQ9kaQ~3?p>Q!d0pP<{?Cpj<m$>t zi`SSWb|#j!|1VCQ#5F0ItM89+>Vg%`&iCslAA9YvY|X=O)3!}hU(ve2w_xh~B7Q#o zdkZwK9&KWCv@%d;@`#BE3~MNvBU9*o#Eq-zxrR;UN*DWyR{0m+23P9k*vCk_E>L@Z zw=D5>*)-+Q=BFyYMM<+;+TQ$m|7T+Mxp?-bg-WaT{^Wnrw^Qkr-iN?D&);1Q;kp{Q z_gK^S_x^>KAHLg^c<}zTTbW+9d|Di*%}q^LEool9_3O#}09~bj3<|$<7Ji$SX>zzC znRU6&)#CNDz8G)3{*LWQ`_tnVi<)nJSX7(5K5Nr~uj^MlOf2I6GULwwmo`VU)v{H! z(v@ws^a8?jKE%#{l(pj0&)V&?CTWTY_FlG|v&b`e^ZEwmiQ8ga*yPJD?lml1VYB4B z`{J3K_Lj#f6#iHdmF~GgD>~OPhUcj01^Y0;uKD+7>Ktnl_`2xTeoyBwTyG0!vL4tZ zQS12K<A;>;KK&;G(WbNa&dtuAkn)XBb|J5e-khc0llBDEZZ%b|W_?t3&TZmx^QzvS zW8WXF)t!-=C|+~#k4LhNO5ERX&*$;((puPW@?{@G#O*J9o96xGz0}}g6jSBC;?$A) zi>*7QYIM@rHt5-KSibM%x%zQOR_FosfXm#1Wyk%dY(96wTjJwq_dAmwahbC`Q)yXj z<no~J`_Fy1Bs;$CXzxAz@aFSpCm-$9Qkg2ef&EO#EvZ*=^Hoev{wUU1r&7u9cxBQf zfypi!zE>W;*uv4TxT-_%>lg1{SGlb+Qp>W>dc2+R*2M0PWh_?|yMN*P&Y-t)pO4*a zls|p^{<}4Q>*_a!JvqDVO8qa9WO*S6KEX|s?y2!?OA}wv9&p0ebjplFv%53SUA<SN z_DuIugU;rny<YEE@HD4(2h9D$B04)NMY3Swi{&v^y*p~ISF044i~eqSC)}_3aR05( z{xc@^tF3drWn?LT+|GCfb0aUKalY8^RDt6kPb3`OXf;oho%8mx*%yoAOz#+c`?Q!V zMt5DL1~)I~vh~ZjE;QD<YG&;H;(B9m*x^?vs;0bhzN)*<<}bh6J^ghWt?lcwg1>GF z+!?v|MVjy=(e|0HZml=_7S*aZ+i+boElp67e{|oF|B&sm+1|UXKeVSO^2aKq)NQyb zzou5%L_bA!{j&D{xCJHIz75&&uakVf|FoCLJewlmsjJpe$9w!!zFn7V@52dvCI3Pq z8n3TSeEs0oHF?z!@3&mZ5ngog)swJ)&(=KE^m()3V#LGmUp7@RZ_8u<moeR}{R^M; z`mF8ye4lN*c~W|&gkO|%W5xrgwby2fonCl5C%uy?dnTLC8i~y;3nd?Ue^IO}bi6F% zbE9v2iC}lT)>bdEo0}>awyfcain^Zmp_=9B-`$r}{_8$7jb$i0c>7Xh%%6x~!SB2m z^r_6L`DxrVrSN;Ui1DF???daSce(Bf>8V#Z^C0V2>-Qkug>j+>wqLvSm*<9^Q-qj^ zb!%P^{{gn6*N!U-a-Ioq*F2JEWcep)iQCH%nUAL}4Ic!Y;i^oH+LScw$ZCxQ?WFi3 zmC!%$avYv;_1xa{^-a#~GZ*JQot%HT{OQc_Ypt)Js;w+_c+m4_+TVAkw^M5O{Im1T z;Ih3Cu|;X7%8fGlEsr03zV+%B%Y0wUt{Y-^f24No&6{_2kK&dpRj0|4>JL+ohkn}M zxpcbcmIMFF_jvT&5i$v9pT5`lu%zU?)9QYA_=>;!9#!_8q+rIlcU^4K%s+B!i+8U1 zaoP0Q!u3Dq-7vc)F6H^TL3o>)?vEAzS9kbbF#qBsy6zlbsq!}lnF@2~ZJKA^c_z!u zHS}|QWp!}n{g*wQ+cZTSE={{~U`y4fZ#nzV?rhqz&#-(}tn-q)uKE|PFW-Dwzp10? z-&qxvHR2sp-MF?o|6%rUJh5{Q2bYaB8&d=;+eXf#^X_`Oe(yb49KTeyH`CK<8IzaV zv9jho-uCwr(SG;0=Nno%Z<zb#gG62S{|Ps$%cp+t)rs3UBm84p!tZO#ueeBcyDpu+ z_xLL7AQ`F5p2qFJj&IPOJ@2l%YTi}5V}};@MrwX3F6X+Q^{*uIy?^m(hL8JJ882ek z(p!4xkSuRbMvZ|?yX3Opkt?>#F8Y@AB+>8F^BLAVx9OdTOF72wq&|P=EMLc2R{#Ar zHm)j&+{s%r=g`5Hm!H+w9X)Cq|B`pYESu}+<^&YF>{<0-VM?8=Uy4qbSNfsjM(_Uq zzAklG{4&p>GB=KO32*+%c`ug!=-Iwuf8@C?-Kz5M89DBkC;O!xoov=`o7z(TRiE>G zo0?7VB}Zq*iMN&pSjpcz@aipBjQX=mC!<#z)?Q=zQv7<NV|B8k?>q^e{Ks;N0)M?; z?rSXzdYi{9tJD9-ZDFAJ|Bo}*oxADS%%I76!{_n04U;Ej@4R#T!F8)`L4o&wW#rmT z<DYN;!2eWH5?h$Vca!iJ$5tq7<iD_0Kb2J4Di(eAUh?ax1$@&3n7*jol95QB>@z{a zYS-PWg?+N68j@!&t<e@Q)7lc?_uxX=-pUg1Fy)BEteZTu4A_+Z+B%-EIuM>17<5c> za*#>1>j8!<_dgwWE#}j5x-G7uH?iq_7F%S`F<*f_yN!Zhv}o=7v31uH#k&PY=JKh( zjyz8|sPsa>I5WoetRS}^cl&+cBl**|+%wti?xP>d7g+Jx-O6X;?smbIj`HFa>k9gp z#t0}nP8Lpd2!3WD)zSEM=C2i}CeN|)`5q8i!z)yKiRT3GjzR{Jkjm>{`}6M{OL!F? zC2_VV+F`bb@od{|Wpi40zj3YEw!X*I@&56uspblss;8gVeLrcZ>7H8kdz(X?#obp; zd)>EtPQW^$^SSKjE?Dr1aAo}Fo&Dk&|EuFmSO0Be68>AnmcO-$^XcO(6`_t-w^pfM zWMa5pJi)DOmqpu?4>Ordg3UypEuNF@+_<bo>Xc6Fs;y%3tFG|;-+6ZG6|pP20(;VL z?+Z_xS>$-#d;TenXx>)mzrP|kDShS7(VJ&<ta8Ogv-;5H-;u9dqGt5Fyp-u$EO+d` zdB5cqt=GLJ?f0$rdVhI-<F)4TAoimLRpur(?FU8g8BY-UP-pdQ*@+p+FKia~tUUK` zn|s(R2kT2_38fX1@-P1Q8qUz_+-A5~^vZH21>@rBYVQ{OnJ22Jq$(Qu`oxAQ4`h2A z>a<PmsvRw(rtwy-%C*zf3C>AK66bpK%INY64c;l!PBZXZPP?U&bZGa4l38bClFMG1 zCO>hxeEXS=+^u8ZBVK4Mxu|>c-Xc!^X2!5RGgocl`Rk#?w#fIRUx?<a@VHnrb=Dab za=S8<CU4(&KEYDZXS>X_`F`BO{v6H*`YZ0rb3gU)iF%~io-QTPeR|#0o&6gGcZ<w0 z*UA@W;%Uyw+$e3+e5z3Srs3&hq82@8_n1BJv3~L@ZtlN38j1@iOB{XZ!FC{wfBi-u z?hg_#q7G>YssCD7d1v$ftwm-LE}ve7?G0Kke&6$@rp7)&!zef5c%ePp|5kN>xw7iQ zpWaC!?E=<ob=qDfv?iSNKKoH8e*eOfXZ~J`uRg7+Db#DTHh(XiXJm1Z&p>(Wmyi?d zZq}X6R^7FCn}*)i#5V<OPAisKg*sOpd7Av;Roh86t|yL7=j|^XJ}adpr1)6jW|aFR zt3~?zv*+60KhIF4@3=mqT6;!KAxlRVr>}$OZTBl|k-O4M7cy)#ermiWuBhbbRH<F| zVjWjIFShqSIJtg%-^E?eduMnY45|Hhw{X7Bx0Jv6(|c5TR~#vQ^z!AYWBXT&y~^4b zd*WGo%k!toanp~zEtzrB{^N=N!t8dBerI?+{h|6GG(z@kw+}<}(cQ6!BYbB+;r^A! z#<ajrq;m`NQI<*GvPZtFu)6U#^cF1Iqg1`Y&|#ZMh2LVapNc%QXZuX94z?-{I(s_w zab?P+^PPq(Vs4se&no<N<iZVZn-f7F6`Jn8XFlnCR#e!kcukj{McqU{NAJWVZm*|4 z-M*Di;@f}I5|7>21fG1c^O#ZWlgU-^wRzH7$5TN!Ba@rIHq3vk^)uq*zGt;o;_df8 zw+nJ^`xdq_<nm{WVC6dv9IW@I+iW_v_3zH84}k(ETUK_Sy|r-rRp+gX=Pjyb3`)B- zSA4GHFWGjdNog64MF(%Bw1+VFhdJnMU6d%o8LaQH`jYaeb;s*|&u4dZ^n4_tC6fJP z|BTkFGxQFs{Z*A&adPjapS!Ed7L~nM*G@5x{l3gZ!9&Jr%G*VeB_|#=sqA;ydNe|2 zrXh>s=`$@XCfge0g3f&YS*2s7Di!b}?7IGB^E=lMrp!}1Z}R@w4J*G%s>S>UZR>Z0 zDL>##v(qjWZo9RA`-+GQS1evyUSC(Xas$I|#r^$1g8cpDJA)?ekebcz{Qh)J;_bIw zBCB}RdB1mRdVgyd)X$yU-Z{&yBuq4B<Dshbe1Eko-=FHe3#^=Mn>6{>Go`Md2lU++ zCIl``JF)+%Hp_aob?XG&ip4j@{T2z7J#)tN0;|RK=}Ue%?=*dp-L&TB&$l<eS93p0 zo)I!BVc%;#M)k-wO}3oOHtS}wfB(v`PL_dFUM{tKQq=Xr`FyV|3XUz=^6N)a%en0< zk5Ah-ojqw;a<XUF_7+_yoegWk157QoK1($%o)kZ4)#=KT_%9E*Ol@Z_6kqtoOd-*U zMY`ATYySCqt*u9IM;=l7{^n%PmwLy(Wd%%kcV?dLT`xJ&bMv03^&g(-D)Yshc(g>r z`TdD6_4&K4obGPE`RQM8?OQXE6Sak(4P`1PE?OOC^^3Roe|?{T@{j4x;rXgx&br>u zGCm)3H{-VSrD@IYl>$>Ab8Y(2*(D=2`GwZag7+8h{@5hHyz9urf3ar4V)xYhK2Boa z$oQM7TK?h>u6IJ8WR=hN2JuxV_Rgtco*kx8P%SgB^DF<}DtR6q_oeqb!q;2QZWlVY z?8l{FE0PmF9?9J<woj&vp=agl;^24Ys+_-eMhJciP5D@}<kQC1`@3g8;F$6*vnNqR zYr`IK-_81AJ6zr+Iz3PR{JG=y0W*g6TDR2x7@a!ce<XTpW`NC##x~u(vu)OfuGC|) zWSHaPx9+{h$|)ZUm(3KNZXZ{Adza+t2IriNuk&}kUHvA?`KIjB+@t>Qq#vkjdMaM! zy2PF!a(|J8+N?JdoOv%U<|}w>6?J`{jl}X^^^=zbC$$I)Bu_qQuAO#@`?A^o_QUJ` zf7`$A6Tj%?+JmZ2+xo0IxJzg26n|xuc`9b!v73YQ(#7S$rf0M-Gk^44ByDf2=JkB- z&)_~&gV`~McvdVe`{B##{4w=aSOc#@W5CAyp4WMm<y`lj-}CKjn;`dvqlVeiJ8FeK z{#NWYj=QHcVcGWtj*!`A?4_$N{>{0eyWPe@i2wheSN66Z)0gV<sLkJeM9LyhEN}g{ z*;3o=k4Mk3JpIM(kwVGT^$&B?`V$4!-NY7mG3w|mCWKjU_&S;Y>4ffL3FE_yOzme1 z)tlBR-(B%s-}q+7yK{|VGViv<nT7?c?K(Zj=liUaJY7@U(;t7mnEX6+b_idEi{Jj5 zNwRYew|jpwG?;mlL*;C!=GR95GltQ7KdNo>HIw7-%AQ-bd|h|(59>+SuNw*^a7Gpi zNe4cwp3i&YMvc^(8!FFZSL`of`G1Bh_SCh%hd53s|18XQ-VnN-;YQ4AH>-kpcjXNo zZ>PQda?#$KVOON2vyo+hQq%h%zn00T<bK<!bK|@0xxl+gOpJ*W&&X8xESX$f!)`IX zYm>j-+C8d9cCxa6B^XX!5$RB`)<_bF&ucj3_IKe|&Gx0w_V-JrpI(zOS*2jvx48um zgY&QKvftHw)}Cilw)N4Sr+v>btv#S$*51%?MLM^H<6nl+tLcGrJSXogTOt2-=7C>N zPCT4ey5BFoy|IBkUiQe*lQV7_=QD5qd}iCHxZ-a+C%oKnAuOCdU-wu^nTXojCo=Oc zcc0!Wbuds%EVTK;>zrGLAJTsPnxpn)L1eyQ`7OU6@2+gU9VMWbqOk9Yv~FR@fwPAv zOKE<1Ubo^Q>vLw_?6c2S@i)F{`7Cm4o9<Ti<%e?e!sRwc*}eU<BRQ~r_f2jk_K*GX za#oj|7UqO4&X2tDG$_jbX{v17>7VTy_r+ILud5K2a#?1vp{6<G$&u<9`x%qZv@d00 z?wY^)`V~2wzygQQ0+tt!EOxEUH-AvY_Oxz8#G8!?{mUj8ezJAudzIGnKW{d3)%;Z< z-(&M9oXtA2ioH+%%E8IM1nYksnXBE@8)9Q@zF?L?m+!)<%b2yU>1})x_gqk|A*%aN zQ8?eye_}U3tr8b-3{wr!^^d%JW1-gX`RqqGuuhu2_VU4lv)y`cIY(H{|0i_vnBCb2 zs`5VhX`M|yEZgj!e-<oQV#}0d7xlI5e4K7rpoNRGTxYY^2ZdF|)Bbt57oSOvNxc2m z+qBB#_6C_QxoIE1oqJXOM`n8Cjnz37j`C@I@9LDfH=Vap_iT5GT<SVSEI+7zFSE_n z=_!j={%c=l&3NA9ppfAbd#1&owRgvV>i>F5d+z#4@3Q#j6ei1^IQB)x@36OZiQfUn zZBjqa7yUZ9$k`z*;n|ew(aT~gl6c&{7`X}DD&6CLcHW)59a6penldsj{|Y2c-m|`1 zD}8!HXq(pZ84rV2H<?WMxxvk<zh(9AXa145rD_h?|2cb<b0x=T!E2gda%z`36(@d} z$k_Mf>d}nln>5taUYy^d)_vevWAp#p0f9Mkw{;k2d5f&cnw~fJlb>s*rI$s>hUjL8 z3kH1dKj+;mzI{IIW&SRXbtOKxZhYFh)x$4z(l$%4ME=!W&zGEPJak{#d&|?h<9scy zd)^#4enBbZ|NYF<>18}GU;Xu8m+)ILePa5(Of|!rHM`ih8ZLX?WiD(fb*ST|fyd3m zjH(acTAmekJ#^z}qh-<D;+GZ82X0KC>{FR<Icb5c&1{pFpm<gL`aj41JQF&=U>JR@ z`odFIA@^<WvC|~(wUxgy7TsQI{@C{Fyjk;4_ZTdhk$Qji71QOVf7|8Xeg7d`e`ETd z0O5eH!;dp>^y$UxBz0!g<StNdol$r1(rHdl583Svw^--O&)O6e{BNOETMYLE37hE_ z0rry&UtDjnUi|0Jb!+}>&zje$e(K*B%^<h#%TwhY9<v`SM7B<d5L|Wp;ptV{l6QqS z+y7pyJVU2o`)OYh$sZH9KCJoqVN!3ubgEZGYSp$Z2l4*%tMA0O-g8#k_&{K)O~kWV zFSDyV^j9CA?!jl8yGtzPL+7@P!>^mZo^JT_sC0(r_G)MCK-Oa$UPyX=`I(S<lqE9D zV{Sq>=dxq0d;Jb%a=ZP>UwbUHVfxDbH)lCt$oBfu`o!gmu{Kv;eA4=^ry^T+pKUZ2 zJtz2X^N~YZqFXQKw5@eiys2<AgX!&eA*GmqJ0{rdFr2A$lj$h~mtTWZ(mk27`hUL< z+Zb<3*dn%jI!EV6jpXQ{i01Yl_UE-i-`l4JxcWVwzWL`8;gu;nmoP87sTE%HhSlP0 zO>*u3Ip3P3&zmGgTxqz!XGz2Urz!jQb=uV)DVAQA?HvEOjxA)KfP9K<T+X$E*b6(1 z7aY)beYYrS+X9KjGktUVEN9D};|c5h-hNu<|IB|~8z=6p{I}Do{+exq7F$kr)xjg~ z@=7_zaUJs>1gJfJvg6?@ePxvg58pP#KTHan`J_F9e`(1a<-7y_=g<3h_1~E5`fYM! zd-5K)B}Pjpm{w%{lwTkI`ZM46V;u>1;~zU4*7KdR_Wx1x^Kg^7sJV<KoBQ#+i(bO- zQ<iF8oz`XHRrcKVxOjr~BmQY-7w4W)u}C;1_-^w0b+&x-g2R^to_n&D%}Fo9pntP* z6OY#nPy72nAIPaq*Y_=Rno!Xqd|6z9jeQH#x}ZPCDyKDfOnYM9a`18fu4#s>4ht4P zxc`ck(Js+T_|IOIgLkce9|`rI&Hwn9>5s0P%Pud=u<Lx!p3G*^D!o~)*mj-O!3(nc zzCKOc#P9lb@eT{uw71Vvn0l`s)V1r*GVRn=`q=yML}|)%Cd(Hu{9L}QY~syH>91WE zvF+rMind4D311ACzi#AQ=rG&*8ef0<65#_<=efAj;?sT@_r%7ZxtUyj``<DvYawB) zKNH2DYeh{eT_O16sVAGv?sMle4`f|vEjq|`N3P~TDgUyHxw9{K`{h<2`QPqY#r14u z(C;rVXLL4p^}1<C3BK;!d)4!g<cX(RGYgoq6NQ`|yjIPQbNu{4?U<R%>i>6qw;bE^ zMDc`L)jlS1*)>YuombEPnt%S{9EaPJx)LXA+lyxZ{JpgNu+EB3eJ!rdhfLnPG&~O5 z_3laP9?ASeiqG^I)<1lxE_6DdcaptjruS`b=eaxoxXiwiE#l0{z3m&rD*MVUx}3bb zuJ~Tfy1LTM=Hass-MbF{t9)_2U9#(w-M#BI*$FMb#STpU`RC0KzkiNb7Bf~ew*2Fr z-jt<n%gV9%#n}a%vC|)2X`XWHm&WXg-MWvzEIYA(<|B=s*`lq}W=zxzWHU6`|C(jW zL7`bs=4b?mDm?psQ)kjitD8q-VzxUv*@R~QY?`ssu6<VgvlSDxxD1zQU2j!Qc3bOW z*r*xwW>4h<Ar;k7U!msk+>rMhrA5CP#hh7YS6`%g<8GDTTf5q&5t)ITj<^QR@#TJ@ zeCCY&3^CT3W=Ch0ot{7Y{lvg5B}P{z4*TsFne+Ue&sdA7+1owr{}Q&!mjAhD`ktzk z=LTO7|Fbes&-pTC@4a;`(Z$7~(<}{i4*Wa$>Sx=<J-ycEcbJ{eY^YG2_xxQBr@CUG z!a5V-J2%>R!>+!)QgF)JJ=QjUUWw&<zU*2x>Gf%j?my4GsK_ymo-8%z<rib^>+zFs zb_*yTJGga~?SixZ!g@7=%P0Q4e$cfpr#Mfo%2`ljj=%A}Q`(<pWS!YR8!S3%&MCE& zXPZk2JIj>EFYnHas+{_2!+NK1#qK%9mrTC=WiEekNC9?!0|WR(eep$_d7c&w3?R$_ zJNh>@B@KRngT_|<zYAjhnHh9M7+<Y=AZ>6VYt1s|Dc1~Vwj>LmE`IP{&U9ITF~9OL zmKz&x%kkWD$<qve)gsLCC;V07mzsk*j0Q~87<(DFIqYC@Gr6%mYgWOl3=K8*Q;!QP z9U?_smlYKnO>Hh*$5WbWBGSh!7T3bO<e=Id8HID2^CCl7<4mN^EHaXiVy`w)nxVvY z^3W89!%j>B5vy1&=5oGTwDCYjLu!oJ)}6}h`j#DB*SCz(yIHX@VS<H8Mu(Kkl5Ml{ z{r7%8c#vu7L5)cs&7Mm9LTsH(>kq2&r}nq8sHGfvVtV6=Ay@FpfN9OCAv&uc1+dD< zDCj@h@Ih*k_{4%o?Cjj!N<7?Bd)N&l6)Xc1CV0rnm{b%PEL^bW)T0F9vdCGz&4yiz zJ{?%J&gW^un?|L`iVg`qztWv6cn&XE)vaFGA+d<H=T=J0BxY95-7y+m?7LU_d~N88 zxWck*a^Z#^uCuO_H!fJ9$DZ7y`XE63YG|TXwsEK!_q4>&HJw?C2b(P&BKa+@x~3NX zPB1W=+`3C=$>iBfcZKMwt#*!;?rC$foKjl2itE&=)lC;;=AH^Iei>mRv1(CL=yY8% zab}GL8!VV&W_qQ*jG4l~l%vPWGg-V-LSw~=km+o7JxqM97b7$>Hgx%<#>%iC&Tv%P z$gU;cS{R`hn*NB9Wt!ndhYRf-%xsB=(wdBaN4m8#ZVup3*wE7!JAKvS3kl(EhZ0Og z`rFtqJeKCWb|FGbT-2pGQ7f$V*e#3H%Cyb1Wh1TU<r+-wc1m2O?Hn7PnrIQh<oA44 zjEsBJ@zmgh5jwnXY*!Do?ph!wcVfcaR1?wTi}WPC7wj|<|F&RLKwsC5O$!%3ylJ*8 zwN6?}j+b}F%zyQ_Ps+XDx}_@j_IukSnqODBE&jOoyVC7z$?I5~?$rtM&-c;(!zCH1 z@S>iZC3JmN;3T<|Oo?mvhlZMkKR1fyH<4lE;pY?MnIX4?leLJO<@zRHOU1Z3K5kDp zJq!`No#!LYTDnj}ROI<gHD2CpA9gGg`f=}xLn5bK#rD;UcUsM2YreC2LiM)Hd;IJj zENTfZ&4%nJ6gi4s&N=+Na?kGkwVA6{nTCoQU;h8!{{LgaCI#!~|7^}bdlwZtf96m5 zT=SGqmHV=Dc-PK)d1>eUyOy_aTDr9BeXYD#ws-Bqth9gIduRNzxnH|-<^8*N)64I^ zbJ`6CJ9hkhUimI6dNWAL+#fdI*WHhDF*W{wd!Ef%PQRHi&kCKe<=&Y-``O<cjNI>S zJJ|mJcYb$sS*7)+i9(4H8yExyb8r9eFW=R_#DMSnZGMf@PN`bF%&Y<mf`x@fQeB)3 zT8|qPp3kYCclTPz|L33ozyED7$M1N~_WZlN>{T<r=imMo;@i=EjFTli;M)9GGT$$* zI=}3!ud(s^Su>Bv-!+-LUwT{3<=bmB@7*xDZ}R?Hh;e<+Yqqtv8hb-q9TZm2jN@jR zzJK5L>&MQO-R`+||E+o4``a_BZo5q>IMlo9{PXz_8ZRn$<#BYhopibS^1j`x(Es<p z^_nmHUdU<7_ef_-Y3a^ur%f&%u@{}fx^vE-vZmHe0>TzDT}_J)&s?{vDbZ(v&e@}d zPNEGLIVHO`X{mX)IVCrR-b`RJNOE#rw##CQhsaEuwikszmozO23J!HWd*;sn$_b0s z*#%S!w73X(Rtb1^DP$+R701r)^c1pnkkgpVoVqJym9}%K%mNEx=B_96G@54UU0%FH z-PyN)()a2a@!7@q&R;Q;E%ci6p>f8!zx)3G|NEbJ_LJ(|bN6S?ynp}Pw4ZzC?*4H{ zey{K6dc9?L|8=zUm+#$`we{Zr&-arjn{3o|662`yoMf<R%g&vz_QY`tE<KUaxL|k2 z`bEwz&!cAFYVmC{xn2E!p;DBBO|;$r-{)2`a-B_0n)GJXqb<94Yd$>rKmWGSjGyYa z?y;|$x&Q5PUg6EASI^wf<G1?w0~GwyRSR4fM+cw%lly(KitWm+*MtNYa|k&qd2HFu zwOi05n`>?5{bJv**V(|4T(wuj_NAgrQ^nrS{OfLae80!-JHy_%;L!hnax9`E|GtUs z^~lQ0TkE>yN{G7WrKq((F3g&BUf7o7)R~fw*ClqIp^LxU1nj%7;kmCq{r0|h#btU< z0{tBVTh6`xtf8^s(7IJiR^2KCxh8zlH8&2yqGD&4kW#Dq=-|u$mY><8`tNpG_9{)m zivq^lQobJ_u343p_U!-dX$Q`>T;7@UZ^8wZ-VB4Y(Z*L>E~}Xu&#(Hkw(|C@dZm_U zy|c`n`h%~Yk&oJX+wQ0R_OlxU#CP9~J?*Eq?d_hc+iGvuoBf@e@#pQC{hEt<e|`z9 z&FPPxcIu19<UjSd^Jea!|NXE0{NJMbrdthVzigitcJ<8v725<wwKY!b&EKB?KWur% z$~#fnt5(gN`9J^mWOlP7*Vgzl_v)`$GQmpH#hAH)fq{{O!C9OkK|w)if_s&O>s5A< z1|EKH4p9jKNnQ>?HcnPfPF7}ielA{quAU=IjR_)roy`vqWTb|yvRVFhK}gk$vUaC7 z-v$YDgW{mD%L`4dG(`A({he;}OncF7)ci+FIVm8-MWeg%l>fq$Jsq8%rUeV9-sUx7 zYIbvVh|GDhC#YEJkL<!(HfQ#|RNQ1V`;<Vz#p)A}zgjF=u~6^2z^8>_wvDa4p=r{G zEUtJydc<b&kFC+c@v}{5=klA$zuwm$pSG}=Q}V6W+Wl9rZ_n*xS~;Wq;UTq#Negy6 zF7R|+;1Kz+^P%!9-&>}_pLeabui5lEDmPqjyK=+_XBCZ(Gp#S$Ha^^-95AQ-hkvfu zwqHl1rA}*i$*g<8c=2Vn#yhu!-`9TUO_}t!VTv*DiHIWBTEAn0JXyD1UpG6y+I6|H zIxl}y(!aCzO5K}JE|juf&bUYOz||t<`Ak<I1UvFv;}tfFo)UGNZ`M*>sa+;44KGuA z^{v-kx+KAJWP$e9u+wJ}B?8#_ST=60IB2!&gUimuj=NJ<2_8AX-4haBzT^Y<Z&enq zw@h&Xb21w%K0T=k`j@^x(j;rb)!*!Vvi@;Oy(b<okh}Ia^o{e^{}R7CDp#^k?!S3x zEBB$a>Cygk&sX;MT)CZWK1X($s`hmb@wrF%9W&O>&W-9kk}LF5$luvFa+b#u_chDC zl-uTY#lJip5c_#Y=(|NTT22Kjy{mUjTp_0%oX5peQ!5Z}v4_h>ezm&1!>jWP=a_E4 z<5nDRAA4o>Y1MaXs`WmTt(QHKpBH!JfzT(%ZO5$in^N{XP|Du6YGL;=$!M3fY1g&p z#4WmcZ+mcl`=<$w`fK^;G#Y=3ZnlXkYl(XA_gM7L71KLY-z9Hg3hD})sTRfe>MSqE zr)&K!-2wXf_5#e|i}S=!2)Rmp;OpI5o?;Tkv)ujoa*cE1$9zv){#%y9oVvT<v{|xT z(=lW9#hg1Er&`>Z@FXYTLgTrxg-nYTIOc9^)Nt?ib4+sjuwa&3`Q4=&B~zY+3qJ8F z4!zLAXI^AJu`>Iay2q7?*OqTCuKBm;LL1vo`yCs)#je%3W^Z=7TeoC>rdI_=pzN~O z4Psh(wJIAm?B0gtJ3hYmbBRdDo>;C86EEalSSEKz=^3x$ipboL2A3plK5=hsnB=N( zF~{QNs&kWL|M2~Po$)y0{OSazAN420T`#=)+cAOPx6Ha}FWY+Kt!F%+SWR$PJ8zZO zCG%+luLFxGpL}W?XwWLeaO{ihu9de8lg_<&d0Z;A{r%f0mAb5lDy$XC4g0nzEf3`1 zEasZBS#qM^jrfOUelxCye7rHc$jEG0+R2Dx_P1*$9NFrfqEwbS<K@Jy(~`d1UW$Ke z$*j4SZ%6veuOe$KJ}EbUnRe&#uO)nm0V4Mfao*8+@Sj;HB8u_lk196SqFs#fl1`sH zd>Im4cOLH4YII5#i4|uzJZQ>2=eS$k%30TLNef-SHZy&3W92`ev!<U@e9BjPtv6b| zI{$bxqu2GmH4*!T9b%ZgScM!JH$^DTEAtb#TDk0mN!PZ2;XE<NN_~!<5>dJxW%GP# zi;Lj8bCEyO<=3}-n56kVJNUshYo*4_bsrYFzYx&cpeQcAJ>qa5?@PVf0}Mwl3+?z+ z9X;W~>8trinTl4}avLjseI4I#Cbs_5kE$;wLGlhC+|Jg2iDH>H|K&uRO&O26-QGOn z_`2$eGG|2k-05azhZ7$M?Km2^Q1wB_1kR)J*JVDOpKQI`E;#B8)3u9N!qqnKa9FTb z?%$qO|C^SYyPI2m^88?Wr_p_$Mnj!bN6?MSPrTLdIJDZ|vX-8;`jX(R?~i9#Wqnu` zsd6W9X87fAtM@f!Jyl}MS3btIJv^@EbX`Y{T;*f7_SO=)NlRZH3c1nuYu@C*b+Zk; z7IdrG=ii=G5yo{Oe8Sf$m-EkG6|Rx-5bElx+Vsfz|I5z%r&SIvy)^mrHy1w-wpPQL zi<r9jPZToG6!Z%c>)rn6&ZIX-?iQ!WTWhYb6<w0Co{Qn*{U<qxT(2jd*(h(-$=K=a zkR=#yQ@X6jrR{8OF?Z^W_8ZQ@iNOJr`Ks9J9oX9$a@V%oP1xBUEm^I?aohjt%}v+u z3U*9x^k)l-KJxNJ;_Ydov+~>iJ2@BU-}YH_YO-Ttvix^FgUPqHJl8elO55IkmeC|_ zo0LDlNON_kOE~krtws~tmy|!Zu-$j@Lec8W8I58;?9vlf`^2}OX%RUb_Hk{Cy#Uwr zcWc)vAFI{q-7#1A*_qb{GTze#qi=lKTKm89u5+56;J&+lQeN_==aV8srnxO<$vT}g zcezq|dYkQ;f@2qVEa*7tsqJ}*PkfnO-XWGP_PV7t${W^r2gtAo9cR`}%4e}?Z<u+` z+PXP=RrYETO^vAuZ?t_B9-Vrpqq8g|xZ}7}vDg09!hcK4FLXYc<zT|7oXn}!aG|#F z)Yat0*LDl)?n!0;Qg46m?9Zqf9BvW2?F9JS)UL&RvX_xl{~W+rmTqy{eTRKb$8y2e z9oM~00-T+Wx%_Y8zV|Hp!rZmzADmh@$C%0Pw9XI9S;n)O^Ea`*HZ15bJ<jg2`Ri*@ z=DKE6=YNs+CD%Ob_&jU>{%uDcIm>UoyO`Xf%W~U$!y$&`c}h!WZkv?GYEUJ)PUq5+ zW{m(IlU);8N+<Z}S^3UBy{7b8W@$jKLAz_fe%=qQf-9LXOnUaWZK6Z>-7R?=cVsG* zFr3+`u*cB#bIFPSX=_tcoTdaBHr4Bf?NP2R?mx>`-|%qrgv|wWT>t4UI(MXS=~pM` z$+@lF&-+y#dTyG%_#mt6ZN}R#%n~*+K2N@)^e@1C)5eMpm(HEq+&8D}m@GYk<Nx)J z+68af|23|5mQnp+6wz98%AwD;tARV<%%3JvUWb$QT*r7KnIvRtdYI?(ek%&~=&{uO z{(jxf7iZ4@tdRP3Sw-aH?|GW?<vCAIn>rRn{})bCRJ|2==jd94%w6{DPO+_8#TK>E z?njZ~$HgqmD}zt&yuoZYgOhnj1^=~-ozm6@A>Ptvvy_)=RDb_|asR%u>8A>#+&WV# zrsrlnUa;``FG)S)n()ga%#T(vt<i2+d2#7k_3GBBlqqh}J8qX2Zj3mvWzk^~rN&8G z<^Sp)+)Y^W_krXqwwzm96|dJiFFD;&DW#`(Ks|Koo^7cNnSz<$xBu@fQvZ;bQ7U`s zpO8Y-;#v0V8!HzjOS0HsmpXb<U<u>KaK%Y|td+9^rg;8q>(S&p>wR>+Onkw%NAa(B zRNc$m^~>+jt9I#4y+^M;E10pvCq4E5Da-Y2O!tp?mY#e3@dBTq+o!9l4>mmMcij6m zgv<XH*W+c|4mL*b?YMs8aDbQdb{VDZA{!pKrhYN)oD))Mez}Z$MQLQn*?y^0rFXpg zB{sFp_OKTXIqc`G(D7YOCC+~mznMvQuzv80C5v-Z>O0lHsH{5vJ3?%A%%#EyyidQg zi%tG1dYW%`Ja4}0e;w|lXUtzkIZEGu@Oi?&zmKQRPf9j0xy57g;@7X$iY_AkkCGGx ziehRf)Jm4QT8IQ*_4aozNG;$zU46Q7-i?eKy(f>@1T}6ux#gMK5yrxsBJH<#XMT0z z(YH9@$^7U<yJ!B)7hdzPK48!_jwy8WJg>Ort-zJP@<z{(i3zuBn}!+hdD+d+Kj-U@ zIj3(t3Z4I8#l*1NT>C7JXy01n_u!VIL3Q)&82d%@MAPbZOXd4Z3-+(FtH_-^>r=!B zCTGrX=4TQXnQG_FI`n~WVfU}sA1`+vD)6opEO~Nh8{ag$8E<DshOfJv{=Cb$DDJme z|0S25wa!{rJb4c)4hQudzwj?w@t)hOr_UcSMW_Y+JIbQnB;|YC)5P_>Y`FXWRnCE? zZ};UMV4HQzLt;{uonA)E>)(O%&x<y!nmwmMDtndjwe|C2j5xS!Y$OxxPR_1V-L<vw zSkZdci%(`Z9CQDpZphL)ZOTKZ%J0_u6sN24v`+}VGTBZv+x^L>=%P3Isvq19mm8e_ zBG1vGCS!e<KTGgN{`JsM(UbAZ4p!~oqO+6l;||+|y`4n|^-37ZRu-}8DmQef%{`S> zmw)M?qU|)lmv^~pU$YdPZpvITA#4$k8ejGW8`f{-EUDqK2ddV^_UZl=*d)xRa5!n+ zLWxzHP19;wlKMI)SiZdPG5N6fIle~mCldq<1rD56bh~@@r<Xm$s@k%+ql?nQpZ_(j zyce+A&S(aE^a-B@U8V)geOG?WGRt-qT*9;Cqe&Ov(yyOe@0;!93cus%vZOuMUi9l0 zo4JA>GLjqHJmv(;y>ct?Vs0*Hdt6bRf8>)w#H!%Dny1bmCGYFJdz8IOb6s8Zvjwk3 zq7n^uZ?TELes1IM--grbX3ak&HL+>>BBSX!t238R5VVX={a?KFd)2wb#msYUPE0<Q zH|^a0)zcSn+h3DZoBmQZMB&So*MT=#qheG}7@YU!=h)0zt`NIO-0q{^Wfc{cIV|xD z*)AToY3JXo<F5Z(O=CmYhRgkjm<%m64d%ytl!P5wvdqzU+aL4d<2u3Drffa_e1X+p z*2B`}zJ9NLQ!h@*Ke!>wn=$BhcEjTTZCg^T=G5r~=TBI++bW|s@>Ac06Y|pB-PiZ# zEbhO|uJ!P`-k<LkY2xlJcIrtp-nkxI7N5N5IBT_wa<ZZOs_*4o>3Nlo2D?7yF<dU1 z%_{%p>5GT1bIdJHp1R$VHleNX`N3Vzt8D#zH3O}q_oVHtzqvuy;BRC=&_jodn}i(> z?L4+t?OKmP;##YjfoTEk8ybscHU&9Lm@B0lFd6YKjIk-Z`@S$W^~}D?md%xxc@qv^ zol^B|{;40cPFAcE$z1d7n66!r9+&36gW630rcJUEW4Le1I^WQiqh^uAn$0bR+h<I% z{<lt!_a5)!lV{u`MGd6RFM4dSvOnp<SGfo4G*>J+_)|JW{-~q>DmNA8emf3PVfIrq zXBqWX8fsYT7o0o1YLyFX%iL=^2~Q3&AL{2idUf^s-J1KJJY+KA6OUN3ZLv$p!c=pn zt?DW7zJI@2Fy%uoSH5EN<PA^4eD62ds3iSWFzhNeSGpjvvt`ex8<+i7E_a!)yVB&+ z{&N=dD&8qI9^b*XTj^QPHVd~i35mA)-wU!Mnk1%K%Gt4>X(>0b=d=)bbLB*#<IY>> zvz;bfxoV<UF=tYH*&Q+ehufa`Et_~Ty!nms+)j`9O|{?6x=*_&Z4GgZf4acyn{(vO zH6```@^<Zalu&8t%RG55F6dR>d;Wz%FYJ6Ke*Crac_d@&{Irkx*DBB5y|zWM$C;gP z#w4|BQ<GIM3ZI0ZEz|a!DW<4;r_OIVd+3)B=YLj3UMMx6lqe$Q{_tbW7mxi?%NMA# zxp~gaTlGpTpfR~6+_S}#v)^sQ$I5kD8}!7cn5+Mtomg}&=c&wvH%U!PYM56Y-R0vu z{a^a7sYXmYGj?&x$wt}kZH&BCw9vPkFUtF-s~PX|z|Wak%-73>WP@~?-rl(UUT*z^ z+fs!~!)rqi*7);n`&8gGZ@01EJ9f=|&fN@7-QitL&oA8mdz`Cn`-Iyk8Rcp&=y_ai zecZ*8tZbnAy75%@>@2Yl`~Ggplx1r4KHj_UN}z_$ltnQs-*U)$zBzMVva|GpbLsb2 z8_cZFJu+x2e*UUv<A;Do{zEqw*VmZ6j(C54bDQov9v%<Fn1i=syu2pq|Ik`}KYHDp zo9Sz|@AO}F>C>s`KbId>SvpOgvwoY|uh?0yKC)Y0%YWuBp}6H2zoGS?hc+oLtDL9* z*{*obHEeUuql3?1hnb!_a%IYjtrnW|4jk6LvGu7)*<M8n+if06i)>St7D_94_$=~I zw%hny&c*D2fE(|X2XggS%=*{elTSK3CGYufm0KTN)4R6$zGXQZ(USh$&5DKjHjlRY ziRfn+oBVDU&5$-uFDWbj{@n12!7-07-uy1A$Ikv|)3$qi{G1AJNNi)yYk?~tT(f%2 z?SrEg7x6KRI48|$_A=Z!-EU)DVu<#tx5vUC2>YG7Q~Y1$utrC7;_vIzu6}7s{&W0b z!leJs887E;-Ke`(?!tZT%Udmd4lFKy*k2sXq4#*op5@HK6S+?`UMf7huvh)u6LU?@ z-)nOu8UkaAZaJwaBsy?qo}QwYCGgWAdh&%g4ZJDmnIxu59C&Lm?dhT4iQFel_t}~z z-nIPW{Jq8FlV!t&-Xl^8jrUa<%N@2T8wA_wD$hs~c=#z;JFWI#=5?+9ye!3I8<r}@ zKM2wL_qWZ}i0!udpC3BasynQgtKE)ddGX)UyMN+r{THXW3<X&KU1$<9u-VP3&34WF z`q#xxb`Li<O-x<5{7%yxZy}o#e0Tc(Obn~~SayuT`+wam!S}|m&n9hXP}Ir~5b+e5 z@+9PDL)sY;EekmpH(e!Vc9tU+$1+`)afY)b$~zSYy<Yq`wto_5`<z!N!n;^v{>)(7 zxv2B`{<scpK93y+D_Gj<=Ooyb3N1P5)A8M9m(F~?nPzptLT41?9fM~q)L;((7R7YN zLQ_}e_-+wN<;UAQc{!B-|Cw~qHs&CQ;~7@-G6}!rPBw$yi8FQ9bOfB;-f0vi`KQac zYTY$;pSK+?&J&-X+BEs*%g4-V^X~p%ekJ{1mvfMA`x^t%rEl0@@~t{ttWrP2;pVfq zuNd|=ta4qSlrnpw_0GF<Q?I|=R{GiEvVVbI!zO9o-|feK%{@NbNpWIu!ke2La#r`A zzu;=_ye*`<KjSU)1g#jM19xw_zy7lOtdYGU|8_P0>8l?Ldi8j3F#XWEM4e3~(N{s; z<L{E+!F#uezm+)1Q@i_ec%;=0^Ql`_+s;#8=qRx}sm66?Uf`*}m#4~UzH{&KOikXT z)!g=p>!Dtr#f|O_v;Qt<Q=cVu=efhqS@HP`ojepSHj2Gs&RZkz{z!3l%Z_6mxe)~? zmNI6zv~8UJevZ<Gphb7KHW*ZVO5ap}UC;d?Z{vlSEpCZ|-;KMsTzFz|QY%-MN%*Y2 zz`@<`1m3h{+>cd!&cA6v-j581Z7wqId%`w5Fdc8&vtsIB`z2cy&V9Z!V^ZPAz?Aqn z<tfLiVm0<uCwNI7NfvQCq;T&^%#k@9OI(bu<>mx799z$@?31CS$1NYB?VC66pYreQ zKQ>jB*^3&q14~#YHJ#+_(uoS#6xJA&|5W<Q3u%^T*}a#&TH-5P|4E)S4frcu{L0|d z&I><7z90B^v~}tmNr$f{leu<FsWi-<bGmp+ZsxZ63=y}O5_%Q&PVe8VW2~RQJ}uE( zb+Pp_jhWG>n@#1d%z0dW3!lC4<P+(>Cvkd{+?MRA4X2;FeX@9+nYn$D$Kz#FbIofu zyfWFj?VF-a$I`CZwJa$b{k7j7?YeZd%cy&`wE7eN|KT4a->lpu+TkX6@zg`D%jK5a zL^Nah^r}}K(c(B~eED_6cJ<fyBA?%Vc2e2W-Xl8XU7+$YzAcqHf2H^J?>eG0Z;92( z`3b&C9m`&={HwF7ck4V)n+g8PTYcFBq|R-78Z$S3^UBBmm75JdXTJ2mQ2SN=ALlNa zttDdfmq;D#?~N+RP2GMdL%pe;%fw2}_dL4|+s6A2b;|31tt{Ke<Z|iK?nP6(r@Ya~ zzO^__{&>{4@7CuIrRCPJbw3R1`fO0}KPs!Izmv0T(>>l$JHd4+-$ajnR6pgy%l)n+ zQJmwawr`T)83X+bal791Z*7|YyzJdtM^-zd{M-G{pCqt_tmmF$a;bgF+y%);+qq`s zTIn!;*53F3^;Ll*FLJxBipvid^JdIwFul~O-}-JzmS?H!p#!WHv$Gs!+RYYf_8u{c z^L(^i$6NL4G)MLJ?xQFAo!))(Hh8vzWkRynVue|UwmDA-{X2F0Z-=KH+1u||IBeNu zcCIWp!0frfx>wH__-_=r=D8_&pY%V;x;%S3^B2dLIX8v4Z{59}D!oB-XF~Z~GY`8b zr-GuBF4QvH$wX}`<1&|?ZeSX=yyVT!BM)Z$6?KYn?L6YB;`4jjnjN$3udHA#ugLLD z`Is}o?MULM!0o9IUY`m2D5tRS_ZgRYpSIO0o{RT=AJ)I2sXpAO+Vq=h!zQV%h3B_@ ze<^irw(1UFj)12o^0r}NaTiTvg^Rbcm#?bQ{k7#J@7o%I@}Br<(}Ef;{;G=D=>#<v zfBYWspwZ^l>5E}Z1*g7TdHGNO@b@j>mds+B$jE%+t>?vK(f_YxswCV}t@U$E{4Bg~ zmbBJP?&&J8YA=2-4?3O@>>Gb|Y1x+9tzT<aim;#f8Q=ce%!mKNJstbLQ{6KE)ncx4 z|1~YzWHE*9*8feVJSkfFe*dHcj>f1vN-WIr&W$-&y8Ntkaq`AwEyHc?>ywR_xKGpF zaMEwlnm6_f1-x~9HYHwraI-Xw{qDl6Zf@6N{du(Gxf~78eLeL-D6(hCi)T0Nf4y1R z)NXLwNh#=u&WWvT*`8_wI?sNdm6ra#&EVyW|CVc1`qc%aPAv@e+;U)1Xy%qC_in*V z#mRCUpDvu9^lGJ>PNGlCr1HMXn-3RS-*s4EozC?B`oE)hT(<q&q$%~JGqIJ?I<Cv< zTFy7#7v=$_c~6u5o}0ZW?Ff2*!=0(kq$6-=v`T5uFBXH8<~i$ou6s7ci}?yEEctWK zNFlyesrm@x^JGTTnSZt=CiQtJu4aGK>AqFNAt%wIKRhbC$-#m#nkPG5?oEhwutFWH zyzNcLJ)Pb{S5{lif57>mavQhl68*jkZnX>N54>*t*Damy&Y&5ozUj$@YN>nNAycfj zxcS~$@+)NBGsj@t65iX)xpsOHqD{8H3OD|0*JOxns><?OArpF>SwbsqLXK$XiNn$% z_pRe!y}TO#>i1epoefsZWuYskf3kVCjZe#Cr<MEqSIVox)DlBwShjP8?RXS=u)6gB z!e5Sz2Xz)tI(6&%_oS^;B<xZ`%TE<Qwb@Z#^@p{7zO<fqso|m+yYf!v+!xcdpQUkY z)*BtuworR@r{GacMsW_esN1!xpB8-kcZ6%}2NjDu4?h2NTcqHk$9M4&^R_?trI+&7 zUOL*#cJfvGjc<`X&R(YrDirE}etTi;CKp$FV0X3me~-_8S?RJdV!rdXxL=wip!?o% z<6_GvW=rm|nxtwzIW+e+Bl}MAo}V{Y)$cK{Jh{W*_>yU57q}lP?EXLNPyYFPY%+d* z$Jkk3P0Mhf<j}C;`+oBPcCEQJ!fzuFKa1-&4!>W$S;)!i_XVGxy%UaPiU&VZxO>cU zqQ^yE!Azl?$&+u?Z;$$ItNArJX~P9qPrn_vwU1k0WuD*ZyNRb>kwLh5vdPPQoxPDh z8cg=;IqMoWba(P5ng^c}b)V>1!NO7YRr%S{W>v1r8>L5`oGNuHe4kZ)5xdB{ex@G( zf`|UII)gv$-r01u??UC&L+{15E!iTkn=$djEGg}S%sWmrxeDDrc~9$?YV^8=TDiy6 z<I)<P)n7d*uWM|(`{vB$e6xSkm%l5um=-ppan;OQr;nB^y(r$pxT9`q%_ezsm7YL# zhS*>GUa=jM&E=UKCVp|TMxbxGwClc~I(G8+E*~z9f5%Yv%;77~&2v8dmJ>c+$@-q) z`%|V-wCJIH!X%S=o@V>wZa(Xdd=Y<8&mHmbeN|xnM=h4zpMU26JwA15(ZcV}EGGXL z*RExl75acnk)d>wOy{jXOIK|d;#{pTDREkxV@|J`s%maPfcD@2E9}=yVCZ9*#PD>> z!yVt2L1Y3Z+-PfqOIY&Kg`)iY>P5Zlbkndi@3v48vUZqRE@-~03TSL~~c4d0f1 z`$^7i<Lh}%Qy#XRoDexP^7huXxs#POL-*I;-ga-D(9N^`TbI~9E3=xU?KwfoBT-Yv zbgpIn`FAT)PZrKzv3pM4^vUmwUd8(DIh|R)lWG6mNzu!<uKQo+otHY}#HaHdD&~`> z<evWX|Ns2c5!-Ta&pDo%dwbi~+{r4QvsCQYKQ_yiPJaKc=(e5m-LU-C=6!dz`)>E0 zwB*>t|8l!sbtg}*Huqh0?2fOq@#g<q?ru~2ncHJ2b-Ch$UitLP?~6Xg`t3d4S#J4O zPyLDivBS5Qo}29>bmZ{vT_^p{o)=j1!S-d9`SGP8r_Q9?$^EU)%9_QR>v`L;d6LYZ z|NqUG%zUf<cH7pI`)#!3<ld(3Eb8~2Ves(3`Ruc4n{Vcr2_62w{p~j0pEthLOi}Z^ zxkBt}^4c4vvD>Hg>h7Gf`_=ZhcTaZy|Nnpgzxe<4yHD2t`~Uy{=G@!2zf^8Z*_pVc z?D(?q>bl(ghP~TzcdvUr@&3}}?JJ%N7p?W$s_QvPMRSviy|U$`J09!eg?KyL7&c4l z>9kA;TNoiBcxVw*2PbnsOCLM096z5xghJ!N=Eh{EL(R;>a(t}Z5~7l3F#$0g3=M*h znw*^5csV2_WLPCcq?8QoTo!CtaKPcC!y-pPd8-&3tuV&Ji=8fA+wnnz!SVn9|C3r& zx5}RE>~stDx_Rb9eeUkJp6ATkWO^6Pyjg!MFM9GHHK|!M|1N*K&Gh7Y#cC}%-;OC~ z%X;sg)3fB=q{Jty;}f%BLxGRQvl(ou`!))!ocPMP{L@S(0k4@q-=6zZ=AG9kATHL= z?XggSoBxuWj8%ljrTsTkm^-(xP?=C?!qBC{pe%91!9r#7W)p9xQ{O}ySonE3G@SHo zq(tO|!~_I*_;|#W#JB{wcvufVTwtN0(C*~&WapC-{{LBeUkv*=DhsNX-0*$hwlwQ+ z*@VOXecN`FSS*!g))ly%d1S72npnQdRi+d<wT79O?={bw;oNii^_}}uzEu6_zPIPz z|Mf3pPd|GvnXkqZQhEE}L<Z$1dnKniSLV+M+?KWEs8;C42OnlvHP3HjbYr|Bv$I%e zvRG}q^AS6x_qRNpF8+Dbp1R@lx#jcqm)>Ul_G#*clNXtc?3Z$@C9x_A+;Mm%b0H{) zg?sjthYvWer$|3Kqj;fwQ<RtS3ek00wbEYaKka7|>N623ke#&t?}r(I><1<W9g-4$ zx<O9L?|e7U{H~g+qg~VgvOZq?aP!42kE6~!WeCYD61m+R+o3$6er?s))PmxfwL7ME zo2-?8@nJ)u^_+iM^8`*BTWy*V9+mkocCO0Szwfrp%zh_5!#q5|<{^Llv8AT_Otx(i zYVArte#u!eF-l>VP1q|I&8_KQ4=((=Q1Vivq-<gKrX~7PzdcJu#1E>NPrEc#n4{4~ z@#NH;L%F-XA1<#vciOZ@B>gPU!}#*_|7%(voH=+}HKyW1sM2HI{Nz*dJ+*>ItB=_+ zKDB;k)$xcoIiBTX-%fp|-{#d1X1zNpUU#OUJK@91-tVl@EM3ZsJFi#8a8;bG`1jGi znkC}@bZK$h7Bd;uw20qd*UZqBiBi9{=DBv#{E97pTh=D8eqvWx@%YR!71ehKxsOja z44Sj?y|~Z(otz(h>i*eCI?wi8d`|hW{MIFNX0v|C5vgH&WBTdHb{R{(-WiLJF)VF7 z(aWj5!dUnE28PI0GZZXxYHS5MSJyFfm#k?Ox}LtQ+9O)8FmV0MhznmX>ODGJ^VIcD zTEA(d+mndKBF>4rIxcGGK3&ZFEvKb+b%VY~mvGObg}3wAKVQBt#AIzD8S($dgDJt* zK~)}V1t+DBcs(@ylihb=!H!1(VrmBq7U)hXYni{Pe)jp#CNlpI^ms5DJiTP(WN^gs zKSy^;i-zW}YuAP5+GKV)rJqv`u4Rc+Z@p5Je1Fd2iYw3lG1(s6_x$fG|Dri3+Dv9i zIz}n7{OjQ?o!{FT-4h{Gx#a;vbJyp|w?*DPc2a!ib6b7l|7XXdpXeG*oVnYt_N#WN z$>e1o?Mp&Zd}}8LK5$tWs@oGF60#`8q`6U-o!6et#prQ>ztZv}`7f8xYU%Cv=-04$ zm%TCG@0(@t<pV9T>f6>EO*fb!_w5+p!qnG?rq}$dwp=;E--SI#V9C3*pXpZ&+mo3- zZ4_C<GpXrQ+L!fzmwJ^xYSP{n=(E4>{7?TCN9}!ew#|~i>&3l$df@tX|9;nU&aRy7 zzl`@^XoIJ_>Yej1GZt^$dZ=rH?9BQ#0oxZiMI`UunX7(lMZEb>z0ALd=H2iSmv(#E zzvz$1;jcDXvttvwAH6#<moeek1c44~&8uHB7fb%#&An(#MA%e6gM|+#pRnKCHSPFa zHoi5})9%_hR7Np=v0Wy)!;5LzWUXlDb#kxV*mBN4{w%fVw`q;l(Ke%kl|ikg=IUHq z--~%ZcX{*mk7Q|OyuKK-<8Hoh3!@{__+swz{4cFpX}fhXtDYTOa)mMH->{CS|6>f7 zEYR%<(DN;BwS84JPyd$gJa6`o$&ar0uF4QRweY^))r+BpnqG|R%8BjSd2_D>TseJp zqN;>SPO9(JO~?47CcCd+xVL}yz1J?^E*T%X8rc~<KW?I9$G5q5>o?3Y<u77cD4?U% z<e{BeX`?>PUZf?#<In3<J(mUH2?yhr*jx4go;$zCq$u7u<=n?~7c2g!rq`5~8Sws9 zIvYE0T3+L_>Dx;BwC}vp^E(<B@rc2mUCL<1)py@qj<cDw``$ldxQ9JA+(ER)P3Odh z(sOU37OY!#EoP$WFHO_D6H$M!n7(s;#25OhHAeHN<hI+lq-82{+#FBmXMg*9@2zve z)Sig?g_%~_wnhQd?~Bft&9c2~TPMz-@_?@}F4FMp?B-Rh6IMn&x}x@ccYSHTzn5C+ z-S<~r=Kp8wjS0GLeQ?%|Magw%RJXkP{kCn}m#dFXb$&aTyy3&r^$oAWl=QZx7Fusl zKOCHMx79*BBy@^0zy6ORKG!mN<%sTiN&z0P%)-ysN0m-^=h}Kq(Jt%C?=X|Nvr>N? z7bu<n$Em+=b?3&iWd~<eSe?;xP}Az#w6A{}-$F^&G|9)4vJwT~U1?tZb7rZyv?F7k z<08K|?Ju;te@UI)ceFZmi>d3M9e+hWnr9h`Sl)eRSK7$gacT0r#1`kklC>$PkF*Fr zxVAk0<Hu)5HQD|zIdo^e#_UDGa+A+Ss4LETtkZnhVQbJ9SFs09H;;)b9r*03=_7VD zH*d?Xe{VGo%#mW`t$O|G*0+_;`7I}FceM9CxV<Q%`u&b8irap<DBjF6|7hoMCb;Bs z%ZKUJZ}XRiWX#^U_eT8;rkjV?83m@d$QZZmNO&gAyMX6Ot3wg9b@|>c1x9kUKbHJ+ z5fC{0jeGw#sYx}0yml_K*Z;136VPh>rAkbE)BL1E|0gtyo!~n7<+|OY(g&Yi8`aM= zz83v#v;U>e`r<ntn`@Rg@7QyE|K+5gK5Soe*4C?MuPgRj8N7XoU+o<IugjkOQQqFK zum0`1z@Db)t?H|{hX*}dAv%+7e$2i3>z1pYxflO++8Nt5I*k4k8!m(@KFq!!BWb|B zW7j2SZ&j<!C$)6h5<=xG0ve;8HyzJ9X0m6UO7BdjZw>n<fBANg<Lce}jmNx;cD+}! z(Jb=YC6F#A(YZu_q1h=G8SZo3X1%9fq$bU}R#e7d#V%Z#c1YE-;I6XdA)}v1L?&yz zWUW1+|I4E5>@$ONQVvyZa&6x=W+&#noiK0adSz?N$Hwx>k()nzEN@GmB&2$0`?A$@ zzt0oYOEWw0TX@^EeN(%BzhIu}>~DJ3l(A}GY)NN{&O6~5pKrLoIPguQX^!hHOSX+y zR%!_AsAl-<?ue_<+R*3qn%CDoVE)^Q%~vLAHwdp-^7-(i(8~MbeY_rRP0H3m+Y@YL z=T7!oIy>~sOvM?e<~(FrdW>0kf2HTlG*;D+`$ZFiE}xz-(`LDKb>fDq!&Uv7H)@xj zV%cGLZsj#5gYdnbPtJOWTowDT;`>C*IX+r_V)mNQPZzr6#U9E1XFBwI;a!n{AGeLG ze=Vp_-(<Bz^4PED-`&g3oDx^`nz8fpty^EtZSjm-@mb=pM%(WGC9|gr*X%l6e5jW< zBsZ-6Pk`0_@~HDXi3@f(%4vT(o!7<sAlzc&a<yxsr6-?r^&Kcw+kZ9m^TY1ea94lB z+yxd(TPz*Bxbl5^=43xT&|%j!(arby=GDe7O`nvHZ=YckWb=qO>e^J}wcCmsaz7hx zI9Dgqw^?V++~r#{FMt2lyshYkag%}2i?%5{>hscF9j{zo8ZbS6e^|IA<B_XL3K}W5 z4QDFeT_b*aams}kx+}Lme#QNJ1s{Kvf>-Ahwr6Zh13&nu1s)08cjB;i`8-v-e?M<` zC+AvsujV-8$thvl{rXi#z`xAt%Xi<o)tt80Jlj2IM^e}Y(IcL7d3S`dmxYz=eP!=G zEpPsnInTw)PVQX7VsIrO#b@U(eMtxRySsYIbBp(?JgO=^v&dZR&v8jD-|G!3x(1x` z!J17yIg%GXSpN>JoG#gw@MWQi!1dfa(_A9G>=%^@IG=LdIDJd?-5L6QX;at@T#UjW z-<cFLIeE!qZr%<2J)P^hMQygIzM632@}k7$^H&KMo^UJBIJ56uo1@U|h_zoOuKoNi zbmT>ZWy!i_j2ye3{N5y_ymW!Gj%tge=+9@upW0geg5Fyg-gy3V5nEQl-o&M^pK#xJ zabIA6riWncyMN1$U2NHCzvp+mK*XMAj_t`Fe`o!bl;;1__x5;2XQhI`!Gkr=I{qkZ z`YrQNZ_~A|49S-qtM7*e-_+i9V78}O?pX~v(-S!y(=<I8xRxEB_~PcL^Cs<m@eIP9 zbw$(Gb60%Nu6gn&c2?B+%CrBK4rt^Q_^tPUwf4=*LW7*``S&<;|M1OL(Vh8dLQPzS zUsKQ_;|1x8GCT{K4lFO{QAs^4$ZnB1|GQ-9V-ueCHGNs`{oVcBcZ6?Im#N>Xx4n8@ zPxQ=(%!eMyZ<0N#`eb(J^hM8#eVw1w-IBW4Icd8<vdqcpj5iFAE;#PR$RhKd!G6ND zUQ_@5`zl>#F5jnAI^(bji=gF<<t#a$KYgBZd1|9pd^6j6b`9xp-CL6`@)=vGZ2#%e z|CuZKUw*>-0%ge`-&YFhP5mSLZ12U=jM*QKtZ=x>XuhaWZ`Q?!uDA7@-5E<6KkBnC z2;(|cu!{Rs%lGXuzsq-g&$|1e^vfxgKF&7Y?`J=CbuF%5{%v=`+xcD9XWq;Id8>F) z@xJlL<CE&;qAk{oeLAf8xqI%Teg0N;voE$RI=R7UlJ!m1bIFU|e=EN6kU4Pexl@ws zE7Br+*W@iY^Q7D{=Fto_ji=^+r*Cg*-!@~n=!a^~t`kXq#yc1f>~QQ_`)0$1E04NE z|N05P;E2r;ogj1~oaL_hUGuxM&)xRaeE9I{wAc6MH_H^fSmDcMA*roV@gi<fGy`j2 z!0Z*bPwsv{<Lks(i3<F8Y%Qd|wVKCRT=BSS@=|2`$G_J~-*1g!JCkYnJLpozd=0NC zuEh*rIa0d6-m+Yiwd>;rv&mn=ScO&?MhMH78+80o$`Gs4`TN<UEp}eb2P0>prnI){ z9&bK)&J6K-uv)i&RbAiIi<K)5NbuhmPFvK{J}a(edNhyv`g=Xq3a7<bJ5(6ub(Q}f z*u-#{x07WH$45cotq-icolmFSzauCA_i5h56B~E&*WBw^oEIFq?Ko4#xjx-cCFSY| z466*Dt_*GW`*-DnnB={~C+1zz&(@EWX*M~KZ{T=}_tmPs7u%;z<IvM%4SZnWFSq!D z^kwUkT=&qgtBwA+DLYGjteZ7yt@`Y#e+s>p1ck1Bsko+Z^GoR?Z1%l1k5-G!RQ_Xg zGCue61Ygs}a;<pAm$PIZcX$0bSDJA6`qWoUjtqN*-!l91sXUV}6yD=0<JZ{mGC@sa zLX^xS@yQ2cf*3YhJXyc_CiA1jd@E7;<P)dWPyTYRSQF$?wbVymk+IIPKc8uW{BIrB zoo7uyaCs}2ePHpL{V*}$kL_6<y`?!)|Mn?L#2wBwFXQ`UclkrKa7Kk|hxk0f#k?zz zbWW)`D`CGi(mm8-vGu&))%J_~zc!qC9&%-YGFNHdyPJ0=sfgdzEqhSV$hJ10UxI(e zfyckDG<GoXhU6S*TcOC%A=X`>d}V<F1IubHUSqzH#z$33&*PsI@?Cnyyu4mVXx`V5 z-g*(=q>8>%5lWiMU#i-!yxH@<{Q_%n)|r@XlZsDVea-CVp1yF=G`@#Sy^m{7B)nL! z+n5`9ROasOWR)*`fBJZ%^(U)v2WMQ$x!1~LQZLIGc+!5tblU}vooY+Bm3?$O{j&7y zKiLgJRW}n^m>30~Tb|VV%*c9#xr2xK?dtbADg1RW7~O<Ur9FyS5i?!V>~(#Jp8I7c z`_lfMn{;@M0>yOpv%c!-<ei@8apA)=E>WEq2jqf8wp{qRZc?7Aq*Lmlclyb%rx<Q$ zH{4&Qvy#V7G0a?n_nOv&&}&;=!hX4|4Q$<iPD$db<mR_05*O^(5BAOMlVcZE`0#5+ z$wK?OwoeP||0LbrQ8nl8f5{ZF`7Z@Gd-qp&hy=}*)2`Q?ws8If7ncN^y;BzVwCoT{ zoN!HL-lCUc5`hlUfv=1b11(&nj@_K(#q97(<)X-hE|14Yrr7rgyGe*Prrb@CJ(DJP zLCn_UVBMC)dToQQt9+j%>pf1kw4D07x%juMynE|s*^Z<4FKp!G+G-(~H05A{p~=fN zdXuCWZM1FL6#TY4ia7ND;}@Z=(>0q`zj6A0_jjlMpWp8^K4@yqx!iel|NS@)k<|Xw zM8zv&KFcy6Hq8==bDGP=oc&(rY|{KS;mphW3|RLa-sKpp^@U0OnDNZzIaL!`9^Grd z`RUc6`>jXz_TT^TqhWgn$I^32E*6jH>*RE6@Em@4welkK{QP@!%NPt9mh8XQSMp+m zXvp5!`c#9G(2TF=tZMIFIJ5a(?LUUYA)ga2^17%+C>{?yE4PY6;RTP(?Z_((8?V_K z6wdi`flbQ5EcEcHh#H+;9dcVTZ*x7iFISLz-1g#I$;$@S1~)-byL^s=CCvvK-o_uk ztn>eGhQwBPdyQ#2UOiGGfp??}b>0+f|Gz(T{ifq#(bs!+F}s$&E;yj}U#W%V<0RFc zhe97YF0Uz{HtqGX18uQ|@7X`;{I2a0-Td`x*1@kUA1Vd$oH47Y<<Ke<xWgZ&-}3P6 zgL;-fQxvk;4mbXeTlhwQ*OpA5&QIIeBzmO0qeQo*Xx>*=Yc{&itrE2I+s_#uX}=$t zPqn?%c(9(~+?;D+Q(9+NXmtJ!c(zY{nt1O0AEu#|&7W@WSh9Ru#dlGSd}CAdoo0HX zk=FIQ1X8vY)mi;A&nf!F_K&5q;2)b;)QV*qt!`^~Kh><>rRn*4nN#4_04W2LSLRo) zcCmbOo&HBbTUYr)q4<llJa3md1ZFx+GE5F|>bZ0`WM`xsKWyJ22g8pjpQym&ri+6a z7#R8(7#KKV`wlbnl8Zt^SQ(hNl&wkUK6&Qs8SnmbPaV&m^3(cV-_LmKd7bq=tL=HF z@bpQ2eQ#Z(E5_zVK^lI#r+m(wT;rv4^33_oo4j<q&YjTl4mB_dHwZ9bPEt6;)*DzM zaVcX0Hv`NG(5;V$f^%N6F))BIeCuOTN+R3^pskMy-^5tW8GeQJ2--iGWW_G9#PFCz za*tx5LDQViCzk(-*4l8l)@4IfiauvY6R+dLzitJ-YfTFm%<h;_;J)^MqoslKWX{~; zvjWvj?2PP;T#QQsUN~2s-y>7Xwbbi;?)2q4eA(U4KIKjGXj0t&P*1&dw&lvZapjgf z3fGm`EVS%TPn(=prL*~X$MZd>59U|CFxaEO`+CNExsT^&-qV@mDEsT&Bc;iCAzp!- zk9XznT<>=HR^&+*uEq&QS33?*$rU!PbuF5j`Qv6R-=_sa93rNjE-qR|M}E%Qw>dWM zQ`yx|2DJ<LJDYl(yp%M&e$~iJ&OE5~Xycg!Yc^k47ZrK;YTD(+x3~0e+1l!BG__;% zS*6XFvp*M2z8=12sdUoCtbX+`4AU<tEnd%6If?1ohvq)5HTfE;M;0^BQ*h2Ry7EEC zO>NIw=_H*Z_JXsAPj63c;&GjS!QEJMQR(#nxlgqkoF3*|-+p@kHfvr^T-*Cyryb|@ z`AvAg<Fvbzo1fZ(`#R?yzRmg~(>?jcH8JDtWiG1n68ARmX#2j$TgO|X{vu2FE$K1~ zq5P@4U2B%_-ZSk=(Akqux2mi-KC8U_{x0E@EJh2Z^MCKVt@r;!+18o!-hLA9Ugf6q z=&dB<Ot%FWm#ef?<;U?YI&xM+YWoIJ+xFcxUjm!BTJ4nYZ~Vb&YHg&WtC?4^Zg<R* z{CiTX^Zr%vms_^K{~%TV^X1#F<ZzAd`tVTWd$UfIKYO|?zw*NQVlnYnyJZ*e1bt!l zoa*JHkhjR{vvc|~H`OIM@uBN}KlAC1nEdBh?7PHlu17UTi&Q?&c~>NIL0!iFTHxZ3 z3Hz4!UDv61-E;iY?SeDWU4^`ol0vB>fr|D)2c+gD)Hpm#E6T3^d{#@UNvrPmj&;WR zd664GMyUPhJ$CkFtj!^=YY)u%mpeZ^R6h6Iv%S{~Z-?CV@xAG$YjE%J%aSc`A5D|g z+$f{Tv9(FIBKNV`yt79YbGFQ$cW#^SS{27H&Z(2vCGgyP?32&*O{ULmXJsLG`T7Ko zeUD3Twe7YzX7ti1C3UjXkF}3)=6rr6D(BqzvLkSX=IoM7qB)21ZRVLhKe}1H)~?E_ z)$GqCrDmm{Mw*+-ulvlqBJiXkJ|^YMI_al<Czs6I94mL|S=RAgU(=jj?>$!AepAof z@}kX}<C|uehflnd`gC1Y`_g^#t`lpR<|uEzC02dn$-1ALeAHD>-Rj?~T@W6a{IStn zq1H~+?q&hsUgOG5=Jz+c=y=QSQ*fDfXoE=Zrjk<DP_2_Jl1YDjm4fzFY<)N*c2ctF z&DBkwzo%K*Feiyx-U`33f96*#<Li5ZwN9-DaSrX0qHUp980Q@DKl`<Oy1K>tzmd6b z8o&JXnUEL}Zq;aA!TV^>dJpSARTB>`TXx%GD%<vDXHPMP8st1bbtxetBRr(JcZ2xi zgb$lc?mp3AVs4Y2Ci*n$O`pWmsNUA2*`e#C@(MrBdbaSc&H>&jIv3iK5A8axeUGP4 zNvilqeR^eeX=i41e%w-nxCe}Xav$>E>&a!{Z)99yxX|c?fvd?R5fKrU!;BAGS~OlP znPM)a;1t-iR6)S#n1Tz7heJ;TN5=z!MvaRSp)Wn|#xoYo*llxD!Y7lH<)Xv)kIyA* z%9`5_v+y-K-}V#gTy@CdMz5v9+GVPe(Q9}n9=lMa`fl;XxxV%{R;E<GS@o4ocHhi0 zor;eWURK(jxZLw6YKq;zlda-*hO3nf7A{(8JxA}9YT<Rh$%aQ~q<4s=R&Q<Ml6ZW+ z{@<B?rfUYuMy3w#k}BQ2_EpcyLQl*0rCqt0Q?|SQYo`NC-?YjH0;_E0-<%V!VPsh` zQECBCU{Hm{()azYQ}zjn{7G15@a#6LO#;I~Da8|FOuMgkpEUm3xZqD`KEn>~qPyIj zhnO;Q*$aY?WGp|<aAaoIlDRK;AK$Pnbc@B7B-OV|m2RB~a`3bMw)Wb$c`Hue>c3&} zDKt<)W$s_my)ldtKj-Zim7MfMfThHB#ySOdkIml{Kb+ilP1U8j@%-vqucaC9Ir7=G zoe$}5H`d_07N}=2T`^hXMt&S?5vxU2?Ml@qzmtb1J!H}9Y1+NWZnI|i=b4F<Y+gV4 z6t=~g-F4gE@AKCkt1vz?*QvmwVMaHz*ut+i{3@nRCt71ITimN7g#72)yq%ipxTC-! z*7v=xq-|v(-<O90e@aabG;VV2&3w1F`0>JBxBT`=ZrHELd61i{ef^bKsf}tg&CmGE zFg*SDTl<cZw?=8XW=+kNEhT2_j%FKAJ5?~lCi|VuM9#w4gLjX7PYl#Np7Ko~_2!$@ zqCN)|30~GGrGY0i+CMJ)$m({SWs<|<vSoH<(ZW0xXHOm9P~^d^V5u?vLipMOg#Z<% z!_W6Mv$rmkelB|Jr16TaTCj={Qa7)TS-UlniGiVjm4Sg5S~tfRr6lTQ73b%{YscOx zf&RA*L|X6v6b+xM*4Q%HWXiN}y@6(Gj)J*v>WU(k8*f)xl%-oYHvM?NS$11nUcjdd ztL*OEe*ban<z>Db%T1SgujHu_HeSh<Gecx8qfO=6*Xl((gL3v6lvVy^zV&ypUe{ua zHu)aoj~nu3KQZ)Kwr^xM=~=mK)gqOK(y7`7p|TcgqGFb+1qX{%6Fs!21^?~y&aR)U zIdRfq)6iXhw|Aw9stK(+5Xqi<V{7NGxwb!IjQk!Lyej?uSI;Nbd=Jy?owX(wFZdSO z#qbD=uew|!pX5Au_2c~)cy3M0=YQ1f`257icHeJ4S@ts|-P1aaT6eMA1}qiuIkWJ# zqonZ%TkTfOgKFI|J3gmeDzTX8+Q?d{c1qsWYeib|#H7ZbUdFr~2EE39!A-pL3M=Oa zEnUL&#d}rA^ldR~r6$Zzsp_A4zM(ElQz7O=)2j^~kFBj|RaO*Q+3b{QH8Yy-rj+G% zXoJBE{Rh+jx+j<~cHS$LHgVhG19D{%{?f8;g;$)<_O`3UyY15oWno|UdG*d;wL5#Q zo@{+&Wc^g}{lhYmnf0#|lH$&#K00(`tGid!ysC8%?H^2)IlM%!`)K~P@|(__*UAkJ zwQgVaJ(PdX#Y@f8y}uh}v@1HL`vh!=-|-~PV29wPB~_lqX310TFs%}6SsuOOESvMP zjJycf+J4{C9DQEx7kLL;?iD+1@$_+@d{;a-*z4Z3W$F1|CvRW+@#;tY>G+*z47Tg< z*qix$%OV-K7b3nFN~Gr)_}TAepKtvtd0%$P>end`|Gl<LyPdH8SKle6#avH#&Nv17 z{OK%vb^GPK=eOrRzmJ+IqgOq&dd0-R;GxaH0B^08r=-Et<K4)&dAALC{_J1A(WQIK zSF^RbjK4QCNpE<5Uv{pV^Tedp3P&bRWb4Rz)wi5~Qc!)qeSK~H?}>-aL%nxBoLqA9 zU$NQ6$m=0FTUI!lZwckDTQ8M!WcQ-zsGI|nSyumlH`(-%L`!6n9NQn;X|WeNew;B% z+o)_4Ap6kul+no&f&IKs_}w>1`y6lH@x1nJs?T4>H{GhziZM?tzI99#pCo@opZ#<3 zQ`yb0%j(|kO1}2*-1)8bJoT0Ls~=8Sk=->z+gHhMUT1H7QvR&ZSwEuF_e#m%dbZb# ze^%-~seffV*SGCW{kHmH{>=ZcenzJrsN#=$3L;Vuf><CT^^g?*t!Ib0`0di1_xSON zaJE>?m0|nHrQ7ge_YCLRPu5E;XLVt{vwHu+zf8A#Z%T6<-tFGdtKGo;yscs8jt@2t zSDRi|O`QIvtM2GjuERSfg?{us5If(ilmFWjHO}O-mTzOvzfnlPsC=d2+}`!Z_YF;o zg_}9&`>4E#k-U2->vat4?sa!pb5uBpNA4|Kd!*6*$qWyTWxjtt&Aq&R-CdCjJ?DjY z^_!Ki>-Z|5v_)E!;oJ+E8*F#aD6L8_Eihm_#!;coy*o^V<5l0Xz1$kl=ETjvSIpo$ zJ?ZA@jHi=r^*T!Dx!UFx|L-__Zr`mNz26ODwTmym4YBUvUB7wvc{f(~mQUN|`fu6P z`R#qL@ik+i`ij<!BgU^H)7WCa-O76r-R^hl`rh^Hvadc%n;y-)e1_!4-k+wwa?f)n zecyj&Ui$Qv?snIMGoF0dbY0@tt-ZT;f4;F>Yxlj?zs{@-jNNs`_YBj&W%<1&BK_(+ z#AM9Atv%kDZ!Y?L%~G{ptQB)aFZQlIEqUKF!{+PS3opz}%l7S-U}rpMap~{Li#slL zeOxCau<zE5pNAuboMx~yxZU1u-K+cOS%b~weYSoz%U(^p`c7jKyN2OD-V$zE$Gx#h z(Wi`#__AHJDDe6F>1pG=H_Y3tr`Yd2yX)WnifV03hSCXwi+{4CW()-*$tjf#3=EqX z7#O&qrL|shWnM`JJZo@&NJ|q;Oh|Z;@I&gU@Yj-0cXERq=CKHH8x$C&JW~~C4S)9X z`IG5SUN3#Vw54r>k->WH=*jD9FDYL+9Q=LG`JWGjtrDfw&$nmK`D|PKNm1Cj!CZMV zhq0b=rKCfHV28AnqmB=^g`!wPL4&!rw2P&3v-t!*mTWeL0B=Sn5oQqvP?iPt`qUKz zv)(c?Ffg$)Ft9W5GJpUh0|P@tBZ!rfT%cExn-dzs3F!fXdV%OB@C9AD>BY#vkjccr zz>Q*pG!p|uPJT&#d~r!>l3p2JQ`+PL9(yt}Ff3+bVBkYBWjZs8DH$pFopbi-<k!4R z3=AAd27$cN&?w3ZHK-u5C^46yzuK#_S8$0jFf4Ec#UdnRAO?lALk!X@&PXgs#p|uC zzh}56IWjPGI3j`<V#p*}s38@3I81={iBXONLUu+_Jk$j6;Xrs|2(@PqF#;0Dr=~-V zfb{dRngMkNO4l4>2E-%2GoWUGJL*`Cz}AR?7y)rc+I)x+@rWi39&=FZ9b|KUFNBz* z2d;(i7=fBYAx1!aBeE1~1T?>*7{ba1QpCf+!(h$Kz_4#A1A`uet4VH-L1Ix-erlOv mv5{Azdtjn>NR_d9dW5rQnNLWBQ)rf1gs*c>fk{b`fh_<s!~_!n literal 0 HcmV?d00001 diff --git a/loto/loto.runs/synth_1/loto.tcl b/loto/loto.runs/synth_1/loto.tcl new file mode 100644 index 0000000..d394ddf --- /dev/null +++ b/loto/loto.runs/synth_1/loto.tcl @@ -0,0 +1,130 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +set_param checkpoint.writeSynthRtdsInDcp 1 +set_param chipscope.maxJobs 1 +set_param xicom.use_bs_reader 1 +set_param synth.incrementalSynthesisCache ./.Xil/Vivado-13301-fl-tp-br-637/incrSyn +set_msg_config -id {Common 17-41} -limit 10000000 +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a100tcsg324-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.cache/wt [current_project] +set_property parent.project_path /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property board_part digilentinc.com:nexys4_ddr:part0:1.1 [current_project] +set_property ip_output_repo /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_vhdl -library xil_defaultlib { + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd + /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd +} +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc +set_property used_in_implementation false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] + +set_param ips.enableIPCacheLiteLoad 1 + +read_checkpoint -auto_incremental -incremental /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top loto -part xc7a100tcsg324-1 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef loto.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/loto/loto.runs/synth_1/loto.vds b/loto/loto.runs/synth_1/loto.vds new file mode 100644 index 0000000..30dfb05 --- /dev/null +++ b/loto/loto.runs/synth_1/loto.vds @@ -0,0 +1,302 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:22:53 2025 +# Process ID: 50207 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1 +# Command line: vivado -log loto.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.vds +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3378.515 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :15430 MB +#----------------------------------------------------------- +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.879 ; gain = 203.840 ; free physical = 689 ; free virtual = 14272 +Command: read_checkpoint -auto_incremental -incremental /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes +Command: synth_design -top loto -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run +INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 50389 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2353.500 ; gain = 422.527 ; free physical = 165 ; free virtual = 13242 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2431.438 ; gain = 500.465 ; free physical = 167 ; free virtual = 13162 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2446.281 ; gain = 515.309 ; free physical = 165 ; free virtual = 13160 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2446.281 ; gain = 515.309 ; free physical = 165 ; free virtual = 13160 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2446.281 ; gain = 0.000 ; free physical = 165 ; free virtual = 13160 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/loto_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/loto_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.031 ; gain = 0.000 ; free physical = 154 ; free virtual = 13141 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2596.031 ; gain = 0.000 ; free physical = 154 ; free virtual = 13141 +INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run +INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 172 ; free virtual = 13123 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 172 ; free virtual = 13123 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 173 ; free virtual = 13123 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_STATE_reg' in module 'automate' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + st_wait_success | 000 | 001 + st_counting | 001 | 010 + st_compar | 010 | 011 + st_store | 011 | 100 + st_end_red | 100 | 110 + st_end_green | 101 | 101 + iSTATE | 110 | 000 +* +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_STATE_reg' using encoding 'sequential' in module 'automate' +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 170 ; free virtual = 13121 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 6 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 + 2 Input 3 Bit Adders := 2 + 2 Input 2 Bit Adders := 1 ++---Registers : + 6 Bit Registers := 7 + 5 Bit Registers := 2 + 3 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 7 Bit Muxes := 1 + 4 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 3 Bit Muxes := 4 + 2 Input 2 Bit Muxes := 1 + 7 Input 1 Bit Muxes := 11 + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 170 ; free virtual = 13131 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 232 ; free virtual = 13104 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 239 ; free virtual = 13110 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 239 ; free virtual = 13110 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 7| +|3 |LUT1 | 3| +|4 |LUT2 | 10| +|5 |LUT3 | 10| +|6 |LUT4 | 18| +|7 |LUT5 | 11| +|8 |LUT6 | 41| +|9 |FDCE | 88| +|10 |FDPE | 1| +|11 |IBUF | 4| +|12 |OBUF | 17| ++------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 5 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2596.031 ; gain = 515.309 ; free physical = 224 ; free virtual = 13096 +Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.039 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.039 ; gain = 0.000 ; free physical = 391 ; free virtual = 13263 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.039 ; gain = 0.000 ; free physical = 460 ; free virtual = 13332 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 794d6e6c +INFO: [Common 17-83] Releasing license: Synthesis +48 Infos, 9 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 2596.039 ; gain = 1017.223 ; free physical = 460 ; free virtual = 13332 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2098.045; main = 1746.857; forked = 399.907 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3657.113; main = 2596.035; forked = 1061.078 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2620.043 ; gain = 0.000 ; free physical = 471 ; free virtual = 13343 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:23:48 2025... diff --git a/loto/loto.runs/synth_1/loto_utilization_synth.pb b/loto/loto.runs/synth_1/loto_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..722e79994a0d02b7eec8e1ab6a90ff5c840e3f9a GIT binary patch literal 242 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZmh<TRI;Va0d%r@X2A`)%I}F)&PU+AGYkqTIbzWX6;iw!M-J3=9l+B^e5)xGj|e zvDeBoFsyL=E6>0X<a|_tfkDCXtSU%+t{MXayYo{u5WCk1MBX)GU?^~2Y7AnpwP0Xi zaQF)%osL>EFr09hWX0hW>gMho66AB%4y0tRJp;o5ho|-+_FESaxz`mW(&`3cuMGr| Oe*+m98tjh-f!F|loI)7@ literal 0 HcmV?d00001 diff --git a/loto/loto.runs/synth_1/loto_utilization_synth.rpt b/loto/loto.runs/synth_1/loto_utilization_synth.rpt new file mode 100644 index 0000000..d1f8c5e --- /dev/null +++ b/loto/loto.runs/synth_1/loto_utilization_synth.rpt @@ -0,0 +1,182 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:23:48 2025 +| Host : fl-tp-br-637 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 72 | 0 | 0 | 63400 | 0.11 | +| LUT as Logic | 72 | 0 | 0 | 63400 | 0.11 | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Flip Flop | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Latch | 0 | 0 | 0 | 126800 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 31700 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 15850 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 1 | Yes | - | Set | +| 88 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 0 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 135 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 135 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 270 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 240 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 0 | 0 | 210 | 10.00 | +| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 6 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 6 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 6 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 202 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 24 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 300 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 210 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 210 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 24 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 12 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 96 | 0.00 | +| BUFR | 0 | 0 | 0 | 24 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 88 | Flop & Latch | +| LUT6 | 41 | LUT | +| LUT4 | 18 | LUT | +| OBUF | 17 | IO | +| LUT5 | 11 | LUT | +| LUT3 | 10 | LUT | +| LUT2 | 10 | LUT | +| CARRY4 | 7 | CarryLogic | +| IBUF | 4 | IO | +| LUT1 | 3 | LUT | +| BUFG | 2 | Clock | +| FDPE | 1 | Flop & Latch | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/loto/loto.runs/synth_1/rundef.js b/loto/loto.runs/synth_1/rundef.js new file mode 100644 index 0000000..1e14633 --- /dev/null +++ b/loto/loto.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/loto/loto.runs/synth_1/runme.bat b/loto/loto.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/loto/loto.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/loto/loto.runs/synth_1/runme.log b/loto/loto.runs/synth_1/runme.log new file mode 100644 index 0000000..d516235 --- /dev/null +++ b/loto/loto.runs/synth_1/runme.log @@ -0,0 +1,292 @@ + +*** Running vivado + with args -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 12 11:22:53 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.879 ; gain = 203.840 ; free physical = 689 ; free virtual = 14272 +Command: read_checkpoint -auto_incremental -incremental /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes +Command: synth_design -top loto -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run +INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 50389 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2353.500 ; gain = 422.527 ; free physical = 165 ; free virtual = 13242 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2431.438 ; gain = 500.465 ; free physical = 167 ; free virtual = 13162 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2446.281 ; gain = 515.309 ; free physical = 165 ; free virtual = 13160 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2446.281 ; gain = 515.309 ; free physical = 165 ; free virtual = 13160 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2446.281 ; gain = 0.000 ; free physical = 165 ; free virtual = 13160 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/loto_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/loto_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.031 ; gain = 0.000 ; free physical = 154 ; free virtual = 13141 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2596.031 ; gain = 0.000 ; free physical = 154 ; free virtual = 13141 +INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run +INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 172 ; free virtual = 13123 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 172 ; free virtual = 13123 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 173 ; free virtual = 13123 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_STATE_reg' in module 'automate' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + st_wait_success | 000 | 001 + st_counting | 001 | 010 + st_compar | 010 | 011 + st_store | 011 | 100 + st_end_red | 100 | 110 + st_end_green | 101 | 101 + iSTATE | 110 | 000 +* +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_STATE_reg' using encoding 'sequential' in module 'automate' +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 170 ; free virtual = 13121 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 6 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 + 2 Input 3 Bit Adders := 2 + 2 Input 2 Bit Adders := 1 ++---Registers : + 6 Bit Registers := 7 + 5 Bit Registers := 2 + 3 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 7 Bit Muxes := 1 + 4 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 3 Bit Muxes := 4 + 2 Input 2 Bit Muxes := 1 + 7 Input 1 Bit Muxes := 11 + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 170 ; free virtual = 13131 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 232 ; free virtual = 13104 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 239 ; free virtual = 13110 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 239 ; free virtual = 13110 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 7| +|3 |LUT1 | 3| +|4 |LUT2 | 10| +|5 |LUT3 | 10| +|6 |LUT4 | 18| +|7 |LUT5 | 11| +|8 |LUT6 | 41| +|9 |FDCE | 88| +|10 |FDPE | 1| +|11 |IBUF | 4| +|12 |OBUF | 17| ++------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.031 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 5 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2596.031 ; gain = 515.309 ; free physical = 224 ; free virtual = 13096 +Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2596.039 ; gain = 665.059 ; free physical = 224 ; free virtual = 13096 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.039 ; gain = 0.000 ; free physical = 391 ; free virtual = 13263 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.039 ; gain = 0.000 ; free physical = 460 ; free virtual = 13332 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 794d6e6c +INFO: [Common 17-83] Releasing license: Synthesis +48 Infos, 9 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 2596.039 ; gain = 1017.223 ; free physical = 460 ; free virtual = 13332 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2098.045; main = 1746.857; forked = 399.907 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3657.113; main = 2596.035; forked = 1061.078 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2620.043 ; gain = 0.000 ; free physical = 471 ; free virtual = 13343 +INFO: [Common 17-1381] The checkpoint '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:23:48 2025... diff --git a/loto/loto.runs/synth_1/runme.sh b/loto/loto.runs/synth_1/runme.sh new file mode 100755 index 0000000..b5fc782 --- /dev/null +++ b/loto/loto.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin +else + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl diff --git a/loto/loto.runs/synth_1/vivado.jou b/loto/loto.runs/synth_1/vivado.jou new file mode 100644 index 0000000..73216e1 --- /dev/null +++ b/loto/loto.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:22:53 2025 +# Process ID: 50207 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1 +# Command line: vivado -log loto.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.vds +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3378.515 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :15430 MB +#----------------------------------------------------------- +source loto.tcl -notrace diff --git a/loto/loto.runs/synth_1/vivado.pb b/loto/loto.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..dfe3450c702edda47cac314e2e2ff3110559ff4a GIT binary patch literal 31307 zcmd;j&d61yS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp&2^c(A3;e&%)f&h?9%m$iP_7 z!o<Lcm5bTT!qSMHi`CG?$lNH3&Cp!e+{h?{p@64?X96RG029{<MlK%b{M_8cyc8=1 z)nYCI7AY1(3v&Z4&Vro8JjaaG#1x}Rj9mSUMX8A?@yQvf$=L<@nRz7&x{0MF`SF=~ z$wjHTsd*)dISRVS9Q};^+|*+ITqC3GoXos*eP34>XMaEak^<eF{E~d#)RNMa%*4DB zUAO{}us(>;D=tbd)-Nr|%qfmH)X&T<$S*1>)-SHiE6IpAgs4bKE{I|`G}1M)Ff+?w zC}62zfq3Q^BbRkhYGR5)QEFOhQEFatssfUW(~9zQL9v*YU!;KSRIt&h#hJwdtWqq- zhNdRSeox{`po>>bEsRVv7z$V`SRq~s;nEGrNlh$HRY=V%E-gw`D9K1IN>xZKN>#|q zS4hs!D=sNY1jR#gMq*xiD#Y#PMy5z^2M3Y4rG+K3xd|B2&17n<#aWhFmY9-XlAL3t z&!r0vkoc6;;>`3s1>KVT0tHaKDCia>7L_PeB%3E18W@x$7pEH=ndlluv6|=_8X6-< zgB6!pa7kiONoHQU0<u}4#KmlAVu@rONVl<(A+qjjE(gbwlGNM+u>O*Kh4j=Cg+zs% z%;eO(;#37t?4_k9mVm-UUA0(UAu%sSKfg#JCABOwIaL891h&W6zyh9?xVbokLFoq+ z$)J1#^8+|T7@L?QTc6Ek;GSPXnsuyF%*KX@oJ+tul=yJv;`hl<OaTQIICxb-G0ALb zYOciw@e!yTfVmeQ=4?iWx+VsoK!+!oXfBn2#G(>VCRRwvPc2r+%P&#LNGwZLaCUX{ zRmjOqDoQM>)DvKpVzx9h!)rRbk)f`okr60Z;KtW;h53QfU2Z{UPO3r)sBBD1%}Y(o zEKw-QS12t8c>rssvQ}_ZNGr|BQ7B3Urv*?PD3oXB<R~PiDioFGfij(uv8k~ZHzdJ< zGkz4ik%6wMiHQMn(p|*F^@GtZC%;@Fvsj_HJhLP@17vGSzCucBT4HHVi9#AkGNm*X z<fKfbk}0_;vm~`BGZ7pnxv3?33Z7{SmHDL#<@u#KDGE86*<dY+IVGt@3MCnt#R^HO z8Hr_?`K3jm<OK=Fl8jV{1tqCPxtV#1C8-LTdBr8Ei77e?1(2*-oLU0PrwVELIXU^| zAjcIX7A5AUf{abh&r8cpkI&34$bl4?C7Jno3e})e)H5%+NDrJq^@37CCA|~G_9BH4 zm{qmlU@|hY#0(}AV{?=gmCxnjTbfgnS&{)NvO$hW%}Y$mNlgJ~9dI1L@-`&e5*2b2 zD>8FSa~1N_K;>gWQGRl2adB!fD6z1ZSQuGovF0ZhR~8#Fb1|Akv03Pv8=zX5$Yt-7 zSegfkkBroug47}fs3{Oj3lhuoKrTtn$jnJ8O3hP%ls7O%kmAe4!pIzI6Vwt5<mmU~ zQuaVKItOfLYKlU6W=V!ZfTxQBIQM{@Yyve1RQH)07+Y9EO++bU4>58vsTK?HXt9Dr z#b_lX*D^+U$rTjhqu`p8n3P|X2#zW%1@smHq$)NrL1`Dj1+ljdKuKNC)WARi-bOGn zGSV|OGFPxxKyN4*nwi2x5iJEnV<QtIF61h_pOH%f?19wc%qmbQ=jNxB=0J)<P<A&n zhDRV97YnE;H*(~%CAn5BE=q>fzGWFH0*pp%TuerW0!$W+4vbN(7P@A}D3LXpcsFry zv6W;NC8nnuIdj>Q<tm7FJ%qbVak^_d@$TZ{;s9mN+{BVpBUdg*vRno;0Lg8*!)zTR zmugCWUMi%{#1feb8mh(0s>Pb1NHsDwgC%ifhca_98i2B?A&s1DWD06<!E1$?#0Mug z7iUpwdS-D+QEIV~8<!JV;R!bZDL{>JM)n3W0~E!nh!CZbqtSwNG4Vkvz{QuGpIcCp zT3QremY9>7V&u)`PFA?08iNR2L)<xO7ny;J>1ad<)6)59!91V%VCLiEfrXD@yosfe zCzmTlfebPPDTvK*rr&L31~IyW5dlm)r=tb$RN{k|lZ!nkH6^~FJlDvD%Ym$rh3ZEN zR12Jex`xa^MRF!0JZa)!w4hu?rh^gnD#E?AsA18ZyPWu#2aRyR%CB5dn<d}O$d}8L ztRw)-q47|IkkW!V&a|+P%%H{Qa6}-})(dFCy^#3e=I7!~$t=q(22~enMX7~GUR-Wu zg*A#PNCAyI(|Lg#+FY=Pwh@;BX8^osloy|tSX`ozlwX>cqEM1=rC?~PpsP?)S&(X_ zkeOGKnx0yu0BZ1ZRd7MZMhKWz4C)0UOfw>2+72=k0Y-2lQUDDD7A^WoYiH+{R+z;b zlHJaRXh%f2kpa$}MM0qju?5tKg|=kLEwms8VRtTCFm5A07b$Sbl@ulB6({GXq?Q($ z7ssc>m&U_H;xqF~jQqH~$jVkQL*T|DoNi`<Go;UwnS2TR1CgF->?5?uAg%2HDeq0l zZaYBrBf{DccY}<Awgc1}q-0HQ+W~44b_b&c<uWo82W*@Gkp!qeVu0q<LN0B{03c`x zDkHI20W|IpVy6^kmZjz?BvnF2y%Y0FK*Kz2QY^+MCb01$Bbb9lxrF`W&5KjhL30wp zsX3|1CDG=wpinmijQ|;28iGbO*(%r|H3{u3H5&*^O$WkK6Wo?!9bj|I%*!m!NKH{7 zdVtLg+W?!H0*(PT6JtX?6Jra+0Gp|Sfu0FsC=F>Q&d>}#?1ntR2AW($9$?EsZM}qW z1?f^7ptNtSQSDRaQUni5DtIL3rGO^W6r4+oOY(CS97{@yGLuS6Qj1Zv)7!c9w(l(3 zu<aH`u8oZFRE0Z`6mX8<l9<3u%=C;b43QF;p{bs+fhBCL7A1jU9l<p;GXTXHd=i%4 zAxLlgPNT)xCPuFHh!_Kv1O5dinYo!&kdgL)4A8u%;b?rpIy~<O#exJGE-Am%lAO%q z5{2S?$m}kt*PWAElB$QaG{L|CG{p*?1_85;OdvT1G#O){XJ7zcm|$pT3gR0Y8=4t_ z=V8$nCU|pc1{9?hBo={YH1eSKf+l}+^3yYu74nf13>RoX+}OlYiygXBB8t^e*VG(k z^3aY;hARLvu>~?P!o^v^89s>)UiQFjWMYD1f{__$r38F&fi0J`XI^GWW?~LxmIahx z^U@Vk^U^c(QXx~$CKf0Ln3{nm=HLc&GjfRqBo=|EN<sF!fo4*pRf}W6nhniS=l00% zo%^L$R2G}W`z98bq!#H_q$CS4S};bj85!srf@kgE?wP{KB@Zt)@j1)_Wzvx*4g*ad z!5#L1iR&h#C+b9LaY1TwW?E)4q!9>S`cSM;4q6hC1|Byk&j5ucY{nC5eMA~a92ClW z3LzP(#i^(UK<A+|)ARC+kY`B2v(!nYCGeT*QqZcO%sd6u`CB~&&)kB<<dW1B6k|cF zG(h<zHANva4>BVRcLg}H8W|hIQ;LxXnZqy`5p5*FC8igVnFF4t1Fae=00kl_34k($ zkul2DjR%*Sb3Q1HL5|0hGr)<{$ix)xUa(naptT3^2pj6<lc}Ydo`JC;s60ZHPo^dy zzM-+9i6OS~DThljG%vF_Ggl!5G#rqYUz7`}y@E?~a}$dyt+>FG(aeU1hVY;>Qs+|j z%U6KvONA^1fF@&b?;m7JYKk5gD2xpaLCa#`Q*A#6MIE5Tg;Z=Rgn=fVAifSN%>yqA zL0+V0U|__{#SN<o^b8C^6@w8ufjzqVWT>n@p?A~r1X|N_JtNl|MAH&c#UrOxT>St; zBP<<FLt_OT9ZhILM)U*B%uMwROtJO@%t1zj*LR?FG>wc$R6oE4wPUNpr3LBO!dAe6 z7O;UAT!m!jf?8>wd7uIjT)=?B2|fWp??h&a>TX3Yd6<hqYxb-#)<h1dW3Qp*h`o$l zyAU}7=5RbgjkDi1nm=ef@Z*7+&J4KpAo;_wpdhCblp)lMQ%k@NyVRnRN_7QLF9K1- zjjU0iTWHDrAS2g4L^4k#F2n{TsT;!viUw0vkk4hDnU|JY1nLF31^YslZI*x*xkA=l zr51sgR)hL8;0XxC@<Iy(BiKqbJ}#c%p!nbr#}L=}qSSOya}Txx4zxzl!T{8vhPP<z zP*ZyvR|>U9Rk4_dQZ!egx*&-wK>-s4gF~SPQ$j&O!7sI3!8I>AAH04WLrFkUDrgOH zF+vH7?E~-sN>u+Ra>c`aSzHodo|st@UtF3DS`4g!;W@ZUFvGyWfUpun6z}7)9Asp1 zNqllXs8f-bPK3z>l^B9YT;VMU0+uT%fUVChNGu}4WWq{F^0@-o_TrNKqEsSGCZxpB zfHa>Mm&B*$rNo2E0RnMuNI1?7NeXtT)#*j4sd<FGWk`e)QW6{}(J5qt3on8u8xoP) zQG;CuHLJ03F=?SVZr}@p$&6g?si3kfHAMkb1ArIR7lR8w)G7e7YXGbi+$I5S!pY22 zK&=*7j6r)kp!){U>jfSzuHw|f($qZAXevrA!DgXrY;0<RyzZagjeW8vD~w2NNtjTj zQwiEdfTdFjE^S84<dPL?&Qju1fHayx(@LNb&iuU8yb_RDNoH|LW^ys=S~7a4F3hf^ zOKM4CCTM#Os)Ip&Uj-{v$7-N@Re+06TUS@tF(oCns2E+X8>(78E*(w<1qCAo&%A=t z5>Q!Zrr?wbW+*5qz>Kg}Fa$}V+DgzQQ>vL{jMXF~GTdZ@)g&yw(?$&^VJ<;Xm<EBj zDqsm#%q|)zSiug25%7RTGQ?KF94w7e<`ZESJZMqO!V(}vm<119DwqWiUKF#i1TPWp zGK57HidiO@;iZlmRs3AMps4aKtw<$3^_#;i1z7_%f`rs>g2+eIGs%Q9H<=^c1dnR+ ze1}v@U^fX%<Pa<+;7Nu&HzD~Bo?uW-0;fKd3`WpRI7|YWi&_TKyPB{?P3o##%J7+5 z)M^6MI~@%58odL^3^jn{xMU!;K6qR+D784hv?w`M0Xi3lk|^ly(n3_1MskItxUtxZ z%OyCV*h<03#6UqKIX_1sCp9m<B*V(WK$FWU$k7)hWsWMP5aj6VWN4vaVE|?sn<<zW zXbz|+F`G5;^oru!K@lVLP64i{DL|J?8<JL?i}H($6`Vlp7ZQsq!4n^jMX8Aj$cv!{ zG*&&h)Ii%ba&l60;G0s572vz+Ga-iz<ffJgut|Y-U0Xs20zpe{%?&I-3vlSL)OK`z zuK*Jl?N&15S>H?VjC37s%zqyv*KWj^KQY+}cQ+lhP!n;w4`k7Tp*an^>Bb|go9=*G z2x@StK?*_m+!kmu3p(#RQs=ZTp{4Jgj9l9g>6<`E;Y`{_pry*_N!y4T%NmS~u`g>d zFacFtBW4831T|^Pa7jUuHZ(O4n(Cb1#q}|?<h+)VYZW3nV+kGHNf?yQFp@Ae22qWS z;p=r!reh%!7b7MK8>1#+X)Z}<5>8Fd$ji^kPp?$)O)MzL%u5I5Z1^-9y;Bsu?K_H= zg4Zx|twc(}7=bgIfMHt}=p9qKs0mn<OBj-XJ^d9t^NLf8Ku0)@sHAI;8X)Ris*nJ2 z%SkLLNd=$A<&>HRnqwZZLF9xQL|R-L@Ii~wP=c57xJKICGV>C16kt1ooO4nW^GXXw zR2IWC=rtNR`_Zb-WsF>l5mhI0nS;C1#I`Tf$bt%eaw8+qp?w%r=LVK$paeW(T78(a z43Ih^C^at;ya3xhH7~U&5wt_dGq1QLF)w+9Z8biRmWa18a&1N=Vtm0gny3e=C5Ur9 zNNQ4PW)66zW@vG0k%C8NYEfcQaz-U6%fo9sdbeBYZQmKR6up^|YXfqM#t}xN$$6lX zGiD<LXBiy;Iw^fbrDg(a^)Rb3a1f0q=7CDgqbHl7Z%IJOu2etS#AvhyjUM0>^HE~V z0(A&MflCf&>Fk$UGO~7PokDABY-HqGhuqY_=wpCFXtZn|sInPXLnFK>6MPc~_<{oP zxfnsIptIRf@&Ub@vHGa_PMk}W3*ssTr<}y(Y^VH+)MB*7)0kORTNku`6sbT!;%cLK z4^wZALJiC&g}lVvRD~LN4_TpxfL==MC0;Kih~SqSAO(?gYEF(qXmMhCDkO;D;~hre zP=#xe;*x-d2$X__7dUY6=z?w>ssUT1pitus+Q<b77CgG3mOv?@?E)|RRH$(Zb#qrx zfbQHe0!INJyJ{dysB4@ZgMuPWpt{Tn>M{ne46X4A4FTB-@uo3BU69qXAYC9^!MY3$ z2<kG0+Xb?fSY2jtT_9T_x-1CV1zDU9av{i8h%Q5dx-8&!fouiqG9j$X5~9n^#n}}W zUlxR2XlMY^R^#Rp0N15J*e*j*G%3`0I)%Ey>{2ix=r2Q1G%3{hBk3|Em^Prv7D{1H zz4R_6@pq4K73`x`Fsuqr0GEgGW2-&5+z^#u8tfQL@IE;Mh18;={GwtV1q1N<Nbpr} z<%vb0dA4Hk%1%=S7*`LadN=`TCBZELA7Fy826^#_0^*Pz>{ro%N3}7QN#Hyw6XO6A zQ=A7G;vX=FkDi@G^UMZDuC<IfJOkRB1v)#9y2%Z+nge?I9`55<i1dvU7vyZGoJ4Q~ z2!6*-YKj8%KsxYYUdE;d@DrXu2RE6Tqa55cJPyYK-y#S<9Lv}ed{~yDv5}cEw!^W4 zxpW=#5_2lS*Ab}}W1M&eI>)alwIC-k8GIKOC>WUx&9&H}!3sLB*F1{V$Wj-4Trd2@ zfCw%l=-F9lXKumHAq1bdrdq7v91yBdoSK}Umr@Ki+sFdNYy+^_MxaCI;AT_#yum;& z&45zyF}JG43YmFjsYNBJMH<DLpsUGLixqP66H^olGV?TwHTA$Qw6xG-&Mznd`5b)g zxj_`0v7xRZ>a9w{F)5jt89>fiGd9M0K_$J<SqtM*1s7NfE|B{VVM$A&M!^|$`(<%y zF6fRu@UeKNW=2SN8G<%4n_HTsn5CK}N3ogc8k!h`R=~qg$#dos3rYoD6bITh4ZY3~ zVic<slZ7$X+X|yt4b62eK!@$Y6KWloyK1olXnSa}4(NRBaA++9<~V~oYoKdJVWQx= zN3~eN6<j?jfOc({=9Q!tfliqdV3A@nvOx9$3m21#1t<+#f(U3rWHHe-0hMTQkN9v& zsTM210wzTPdPW53mcL3+xG)(ZU-4+f$HfCKI-$4DMS;vSLcVhG*`PSuPJoLK*_p@( z*%_OF;uf+d9Kwd4VrK~wH#9Ib*E2FQ23@yGC^au&<dRe^hFle^S`52C7IZu%=umT3 zDON)xBNH=tQa}qjkZl3bi)2Bz1q25h*>YJ!uAj{>OD#&w0bMX_WMFBbXJBG#t&j`3 zhSt#B#7xh^)Z7|$tV?z(==xe?OG`aV1M?_$Lvvjt3u6=H9rqMEl9P))405q8$c<sa z!A5pmHt23NHZwKXGc+`YyAc|qrbuoyG%z#NGcdQn>d3c)BGE{3Nr0NssS3dvi3O=H zP71JA4RZ3qaw4vok%69pi7}|;gIB61=Fm#j*aT~(x|ESiAtWOel;=UWoGO40$xi|u zm5~mcr3Y6f%w|T0@C3xe#f7*5*C>c9faIGga`H>^!3T=!6_w^;y@%HvR84^j2zU`S zm61!_wIVgS6x?nC-DjMbm!beG>sU?AjEzw)7!KwNECP=y#e;7DgdGE|3qHCPWIwWS zJUEl;6%~{y=oTb_Z>Gf}U62&T0lFX<bbBRo(vIO0ajk%iXQ>t|B!aFI(9?qin~?z` z-*IrUg@JFxH3CI2=s=9rBn3kw1w%tCBV#KQ3k4$sBU5n0$H)NXR8>AMF4w#ig`m{r J{Gt>AMgYp(4J-fv literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/compile.sh b/loto/loto.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..f6e5201 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 12 12:10:22 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj" +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..fe720c772dbd3562393e6fd7895b400d5ca22da0 GIT binary patch literal 7947 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C+MOSd$(f5*YyH zG8iyISjU(kbeaH!CYLl|U|@h@d}c61C8t5{3loJ3pivG^{9uun%nS@HAQlIRU|`^o zhR`P<6r6;5he1pVDx|@{kix*g5boln=USGUR{|A=Q84u{paLFH8XAtC!I`-VA&~*D z3T~c0t_lVQh74SS)){NkSs54@>=+psycif5m>C!r1c$i9`}n(iIx~O*5`;lM<YZuA zP!CmSP>)b&P&ZI#P&ZU(P>)h)P!CsUQ1?-1Q1?)0P}fyw0J*{&$)r%qj8b4=U|?ln zSm5Uv5*p;_19lR~U;qFAuLoJ>$iToLfb8h_Fjwag{~)kJMur7o_ka|F`~jjtnnC#A z|NsBz{{R0UW`U<)h^xCRL>~hKLj%kL4Ul361_oI2+Y9C2h0=0RL!qG@6cXYIm4Q%D zX@&$SBMnMJ)q`Wj#mQa4z`%?F6dPau|Np;(fq~%$BLhPPHv_{DCI*HM76yhLSg-~w z149EV1H%k12!6rEz+l16z>opOpacfOptu8JP#8d5g&?yS7#M;X7#M^>$$)`@feVUx zph`f_Wn^Sv0AU7jXfiPT|Ns9#NE$51fZ&6~Kp1KihzU;VFnd9?Bm=}0IVcTM1QKUs zfaD4gTa|$U9DlY*YC!5h_JS}-jSeJ@F}UGTqYE|DACDS6NCIPsfZ7SN2ZTZPfG{ZB z#2FYEK<-P#qehK^fq@+w79f4XAVU}!7!r(x7DJhE3KTw|Z~&PNDhWX40?1D?Q1d}@ zN(>AP#taM$AT=QM6AXnGgV=&lF_3#e_JA-i)MN!Cp~WC`Kw$$C2ML2{5QeFFU<gqI z3LB6(J~g1Q!KVh~9#Gg2QUeMbm>Q6MAPjORavA`Mfz<Fp<AZ@G*a8%uAaRgdc`R{= ztQK1Mg3}EsPlL)V5C)}DkQs2b6;2i)vp`}Xa}^1g3vxS1Ey%B+yaY23BnERYSd1sw z0u=5bagbUN28)9Ppy>kS2Cx`Uu!Rv+9Hb7E=fL7nF?4gSpyD94+|YCn^BYJX$Xt+L z7_71_V5L4tEhr6w(iaGWjDVR7N>8Bh1&M+6ff!H>QUfv@mw0?hegQ*rer`cYYH3k? zZhlH>PQF=uNfJY1QE~=@OK1p&Bm+!QB12AQUTS>2i3yBh3S*c-8AirXhOq_IJ;BcL zMa3ly!OrnXIr+)iAck{(X<kWck#ByA8AEVTymL-AgJ*m)m;@>HgsSw9M^^~Z2QgSb zBR@B_SU=auC_5)JFJ0f))y3K0Prsx<Hz&U&UpKX+G$k`JuS6HFptvYmAB(^B$}&<I z^z#c!^fPnQ^}{mD5>xVx42(?l451u-C@&?kBvHRCBPB;4WKwZSO1zPQfrWl?Nn&0~ zVo?gjoPwhKEd7e4(#)KcVtq?XOG`b2cu&8Ocmopy(|7|569fIsyyB9?oSb6)#GIV? zf}F&XwEUvnVwhbJE&4>+MZTL$QY%U_^XcJYWSdBKZ)R$0DlCvw;&bxTGn3;D4b4nI z4$ooGr%6~7u#;+j&`ruusT>H8AX`j<Z}LiWQ;RZ_<BLncDWCSyfoUOiT#p<cG)_@S zUO={$8U-0BB|*wXQ2CFHA)*WnQyCZ-d>I%R+@WnjMkWS^jf@Nor<fQRN|_iKCNMKF zI5RUa1hX(OFtRW(oP=VKSs*iDG>8qVUtoM<X^<XJod&97L2VaM+XU230(Fi+9Vk%S zNtS_u0o0}d)$gEs9aeXP?1W(sXrn>^N`vZ8m>fF&sv0380IK{M7#Jj=G`c=yKC(Uu zkfRwG7!;s1vO19I$n`9;K5#>vfq{Vo)HpzEqk!~*!UIHuFg|_A=7ZG2+5#XpvOe^1 zLDvUvGk`QPFfbtNLk|~peV}$KR2zyu^l(Af2aO)ER%H7OKn)-UNIwT8iLMVCJs>F* z`=I?T7bkbH6r6y?$2X`!1+a!PRD~KSfkD}$)MyBdh5%{^)S_}nc>^2*pz>@5($L`I z(ei76V`S9&!5jh^$PJ>w?9dV7HuUlf*3PY9e0yOxZ-asegToP*xkowItcg8-u2A-H zkYKNbG`j_t+#Y@vai_S$66c+Z?*_lPC9q2Qnb*u4ze4J*US-y)KiahH<f6y_9(>M_ z+aj#s(7?dR#KIv!knx|jY^wpw%nHW0GYVUll*$}i{{HgT%cWb3RVFJhK4P)7H*~Va z>?0jp{I<9o?M$&aeq!mwD{ALd3{}<&=UU#J!ei++N7~a@W%8uJqQ@T6>a$Nv2K{<_ z_Rqcf#~=T$uita}-J6<kpKb2_{kQLTRQ^JP-x9Jhdp@1L9DdfZLbLV!-R)w5mV2CQ zjO%&r@01$J$Zpn|_H~Wyg9)53?jB}i5#isQ$Ru_AW<$f<uQLVpdH(Xb`#8KbkrudM zbG(gH;?EH_mMQw16PcoZKW7(tu9r~3F0Gc3T$y$x{=Qae-vQQ_B^T{ZDGD1@b@J{| zSlt@xU+8i0m!#Q)rjYKB9nNYCY-dVFp2&Hr)UL2#v%&pOKMQ9xoLrmZ;P6&JT5Umf z3-1nvSetGB1t(b6l-z9K-V}A?n7d5ShR>?f1&*s+|1!1v9C&7*bAWZDSlZ=Je~yW4 z+MT{Kp>!ti4uz|W!e+NViWk@>_f$+cz~UGi%bK|<hgrVdOJ-*3O228q_*Op6ZBI#d zk0q1%5yqD2>i<IRB2&cH&%1m2+2nTaAl+H)mMKhZ{2Lj?Q?_pS6f6^Tp=u(?+@v37 z?LH1qgUuc^MM#%z`>We7(o>httmm<H#k-r%>`6@5v_TFs%Q^I;j!!e9Y7R)#qR%?* zJ_nxgnmuS*BNq01f-~2y_zjHWOQLe-KcB!E@pCrs4uxxNzBhKfl(I8ov@YKalDi`R za02IzoK1}4M{aH4yc}-1B;ji;IOx`%w@6{)vfl)D+g5waB@4FaH!kBBUlJv<TE>X6 zm;Gh~cT(<+mVc9Ff&!`<!7lhI*6wp)!Ev@2f$YO-Q}#cc&~z<7=K$+UF=y>djWZff z>E;|@jnrLJE8i~CBbN;J&o+w`rfc!(%z7PL6NQh-7kC`J^c<{ZZoEYblNuxl%odhC z-ddBww5xn0$k93WXB34ueBzNVa14|Fx4pL2nXBwxBG|a^mMKhT`=Q1yt1s|4`0CzX zliD2$SCd|s@y%>F#0(9%Tf#Cy7pmuho&7_t-N)gvFgW0@{h#H`<+Xnk$Q~2!>lYhm zG#q3E$Aj6z8vS;WEqvf`<LNIx!4eXe3~~3Z<(5klO8UTt{W5O%Iq)kLY?#@-{r&wu z2QJNp_{a(rKN`{nj%#>l2UO2==GwLVMgw<{?zZ!w#8(3ix15+K6Po6>zRvswPJd>6 zGaJr2g99$7s>PWrY(6;PZoDcw!6IUp264c(eUBzI-D3qu+@t-V=$W1WK)D!X(S`VD z6Phk*ffMs*iFTg@4^+V6Hcx%ec}3Q>o54;yYzRtk`cQxFwW!{*|F_KlQ^p32A0O76 tJZK8BuK#Cj{pwG)U1JT?PnJ)dPlQjXPw-cm?|>}up?=<h`c-L#O#tevlScpm literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.log b/loto/loto.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..95bbc23 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,8 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.sh b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..8388fae --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 12 12:10:24 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log + diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..5c5ee3e1117d3903b15c47aa0af9a7e16f378d0d GIT binary patch literal 33507 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C(CexF$V5Br*WX zWthPTVSQ$X(8Y-mnq1O=fq?;r@tFa&j$tv>zT`BB>c{yII=K=;FD`-5%5@MLMk;5+ zSk$FoGBYp;f{f$<5ey6;8X)uu2!)%}U|>jLU|<M$anf@wOU;8i8?GK2%nSigh6hvz z8eg8lnYjufkpZp>Zk|4_3I+y-3{Mrx8rP(=GcYiGW@KRSVqjokW?)zl9O4r1<L~b2 z%)rFJ0LGw@<YZuAP!CmSP>)b&P&ZI#P&ZU(P>)h)P!CsUQ1?-1Q1?)0P}fyw09o#h zWKt+)Mkz2bFt9Q(Ebwy-2@P`e0XqleumAu5*MqEbWME(rKz4L|n5%P$e-Kz9Bf|o) zdq4_7egV-S%^>{m|NsAU|NsAQ2{j<pFWA%F&((!!jWCya`h~c<yFx5vU|?u~xvT~n z2%sR3cMWk20h<hR1}g&t11QjoOXABDGfU#r5;JpBQy5^};?m^g)Z$_gKRLfNuOu@s z9m38nNGt-eic9i~QbCN=yp;I#qSVwp7^f&T1?*uBkPjIc7+`sGFO+{5O3Oh*A{<JW zLuqJI2?`1EgsOy4P-%t}P=+?h8w?Dvq5zz<T%6n$3=GT|KuPM$|NsAYFfcI8;9y{w zV9&r%A;7?JL!E(Pg)Sy8FkoP~Va&jA!Wx1LY#10MY#A6D>>=2Kfq?;pI~W)kK-doA zBoy)p69YpAGXujLP_QyEFo;6&OsFc5vl$r~7(kc-96}5X|NsC050VDUF(CLLF%SmD z5{L$25F6@ca4bqQKui&U(jYaw&{*YyW*Q?XEr+BAqz+^+2!qUXf}{}!bv$ZZ7#J8> zphX_YtspZ%7-R+rgUoS-<Pru@V#cM$oq>UYje&syWG6@u$P69^1_luZ1_osY1_n?D z2bFlBAdH5(9i#@N7L?XO#XCq%H3I_!sN@2bS|B-)8c+eD#K6D+atBCFGt|75Naldt zrOLp--~*NGV_;wah1DS>HK1@-V_;wifvTCsz`(%Gz`*bZNe##@Z3YI0D5#o+3=9k) ze{e8D3M-I%Ko}G@APjQPDh37yQ2YqtQL~wWfq{>Kfk6h3nk@_r4EziX3~G4PY=smk z3<h}AY-4~_0akd_fbx$J0|SE#9yPld7#M^Z7#RE*AtegP-=J{TV_;y2hlX!4G>&u8 z)aWxXFl0g1fZ_|3XZn%UfWjG6&6YydOlDwU5My9qIDn)E6#vEy3=E(&0gB7H3=9n7 z3=9m9k<@_9F$EQjP;-_sFfd3kFfe>YQUh`~D6T*lWX=W#1_nt`p2VYOI|BoQ6axbT zC=5Yq7GwqpgUkS7kU5|*1m#suCPet4tJw*Pb7(l@G6xjSvY@cWW6o{{1_n@Gm&K!I z4+8^(Jg6dNg5)8PTR<4(UJwSAC!p{H<u?O7YCw5Jk%581k_nP;L1ut3$P5q$H7`J6 z49b&Ec+`N*0OfseJZeB@s6gEe3R93hAPlkxgh6Q(<YrLb4q<}iSCBjigXBRNltw|# z5s<rM@u&gSI-s&J4UZa7GX+$?<>OHUDq}Pm7#J$>sEJ@;V9;V<U}$85lpi4Xf-uOv zAPg!OLFpY-)^y=f0}4MK1_p-7c+`NxMwfwsVGa|dyam|<!XSG<7*xiA!Wfiqmoh=> zFOWP4gXBRNRK|hQGAQ4!V}j&GkUR*3<Utrz#)0xNDBptY0=XX~55gdM5C*9M*<}cI zGcGkCH-qZ1?M#q74Kf3SL1ut3sH_C(1?7KGS_P#6kUR*3<Utsu1{CKe3=9mrnIQQd zBoD$Mc@PGbr=YwJDkDH{2Blk&JP3p2K^UY4<YqIdn{lZDx!D|4Zh$oX|NkG9?m*@= zlza>V(a0E7*MPzTR6l^yHOLN7c?QZ8AQ}|bpfmt-8%Pa^-cbB82*d`3F^C440k!Hs z$Sjcg4kaIhK>9)TEJzL{2BJY2riKBk22{6$#PO*C)v1Kkfa-Rb8c^dK<XMpWKxNi} z@{d6v|AE{OqCstdR0alyGHCq?@&m|Upt1*4Zh&Zznh6XH4D+CBK;<Gx4M-l8-$67; z-zEkI22lA4vJ2EE0I31VgTf6&gW4@;85kJuL(Ku13sM7$7f^cwM1#!v#=yYv52^;l z2dM#>0dfn72I&L&4}@W12#PO|y`Z`sB#tW#L25vCJ0Ufox}A_3P~A>Q4XAD>qy|(k z5mE!Hb6{#B85tOo7$IdnsH_0RDToH8Ll6xLpGrmshGrx+pfCiL8K8U#qCw%hfRTY= zJyZ=y4@eCtJV9XzqCsj-GcqvTfT{uUL25wx7!(&E8l>+nBcy%?g)J=JL1uvB97Kck zf!q$lAag-+0}=<NKTzEc5(kNaXb^^}0p$yj8c^L1633^8P#A*D0i{hs<{*bNNG%AX zy9ZRagT(Q<2UNG?Qv(V=P+SmF11c}^sR89ZQ29ql4X7L=qy|*C<5L5QPeN`6<zZ0W zj?WyB8c^L%NDZiNC!_{cw-ZtWs@w6Y0hKABx{Ht+P~A>Q4XDn+rv{WiL3I~CHK6<n zs&DYA0i{V$ekY`ckX;~i2)P-Q&k2PONDZO13d-}KdIz7IL23xO8Kef;&7gb;!k~H< z)D{Hwc|d#+2H6F28>kFo_*x(Zs=Gkq#Ha=7BUUXaUl6Mn6t^(7pmr(9O`v`e%-tY+ z!S3}qD+S88FtwoeDNGGW4Bc;_wlYW@<TpZUL2Xo+T2Px7RBprE0I~z_2Jf>{pf)B< zEhuh4^*G25kQm4fp!^JWF9QPus7(eE2g!rt2%lP8kV0rZ4=SHQ_Ckfg<1rvNfW^KR zNV!2JKy?f#4}ry@VjwpltM!A5gVciZ5<az&NNPcO0iRk>9~z__WG=`)<ah)57bFIv z8U7uUDg-Hn`VEv`k;4}h&TzFJXQi5u)Pm{-Y;FLX`?Wv{H0A|T4srvi?8m1T)MkUJ z1^E-3T5!C5Esz4W?O<v_V=4I5E(Ixs`VBNT1Zo3-`~=biif@qLz~*|Nm0F9WHU>K8 z1XBxA16S*QRtnUf0x1XiEf!5J$PTz#@3T^{F-(x!I5f4Oc!8^RKPz<<WGK|Vpg07X z1#<%^Uf^oI&q{&Xry%7Za}&_a1&P7cx}TN03Q`C)7c{E?G82SB;Q&g{aJAlNrJf_H zO+qsll%C;g-Ooya+P@&>Ap4S`<A^YGLFpN;HtduXsGST`3z`l9`5mSfl%C;g-Ooy~ zf*b~QFR0CrtQMpWgh6q_5Ozw64@oU(rUY3nC_Tf~x}TMTjUR*Dn+_eDgSi2ep5bc4 zPQl9(kXn$Lp!5Px+t7X*sEq-315#N6QVWVFd}{Tf?gfQANIjGb3L1>E1e6y*5+Jo8 zj8Cm8R1%~P6i-ktNIQnPu<{I~78FnT)H*{YL25zqgq$Wp`5F{v;Baw2E9Hfx79@_W z78G6}F|gYI$D{(0)Pmv(SuLoXhO2cyD;0sH78Fm&YC&xxxZ3~6q~ej(g4~C!7Ss-b zt93ssm4>7i<UVAzpmqdY?f+v^pm9i0V1mK{<UXiYkPtk4!G8N%AXN&L1gQnN56Z== z7CapU9b*LLd2DLI=?qk+LM1`wg7Q3+i()QJ?G&gaNG&MOL%CShE`v&f)PnLnl#5mE zCa5GxEhx`JxmeZigi3<cg7Q2luYoW~7}O2{g)i8>|Bp$5#%Do>fYgHWJaSxs`VDZk zI!~o8fee6#87R*qs|B^&;A)Rvk^=P!VdjGJJhEEQI4oSP$RnwHNakjsm3^SL5M1ri zOHxme)Mlcom4S}QgZ(D*Na_`mTF}@vvKv5S9mwW>KvD~u*FaVa8mEP;6?r7}4M}Yd zmbn<X+OGvtf05MY5>U$mPRNjXjy&ib4X8{5837sxhMRlzl9T|FTF?wN$j>mfpz&b1 zT9HRmu(A)7he72e$UIQofG~R72v+ui)DkKuVQnsuT2T7IXRZR&y&$!qauSptK^UY5 z)P@DQ7pz3&k(3^o0iLM^&sTu_1@a%Vd%^s#1#rKC${dinAoD=#Kp2<bKxzq<zo4;l zkQpGgpz;@+xnO60Es$~mGaz#`Ab;Rf>yD%rR7Qc)H^_e=J)r(INI%$btheBGA;=BH z)`cLopg0DZ3AGAbPJ+r=u(=Ej4B!Dr1_lO@7$}{CFf1-WeOQniK<;4>c_bAEH3Xy< z<OXE5pte3-E$c0*C?vHYHz2D8we{g@MIK4TA*ltWGi0@(ej;2g>n*7yB(<P)hO8FU zr-7>#c_amz3joC%$i1NSfUFkO_k*ity(N`}WG*N@fWiQTL4F7IyWna?9!V7-sRgA6 zWOG4%DUe#Q64qN%<zNP6t_?lRKz%8YT96$Kthb~Zkko?045S|B22dXiq!z5i<%(20 zm;o^t6lTb3LGuN0wXC<KdXdzE#+E_p0T%zTd;#WvEs&atq}CZae+-s`wz)v&g85$y zq?RD51&v4JGZ$9wfYLe0Z(u)zA_vJ_u-MlEDOkA!QVa4MSR9Ai^-wo})Pm9jhzkod z(3}X!y&y3Lmn%}+zzoPd3Mf5*+zV3+>bJtxvfhH1J0NpG=?A10q#ooJ&>RU!EjS!p zuE5J3keS5#4WyQk-(c-YkXn%6Kz2ie0i2IPZUBpYEs%n>CqZgK7%UD|42xs1*w+H7 zeIU<3=f^>59W0JR?MWoHptO!p?Rg}%aJQkE3s&;AK<XBl0h#jywLP(!3s&;A0N(Bc z`3qEM;8P20_kq-c%0GN+AAv1^xB*m8g4BZYGRVoWFayUiQr!XyFJj{uq?S+|zlOR2 zq?S+|e?U?T@*gPef-uP4pgB2sn6uuJ`i7(yWH!iOFtwn$Ik;MvD^jrj1xOtzj*-=Z z=IB6b!N#%Pg7+^#YC+=2YC&^#ATh97mn-o81xPI@j*-=Z=Ir2VS#QDn7a+BuI7U_r z8YhCQb-4oXUx3tt;uu*iXbumqmh~3Ae*sbp%E!oRL2HBHYLW6WC=5X5DJWb)X#s>` z=>aT;R7Qc+5=sw$!2tqUM?xq)aDXBSy5<Cw9za|W2H6W*qXTzu;!`O;kOWjMC_RAG zfZPhgF!zG3{#pPp&p_@4g&C+U1E+1I@)yiUO6wrI2>A_GR)f?M@*6B1Kx#pL!{%PF z*w+Fn5vbolYC(QOjyEf4+aBz<vMW-uNNQnm4DuhyENiG*u-_7&!pmw<dL-6wAhm@2 zrU7*WNG&11=_9EH`3>YwP@I7<EZ)FkUkjwnkko?GFjyR<5Gmfk;qbLU$`MH|D4y}D z^+8e#s^dW60}C@7<S;9{A{Bw87Sw-7Rtu_kKyd^TV@P}|1&SxA^S~6yKcKt>!e9X? z0TKs=KdI`$_CU=5Q6O_bW(<@#IP5_Vfntz*K*5E}Js`J2m4YdddQjL<OB^}QK^B0_ z2e}cKdj?7z<R*~&U>M|1eBm}w?!;v;D6hcW4x&Ns2dTv+j?2HG@eh!G7zUXG>IZ_% zf{B4>kT@uf43s!7_mk=#kRL$)fnkup@TGrT=^2z(U}k`5kU5~R$EBWH?kCm1)KX6^ z_k+R+6kae43OA4&af!#5<QFjH<d@{fmn1PH7BRSlhJd*YiABj73^|#3sqyh<W-x{Y zjA037m>V*bWELf+r^dq=42kg&5r*9Sl+v7hvv@;><ow)%lGM_oc&HFuDv=>2vn;b1 zB$ZZ_S{QGL%4cv&%}6ZE%r8pJVaP45FpD>gH-s`665}Bv3?)U0dBw^3DIga>nW?2k z=Ed<T@udjK%)An)ttRnCFcyrCPlS5M(A)sdf_c%<0xo7@2$Quig0oEEEHj40(vtk# z#1c?wz?ck)@o;8QYI<gINl|KXyb%MO&5#(6V8cVIEHNh&<Rw%AhQxR@0XP>C%7*bK zmWUuvWXMTPi7zP6jW>j`U=B8i#jBx(F-*+D6wWe-vtZF~1XE@V7lHZS7%l}9F^2__ zIZVnNE(NpL0&WgWu`$ekW0>{EFvUhN5hFu}<bo2AZ;C-411I&Q{L+&AJcgv4{KC>y zhUA>=ctZn&T!y0J5{BaV#I!VqqWseIREDzDq7sJ0JchjZw8Y{PhP?RVocwYI&-kR$ z5|DP!_@tct<ZK4dc(@6k@gOt&<IRgx({oevN?bCF3vv=G84x1DsX3|1B@F)Ypgk7; z&}|pN&LAUTiXl9PU}sQN8$fA8C~X9#jiIy&ls1LZL8*x;jwvZcpq(GV&hf=y>rzvK zQqw^SO7k*HKy*oJ9*D}#%quMhG4e`tLtxnf#7}{^8|qlF2ch1C%78tPZUCVSA(Rn> zGKNql5XzLnKRyTQ*&Kv-i&B&Gb3rzNlVoCgDu|t$2TR+aumg!?=7EzoST-#a?B>Lj zB9Kg8X>Mv!etdF%X;ESx*iS`}pn?PxB$yz91PLN=0OiDofi&mD2Z5;Mf|B^0)D&<C zz}TQLXYh}A2JM(hE%MDzF=Gf0f^ixAAt}Khk`jW0K=$XAq!uxF#v3qr#v3wt#v3ts z#v3zu#+xvB#+x#Df?|NdA6)z}c*f_I<|d^UG5E)WBf>u(8V?{|JgCqKc8*WUtb#G~ zN^`+X|9Id06i|4kq$cO5FnGqpgT*sGH7|w1AL0zq1|R=;$gZB?p!nbr#}HQr&-ikX z>p-FA8K06^k_d`NPzehPbN_gdxPLq-X#L}h^NUI{Q$gMZ1x8t74udBsc!D5Q7$_oB zQ-b0H!hIpkFfbDo2=H(WiU(;0g()~3^)vEwQ;YR;jf}E$GV{{)eO+Cg{r&Vy3Uoo0 zh;C|0X-Z~dUWqPTL2*&CK1eFQBuTF<BZWafzo0}vGdEp7EVC>zCEv)v$VAT&%F&1N zK%UYs%Sg%52k9;@Nr^WyFtE@sE=kNwNi0f%m{U-cpQT@sRGOKSQmk)jX=$lv5bx<1 z5^rE)U>a{=VPc@4nO9trn3GeipO}*qUyzenl9peTTMV-cqD7x5yU2HQNoqw&W<EV! zjBFFh?#)b1O@#$=N_<X!dS-IGp`n=x$l*B*`ZNh^0(Mf(54uVDDU}1^5oC)g@C~?J z%uJ3iE&-=}+D8Ybh1799a(K`<MIm_s*;aB3FHq`XAgkzuw1vsn4{JM;Zvw0WE+*dy zaE%UXq=Tz&%FO|_P{}t1+MXrf0JwLc-4XIlLg~GbZw#a-L%x1k7YN?(A>SxSkbuj5 zaJw4Re?-P$K?VkfP6h@B&<<;BMh1qj&^>!g85tNrb5EH}3=A#I3=HPX3=Hlp3=ChH z85s7mK=5xC1_lteW@TUi;aXM(1`s~L%D@1^9Bd2>AneD+zyQMiYzzz_e3OlV0fZIU z85lq~ft`T?gjcgOFn}<~jUczdXb>CJ=Y{c!r9pZ?W5J;DRnWKvXzUa;?*UrJ4jNYn zjfaEeL2GzG<C~zhCLkKLpBf|%+V2P&18Ib={{XG$1epU0FVMUPXnqznmkQdu1KOJd z+M}|Xfq?<E4+XT(1hnoEv`+-IhXJ(z0JOgVv@Zg*?*SwR+P44`-vioj3fh0iz`y{S z?*`3dgXX6}^Uk38WY9b@Xf6{pcLbUf0?qY+`~sSr0nIgl#@RvR=b-Um&^Rw>|1`*b zpm|}K8$s(nK<)&s(f0-ICuLw@@MmCP0G;6w2wGnN-IEJiR}Tss(0WnOI?xyf1_sc& zP0+e1P*{Q1F@e@6fz};?))Rrk4Yc+Kw5A5MRtB^N2DG*Xv{nbS1_!hT1~g{}S}y`x zD*;-o09u0pT3dkZ5768ZXif+;*8}qtXx$TNJrii15@`HQ8oG}YWG>7MbUB#4AUi>8 z5@32@;xP9R(hpJxax=&bWV=D;fW$$50l5#9o<Ly=+SdTuw*U%TSQz4RJ17i5YanpN zHE2E@G|vr6`{<Yhln6olkD)aBI2yWo7+(OCxIz1Jp)|TabUsYq52$+Z=5c6VfXRVq z^zkl`7zk^CCRadv+MzVM`RL<<AUz;#0Gen4od*D=(e;7yHAo#gmH<t<FfcGEKxuS+ zps_P_JzzelfB@}xhtlZ!KxF_}9UAchs{R9%M%M?89yDDH3>u)!i6n}w4-}TL;6_pc zX6g{o2P(6`3egDk^a8R2SqU_HkcGf(^z?$R4;npSwa5f|dO_C*jUHqzU^aSsLDvTj zX0Tdh0zJK;>jTYsA*+Y6(c=$YA839MrWTn-PiN@*Ky?GMdN>>E0S+jQt`Afv!PO!$ zRzMxH0ZOCm1I?o%DTgz6Kpk=bN~7xot;>ZgMq-?RI^+VBM%M?bN0F4nnH!)E*#V`| z^?~Li;fj$MGoTJx0Hx9Ofz}oyDTgx~pbqJP(&+j?Wiwnc5+eiZkOC-;t`AgZBPoY7 z1E3CxfYRvtK=lw@F%rW9>JSGgjjj(=w;(BpGZml?(SXwE`aty>Trm=Z1L_a~D2=WU zwB8m;Ih^?cs{RL*M%M?b_uz_=7&o9EcmSo*^?~YYB;|1C0jLK~KxuS+p!yfC7>Th0 z>VXYV8eJc#-bYdnX9|ECYH%LvIU*o4Ky^BjG@L0yKp&_b09TB}K+k{Z^#Q02fTSGG zL@x)?^?}+gaK%Ur^l}1SAE>>Bq#VvfuOHC$f!aTC#YhbFaspi+sC|Q^9L_{9C(!kQ z+C*^0NDTCH0$m@d&5WcR&O|RK(Di}Z*>J^34D@mWT_31zj-(vUL@y`M^?};+aK%Ur z^m+wdAE*z2q#VJ7)+exf1zjJgEs0Q!U`>E#=owHNT_3LYrw24c2S90beV~2{!WIO} z0GgpKpftKZP~Qfj8o`o)W@rT{jjj*WA3~@`VnH)B2b4zF2kLtusfIIOK-GVM(&+j? z{Vup-B*q13L2v^~qw53p)sU3KnLD5b!2u|Zt`F2Nf-6R1EPxgSE1)#GK2To@NjaR^ z0WAn7KxuS+p#B$JF%qKyS`bt~X>@&{J{yv9I5PrT5F|ipbbX+{D_k)W!vR_lctB}% zeW3m>l5#jx16mLmKxuS+pguBOF%m-nS`bJ;X>@&{el?PEIP(WoJp;5rK-Y)XY=`UH z09C&ON~7zuhpR+opqKOL{RPmO8>l)UJoI`6T_0!+2%-{|MDGWn>jRB5pz46|(Az!e z`aok75S6Ip2WSEE14^Uo1C3{(>VWXj`yJ^0A+%~7q6swK0_^}9KxuS+pm7tpVk8E7 z{f@2=G}eNo9L_|KKXiSd@dUVHB*qPB0r>+;qw52WK_DrIGd)0?v*0|)`64hbsNDk^ zw}A1HX&(amKxfb(tB0}C+Xc{Ig-L_YhJ$mE80hT+bbX+46(r?wCVIO7T_0@UI9vmi zf!;1a*9RIKgDOR#(Ax#*`at7pC>o#~^mYNdKF}B(R4EFDo{!M=fzAO!(E#P3_aD&p z1w+-LQ|S88`}v@A`_T1(_~`u(bbX;9MOZL;zXM%g7#2Msp&QVO{{fUn*B1^_gasdf zR{STRG`c>}*c}#a3@e}&{{|?Ht`9V3icKGSIe|X@0UBq;rVqWmM%M=#o5iLNy?=zR z4>X>OO&|Jr2uL6DSQ}^z7@NKc(1y(nC=JqwtPZq~ADIthqlXK$8xNBPpKS=|ATiLx z1zjI#uP>5vI1|0xMb`%!--atjVxaer(Di}F#F3Q4ndt3SbbX+4bhu(<2DIG?Yqz57 z1C6~SD~GYs+vVu`K;!i=wa7GjyBu8~XpA3OJ&cXsUP9Lg+CL0ai%g@p&(QUuRXcF4 z8PJYX0hC792ijK*SB%6!PiN3!AGiw8`~{qY#6VAH==wl&9!SdJO!V+X*9V#>fh$I0 zp!Yk_^?~MAkd(uj==~0KeW3XmxMCy*dcOl*A7~B-NjaQ}-tR!yR{&Ru%0TaTpzDL3 zt%9nHfdReWfvyiUUx%&-#7FOUpz8yjnF>;ej?w!a==#u)<c8@-PiN3!LYOoI=!{i3 z2Z@26&d~LN&hSH04rijLGjx6BaFwVG^mK-<uL4y$f`?Wvq3eU5w2n{@Wd%S7E+U{b zy1pu?I&=y>JfXv<aAlzRSvUuYfgYad`aoxPBPoY7(aTSCeYJ3vs0{S{hprFp#Cf=8 z3+TX%1C&PBR}WW-%0Len=<qOH5$McjI0uP=9xmwmK=a&4%Hd4(avohDXkHwy7>R*i z&ZFxCokxtM9L_`^2SeA_0#}K~KpO``*Vl@s9L80E4s>ZiX>@&UFokF|2ef}G0Hx9O zwWFzraiQn0yEvhB5MlFx%Fsqv0ImiX%%D+vGz3ONU^D~<aR{uy)mR$DZXR{oXb6mk zz-S17LSVG~0)@gT9u0xf5EzOfuza-rH58+5)Xk$IFd7033=E^~uhC>M8UmvsFjPZe hwEP;XF*oY&(GVC7fzkfsXc`y|fzc2csv!U>zW|9H`S<_; literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj new file mode 100644 index 0000000..81dda96 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj @@ -0,0 +1,18 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/automate.vhd" \ +"../../../../../src/compteur1_49.vhd" \ +"../../../../../src/compteur_modulo6.vhd" \ +"../../../../../src/compteur_valid.vhd" \ +"../../../../../src/diviseur_freq.vhd" \ +"../../../../../src/led_pwm.vhd" \ +"../../../../../src/registres.vhd" \ +"../../../../../src/tirage.vhd" \ +"../../../../../src/mux6_1.vhd" \ +"../../../../../src/transcodeur7s_d_u.vhd" \ +"../../../../../src/modulo4.vhd" \ +"../../../../../src/loto.vhd" \ +"../../../../../src/loto_tb.vhd" \ + +# Do not sort compile order +nosort diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..aa31cd58969296ef4aab51312273340c78d5ca6f GIT binary patch literal 8735 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-v9x+Xn7Br*WX zWiVibu;wvA=yk#nnq1O=fq?;r@tMI4mAnVFZ=M8H0F82R;s=YoWM*Js0kJqh1Ovkg zSqOasLcvL>cNpTJ@(dab3@Ho@4B;+Ldah-uc_na_5C%;B3ka9N14=`~(K9$RS0N-a zz*WJ`)5lf8z`&59D`j))nsks`<QN$kycrl6m>C!r1c$i9hx+)tdpa|KLK1{QUgTt8 zU{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0XHeHwX8<`vfq{X6m4RV_pJPa9 zkfRUS9FS}N|NmbPl6PcaU=V;>1-2<Z%+)!>KM1UlkzoNyE!ZHCVh{!kFfcIu`~Uy{ z-2eaodm*_MYyem%IZoAp8eI&fCqwBgP#PL~K_MZYP!R|Pb5#PAVF#t5>cOGy;^eMi zU|_}o3gs{V|Nq~?z`!tpk%2*jmw_RJiGd-4m4V>~8zz=uXJAMGVIBsC1Re&44Ll4C z47>~s5>U*>z`y{)f(#4{AS?iJ5(;U@z`)?fz`*by6uk@#3|vqQ(g#uqaxNny0|N*% zfWw-B;s5{t|KV~Vy-+?#41`%h1Qdgk1Sq^gTo5h805L@bN`u5fc7XJNk`73XE&~HN zo<Ly^k^`v$VUWEb3{qnOiCG3iBy-T!STR6ykSWwWkUR*3%m87Ked3TL2=)_544OF^ zJ{%7^3sQ@WL2d-)O^`ki2C+eI0VQJ)4e}c(Q_C|jFo5Ji8CT(W&{+^0<X;dC3TBW! zAj}Ije8cgevmpH-KY_$S!XO%iVQLDXYCwJhiQ`fOvIFENm>Q5e5C)mA1WgYhHY{BE zp#En_XfOxG6-=!%np%*0xZ1`Bb6ENSnWciJ79<8&o7P|saudv4RW!9AJK<{U8q7gS z7^YSYO)V(w;A#^Z%t7G~Q>%`q78F--wRH{VLLi0EbO1_MAbUaX1o;c(7r5Gl26Jg7 zwVG(=vNJF+z}40@n8ONPkXc&Lv<NaAWCSP<;A-pVn=66@p=kqTCkTV|f!qK}D<HKX zHYhGY;RI3+3OkUU$ZA1iaJ6*}<{&r1)Pl@GRtw6{aJ2~y=AgU<QwuT&SuHozZ(ujn zHJF3)7ECS39AveictSQ8l*eFdLFOQ<1*KuQ+PVgFOOQfnIDpIng%>OwK<Ypk?1qE} zb9*GUAajt-1;sx|El4jzU4uDDDJb4SGz^2{0HkN2#KCTZIR;u*g8VyB?jhA)Qr#b4 zl3&1(TUucjZx~;a#E@8&oWbA{8Uht!NQ{TbG2~?CrN+mbnlU67lt4K~#taM$t_BRQ zh77Jo46eout|knwrVP&hzP^rrF0Ks049+>(44&}@44(0Z44&~u44(1E44&~O44(0( z44(1DsW}Y(VDlLm81yspb5o1;bB&C$b29VN^?hAkoc;auOA2&z@=Nk{Q%g!yG86Mk zbm0n$i<0#rZi_ET(kshIVbISnDACW%P1g_0EK5wuH!?6X(KCc{^r5_z#F9k)vW%1* zeUSd*l9YHO0|N{F;*!L?l*FPGh&csC`C0lENu`-NDaHDhmX?-!2JxPLA@K$#2Bz@_ z7A6MznR&$}i8(pN`iVI?@dY`FC29FZxy3NMAX@Z^vWt8-m!wvdWaiVu#mF|1?B2}O z)Kpj?r^M&vr)MU|8ycFKfE=E~pih&qCSWJk{GgkZpHevx9znL40^j77=B5^9CdU_- zfKxv0qXW}I>bM>`JZPMvki39wEBVD2DE&a%=%Bg}hGA7uHv<EMGXn#IEh7WNcLoNA z?~Du#%NZFM4lpq=WHT`^v@tU<STZv(c(O1sd}n50*v|sNAag)wz-SN~RF}Z`#L^%= zpt>E@*0lk-gMono)CU3e)<Nx7kh#d11Jw8dbpxR^NH4NHF7^Sa`V&wZT^~9hW=;jD z0mZ<;&;X^;)uGpiFntD~#uNhsg9VgE*9WTeVQP`-7f|&dpftKZP@5N7J&e5o)F5ME zU|0dA(e;7C8KxGQjsP{z7#J85pftKZP+JLEJ&f%DYN#<VFnB;|bbZiZhAD^Bpgt^! zV*qNrLEFb5J`6*H8ODXv=<$vo?$F?ZtA{br;~iZeG`L{O;WT=@qw9kP7hFAzfgbPZ z`k=uDQx2!m;~iZeG`QgEVGQ(mN7n~x55v?V)9CSzt`F3fMph4FqsKeCK5SzOAidD> z4HqYO5D$T2>E;~Npa*CM<iR>r2rZ+m(GVC70cZ#$K<QCxaD)J;JX?V@#C~qH{2Ck) zGwO;#83KX08nlDbwZq?0=;arzJzl~1_QFP91_cflhee&c{=4)o6H~KjxLfNiw?glc zuV?9(j&0SJE593D-uCSK?*t(QhXw{lCKe6>f{gjBVyn6s{#P)*ongNsCRE_qdgF^v zT<1pyFA3=A78BfZ!|9;NAt?_9u|!2z7S`<>HU!)dVcf{!&9rPofud&6k_hfr7NKb( znn@E`b#-{wENE@ru!Lh#D>oNcl*RWub1k1I&#*chS@FN_&%5t?t<xvn$+=vz{^3UE zm$Sp=ZZrM-w6VrUQQqZ<%PIDxl8^-#<EL)B@FHek_Fb0VeP^9^#qi3$d+G9b=kH&a z9{XPYXSa4v+^VgzNA@bqhW5(5nD5l=JfU8__>|GIgO)v?5-NCk`5LDht_+@Xa)aCb zgemNg?_YQz@WiOND6-mMa{4ag?S@tcwX(c?jnh2WS%poTmher^Z6fn$|BE11ad(b% zpJ;sApHaYJ66UtJ@2>N$-B<TZxbm%ue=#lA{gmQ~)MryteP&OVc<NXz2Dj<wmvu=~ z*#A~%6mS&HwA{JoZtFqko=*uoZvQ>G-LTE!v`V<Fws*C8mFVIVjUTN+swDmO9=JX^ z@Wo0>hN*Yz#TCilCw$A>c1WWr;h(vbOjAsnU*xeZ7CQB+r@hnPAN*t?CBvkh9JE|x z^5@9fjzGCJ`il>O-11~onYdZG;i=|lj8Za8x|3r{*XX>B&3FIDt9|^1Lwy5VyTbI3 z4|w8S_;}7QKB#yhLbBJYj6d_eTYQp;rNKWrUOq=X<K;TZv;K4j%K6OCmDl`~R>ZNU z$8+u?pL6No)Z8i!{xg6TsZZa!d&3Wz#WoT59GjgNq)uAhH+AXW=)X;YPYzU_kCRE} zD3Z*NJJx-o@tFydpVocnbeqWhS054wr;IB1{pMS2Bk`}1t^L5M8CNt<J*jbP|90?= zt;GIDkYi^~K7A{8#-9E_IUjpxggvwG9s>o9F(_z`b*HhjKRfV=1Ie1aQm(}(8oyea zSg`CoGiQ^}y!Y!8r?8*ho>9QD#%JF9ZQ`P-MRt4d8=h+Zckr)42FTYS4;ff=1<LUp z&nV#7;!|{W<EAa;i@haW`Fipff<o8kC#Sv=`?CKFkpeDF-;Q&$I{Pz-if2<&Kh>0r zEw+h>b8U7`Nc~i^eeViT9Nj&v!GDb(oTQ42B6oAozPr4jhtFg^$S9+ep~p{My7%?o zQH`Pn^@5<#eSDC2Plt@ezXrB;hvZa~S2t{z?3Mo89QfqGkDsv;n(YeHX9iC@`S~tq z&!>c6f}pf8`T6d*uC6oZoPWawiX$nIDaIf#ZK!Anl+!7ncMOs4wo4_5SZ=Uu28Hj( zXEt#zd?xWAhrkj;)px@#nHT?CLGloLitkF#`Sw4O{flmZsRc`z+WGR^-+jA{Ea#V; U`WigffdD+9JpaJ|=@&9M0Otd3djJ3c literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.log b/loto/loto.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.sh b/loto/loto.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..835014c --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 12 12:09:42 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log" +xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log + diff --git a/loto/loto.sim/sim_1/behav/xsim/xelab.pb b/loto/loto.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..92541f958acc185180fc626cbc5d164bea1f3fc8 GIT binary patch literal 854 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJFl0CizlcwFE2AM-3sPuV`F1v$KGJ%y2_}ZUr?f-nVYT; z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|( zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEKtzZ zEzV0UD9*?)QOL<J$&W8dicc(xPfkmZPfE>5EJM?(hpI+FHzz+`0pynaqQsI^JrEBP zVJ0S)$RXmyB_3LwnU}7hTC7l<lUSCjP?AxUnwSDf5XR=_$YI0G#b^OF#nKqn6q(?X z#G(?ALB%DBC7H=^yEF6iz-i0a#0beKs41ov$fh`R$vWrf7UZOsq^2m~FviFNIf+7y zF}5^AHYT6T(=T7aIU_MIJyjtyPr<{*M^C{gGcOzDx`LwAvdsL_;+#r_^whjmP~fB} z<R@h*q-Ex$7AutGD<l`CCYGcsWTzJ8rRE5*N->*STA;h!#MlVrf7S|CNV4bS;&RPP OQ3y&+&M!(4U<3dg<o)3Q literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..5ae6a8b --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..33f601b6faa95d4b991bca6b855d0f0107db484b GIT binary patch literal 5304 zcmb<-^>JfjWMqH=Mg}_u1P><4z_3FI!FB*M9T+$m7#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_3#1qrCO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna zk2yIq@NaW+=xVX}D8<0g;pBK2Vlk9F=H$o-mH&lJJ`@yS!5)ooW-u@?cywO&?EL4` zTjgN**6`adP`LYa{s%kNhOso*qccV&z@ytapxZm5i-n`3MP&j51A|9zh)RG@=XZ!Q z=29(?G7iIUFr8ft9WE*!AXOZ2RZI^2Q;xo7GJM<l!lUsB$m(d2Z(<Km0J{eqfdQ_a z#~nM*d31jE==|&0dDgdgi7f*c1A|ZJbC=FTVB1;`l%#v~?o|+DU;y(ydiVYiLa-A! zL0+;^@#wtg()r1y^Cv`6=V8y@J-QNLqn`P6{(^{hqpS1jeD2YC4=&$$6k^<axM?2E zM>yaS5&II9bS6x23=0nM==|)tPm+Owfs=uO0Td9Bu<_`2&gk|oXt3d6E|u~8e+(gW zy!iqstQi;>UT$PyVDRj%V>0{(aaM1&hT%8EONJ-G+?E3+cAmX;%rM#R<^>E44Bg!; z7#JA9=4yC0A7eon?9tr}%01oP9E=PM-7Flh{UMHyJM7t8$BHlop{Uu0gSC{?v-ud? z%b5TF|9dtc14kvy2dKvVKhOzwxkvBrAE1=bcz6dR1H&#B5WDjp)GBDeK+|KWV;Cs4 zft(Errp|vJ`_<qf1@<Gln>$@pGLE~b<S>Iw?F~_p@a*08Kme2uAdd3vJl36~lHk!> zq7vb8+(m_h38ZibNcsQ&|Nnb*x~K?v^wy|wfYUSB3P=9!Y-yd%6-*2aj$Lj{9nDot zpme<Z0!U}$;RGfIhFu{29-a5V>O0?qDUZe@Aa_SQ#>K)j8OS@$Z#-ar(P3a<0NIZS z+7sXi0+|fTGA=3(9-WV1`JkhD1|z6=*?a(EaRVa*gW;u}ptRt)BSISNN0=LSf%rQ? zgiq&p4{+#uL_5ZzhVFz3knG&~*<-&hs#A_NJ1{XYF!}TrYxwl;)?k9$<Z5`pr}Mi{ z=RdF?A<CHHuK1w^b_J?56I|M(5Q{WIcf|un1`NXyx-IOOpwi&%kM0*xl0o=K58Xd6 zp#Dkl>D_$+w|_v&5H5PrfaYM3G{QwQOwb$*l1AvZuwaHd7#dh0X@u^ID==w<e?Y|o z0|SEylxD07VqmNgV3g)z=a|6Az+l0^z#s#a`v9Vy_ynBzB%JsZocJ^x`3#!bdRTi| z`k4EfnC|gufQ2Q%N;n{TBS3l>7#KkH6oVs7uOpv^JKq7uX4XEIe&#kNrfQH`3Se^u z9QimH7#Lg_7#OBN_3i`FaJ^0-YYZIuEFAe9n%R5UdSQkv0SOs^r4dG%FfcIO0qJL8 zU=Rl-TqiyOM?MKhK80rHJ|?CGAf|v5ABQ8K0#iDS!@$5`016Y3dIkmtP!@r@-H}fL z>~NMokh6F2DL8_{0_tWJP%eS$X$9E{vCD-o0c=+p%y<n328IHtx`R-4P9RBzW=KeG z1{>-G3J6e!okOHrkWsksLk0#07KV@ivB@)oiv&~|Q6>fkAqFOf22g1M3p)k|hA)f| z@dcpr2NpIA3=Bu1;sv0>m4Sf)?0*IZ22h~}GKYZ`Vm{cv3=9nGq3SQ7iDyFXg{Wp= z0H-+y1_lMFIE*h0Rq!8bjsP@_VB+_n;s#K0aQb6lVA#OGz#stCi%fy?Ha77(M(pat zaG2wTL%kjj@tru#5yPQA8;5#Z9OB(L#4~Z2Z^ne(zo0ycEqrd_Fdr1`*wlj>5}@>O z0HlC{fdQPi85kJsp^*VfKA@)aA5h)+|NsA$IK)Gs`a$YJWfx3+HIg_N0|Nt0ybnoS z3`u+;k~p&Zmq_ALNa}wgiSr|gvqJL;$UVs7a!BH!v<Y*M36i)pk~wZj;=D-WAxPrL z>On07P!NIaMK*srl6p`)!0g?KL;N%j@momZ;z;g!i6o9}J{PoH0J#TQTpx#cBo6V( zNa7Mm_U=OxM>hW*k~klddPR^!z-1o;gCLT4Ad)z;`4LFsJV@%hki?PgU5O+PYEHw# z`6`k)sHqJT|A-_mie$bhv>XIUBb#r7B#vxOG!F499O5%^i0{QA{uD_Z**!dLpwtGc zOBfiC-J^{|+zW?zCJyml9O4QPYr!=YH0gmwV!$M%Q411>mG_`N8%PQy2EwrN<^f0$ z+EN3F!|FE)XubxCfiSFo^Fb4b)o&$e;;{N{0h&0heq+c@%}p+-WXR0Uj4#Vb$%!vc z&PYuu%}I?fDN4*MPE0Pz%+HH2&P>ls%!x0~$uDQnD@x5t)GJA?C_!k-%u6jQ$xO_N zFG|fyO@>Io4Js(gPfjf^MyO6LOU)~RnOTrnl$e`Zl3Ik2&q*vUi7!jcDNV($D5W63 z0HHcLBQ-fYz9=y-JvBZ*Ej}|ZCAA_xzX0s9+yaJ@{DPdEvRttGl*+us+{|PYuYo+x zpqH0llB(zK7phxal9-$gRt%Dehd4DkF()SpMLe;nD6uj=IX|}`u?XbKs#LI^;*#8w z`24(_%)C@+IHhL9r-3{OmIfPHlv$jammZ&*k`B?6nVOm!pI4fjT9la_UtE$BUy>hR zng<H;)D#B2;*z40#3Tm2;>uhQom!EaTw0PEZ)nB<XPP3ICJe~2X3PLKyCB{&(L6QH zFgYo{xHKu=7@-`y0waV1BdjJEB0K|+WMq3mZcogKPXk3`acT)D<P5MH1ZvDe(>=M= zd`1QaXc3EIgaxQ@U|?XV2W1*08E7_ui<mPofI9IE(CiHthm4IeFib>~fEo)|X9O+7 z;Q~-b1UH1C04g{c7#P^0c^lMT0m*>Mb`Z_R2(cf;M#dM>?1jmpyFVVHzW~jf3^$<q zPoQal*$*=>iV;#~!uT+{0@PSwU|<0Cut8!V_k)UFn0}c1moq}jIFK4-ya1|j2h?F8 NXMo}fD$D@W4*>n*9iRXJ literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..af323b5 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,113 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_14(char*, char *); +IKI_DLLESPEC extern void execute_15(char*, char *); +IKI_DLLESPEC extern void execute_16(char*, char *); +IKI_DLLESPEC extern void execute_12(char*, char *); +IKI_DLLESPEC extern void execute_13(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[7] = {(funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_12, (funcp)execute_13, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3}; +const int NumRelocateId= 7; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 7); + iki_vhdl_file_variable_register(dp + 3016); + iki_vhdl_file_variable_register(dp + 3072); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..72d041bbb787c21b151b99f3c6f26d4303deaee3 GIT binary patch literal 4496 zcmb<-^>JfjWMqH=Mg}_u1P><4z#zbjU^{@B4h%vJf()Pe<$eWwbpGDS&cMLn(Jcy+ z^5``MQ7=G*NAK<v+zbpbHUkfo9eo(2)1&zf2a4+EBOF*Ic7V)+7y?rg8Vu6i`P-xO z>rMs+hK5}rB~ZgWdTl{Gh=$I0o%bOuWKkqG!tl`GEQSC_kZ}x-U{Q~5u)kp*>jrxa z#q{PQ3j0$SpuPg@f!Ni2gd;lkFhfOgX0BdJW|4k!er`cYYH3k?ZhlH>PQF=uNm6`b zQF2Cna$0(PQffwGnLb!;QEE<pG6U)QipxqW3sOnfmt0g<oKl)w017V#GVIMw&1J|e z&dkltWymdNU;rfps8N(r?#|9u3L2qFrFkW#3Wmmd#(D<2W~E@RQJs;Ao`H!bR2Xaz z3j+g#00RR9D+2?AyI&{+69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{z zS%GL*K7nSY5<U(Fh#m`&7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4z zfng<xcI6XjV{+t^Xl8cdQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWA`8RE z|JY=ivE)=PutHEMfEjRtAIxF{lgI=lT-Y$;4eVbAR<NIt)ysi7tPHU90_MWPfq|7_ z155x)doeID2qC!>9L5X`3>wh*f+~Ykb_@&*f()S0hX{ef6vT4Fp*|RgcsbNwn0*lc zFfbJ3P~Qku4>AvAA2?na7#O;6s9%Re{0P*XGLRv#bilyCa1w|5yEw#|7$NByY7CrG z#3Am4Lp%zHcn%KnW*p+v8A0)a$laj41#;9<9O^gV5Z{eM{1^`Li#WvZ;1K_bLmXT% z#vAG-GwAs^8-NHy5Mcx&j6sA6h%jY{clYska`cJ!cXJDN4T%qNbn<bHXGkl}OAbj) zV#v(Sj0YFci6yCEPFY4uPJCKsPHKEvVo_#dQch}oQEGZ-aY<?sSiU$luQ;<LvnrLL zI5W322d1q!IU_ZtG$%E_peR2%wYWI7I6ko?z9cg@HNGmfC?BjfwIVgSv;;{BLvCVb z9#|?PHL)N*GcU6wGcgBbHpp4UsU`8C3M3`7C_X1YIk6-&KQBHrExsr<H?g1~H3h5@ zq^P(o9#m66TwRb@k`bSmm<#a@NE%ch#DgjX3<brRxlToyDe0;4>8T~*DM{f)nJ{lA z7o{eaq{gSD7H6hIoCq?iC^^2QC^Io9J~_WMuLR-%Xehvx`jzGefhxSjl2p$W2AG!( zO%O~|1k((`G(s?q8NiWHQk0li42q}t)UwpPlH|mkoTS9$YzDA+Vlp^5j1hTCi-CcG zn}LDh&wmII2PI|(22j1h0ID-!;;^~_l-_xe)Pu?|kQR_QsEGhmZvqlzU|>KOcZG^G zF)*N*4=Nu)QXuu9G8$%197qt0dy=8zAoYSs?#V?G7eW%B1QiF_3(^BKe<qSRsJ4KK z&x4AC)Pm{;nD`1LaZn=<CcYjj4l*B9yTHV^K*d4kh#|RWH&h&?9#opZ)E|I~gVclK z0w#V6NgPyH!^9s!#X<21s`p{yFOkIgknH_{B+iK>{v9d~au2B1ftm9MDh_fFsP2G? zbAc*kX#7ecnInQEE{!A(H3nP*%ECAd4DcEbqzzK1LL@<c0kJ^h@*oBjgZvI+g2WX- z3@C=NLE?%~F%ShypCEB1kN^}LKxvRTtd0W-!OQ`L0|<k}K-dOEK+_>e99Ex(pozok z%^WmwSUt#~S6rD}l9<GxS6osAp)+8tqSTy3y^_?55(d4L#F9h?y`<t|2EC$u5C^2( zP|pIDYY5{q=z;Zu6&9uDFz6-c=jNv7l`!b#<(H)Dx%-9c7MFlZ0SG@muT-y~D8C@J zsH76AH8mqXtq4^9LETL$1qw${*n;u`vRRNm69a=hND@f`jmrQ^JD@ZNQx8-60hBly z7#Ipbk-)&f04gg$dZEJLb}UG(8Uq73t%1rg5Fdu)L5g7<BpO{<2bwm?)o%#3-w4SL z5HkU4(Nhoy3B%kEm1Y3>8^lJ}?+W!dq<xDp0HhYggVFv_`=QD~QeYea6##`TSO7|( z^JAd;(fywQ)emcrLbWr1;v2>W(c#dt0pt%5ABGb^l??*}1FRec#UIG;APiCi%in2G z`(gPMBnQGXp!S2}2gHYAn7?6kK2$$U9!4L5>WA@RG)y0iU(W#P!=Wob0Tn>^FU<Wg z{nMfLLzTmX8D2mI7QjUy40QdV`U(^$=;6l!s(cw37!n}b!08`U@51!M!Vlyhs8vvv z5UK+jp$SkLRL+9x0d)N!F{l!VMkx6LnxWaz3<uc<RRX3!buu>n8Bq5x1gk<2==uTY C7r^}h literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..f8083c1239259b3c0b84708f059098d55a1c0649 GIT binary patch literal 3440 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk`UJSd;$c|Ns9x7#J9CFfuSya5FIcU}9kCU}0d` zfdy-@GB7l-GBC{Gg5Vci3=9_B3=A1i%)!9G0K%LM3=AO5#DEF17#J9W85kIZSs`*< z3=9k)%md*vFfuSOFfu|g1DMCa@c;k+{~&3w90P(65(8nVQ6MG*3j+fK%w7;J2{Mm? zfk6&RgA{?p*%%n$YE_|nY?0J})Pd{;VUT(q1_lOZ1_lN<JZf~IX8Pk%qsPF&0CH~x z)J~8+APlkxghAmZ&cMI`a$h1IHEIkD4D8Ub0O=D38N$H8kYFUV7|MiGAp1b!05TgC zKKxK~WuP=jPKkkm!5A8EAoUXrg%*R<3qr*}?g7~Y!n{zE6^w)y!@>q64iW~@APiIU zz!0Ja6gD7nd}=^pgHH{}J)p25qy`i=Ff}0iKp5ms<TL;h1F7MI#s>pWumvbQLE<2_ z@>t>!S*-v_AvF9HplJh?K0s*{Bn4Mn;bZ|a3nT_ISCN3ZAh(0mg8T}~OEB|5VlelD z#dv}(Kxq;r4pIxkU~!NDG+lt)02bp3wlIQ<gVcfY8(17FhHkDER2-z18_jPZeIRo| zeqpf6w(vkw3rd5a^aa8oVVJp~^aKiDkQi7WhyleQHK1_AB_3arU%-%@pIcCpT3QsJ zo1apelW!JZlEjc$l$^of5*mUb$pBN7$dHqnml_{$Vgh5B!Wd>yhLJIpVQc|)Pq1@* zQE>@FuycG;PJVJWh~b=Hnpcuq<eQ&j#t<A7@0^p(;2EC`CP7L)p(_33(G^1UK@8T< z$j?nJ*3UIE%FfBmOV{^xb#eCh(=RE|&B-sx*G(-cP038mE7653C@xCY$Kr3jvWyf4 z{rrLw{mk5S{jkik#FTs^10xeXLnucd%1cQsNz^aPNXgL$nN(bo5^rQ+V4+`Jl9-p0 zSd;=Wr=Tc5OTQwiG&3iqSl`mp(o)YL-qSB6-oV7bG~U3%#6UkYuec;JC#P6HF()U! zASbaTEx#zY7-knli#}0yk?-b`)QXbKe0sPT*(Q?Ro0*!L3Jc_v_?-Oo%;b1OLo*YQ z!*dw)X%f~1?4+6>bd&N^DhI+N$QD!Jo4nH8)S}Gf_~H_9%BOvFU|L8W*CU4qjZ+ko z7m#hGMnMKjNsw|8RQ@Alh$sWYR0akHUj_yScW7IXk%@s}BO?RDDJBMnQYHq53Cs)( z&ddx9!7L06j4TWcC!rW*7RU@34Pt}p7Z{&d8l(qQ|AFdQP}>F6HUYJhq!<_&q!}0( zKy4>kXuA?rzk}*^Sltb>6NWjUjS2xM4XQt3a_ID{YJ`XYsPboEV32^)==zZP$oeEe zj%HwBP=M0N>OiI=*R#m_z>RQF`yJFcKx?Cb^nt<yM1wFseaPm6)WX^VAU3i-^l(Af z2W~TfG%+wRAnQX97j%7~b}CdGiazvkLDvV39<Wwq`wT!0AO=W32PBEE4;nonDHQtv D-mw5! literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..89d760b8dc5b8ce8e410b35b327593aa1c271b20 GIT binary patch literal 2711 zcmZQT13lspfgC8kKnlViB}YSGGz3ONU^E1169RMo|NjrdFw;3;3@9z2&cMLV0Ks4W z|Njpa9`qE4G{lMOED*Ya@oKntzqG5syN%cH{a_H{XH{%85#Pa5T{icumbt@CSC=N1 z&e)C}J!!VW)h%x~9((LNjoZUx&W<97M*@Y;e;E7b{ABD<wr`vskoTe1HrCoU&U({c z{#>tBD_6aHRaIJkbM2L^5A#{KI0S0^oIfx8)JEU%E4y^{PKAqw#su|m6wLNsH#;nS z9n&0T{V9KXtfrhY%FUlwbFXy8`QkkfEQ&=R2z_!oxm#Z&bZc(tspE&|S@HinB`KMz z$7JFer}1<1t@lA|*W5^*vyWl^=@31!O+D+qp4P2dn{{A~abe~mMI)z^yU%NdehQ3V z>n~C6J-PDvBkLpAWOwmbypQ;ttMK?LpIhDvO}i`I?S<cXDxQ5*`w*cocSOMZ1J{zE zeG?*I^M5b<bGzK`#(nKf@k6T<-j;5%k0~#&e}BZOb9Ha&o5J@D>BpZ+1o$0Y$sqI8 zB9v){SLxcY`0GBr3uacWooD=dtJLxoOBsobhFiYtCPexw#aO!hy6g}xu=RXRmZI!q zfh8X%_D33R=kHjPV0qqa_a)Cy$-cS^Hc#+hoRYQpb=sxXO1TMv+g(e<K6oy^^}#dt zZKQblw>!7y>`V&wTX%c^_KiPJ9)G|0w$3uYH;tyI^N+Hw@d%!M>5)9!>vGQJ=k_rM zpPKG-rI%@X!}kRnd15k6w65OdzGfIRUGwS+%U;uodZtgW-S}E@gpv78aLP^do%eqq zcx=b|FU-iw>v5ibaecvUX=(Gjw|DQ}t^56L-TkYFlg)dN1y)J4>c+m#j&PpxPdOyV z?{t9fl$~8%JLaZc5v;X!iZ!}yRn*!)b^eY1Eut^aM;%ZXXcO5lz29~^zejCc+lh0P z@)<i%PSra&eWPH-)TP&|5^nO}<2BpE*tpG}`O-Jbr+N<$J2RGT|M@dMEw%3dN+VJA z-wM4ooKJRj_gt<!Z1eB+$--?ubK;we?z$e!{907{{{79uPkWZ%J^8>?__=volg3vC zi%VZL|DABOerx;l@zEl|xTX{TZSFHgKL30#{MLV_6E!O88(v+se_A`Y?{n!3gLCg2 z-+ULBRL|D?@O!@N9Q&jXh97iUK8t<`e_*QeRp1BrOAEOzhb+EYolKbGI{Avc%EKk| zbAI1!lwUG;-u<2Tzw$4ND|nH4_f3Y#%0e-o!wS5iVzy@<u@t@dJ~{8sM|1P-+piQD zbp&xpgw;*!xx({!l{~-l{rk6sp0yd=&SLj(t=Uz3*Wh}-*81r@i#D2PY_~s}a=p5~ z{Q0%J)^TQ8-zMv1y4_UiX->Rm6DF~IZe-?GzUqaaCIvn_+Pv<2V2wnJXZ7N}S8tg# zr7tl!!Nh;6wtw0T<@%)upPZb3%`a}Z=-MNJBAymyZ!_2JcPjh*#*}~3hZkSm)(RIc yJND+oxknW*?oOy~k<Mx0H{190$Ciu!+J9d~{`srHKjYEXB2mA8>`xb3>;nL&sx5Q? literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..70f1fb418ca535ed5148ac33c333f4a7b07592d0 GIT binary patch literal 279 zcmd;QWB`K-#=VmlmK|~saAjU<a)HZFleM$wOuE8E50y<ql9G~=jS-TPojoy~Yu329 zDOum%sl02e?V6e8<rXu)s~xmgw_m<oP5;!)tKm-%M`ZIY_diwrgW2xD=8)f}H7&Ax zW@YSe{=T7o^2%?UcV27x?S16<_WOUYI^CSNz3pvf^o>t%5>5vnnzp=2*PlIFjz4;y zcFe>5?Zw}A*M`^I?#mav{^n+3{2|Got25r0Zh5YBE4#h_Y|!&<8-Au#*PoiXv$w=5 zjqUAB@siVP7G!^k{BqK!qwGcZ3;neA`}co8`F8ij1OG1$B?qe|y6$cK+@8=^+v{TW l$-XP^gnWssS(vK%|7x4MYgc&VWdG%Vi%)!G9-Uyc69CV}jp+aY literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000..36a3d4f --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 8063398077642035437 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..b6127ad04f66dbdfe689524504ca0b6fb95b2eec GIT binary patch literal 358 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=;1aaXSd-4mz`$U~$iU#mz`(%Fz_1`V z#3kOx-`&%hfr)_ujF}l27&sXi7}P`68Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-0 z8Ps*v85kKD7`%~83Z={_1qKENRtAOzevTobL5@CP=P)oZF#P}jzaC_jBLf420J5Xw z!(5$1{DZ&>85tIU-2+kx@(YLtX$Ikc|NsA=`~Uxcm<67GA+GMO5Pb{`3=J>~G(d_O S7#ND6^j;`^7fQ=P4Fv%FZ#hi> literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..c6b37d6fee3091720e4763bab2e901c92d34f322 GIT binary patch literal 6824 zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7 z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq} zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4 z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6 zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN; z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp> zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_` zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~ zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_| zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$ zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3 z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf& zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+ zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$ z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8 zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E zbqow2oI(0Qy3&dn3W^!>iWy3a8FGsmic^yrax?Q7GC*|%NI$3!2bl$O3y2TW2elLA zq<Rnm!ccK=TL;7ksRdzBn+U{*$%EQXApQ%Gp`iKzlurKt|L@=gYOO)qVjy{jQ?o!` z0k_$}e1WA`K=}X^-(dcM_17kvLE401K7(PP-YFgi5E~hT+5#Y}VEtN<n~*V7Ervfp zZ2(;QpyAyB^Aoapptb@weIT_UeIN{CBkKXNL2U?-K2Ul9@nIOG7KB0iKp4aZ$${D! zxYUE>L25zq1XB-cW00aAlr2e74{EcJq8`+?Aw@l??G6eX(6|Q3e=rOSH}v=g`5P1l zAPi!I+Wa8>pgsjmFNiM6Ob3lyq^5xyK@5;S0Z1K44u(PcLFpevgYqLt9Mtat@uBJG zKd27@(ueL2kXn!%kmCX*2E*XN0MH-+bbJOp5C9q&01YI71{ZWey#PpC9Na&GX@t_C z@)qO{P`LpT0*xDi_@MF<<YrLc1QgDoJ`BixAa{by0r>+ImY}{9C`>@^24R?gK<OBy z4x|TUE)0Xr2C>oo1ZvBI)Pu|b$)jVKJJH2K=>VjUSbIQiT#$Nf_8_|nRDR)72l4|b zOh7ccdq8bsT>3z2LGHw+50rdx=>w_9r4Q8R#-$HrA4m?QAH+t+pgtQY{y^p;izBN= z4s%f7jvDGgX$oc^viTq}Wd0f^NI#xf_pK#S{W=oV6O+dv6*vRKeCYTC$UiU)@)s<> zgZkPaaZr8(g*7O@fx-lo-#}>!l;1#p2IV(U+=22NDBMB$4V2G7`3;nIK^SB%EWa&) z+6&SPG9QLPcEH#m^)UWI0_s6+FHnO3rhXBL>Op;Okb7~t2RW~S+y`oRlA<0|FN4&B z!U3co86%qw>cbPGE{h*BPK3+7AoG#K50`pST!PesFtU1NJ}9n1efkY73=BVb7#K2m z85nNxGB7CcF)%prF)&QvV_*p2XJF{yXJ9zM&%p45pMgO`fPo=GfPtYyfPrC$00YAd z0R{#MK?Vi`K?a5hK?a5fK?a5$f(#52LJSNYLJSN$gcuk;2r)1y2s1Ev2s1D=2s1G3 z5N2TbAk4s^A;Q2AA;Q4WA;Q3LLWF_ghX@0MhA0C=gD3;T4^ajN4KW6W2r&kR4lxFX z9bya&FT@xa1jHE_EW{ZYGC+e`3=9kjkmeTHtsuY6fbv0p0P!!N@i{;Vn1O)-<Y$n) z1(Xj82M|94$_IrFh(80y2Mxx7`fyM_D11QjKcIY2Sb_K&pb8$;*MQpVfX2^&@<HJR zQhx%<hq-qKsDfvJgdbQQjSn8|1NHNv;s&4wJ*aO4<wrpIpzsIjpMb_cfyM_98Y0<e z0m|q|{0uby3^e`?C?6EJAp1B#gO8v_0@NN0C?6E(V0keRi4^`&KFqxtP(COPfK<+a h@?r8P(D*-~d{7zzsaJre3s8Ci@jak?SbSEX@d4QQfKLDb literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..3c58aed338a1d67466a74fe19a30bc1cdc2bc3bd GIT binary patch literal 400 zcma#Z%*o8FP>2Z5%vFeRadKBMFl4aKSd;F+z`(G9fq`KHBLZ_WFfgz)paMo1*HUOP zhz-IFmO_h}85kItKypxVRvc`QFi1T}9|(ik=z7^eiY$c|gD6G@2n}MhfCwmd5P>j| z<w15<=nF0WU(dks0xlnB0a1zSmke=;C?i9d1;`yBjO<rrd62(A7`r^eeIS3p?4KbF TGan%j^4E+CC$M`Ch(Y857jYvD literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..5b98c64 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=188 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=196 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=176 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..66423301268eae54817c621570ef61bab62165cf GIT binary patch literal 18008 zcmb<-^>JfjWMqH=W(GS35Klk|BH{p{7;e}>84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&f8d9R!e|Bo2p^=6 z6~u({Vd5})FBeFXfdNLt#6kMN_9;N*8PI40up|Qmj7HW63Y!%=5Pd6bQ0Wa&fA4_O zFnyrl0_ocU)wctx4@N716fiI_z-U-_f}8=u7EtrhX*6dupzDi(>O-epAkJoh(I7iO zLcvc<Qb25UdtiKA_60!ou_%H}VPHU~TOh&=FdAeBNGR}WNeU=jKx|?#ESiI$_Th>L zbpL|l8)UwIPG*vsiGEIsZcb)iX@zcug_*9IiC%HOo)JhIoaRAxfYOw^Unm1p1H%E3 zTVeV^Y!L<qa5@Lc=dNkEkQY%NcGSjv&r5%)r>^r)DuL91%mC>DsR0=biUw#DgSZSJ zwlV_)I8Q?S-v|~5iGdgwQ_tmsXk6Hek%0l4l~I()Gh-Lu$%I{87L?{tOn`9a;!vN) zfZd!QINT$K!#&bC)Puqdmc}41fskG})LY?j=XxCO1Z6*L=J(-H&xphQl{nn<8;3b= zIK)5WaQ`kG=2zfQ&x1q!1P*)8;ZPrrLmZT!u%)*rINX_tL);ukcvj$WXFLw|k~rKs z4Trs+%nS@lkfel4#(ReN#;2qfrKV>Vm!uYj_&Vq0=cR@uCgr3u#K))S=I6y1mn0UI z#K$v$m10$xnVlJ5mXVSZpO%@E8ef)JlnK%w4^ztkl20y5O)N=`Pf0D#OwR+0q*kOR zmzJc)7Zl|urxq8d7K25><|JpNCTGVNCFZ54#^<NSXXd4(R>bEQAQWWgr52TBCg#K! zrDmljmmnmHlQU9NN^?@<ONtWniW8GdGC@AbOwUWqiBC%`E{QKrElEmD&Ne`3Ni9pw zD~Sg=D={a&Ah9ShH?<_S2rOTmS`uGe7GF|XkP7izL1IZpd|qO1Dnz;@w<JD4FDEk( z%1<qcFG`LtDFV4HIlnXy$zc#%k`r@sK-Pn`r&Q)8=4OJ_Bb*BIK3Ed&5qR*#CziyQ zWag&ESEUx^gVlf($HNt3@o{lZemPiWab|954qEtUq$U=`XXa&s!ZEW7;)9~poc!d( z5(p<VH8nLpuQWHcC^I>}xFjXMBtO114-`<TDGXq{!B(b~<|W62y@%pEP}n6Gl@-UQ zl;##-3q7z2IiNTxOUx-v#U2A-MTtd4iIwrm`MCv&MId!h$AaaGGK(|w(&JN8(jkrp zSzA$@nVXVX6c6?bC;?=q#TTXKCKeQ=ra*!tHLp0c1m<aY2ttDztR$rXl-`OnbDfGZ zQ_@r8(^E^rQ<B1qGC^r0K0YTi2^1!voROQDna2<xpIng`pO%@Im;*94H#Ijow}1ge z6;v|hWF{4*WX3}yA-^a-JGCe;HAk;FA4w_+Vh@T`GN@)YV{rHJbaIY2(la!HGmQ~U zBRx|F{rrLw{mk5S{jkik#FTs^10xeXLnucd%FD@2($C4OFf-B1*Voenp^}1Ph=_iA za<Z<ap0S>Reo|&0NDACe02LLC45ULQupVXxMg|rz3&dw;n8VBfYYs9@nK+FN)WTi{ z725*lGc#<2@=K*MIT;w381{e~4h#$ouy!V_efI%c7;k{KBVpnjpyC|RhB{0f##cZS zFAxX$k%2)2O`JgjB5r^tegP_OfhOJ{3Q_NXCcZ!nA|8MyegM>nVqjpnVGof9wShqG zCJ2v#;Q^93v<VNEdVwU)2Nr=4ACSahZ77h;4<vCpkN^~WK-~jsZ}33HK-33le~2C0 zHUo))@DC($P}>b82F6hHLF%DV1s3K&5(l*<!Ga773<5~vAV0&zC6L5HX2HZ2ki=ne z36j%566XX7K(PUmI5$)bL|Gt-^MVAR*a1l#+QkCPcp!;`+Ol9l1_p)zBymBQAOizK z1d=#334&!4ki><-A`l`2NgSFKz!C*W;?N`o7Oy}OhbAeocmt9+G%16{JCMYoNfs<V z0ZCjEECL~BAc;#sguvtiBynl52!vRHBo6D#f@C%ziG$kKATbc$fg~;u5`f|ZNaD!t z(i2GH&@L5N#|0#DtnC@FDh7tn{BplMn%{7EbhDn+XJGJXJy62*|AI&J5st%P75`0l z=`k?;SKXt>z`!r>!0=xc#Loc9y?pTh|NsB0oAekMGC<km<pnT*6^IW?`Y#WF`HMh& zP?CSS0nDET;)AmA%LQQmBoH5z^j}T@^SeNNP?CSy0OmJ=_@JczvH;Al0`Wmf{AB`| zUj*WVlJ?60Fh2{#2NeY`9l-n~5FeDpUmAe<Q6N4jE5B3#^MgQqP*Q&>0Oosv_@E-= zB?Fl61mc5|{L2sjK>oD?@j*%b<pVI^2*d{^@s}6Cd@T?kl(b(S0P~eVd{B~pxdF_V z0`Wmf`Q-vIUkJnpCE=G7z<e$cACz=oHh}p|AU-I`zAOOqf9WzXWPp<D%LFk06NnE= zqAvr${8u18C~3ZQ0P`P#_@E^D(g4iA1>%E};!6cEAEZ8Q0w_X37?d6i-+FdljPvMx z<k9@(LqLeD;Q_;w9=*0V*cll9iyqcxVEB@z$1e}*p?mb&3hFU1c=WP@-0flcqeRrB zo3%|Bl9V|AKj4>d0GWFjN_+I$J_Jejnu6Tnq503F^Ml91clI6!e=vJ69`m^PuSCS7 zo7ESjru9Gx$NvXu{PHdg3^4s5_chqg)n#B{DAf-2Xtvb?F+Dn;mhgCV+nVVzFuc(G z|NsAsl>h(#A7d@mWnf^8J<KnUqW@+2|NsA??r{uv408;13<>t=ynM_lf~C1CfU(rh zr@PvryV|3>*rz)<r1{tX&L8|P$C`gJI(B|@;dl9N`Q3%z?}JO{#}~bS|NnQ<F7|NY zUtb*J%lPkQKLZ1UPj7LAN3XMoM>mH@w*!Yqr+0!!r*lTPb3&(cOt*Iq|N4Xc>%CJf zPt>P)cK-KlJib7Rfnfp!@NaXD@aa`K;M1Lra6z|s%nRke|NnP`w5N0)(hm0EUmqOe z$iFQ_l);zrj}!kk5v7jc2+I>CLfyeRj2AkcWBAt};$MHt@<iQQ$1b*L#~8<0$2iCM z!^fN)8Thw3IdrvHe3W8f=x}m846ztW9&>VJgv$TICLan4uwakIH!~O*7(6;Jdv^Zw z>8)}wd~5g()D>m$>HPm<#h?HGZ5T^~Jvw7l0zA5%1G>E<x>z_mT2w&E%%e9%CBUci z`-_SH|NpmPF4Y1l<1qXN(z))>|NmVK9WE*!AXOY7RoNg_Ob+~0j=p9xeB1fLqwxsH z>S&N}Vh>LMy9XSB0j{0L9Xro?bbj{e{Oj0x*0*<wEdv(=gHPvkm(D{k7XSJGzx6;# zx<~I`1u+JO7mt7c|L@Ve_lFPz!;9+>b^<5JOExMVo%dWiKe=@Ne9`yk|9_9p!=Al+ zbS1z>J@e`O^<oA{v>RF7vfuyz`*c3{=)4D#uK>w69)%e99&Q?_P=!ZC>`S9R|Nl>z z;20Jh;L-Wna~~)NgGw<_Kzx5O_1FLZ9^K9v-QEQaHXO{QGM@jBL1e0b{r`Ww`2wiG zWnf@<xsic^!LzrH$?)5YM3A1|Y7N70hL;Raz9{<j|9{JY5<Ab{I%dOfFPuTL-OURa z7#O;{S1>RzfX&tLY(B;UF<1$r05sCn-Oa(sz|hUY@!B8a=(xk4y>+aH-(LLv33696 zLQ%5~2Wu&(XY(<(mofkU|MzS@29C<_FD|32>}KKkf1nfWa*y8KKNuJoJQ@%0U}Rv} z1*!}@I`2WPdU^5}C}5!JG1M^(l-fYf1_e{+Kac%t3=9mAkb04a#ga}Jm5k#qDmkDk z2b78=JbSl25CElv?=Rl{`v2dv^H_I|N`gmkiAsdWaTgU1P+jE#9)|^$_a2=tDgqw8 zH7Xq7^!&p5=l}nX{M*^mI-5abDvn)lOdZWtOrRof_XUV25||hmc7d(yy!V3p*Z=>W z?_ZdJT-JC5<nCz4xL9~519_+UjR(vxpkXGE{f6IOEcyWsTF^jDcQ?pnP?m8~aq#GT z1j+}_fBygPXr93cDqc1pfLPqX$iQHDX(uQxIPQp$2K&*Y^WKZ_pa1{w0`Yf(2%paH z9^laRh<1#7dHM%Z=uVgb$<CdhJ@$jjQK(bIfBgS{tl5Eyfq}`Vw^+lccee%;+$LAU z13sPKeLDZW_yAYN40pv3EwC#<(ih><OmJzBLb&uMxHLj{#REnLsNs|0(g@uac1%!d zaQ1(hkLnjtl0o=Kj{(##Vqh@*_QL%;$UiTj{z>rZ-F*R@e-yx0f|Ma#^r8XoU`Dt! z!bLMo;0}HSw*;Zv!h#v<;1`GC(g@uZS76c*|IGjX|39dL3-;*zy%W^r@#qz;Rs$t9 z(-<}eh8G}tkKWxUxEUB;1b+vWU%MH27#P6*i#`m}32w^un(Bd6JAzbz8gfVyq9BPM z-~a#L0jiW=>_b)(8Vu6i`P-xO>rPPlunVNbqu2Bt8^{H=AnJwhH&B82uJis2W02Nf zTNaRk;ULjoTLTbV8*cc+umAst24^t@ID(90a0FZF(aW3rALMdTUod6L1Ey?EKzi?g z{r}&i`G~^)6b1%{7lPkl&ioECzxfD9bS%P?Adi6@IEqI@U^E0qLtr!n$O-{Q@C+jZ z185{zFD0``A2fJel3H36pPQdjnv-u9Uy>A`Sd^R*pPZH+pOl)BSf&qF3myPRSP2#* zMSpP_XtIEGdqE@Rpn-Cz)g;@So0`jzS)7@ho6C?}%)kJuWf`TJtwEhsP$ysJ{r~@4 z7#JA-eE9$W4g&)N&&U7&=YaSh|NsBOz`&sM`Tu_dMh1pGpa1{QVPs&a`SSn&7Dfh! zIbZ+(f5XVYkn`>Tf6(0gA5fLW#K3Uo`~UwXObiUYKmPw;!o<LE{Kx<Q2bdTb9{l+K zAG9Wd`RD)tAD9>zKm!6GFELgHF)&sLFiP{Vb4*}_h=V%o86W=t2Q_>dT-ZTl4-6^{ z3=Ad=3=9eH{{MdfY5?*HxbaDN@pG4RG%(mpS!)@qfQNlR=Ei{5SA6*YA2etJvIB%I z7#J8zK#Y(7|9=3{PJ9ARd=gH43Ql|)j(i5qY(1>KEPc%VOicIqG{C|VU?m(33?RK5 z7#JA7eEk2vAEeh2rq_{A!=3K{V>4?XOFwfP6H_(FECsN+0*-tj`$6jn-hf)KpZ@>f zhoaXBWQ~C%pM@izLo<61TQAIzB_JUKur$Ibkl#%h85j<H`u|@X<bNkV0Y^RwM?QsS z<~}B-1t6w?6CZ~op8``ljKjddV8Fn@0E)L2pa1^{HMJQaZg=EU06Uze59I6}d<u@B zuz<Q56xItE85mr?{Qutyax=s(7rq3rU1c!iH5eEePB1bstoic)|3RoaCy=B<GbAK8 zgAH{81q7(!|Amo(A>b=vwIHKF13oHD3=E(~l@&<9l~16VsRU#cIPHKM>;J$0{}1X$ zGI;O_1n_Zy#UnsX`fvaLgU7l(_ynT(IKb(qfQf;D``iEjE1_n!F*)){G&8&KDKPni zBF(@F6zUFzd<qdDA3#F_q<9pMhQMeDjE2By2#kinXb6mk0DVFLzK#JjvxYS1o(39s zMdCN2@j+`TKrRHe?LqVjs61!_5X6Tqv;a*2g7_OiGiMA84B&PY(s~Ne1R6*l)P@Do zP-P6TbrqmCI!GKQ|MlPhd=P&D)IQJzCy1{B>TobHfY(if_%Qc?CM-dGdC*`u0|NtS z!V<&>wfjIcXo3(#!!XoX__}ORGY=%U1Ihrk`$2rDbqt^hL=fKs>QR{Z1*k<ZcY|kg zp#$t7^)UNkG)N4DfB%R0kC6egl=vT%KLKjqe<&Z+GzY2w0Ofl?<$pr?pn-glJhd@w zy)?SHX5iiv1A`ls4ujHZP`V6Cw?XM?P<k1Z-Ug+QLFsEy`Wck|2Bq0RGr|lE3}R4P z4N99qX*Vbx2Bp)WbQzRxgVNKW^fD;D4N4z_($}E$GbsHHN~8Om5FfVg2VEU(-4AS? z4{TizY#k45-40A2Y`u=Vv$K_gMrcxLUP-Bfp)qL1l&)DRm}^vLWTIzaq6rm->BLTh z(hm#6$N%WEpmYOTHB|%62(UE~pow;D>OpIIm>BpO9H8X~%sh}jkaz)_xG{M4fS&=j zP70>p6I|9vGDIM)3j)c7g2hGQ<pD?xglnMYz{)R>7zlTO)r-K_Yk|Z-csY1q1g3vM z>y)wi3zvUEgYAqA!VEf~&MU}ZB#h0RV_<n9_<DRWmw|!dCfJ?g@Nxzw{vIqY#(<vB z>X{fA6hMgu8a^=fp!q(uAO=f;CK?49Bp6`p%|K%dAPE8R`doenSb78Zrx_R+n!)RN z`59pQQ@~>)3=9mAb>jRCu=EEWTVP;d&;*+UG7%L!;t&sG1i2qoEr^#2QqLs70IMHB zVj!Fi5@+CN5P%lAp!fiZH-g1MEL1!fhxmFN;)ijFpTQyi8i)JY!QqDL77$MkhqyHp z1A`!gAUrUj)e?gj4)w|4cn6t?iffrbYZ)a#n}$#%y1?e}GdzJ-wBYdx1_p-7OxV|7 zuLG+`F#^mvk3;+kSe&2X12jUQ6vHpDI9MwRA<oReAjl*E&(|<XWgP3uopFdKL(M@i zPYb~6`59p4DR>--fq|hKEDo~`L3iR1-wa;&i70<yI`-pG{{$?~&%gi;0Pt8J0|Ub^ zusBRNf@Ws{?c3mIfR*pyu{F?|d$2e{9gO9QLp%$I_&>0{{0tMJ@eUr#0<B5Fp?)4% zoSy+yq=4*%l>;kTK<P@7ApxzNSqD}RQi+NWu|U%^bdek^J=a6sa~kR%D8+CAhdD36 z_F|@!_c+w^utLJq09rnP$4eO)7?i-`sBQ%DEO3Z>fyMb5VD&9{?2>_jArveQ(us=8 zz~cN2?9hfPc$^QE-az6^JfOfs(a;DIM-hQ?f|H9%4E6FE;^PyOGUH1U(;1SBN{UNL z)6(>k84&v+;!ASllR-NoiW%bLQ}W}}bMliCbK+A<@{5Y&6H6-?K=TSYsU@i?dIs24 zfYyX(#zR)Hr{<LuRWhW3b{K$G#phNs#K$A?z>D!wl$PWd<m8m)f~OPW4fT>4;^W<d z9DQBmUHx1@J2K+k{X*kiJ)nXfE<p_O?mqrbjz01JZf?P@A@L!OPCl;j4Dl|JevZDL z&ft~p#YnprKx_9y5|bEUdoc{n7{F`#p&KWR!E*}OR={V%wtOJ3zenFNl9rj5$pGF( zP!MmKXr7v8n4A<}T$&Vb1a=5`{XQgQK*5NzwjXo52)6ACkVX30nV?-AxHf8lx2+&z z6lI4C$QPgu18AEzzzze411NmZ_BO<O`o|ZSq-5sBmli{}6M)sDZ%F~$3)=#NVrzVS zN@7VOWM2&fbW;Fmw+-?R0teWdf6(fFkTbz{;M*62xr-q+H8mbB;0?h6k8|Tme0+$n zGc<9*Ed_7wz_U98$EKh7_>|)O_>9E7l$=yV`Z57KpcrNU1=wqF=Yzu?n*Kn0O%ikB z!P{8k<CBVup@9Z>8{X|BSo{y!_5+^e1MPBwCwrs>iO(UJJBUE32Q*nnV7p04JZORs zl<6_H!hrUO<QAaBH{4mK4DqRX&@C%yJ9zv`bAzCpbvz*|z`+QKtYp|Wqxe)%Qe(i_ zuL8Hu*aT|^H3ml$%pK5uF{#B2AjcL|!gU*(AYvAx1)SS)?HPjXlmTry0vitxX}J0E zC|jHu^olEUOA?b9^omQ0Aan+dm6=zPT2#QGmzQ6Xs+XQus#j2yUyxc<Qi&v#RGOKS zqMMll6>@a))CCpvVC5N!#Tg8GDV2G}mAMeQq=*45Q<hp(oSC18!ig_p&?`#KNd#$t zvI=ra81%qi(JRO)(M!)SVbCi{ttes81DASwMfu>6*GtWS7V#M=MGSBrL@T7Mhv<N@ zp?Q-*528J(xR^mNIX^cyH4i+m39Y`!r9k67puQ(`I0fhabeQ=dwJ<h_2DN8FV=OTJ zuzk@m8r1Iuse@tI_zR40#sHa{hUo|Gs|3lxX!LF!tUUu#1HzzwDzg2selm<kw;x@9 zI0FO2xBvh1VfMquv0yaJe$YaFkbUUxPlS$P!Sut%xnOhxwBHVLBPi@(`eEZtnb5t{ zF#WJ`GZ+mUCj;3H!XPt1Gz=FqFo2ft!`%-XcY@PUg)sNS?19mB3=9mQF)bJ$Hm(Ju zVa-l-_d|_k=mq!VAuA9;Vz6;G7!4a|gV~Sn|LIWuP9V(;3=FVwI~WZc=L6{njqRc9 zUkL7>BgPqF<D4)Wl)j-xgDLd*2d#Mkg(=8<kOBqhxE72CuQ>ooKrvJrW;_FE90OTD zd=ol!5CG<0sCLL8A_H_Vk%55$w7vjYKdiqGqZgnV0J9%v-ZnJ<!}{$o8afOLQx4wG z59cs2FzkWqhs8hoxeqKL$02Dza}L81H2t{FIe-~~9{!im^mjn}Eiifo++h$C(Cr7U zT>))kfo3!KI1}s~1a$Q<K8$|Bz`y_+Gl%JijdO1REnGl~Cy4Ecc!TK&t(5_#9hiRD zI1%hz3(y)FkX}&!0nsphuy|Yz^*>BM?EH}%pk=)b3=A4L!XIYNUo`y;unY@Y00P>F z2C@_hqnC%w(1C53{jl-A2&jITJuoBCoWlSb*8+(_mBW-V!1QawMIa22S`Z7FMvG#Q zG(!i}z!}hh1I;^ty7n;lz{*e1_$bWnV2w~h0Xo386gD9N6^Gdi<$^|jz-L#$_%QmY NErcbArU8x1005gl3x)sy literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..573fd3e --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 43139 +Design successfully loaded +Design Loading Memory Usage: 20140 KB (Peak: 20732 KB) +Design Loading CPU Usage: 30 ms +Simulation completed +Simulation Memory Usage: 105976 KB (Peak: 159408 KB) +Simulation CPU Usage: 40 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..2fb2e34 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..17849baf4e23f4644d5caddd1bdccd0b71583625 GIT binary patch literal 33920 zcmb<-^>JfjWMqH=Mg}_u1P><4z`)RiU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~p|iaG{{2@t@)%{juSSLJ|DcQ(QW-QF=^o4P^TQ#uc62Yc|Z4-RqU z-xeau;LG^OiGQ1jQb%xv<%trZ?%*883!Tm}{Ob?#uRmpZqHe8Y7hAMrjAN{0oMZgq zV@^&C{M(!yJbGCU@Ne^S^kDqi)xvnPj)9@W$?5Qf3E1VO>ha5GBgs30&F^Zl*aH@H zJPh#|lnjLjcz{Re<?gHmSHrihZyotP-gdqR`_ZxUm<N>U*?HXXn@8tykIq+MsqXBA z*0+v(z+uks`Skx;pU&rC36D<a0*}t)KAr!3Iv;^WI<qT|JJ*0!b}nvUU|=}j+`_=X z@c;k+|Hqm;K;qrb3B4ZwTMxMKd%o!G?g1;A0Fq!}U~uVNJq0W<1FFZP+quG{vv~mn z1A|9*GsrxT&gK;i3=G|1vCif>Aa?f}1_lOj<aRG%U|_I3!QcNAoE&UH=0XC%qdPmG zdpF3s?#&?Ux+jC|=<Ws?0CqWq*?B^{8Kj7ReKSa&f13*{1Is@f*7AJ*Z3jG)S=xOV zfBb*o(Vbn;U0u;#T+=-n<UNQ1V8>`XSMaX~`}vp)D+AMOcZl^9h}OdVS_Gs85?YKP zCKz{vP49+!utcVNGRV`67a&0ZOLY8wBLDyY_vy{9XxI%7ZT^;d3=9mO-L4Ft-M$<i zoy}W7LD|_2ip*{>r?YtjI1CTK!*B-!1H)@&u&oH9dooA?$UcyAn0@um=>F>N2FXJM z1jIxH2>&+kj1C`GhHj{hAbTuNlrHt@t}Z~h(AmMG)7!%%c{U`r?}Lr<=sXHZXC9rm zzydCv-=Q=tCBE=zKEeUdZ?UMUAC$iw8A184tHt6d&ioPV(f9@w?H-+%Jv;yT^j0|- zzBT-|3skQ8bp8ihXv0_<?9myc65!G89MJ6@(Z#~i(V_xMQ69Y^Dgi!FciAwPYJrq- z7=DB4>|*F}QSkt&;()7Sa^RnG^fi;=+s+prjYmLMM}ta>*uxXLy&O8dJdQiLfGpnu zBAS0P)kuL^pjhcV_y54fm(Bl}%fEIyxpaDYpm`XP-#r@NR4_6yK>XC5mC)<@zq1LH zY7r$s6DX}5ZvrKJP>$(b1@h1FCQx1k<=oCDkjsuYf$|DO9+V-EH-R!6SRPV>bh@ap zAc~M9C`E`%_im7tj=k=TuC4!ff=uD}JmJ{<|DOxL|BFr@6_4bXFul+$4vz3=urkP_ z7o4zMI(LH-)p2mr1)1W~xf+y?jyHo+G{`Ys;9{bq8I)X)H=h8h0i|znmh5ak11?^! zFff2CtL7j7JUV?;IQaXXGBGgpdN6i&UjQpO0@c=ffWPA&6R2iMQ4#Rz%~6Q}g*^y^ zA~ym=2=H$M+tuL$N)w<$1{9dxn?ZruJsA{)-Q6JLz^SLZ8N}2EmxTQ5n?bVRBBsMd zCD5nyyAQM!?PyT}g}6s|c1HJZ5UYDLDD1l@gF+mOaUdo9>zhHc5aU`@W`YVpkcp5Y z+_CexNB3%Q$q5d??#)|3p)wg1YFG>eg)#qnaG1Ju)UYyuJPPrxN9P<B14af0n6Fz@ z3P6bqT)L9u@fMXrMg|6-&hH+*Q@{p;!wDRiopV$`9E4385Sz#j6HSCoAcH{|lqa!; zeCHe$P#TBS8u<JLj$2Tz0aAn#r7bEC7(mq)wm1Y^4NDAIV(%d&_CR3*at2s=2S%hq z5;??}?ru=hwmeZ{)IAxTyf1Wu(;BR#=I`_R`~UxIk>kyv6b?$>$HDR-mQVL)P|EP= z_EF*R=w|fj_T=#BgqOph?B&skS`L4MmBZaNDlA~vb=!a=i@^h2l7R{w)Uw#4yUM|% zyC|aDDWKac!x3DLbsl;NvL2M3CLqeI0N2jrj-BT`IzM}K{&nm;>)X4;_6G+jjXZbh zJOs9^^*~9wNAKQ%3<d@;-=lZ0fgOS!!2v4nY*ait@40k-a_RgDQPg?Zvv-fK04K<( zXFi?3Afnyq>U=t%dvxA|%Qqf{8227-8frxY^#XqPuiyt+itPSqkKVl}QW0+E;K$?U z17Pi>xEW#`0XKsK9!lVM{|*5(_w%>R1tsp@y%}K$KP-quumfTc?2LE>yCDg|Rw#n9 zeL8hie0tYPfNeoI8WKUBhdsJkR6Kh3Xj}lx!-K)66HO^b%z+H_KvIP@<{$y!7#1Ah z(fQePpBe)L12+Q$1E}JLv@txooin<<3mR-VnE6{l1*T_j9i!nlu<MRB8!$64FnaXv zj$mS7@aWwv!3_12XKx)7Tu}fs0|S#s?`{Q%A`2u%%y2~oP(?35#a!>^2qZ-;a77cK zia<Hcqjz%!Tv78eR)mv}HE)2b+W<1G@$d|028Nf)Yzz#Zy>)C*!#sMcH4MKQUNSrh z_DahE{+9i$U={2z72VAVObiU&-5E>_3|%Z7|4!Jj^0!}P0TurTJbHOSxz{6^rO|`& z#Qz7Ly>(nreaD(X9%jbwVQ#o0JRatOE5hmF-a1~mA{5{DZU*@l>?hCOIzFf(kM8Ch zpenB$R4PN_666uj=41RXx3Yk}Eeur(aXyat5`ini<9t!LB9QYz0f7-;y>()6MR=Sq z4poHid?x-@A&{$^k4Yd$J18|WztjQA^w!Bh_2Tu9EL;&D|H#1=f&2ps87%&hhbzM4 z9|fo)kM3pxMo`j{U}Ruuu;F0hZ}nnkVDM}{riktzmX|Rgncg~es9wDO(SR$$;~!19 zB0T=lf-3^~2Na3m%!Hb}w4sVTx|=<~{t1Bihnc^1A`{p@IxlyDx?sI^)=;Go=Y!0{ zQXJU872$EdEnE>E=i9**ft(MDGc3-xhbr=bbf~+#K@9;&bZlk>JKq64I@n&G1<CZ* z8A0_z`~$K8i+_yaia`DWc^XUcW&&4)$3LcUMR@#U233UaA7=j6$DmkfK4y;YAJ&(D zK{CB{E>OLA{o@K(gvUQ_a7B3h;|^DZ$3GrWMd;a|xm4V<`IslVUzlE-K<c=-!=BB@ zl+mRbUW<A*AJan@hF4#Whe6esN9R4LA<(uBsD=a$9|eOd$j<+s`(zmy7<h2kk*$#Q z0jnb&`M0-Us%KzG>ulb_#K7R#-F~i~fuXZ`1GqBWtpKW_dN<EtVqn<C#(-ISg0$a& zXukr{eg&-k0z^9~*Ln1A-hr+iR<DAz--BrX0?~d8to;K-JGl4KyZHvXc36!I(*6XZ zU4$9z_y=I^0?eT5e)kNB<A0!QXNATaNc$^@b`yy9XJGB1a@wPJ_W_7@P^csMz4;g$ zB8osJe1Mn`0x{tY*aT1w>(RR#oSPaCgIW||6FfTafrk*lQRmrP2d~O8D|>lJG;u(k ziY3cHGX|`p^61`-s6rk2w@?2Ba+k3PpJP}1mwE;UBNsj<{%r@+I4|(GfI8kFr+)=2 zVKH><n*JT6B*c)3f7_)r&O`hypc)#a<Of2@FEk|_j0_AQCBG3${-P-XbqYaB{vnhw zG%zq2Az1`UA|NG<4Gauv#$dNF!<C#$<2=aUk^u^f=3}zpa7P3nm<7s8;$R7oi$MdC zpuvOgZjj@;ML0SqgAy|Tb{7s7XbK0ldq5G}co>wZU#9%~|KAg(p7!W&Ml%AGm|;eM zQn^R(Zjh@z8V`dK_De;G5t8^^0ZPy?BS0zLqjxtraW@_YCGMB^{(@a0h0h33qJ|j( zO6?xKkOba%7?i+Y&W0Evjn4>B!iE_EO7XBn4k=n*MnH^^!Dj?0Wy6dBCHa@4fB*l7 zx6j2H7#KhePh=C|?ekJg&;Q2|GRK=am_Th*a8?D4T6i=b{=mq<u!{xMc|k4aklO1Q z4dW75&)zy%fr61wO4U7k>tN-DM|ZOYxE<iY$iUES!@*iA=-GUX^=111|No(-Dkwd% zBOHmMqnm}}|A9`hvtN4r|NkFU^n!7yV;E?35;PhBE`|Sj>{o$@1T0-5Z0=^^=yXxZ zIPRj7!wm9kZ-|P7XYV!#YfwK9(yj6AJl36~lHk!>q7vb8+(iW(R31A(%0c639-S^K z0v^3JDjeYPPp}n^{M*^mAO*K$mm5<@^Cn0k3K~-IfTZ?aApIVl_rU6*^9de}M?mh5 zc8o&|%Yy0>kNv86yZ{b7&STA>eh~9(b_fI1%J>2DP~%|-Pzl_5FB)9ZA&nn+G`<0i zE_il+_w4-Vv0IXXfq@TPS9w4OF+987GaS49p&h!_Ak+RI28~ui#yFtC<N-2-0aPJ* zbhfB`0IBMo0v;5Cjox~69`0TP9`*3(-J$~OR)B(0ffb~52S_6<7&Sn_sDKE@Zf3{M zc2LpJzulQ7&9Srn2&nYw-4F7ZXXEh|pcD$y@6-7YIT&AZfeMx0YFM|@v$r0-{{~X- z(OV5ms-E5Lpn!Mm?uRz(nE6|ef}Gb|4NI@Sy~{v}!m+y@RGfJ-w}T2MkdHwnqQ`N_ z@E*uZo_j$~0(r@&bBzk9`G34crGb%w!4PcV6ctcG3?9RL266>M%Yl-7kLJUyh=9c# zqCTAvZP)`<0OSIn&Kwm9P*4iI-0<)Je~-o^peRBbX7Xr0%#N@Q(@WqPh2t$MprJa5 zfos4c_s3hn!>yn}cF^#=0g^93&dE`!0A-Q_kK-&V5N$as93Gv|UuykBWKc+Y1`QrS z5*s)SFw1#RM;cbP<)CDq09X?ORD(m(G&ti!3CQ?N2&fDId!zF+XbN+mG-%caoVwwu z5_z-&G%JcUTH)B$&e6cY(9sOC+OfNxr2*6q1G&kgcQ+_XJ$g5T-2w6@*ax1?$CwaB zm19>sFIayMq$R}(*53i~#{y95Y&={5@&`!2N9R3{#v>rdf}$wSF+LXTE98<CvrX~| z(iDfK&hF+8kg3egpo9i0krF^zECW=0d+q^Q0!fc4Dh0<~z-f{J6oCSsz1u;F8aDId z*?GJ>M<oIji2<NU1Znr_OaVtCB3C+gvv)Rw!oso3odps^xIzV5u7L`6MBsoXD8VH^ z$jRV#0VrU)TsT-dnnB^^(YqT<5P?G(Eu278IgsKW)Z9WY?)kw{4bg+#V*|}Xxu`gx zH~}K&=+XHImNYsbN#CQp8C1k{cZ2$aAXP0Y-~zmN3OF|)sqO@4?GP0YxL7l&e)s6z z4Js@=Aayz<o<S~+c8mi@F(}Dmx*t^5qq-lYryD#T19Am@+<ya9YxM30)y^J`hYx^w z;B*Rd3$ptm`5811!i5O)?#-a;v3vIekT&MsV6&JvgSv0vw)aj@2?-h621k(%BYz7h zWMSGkSQr>Qn0GUPDg4?%(>E|}24MBOHNX@;Z6#?iEfHX~y92-!UM<EjEe&9`yDPvH zZY?bbO5~0;E3h#zfco;F2BSygVGcIX;5D==hR8C#egH}aa1060?F<YINZ|?U)iQ$y zfj|KY&N3(17#LvX;~9{t@T9hZ4cb4%UOqz12hCNWnhy%>?ru=XgUv5sN0?v2j@&Mc zU?;+S(8MLG`Jh<o?gm8>*n9~Ng!wX{X^7t45ug&R@h}4i5$1zNicrl5MPYY0C_cgF zA3!qy2*iAF(b#x+1u^FD#t45<e0O()A|Gsi1}DP(Ih^3|0hJXVjfVp`iEuw?bR5<F z3s^w$y8=Xlx=I3E2=hg_z~*0ogwGHB=EK|np!S>qI7`9`C8Y8BQW>=NKge)U58&lS zNW}tcJ7G5Q?T{-b<gyhsR$Qv#*?f!zrK|_Flc0S-7LM2c@V0$#9jxz<Qu{+%v!2bz z*j~o`2lvFlO9LQHaTJx%E&#~o9=*FkZ9-5l5Y#3F)mxy_7QD~_QPhI-7?%D4s4)Tw zDR60oZVB!B2Nj^!0l0rq1!)?93PF#?!=SkYV*3Z+_714E18S^6szA^EQVa|Xg3!2! zEXP2O`)bes$3am9k#X#5(*U<sK0(G1+SEY3|ITJm|If3#O|Ah{WHp0^PdvNZ_!>Zq zWctLwg53{5DYCQq28e=}KcM#dE>Lp|6a$S%K+Xb>4!~M9^*ECjf6Gl!;%h$6jBs6d zGicn~gBd&s4r<tBfEqRhAP;)(2iXE?*wm<0fLhtm<qDv-4SFxmqw}ascL{Pg4rGQ; zXAMT@&7<3u!2_}g2<)>?h(Ehs1w1;z;lRJ$RU!=%KwYj<9nGMCLsa$1{s*Tg*b*EM z<iG$mUBKxPQXVV9BMhtsWzfINg+r>N88kuy8fE~sG#U>#fYJ-RPX{&#N<hq0!f&2< zM>Dur*t;8=;xs@NHCFRr<w@s1kNuz_1tD;<0=v?)cbjGd14H+2P>;rg89YD<PPRKi zeOsjZz2!g&k4Lw&LASTXOHgs(*}F}L6pazx-U%;{gVILtHhp3>3V|AV;E60y5_}0F zJbSkp5v!da)cON!2eoZp`*=1UGbN<grxP;S*>a!+q#YEt-QA!D(`#Oj?q*O4)!hy9 zKez?~4I{v6a2`-}!W&zloCj_vfpQRf83t)Dg1V`Y%<i$@gMooT7!fPo&K4fb&IX{o z;sMGl0ifo;2TDIX0+c~Gp#67P?Ep#J9=*Fk(@h?Yhe72kZ2Sit-5!lcK-NY(#=#Ts zgb5QM>CF?>4IYqe+uaQsv+lOx*axcXz=m`-Pk{Ip)Zg}KJPaxVVYWa=D4+=$N<qx` z!ehQiG7E=C=7IFIv^0;-gB}N8+It-Qp~QHivl%ql>(RR#6ayZOhZUF+16l~HK;!k` z^|hXzUp+hjdo=IM0Hxj%4##7yCqOLEJ-$%OeS1q({)37pNM+>N-3kg1$L?NG3h9>N z@M!+c&fmTkTpEG`oxvlSXNCvk!T%3Injq`%9J|`>8W<QHJ6hj?2C4bCx7&b<TgT4U zHz0m@yF~*7!%NUO2Z|n7R6Wk9dh9`ZCNM(g;^FrApz85L)#C=z6N9cN7*$UosvcjE z9#CdSaa$Cso(NPuAs{_pz{wM~8rHF^JrPw;JgS~(kRH&wG!%O>Q1zst>PZ6W0j(uN z(UXs=Cl^&uW&;Dm>u7|A=HDEp>W*FQWvIGJP<0i6bg4Rawb!C5t438;4wCI`eF2`5 ztqt((-Fg9(0NG(PHy~>v2??6g7(IGf+d;#=ovolG2pSB4EQyBZ1PBGL@4?H#K?55e z&HE%kt(_9~W6huzDud^qNbITAqq`Y2^48rA%7)!K;6~N+*0&|r&3_q75}N-q@wYa@ zoaWfoJ_+0;n8yN{R+s?tT4(bd5VyO%2NcxHkQBF|C~iVgTn7rR8jz(7j$Q5DC@MQq zRJMXt27y&J|79)(h44%i<<n7=PX;MhcI;|jfTCy~ilSNIftMMezFKc}g-7pZPy>D! zmN<X}A1DeogNDpHn?b<}iUQDh403q~5C6tD380(~8ejV7xnF{Tfk6bC$|3D4<QA73 zYKx11d)q>YGeIK@pvfsntqz(b+XWhk_W*abLFU7p03I*!?8Vo3$^{RO*2Bg$p#zqX zddGvg89XxE*`flPZ~~22UVt^0_JV8#HKRN_*QkKXO3&Ul(2{h};3g=^cz`;KplXW& zBm#;b571l-Tm)=z?-cN8A<~Fo%YhPG&*tOc&HyAt9Qn7mEhXe{kogQR7lJDL=HuY$ zJ&0yBf4HbX8iL@l$j%(h<{y$vJUfrMbk`s^{b0IqjoX7Fws$wkDWK7MP?rJ}MzGO( z7w8gakH#a&Q3OdPkorm)o*Y1ifTqGgq14?CY6I|ZXW>ZeY=*3Z0<T*2Xgury8V!V6 zf~cG(Oqc+#pTO1qU(Y@2aHC=6>9J-8W(EdkpWfY|GSjDb^9zt+pt@@($fK@?2YfpJ z!`ddEy)`PZ31_HAaFWBJ6xLXWDFqpbLn$obL6sU_!l4c}X6exlPLq&8apd1VbvY<) zSc`C!n1XmKAw0$sXAo~Sj2GzHd<0T>fkPHz8I$3q*Fm1mN5Ct)AQd1~0^A;bZRXj0 z1e}&o<v`*6TFSHe2zZtWRSwgmpsWZkkimHoQW{Ty&ZmIKo54*QP<a4qMHIk_<qIH& z=N{1X2`2*s19~F@G6LHTX{#_ph7CJGLwv_wR6IZqM4f3001s0_vP9?6<{vEl?VOAZ z44p5~SDAsT7l@A>yV^H{i^y-F;+uba`$kY$b~b+jal6~sf=Y84MzqGmE)*R*P;_ho z>39V#?BI4BMA5MyMaOQC4p1?I;;IuUI*y^}I0VwshHl4s6dh+#besg$2jK_{n*Xwb z>yT?Gdaj`8xd74wszdIgD7uZJ=sLI#`2em%c7FhMlzKPcU}0duUX6gF8&r)jL#h!_ zGJsF(#X$Egz|szghLjhZ85kHqsRO#i;8^nvR>;61bTYPqm4N}Al)-rld1McwAGAmv zMZW`d;1Q}{gN=a!k<BsnZ$-EN1*-lFApP(xfvJBRy8a35DDJOdXJCL92*{d2<r{Q8 zDX4!5N+C$TaO`S(0*)6u4sbK1?GYqiY(U)ZwtL`sv49Njftu=|Ha-V5UOZ4ItwA9T z!SMPUlu`eHn)@6K3=E+5(+Lp6b3bV459A2n-g2bIzDIYn0%&Zm8#IpT$iIDx%2SYI zK&6#6nEM>UWh`-Z<=?(U<z)kC{7#1vlpZ0uHO<lTO^G9@9|#`F1&z19wns>VdaGcG z4rT_1*J>ydE5H&PKoX#iB&Z$P-3=O42X`c2fQLFmK&vVyzu{nD=yKs;>u7!l2^aAE zL+|Dbuy6qvnjpg=P0wS^AYX&h>mNvZ&EQ~Q02OrbW)G;p+5E->T>Jd<*zd%^zyK<h zpa~UajvqETfi#iQ44UQg>D>%ky6@3=7*yv$lY!$70d?>kJFHgS1>)}n5ui;D;OO%} zo@jtXAGE*eg6fQ8&7hS*Odh?}2_B7yLA4<~m4WI{NFH%T*8`f=VDjnR3~CAa^zH_Q zGSqS4D)T#RTKQNrNF_5=&T+>DcCf=yrJ3N;8_ZzR;BhaM+5%FaL)_zz?jF!|9g|OQ zv4&4Cct!$hBD!Nh$`Fp}m;kd49JR-qLDC4vXoxd`HjN<M3X(?XK5!0;G(z`|&&+sr zA7a6jM(A#s$c`zEuv<Zn15+BI`#~%(rZhr#gbN?0G(xw;9DYn`gzknjLYUGB-4Wg5 zn9>N{1=W(6(g@u@m|ZcY5xNDeQZc0wx*6muFr^W?75r*3r4hO}s5C&O5s42pu1|9e z>imE#VXO*bV5|^el;&aQ01Zi4FfcI4fFu|g7(i`c1}8oNCq4-$J_RQ}4M#qMX0{&I zUY0)QekP`Sd>UY339u4S`NhD%5CPTu5mf3pBI$MFGjQayaO87nX76F^W$k0>XKrI+ zzRd&@GjKvO%8?ILu!GzI+V=%A4m8`(05{8#Ps5$>0An-M2&QU~yA;4~6oBXjjj(}w zLLj}ppynK0FW4TCJKXs;Frzr6kOjL#Kobo*pqi9{fdMpr4Kfo{nt>)-LF|1X0@Hjn ze=;q>W;)0m(4;!Zh)p1ZusJhunFAXB0~rD8u^_?<?q78G+{0#$2?GOz1SsAZ7#O5L zoo**S0Y^RwM?QsS<~}ColWZWifD<2wBcB2jSOUahU;z08w7ddjKd8eFO*0^U;FQ9= zoDr0QK#E=Y6qqfLd5$m{M?M9R{h)DkkU8H$1d9Dkp)l)R`4pJaVH`(31p@{K2GBx7 zkQPvP8R~yWJ_T?>Vd-OTV`AFDrvR}Fl14xS04$(B7Xt%>KS-k^pFlGclP4(g`7kgr zfJPrd!k__9sCnSTt^rBM?tC9m)6WY=Y$58%2Wo(V>;kQ-2ALNOA|Q78g6sn2mma7) z-h$E@avE^tGjQkIzzE87ko>~57L<z++0K!V!<BCXBeOIkhByNQg9d2K2WmEG1``x7 zj(igCd><G=5z4d*7KTu@BA~p&z`#IAEvS5OfU0$dy1AK&*@O|~8UY3dkbe`P^635r z$<^SI1C9NFf(V<wEqL^S+VQyby}_Xml-@YNBfks`;vj#Zrnd#K-~lCfczR=CU;w2z z2dF+`(;FyFl|c1?mUki26u3OwfGE$Vqn2mj_+?^56@-NG5vY02AdiF7R4ph;fy4g+ z4mnVo_yd*e#_%(9JtNH1&@=(+iGYl61rd<&0cR@aJ|?DeB(<P;4FRnaVPIeg1gQnP zhshh{Adp-ER4xV~7Y338mGeDNxy7Ke2V9w8t8bVmG9lFxPJA2;Aibc46(A!^L4HBY zJBWP4oXUu#7gPd(@*NAPj02VHAbZjDy7Nt7M(Afsz{p>&d=r?Nr(w-^Apa&n%?8c1 zfa1EDiOCt}-x{bKX#5bAC&6_WM*Yg%gzRRJe?jMr?11Vu#_Hw^NM!}HG!urSA?fB1 z)C}-365x0$2RRL#Za@n?K;el^&ID93GcYh<lM8^#S%EZz%`5?%30lmX1C>hy$wAT( zsBQoyp(t1q0>$MNs5)?cixwY<xL}q+4i`{dg6shGm_WuOrBfHa1aL7|2D1YcFQ6T_ zAa$TT42hR4uscEdLIl*0WME(bjblLL1>{a}9mZ4vtEeF5ssmJQF(@7&X%?=QIh6^a z7-Sh19LdPQz{2qHKNcwlW^k7cQ->2}KjmV`g9wVqjvJ0NS?#tG5{#7$n&s;u}Cy zjSLJ7;Ch*XfkBQHB5nW*3<d@Ua6QYwz;KQQDh^tQ!oa`)F4q_s7#gAC44~<ESY64$ z!0;DpZva#rTu(AEFzkkkUjS7S3=9n5avWqYRNMfx$A*D{0bJLCmM}xzsUQ#W7`X0X zU|^UDb>{;#abc+V0tJYAaQ(x;z;GDq&JT(ZahSbjQ1JlJ6g!f8?4jZt(8NDM-6;SX z5=K%F>dk|~xd2T(8LIvQnm9AmUk0GW$pEci7#JA(pzaR<EgM7%=N(Y@D1cVCFfcHH z>j(x0hHR+#1JF1!0|NuNtY=_gFoB92fD$f}_)@5Q8ld9fG9EO=1Qi$1f`kt^pD-{m z{D8V=0aP4Zb~7+AG(*K1v?1!j<u(HY1E{_Pg+l^V99(8IFfgozx<^0{q8?lxGcYh{ zLd7TOL&T*)`GtXj;W^Yj8$ipfL9+)CX@*%)aRwuZ0Jw|<^(&y_3ydM+#!z!OpyCfq zA>!b&36#E|?pH8F6|aDbC!mS{g}QSAR2*E6F)%PJf{H(Yii68A1_lOD`vw#a0p<|% z!DSXGokP_(fC@AQ1_oF--+{VQz!IV!T>daHF!V#k9iZaivIjKo3>Cis6$h6y3=9k^ z&~)_yTK>TLB<4`{;AQ#@46xv1_{0Rs*9p)%AKV{eU|_fo4F`y8pnW|C28JZ4IJoZz z@()zObEv;Ss|`Rxav*|%fuRQ~4jQ-w3Bkm@pyHryqabl;F~k5`u?Pw`&=ww$IJlq4 zz`$?}>VD7wEJz%tz7i@v0osNG_XR=a5F-NvsBZ>Ri;U%Ph^sMSSD%MNeFF~lpneuM zdl%wR56XYo)W2cC?w(0F#6@wqQwE2<pym)Zdwp=I2knZ*rrrRDdUYJ`VZot(ISzkS z;t&TdAHwFIL>%^J<FFSr7J$v1C9K%PUlWHoC_Q2`2eg|Fn>eUC#wK2b!@oD7`31C) z6qKI9;|iei6Po@nfR^MiFff3}2^bg{o<Q^U1kjldpmH3fiGhK^9!i6<3#je+2Q*Xs z|NsA$IK+EF355aF7GYoj6*VyRuyPk<4rnA6CJtIP3o;5M9>&1H024omWDaON049DJ zNjwxueHyg<22r3cDolMZNC4^{WcQ!LA<hWWj79x@9O7CaheOp{Be};KNgUao&Pd{* zx)<h7Zye&VJ}OAs8_E3JAOWbopeh4q&O0P=(848{I3u*Y21z5UKaV7i9ACGQ#F69c zHIg`Te2GK*Tp;t2<4Xrg96205A&Dc$izKu!0Wt^FpN6^L5lI|beKwLfvieC#;>hY> z;}DmE_7y<(f|?32dqa`Lk<DpA5=T})8A%+}w1Am&7)c!2oc}n)^`P}Jx_e;#1duqg z`J0f`Bd5c|NaD!he+Ee$IUQcXA<hmhFF^K!W-eg<vP2ROK}w&VNaCPLBbfS79O8LM z;-J-jF!e1+;>hlwh9qu-WbYCrab)$Uki?PA|A{1y?0!yYISBGEvip^g#F5RhKoUo` z*9%D;*_{zc;>hajki?PA--#rS?9Q`D;>hm2i9`G;4)KpT#Q)+D=LA(q(DDb_UR5M< zWcS-6i6i?r07)D<eBzMAk=3^#i6fi897)^_DLov<A$}K0JP1ks3nX!5bC^JTsiFQw zR?mwhj;vlCNgP?d5t2BvdLJZlWc5)<;>hajaftUJiG#+WVfknwk~p$CN1@`N>JU`L z!RB#pLd8MpA6flpBynVS{zDQ+RxbhC=7}ZTl##@d)!QP8Bb)DmB#x{;2}vATeJ+wX zvicb~#5W;{Bb&1qNgUan>qz3r>K`GABdh;|B#x|}9kkUC8a~MC6_Lb|)$1UMBdZU@ zA)bLlya`Dh+5BE4ab)wCBZ(uc--INNto{s=II{ZdNaD!qKO%`EtN()}j;vl0+89Pp zpB6a8gOJ3L&5uPAM>fA4NgP>y6OuTx`Z-AA$m*9Pi6g5&h$N1z{tS{hvik2h#05e7 ze4yzE*&G=pab$DMki?PIJ0giAtB*z!M^>MPB#x}U0ZANLeK(Rgvic1;#E;_;e~2WG zZ2lW0ab)w^KpR%DgpVMSII?<eBynW(&5*>A)dwJnBdd=_5=U0wfJ1yb4)Kjh;>hOj zK@vwc{~D4wvigTe;>hZMBZ(ucX9IOmq2Y$CUI9rQS-m!rII?;l9OBtH#G7!4FGCVX zw)ZrWII_LZafnMpD>zWO0UDcx)sJdO;>hMiA&DcaPel?(RzDL-99jJmBynW*H;}}U z)jviOM^-N`3hFIG`q#+nRguJz)h8l}BdgCr5=T~FjU<lTe&|CIM^?W9hxj5fggcSd zZ^I$}6Nk7BXww;%bXbo={16UtR_Oc$DBh9X<Bmf-5r=p$k~ngB&Oj1JHh(#iIC6My zLlQ?;e+7p)8+0B8-Ti(z#Osj6L1WmkbiNTu95j{%6Mu*#j_j`&NaCO|Y?yjM=sXR& zd%Tgvk@IOek~p$|r{NIak0g%l{vSx<$nMvG4qSuWgY15NBynW-+arl1yT=bn967!c zk;IYJx8M-JizE)3XN85^dn9pWb3~x?TOju%`!^0r99jKhByrFf9nAcPNaD!q<)QOr zAoG#KClpB>S$!c6@x@5u$mVQB5=S=YE0Q>}dIspgKFB@D>iLnxk;7jJNgP>y29h{( zIP@WjBd3#{NaD!uIe;XNoKDUmi6h&~37tm-xgXgaTO@I0^#w@c$m2!xki<b#POx~~ zgd~ov{sxjba{l^@B#vya0d!sy<W6LB;*i9V?JYtQM^--rNgUbUjY#6i_C7!oM;<Q{ zht8*h+=DFcheNy(hxis8;@6PGk=@A%otFjKi|kH&Byr?;Ohgh#RzDv}99jKABynW* z|B=Lz)hj~hchTJwk0g$)z7a_r+5G)T;>hYBB8elbmxs<DgX~3C?~WvntUeKkcr_03 zE+lc}{5um#9NGL`IK=Pc5a)!>bA#NEY>qRMII_R;ki?PI&qESNE^jX)i6fUAjL>;> zkiE#}b0CQ$mmAJV;>h;K;t)TLB#vzUH6(FlbDkoJBZu>EBynW*F3|aYko%F#$vh-+ zWdH6%5=VB=F(h$h|9(UgN48fUx^4huFLHi#L=s0<pN}MttbRI@II{W!NaD!l$xj^O zMw*CrDYE)P9O4^rh_gf2H-P+w96q{8;>hkyMG|*J8gFbv5=S;?Ba%3>Ij51t{gBLg zizJS0jv#bh1<0Mq=0qTgBdec=B#x|p2UHw3PN)M3MuyW+adh+l;}AE7YD8C`jzfGl z4)OCi#F=#=4nsHJ9EW%Y4)Lo<;>h81A4wcJd|aUGRM71$z#%>thxl<E;vaE{M?%-Z zfb2zfXEKsFvOBlp5I>JYoCCVB1l@dLBynW(m5{`d%Oz7Jab)#dk;Ltg((`d7ab)!$ zk;IYx%K}~Z19B&_dV3^s<Z>?)NgTP{>qintHh(&jIC8mn5J?=_-mggF$mYm{8dX^O zvpz`TptWYOc3=dOII{WWNaD!qn~}tk)h|L4M^?WMNgP@IDI{@Z_1BQZk=09sCL^)< z%LIpb01ojS9O6@u#F5=|8%Z45J>sCrV5obL%Uf-zIIJ84t)m8&7<N!`^z>7JLwpeq z@hdpQ*+7kKsC$szX^KO90g^a!`dNh}j%?0H9O9DDb!H%c1t8@wLnLuzb0U$%k<}L> zi6g6@i6oA!ej}1NvifUC;>ha1BZ(uccLFU|fQB1#I5Z=PBdb4(L;ML2@xM641EA~e zK;edLZxoU^vc0o#i0?!a2SpJqUq3|>M^4X-phXx^|ANvcOuZtKII_JqNaD!trBEbs zWcBq(;>h;S!y$eMhxk(@ab)v(K!qdJ{mABP;}G}4A)bjtyaR{$avb8vaEL#}A<hC? zJb}gi$~eTGaEK@35O2UCJ|BnpJ{;nAaftuHAubJ?T*u-sdmQ4RG7*G9<s=A$PYHqQ zM-~U2pbp|gm$86XnuFAXP80))L#NZ>;yR#7W~4Q1AhjTT7DPagwgBk^aX}cirwTeO z3ODBxbiNrn+yxh(3Y}+#4nM%fGokZ{&~7DM+!i{o2JJ4u#lh$2F)%Pdo0)L&E6{l+ zXfp&ZeiYi?g%<yC@xM@UXm*E-n?enS20vUp7b*@KGei!DrBHFu+A3u6yHIgZ8wOci z6xvP&l_ALDzEE*c*^4Z00d+WNZ3(h?9#kB(zXe%*2~-@mjvEwLAbbldo&Zt|-Qf%p z7XTH0pnY&?;!rLFx;e1*yr3htKx#l{fG}*`?h}w8lKHUp$gp+8AhjS2Th|P}9}-DD zY+YmzbUh(REeONbMP5M@hpmeQt&as+4N?ojuzeCCAP$oGuzeD9(8OW;BtVxJAe&<V z9cKrvKSvga?UPV}<{OZ4APn0lQGzB8+b03KPzBi>*ggr+F=NQ$uzeDs^Nx_kVf!Rp zKoua8zhL_$QqaU<`y^odLO^Z=Vc0$i(AiPQ=EL?$fc7aMi^KLwTtPD*wod|d4hOP& z*ggp!=(<FZogfU`C*gu74%;UITDOmE4s4&q2{iSveG*U5#9{j+7@+GIL3V;LY@Y;d z-6Kd0gkk$6BGA;s_DPhWiNp3uOh6Nd?UMi<`ity-*ggpk==w&GogfU`Cy|0C4%;Wu zfF=%G=)VU|9JWsabUZJzy|8@}phJ9-#bNs-K<9HHi^KLwgg`3{kQ+f5wojq}O&qpQ zVg{NxY@fsxG;!EIi9cxKuzeB|(DjWVJ3$z>Pr?OF9JWt_Au~HOzPO;YIHQUoH#Il8 zpb{)nmXVSZU!0tgno^pR8edYBm{**bT#}ie7hjy2o|l*tU!0R)&Y)M6nv<wkl3Gzh zoTjwI;u4T8#i>XdGV@Z4N-`63;)_zVQj;MPaGMK?@{?1GixI}BmZj#Ez#LJKSd^HX zT9R6Xkk3ghE{QKo%qdO9t|&7#H8no3G&i*<GdaGvBqhEy59FiNl=zbT_{_YL)O4t6 z#Rd5VU}IBY3~-nvXQU=)#}_5$rKiT{r^RRHrKDEG=NEu{m7ELFkeHL1p2tv<Uyzei zmJ2oltg|Q;!bz#jOU%toMhR4q0<cQl&dW<IiBByoP0RtS18Im)EGkN@j8D$bEl4a% zMUupA2*d!ry!?_>J$JuQ-QtqO<ZOh2kibn&%*jcDiGz~{SVambk$_oPEHBQiN(IXm zm*kej=jY{Q=A}ZDM`}iV8Yr5;(qOGcnZ=oT>G7#4=@2~_?k&lOM+;aNh5~q`fkGuQ zC51t+xTL5gF^NI1xH1<^gHvWveoA6VA_H>rwPXMrRuFHJXpokcnrs<gT$&Vb$&gx+ znp|3v8gGVRnj)CSmMDf;U^T=7ZiqR8X@+2$AecsQ6D$+WQ_~ESlfcd}N0@+Mnjx5` z2&M^wX>1O24vJxB2*VIeGX&EF!8A6*YJw@k1O(Fz!8AoMO-!+xV1h6K!8AoMO%P0D z6Rai}BTPUr%@9me1k(h;G&V+wFC(l*8zGEFFwGE569m)P2%HYVsTW>0Atx(P8IqV2 z4=Ui|i&INL*~cIrlqn3cT5X818o@L}FijCm6GJkr#%ien!cqj&48b%-Fij9lV*{9B zC^^Ou)<FPOeiY#2Obnp&j4(_%Pz@2XhPDqNJjiw3FgAz=t<45CWzm!|!1@=?unq`F zF;c$_$_4MuhBn1uLSXs;Xz~HHPY60+2C6<m^(nO41@HX^DZIl3aT7=m#E0P)sJ$sL z0Vs`b*L5byS|_M9oZ3+WVS<j70<l2$gY=@?|Ai4^KS&KSegU-~Sq#Jmg%yZ~;Y5i0 zLFZV(gupaRKj<O=umF^R*$?G1#NcqhKsiLk29Tqnr>B9MTrmBx{_c4u$bnlB)d(^G TH0Z*>zyND6f!qx;3SB<{Nf=Km literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..0f21118 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c @@ -0,0 +1,152 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_75(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_78(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_60(char*, char *); +IKI_DLLESPEC extern void execute_61(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_66(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[46] = {(funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_28, (funcp)execute_30, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_36, (funcp)execute_37, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_50, (funcp)execute_51, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_57, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9}; +const int NumRelocateId= 46; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc", (void **)funcTab, 46); + iki_vhdl_file_variable_register(dp + 13864); + iki_vhdl_file_variable_register(dp + 13920); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..0a03bc1b006d514728558952df39beeca889fd80 GIT binary patch literal 7088 zcmb<-^>JfjWMqH=Mg}_u1P><4z+fPbU^{@B4h%vJf()Pe<$eWwbpGC{$H2hg(Jcy+ z^5``MQ7=G*NAGS8GX@42I|0UyJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`wnUy>hRk`$j<6rY@y9-ow&kyxe= zmMu!n$xjB^0>WSs{OXI#N-7IdL5dj|h%rC8sH`}pG`9e(g9!6;Q*#+Ii!*a`a~X1r zL7G5O3!+EyAP50>XJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&40bFF0|SEq z0|Nsq0|SG*Unm0;1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAg zfo7%>J`M(m9t)5d0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m z<r8RQa^#a}W_ICIVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fQg!7KV@ivB@%H zDZ#kF3PGU&X21!4FpCXLA`_5sVPjxN7KHhiftA4kO<WGlXJtqL(+C0_4h*ae6A(gR zmKOs9gAkHC!C}n6z@Pz*FR&sc!j6G~L689y`VheaPyjG6Fu37RAB;o19BMDjK5+ao zFff2JIw+k$Oh=H7Q1u}5K%x-;FferCFn=8m@gq=k%0Py|(g6bl!$}<K@8S?=VuYk; zs4;L#5r?=F4)G`);yF0Pn{kLwX9UFyB9(yh7RXUcaj4&bLwq+5@nbl|FX9ltgG2l| z4)ISo#Q)+D=U~Df{=zuK<#32=;t)5%A#RUD+zW?zC=T%i9OBtH#LIAqH{uZQ!67~! zhxj5K;%jk;@4z8`7>D>d9O5@|h(Ez0{vL<;FC5~m%-GY301k0!9O7y?#0_zX+u#s) z#~~hsLp&CTcm@vfVjSWVaEQ;tA-)EOIJlt~Z>X2dpy%Uk03r-Qgb|1^1`#G8!jvK2 z-N)a_(I?*D%`Mn9BtFE^$;UOGA+0noIV3TOAu~HO9^BkaEJ+1($}&=N;?pv7Qsc`K zi!u|Fa#G`qQqwbwOHzx#^2Mon#hE3URjCZcnYpDoFm1)j8L26yIjQjlMfu68#l@+` z@rfnzC7HRY@l~ls`CzT76{*RkB}hsbauYN2z)~5hi3RbQd6^}di8&y%LCz{pEr|y; zPE#_A;&bwo6H7Al^Wrnp;)_yq6AKDbQ@|QQii*qPLG53Ns|ylKGUD?Rb0NL~NrPIu z@u21|hJxbET&JSUl=Rg2^wg5@l%(*YOqe&5i&7IyQsYxni!;+9P6QcMlpJ4Dl$n?l zpPXNsR|0VWG!$S;{YrC#K+X5Wl2p$W2AG%4O%Y5p1k)VBw16|skeHTmQF8+X(-6Tl zLNJXHOcOZM1fjqLp}+)50m5Y_2$z{4TxNoBjuC=sjBt)I!U|)A6~+iFj1lG<Bg{2M z_{{`im<hsfrU+3}BvFJFrU)xc5xy`*SYe8=!VF=U8Nx6#gwxFs=9(c4GebDt%$xz7 zH%f{U^NK;)CqA_-HLoN&F()S}F*%z7ES{JQPNhaDJPQ<_C8#lg)Rxg=U|`^8U|{(3 z9|B<AY9<B-22h(ECJt+RgW3~3Na{iDLy(amaZuM3rrrc3$iTpWF765yM>ikT{sc*Z z)Pvf<FmvKSf(#6xegcYnU>#JDdO;-j<U-Yh#D$Q=CqczQ_JZVK=FdbD2ermw;`5;5 zAhn>jH%xp5k~nB^0Vcj4Dh@Iq)Ov@BZ-I(~%n?I!&u*wVNIj^v22+0kDh^T)iVK+d zDI{@FUkE1t2r3SWM^JkiCjJshoDa#~4@lyiNaEk2;vn~cTJ<n<{y@b+?g6#UVd7lS z_C82l3dtN1BynjZai}ri9)>K8!@vOVL4dSD`YaGhkY7M7khnaE0mUG{gP0(31rP&@ zVQi4NB2)}S!O|y4TnQuq#jySoNF3IO07-%Rh9DXwt^#5}F=UL10qlNNs2GUy01*rj zaWyC#M8W!<Aam3~0#F<Qr9t8_w}FIU{WOrcCP)B^Vf|E)I4F*x!VHkn6NtUqFhS7x z3zQ8~uLEU+s0I)Lb*C<r4Wc?g1XLV(Tm{xY1ev1`RRf}CfC#91SQvtY7Jvw-xFM7c zqG0(2WR4L?0E#z2X^^-vlntW5BUVszU}XqM9F{LY>cN9vAVCHOh7(X4ByI*}gQyE2 z0&0#qlntV8fC#8KEUrO94?qM|99BkxgusJmP;pov6(kPJM<9ExK>|?x14@I$ZJ=y0 z1rmUo10D+m3o<ZpAc=$e)KFmt0VHvIm>>fKg9MT|EKP!>6_CUoK>|>$fh6t(6$4QQ zNaD^Q0VuXW5_f@$fhY$gaab7+68At72lf3yVjvuVB<>CpfZ_-waai5~NhKhOdx8X@ zI0H!>R!4xOVEG+XUV$)341{4}4iW=lSe%7`B%$>PNE|kPkb@=;8xLU6E3V8fNlaqU zD=sO5&>1jRQEE=2UP)?234>lrVo4%{UQ%%}gI-ZShyzk?sAqx7HH2{)^uT(-3X4*6 z81$0!b8}PkN*MI=@=H?n-2Fmzi%US&IfS2{SE^S~lwXiqR8k4mnwk-xRs?EaLfuU% z1qw${5QFM0WV2vtOCBVNB!R|d0G08eIsm2~rZfXoi8C-T6o3Mofq?<U1?dIVEg&_p zavW4<g2X{>4Ujkt$Abi693&cD7*xiA%p_MosH_5+iCi{;tb?V;?H~>k2H6GTg7koB zkiS7}bp7H`e?!LkKq?^^q!z@2(f-gf0jeA%1;#2+0Z`b21)u~vKL)BF-Tw|y{jhO4 zsCEWWe8bovIvm<Y1Nj5Qhv6HbMim1CLjcr5Q2c@X4#FTcu=q=Z+K*fpfaEto9R!LW z5FdtN{)W-{Q2j7@7<~t-AI68#Fnut7Jp%)HOcd%}m@vZwsD5<+!rTv2I2~#~x_)rO zm4Sf)R<FU-qw5E?D?veo9)232#vTI$eEby@exUX-Og}9AK>oq78)PrYP*D2_)HsB; y*Fo(ybp0SPs8?Z51k)=(jd}(KhFxfqAp5`ypadwI(UgE?I-u@f2<9OObo~Hj;BDLh literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..bf5a141930e0bf9d23831f9a5e530a9c4936faae GIT binary patch literal 23888 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk>idT$BFg|Ns9x7#J94a4;}TuxDVX5MW@qq0Ydt zLKhPk7%(v0FlJylVGY3rHVh0BwhRmn_7H5rz`y{)9SjT%AZ*8g363x^Fk~<@FuY-h z$cZvAFo5t(2$zA8fq{XM5rP@OJO+mU|Ns97NrUAW5PXmr2(y9+C<d{apllE=4Kj~` zfk6ODgQR#F7#Kj-b1^V5fYca4X*nb{Aax*nK^UaQiGhKEnSp^p9gi9p1_lNe1_lNL zJZfAS7#Kiqw8W#voq>UYje&syWGBdOkXv{d7#Ktt7#Nfp7#KJi7#Omleu##;9i#@N zR)K+m!2znKnt_3VgMope0Z9$W4@wLS3?O%a%xQ+IU5TUy6way)3=BR{xjqI422fZX zLQ(_rgBk+^LkLvOECvP!ZUzR1H%Mwgc4;#(FhoJsEM#C{0QrN15fbhoH-j)Jj6oRW zo>dGC450WC!lPz00|Nse0|SE$9yMDS7#R2&7#P&>sM!il7Y2CLY-3<x5M*Fru)?DT zlz)U67#LjesM*E9z#z=Pz~ILSNqZoFgTh&lfq@|&8otHQIL<{=qtC#=kOfr(iZ4)p z=|@rn3TIH7D}}0=%)r1P#=yXE07(rfZ5T5!Fo4noC@$wRFffQSFfcquQUfx_6q;T@ zYL+oDFi0>kFnmQ)19CSgu0R;1W&;BQgCr<V;!(4mfq_8^8it^J2QmYML1ut3$Q)1@ zg7PXS6C!-j)$9btIW(McnF9)ESx{KxF=sae0|O|p%i>Y9hk=1X9+cmiAbAMn77zxx z7lc9O2`KzP`ON^28c-flWME*hWP;>dkQpEhG6RG`X$us_pgie>M-9jfP~P{(qXuM# z3e?S@Fa_BI!XSG<7?egqZU*J;5GF`|1<8XjNFIbiY19LhZx|RDV)3Z)1lhyDz>tPV zjTZw0g9ZZwLp~lgpfX02fq|g{kD3Su1_mt#28Ko^NcjPBF9?I&3&Nms5tQCRWla|z zHK6d*VPIgGj7JS9Y;+kI80IiR%3F{<APlkxgh6E-D2ze*b}192?gGh!Fi0MRL1i2$ zErasyIwnY71j&OiNFIbiWgI9UgYqrNE|B{{@*oV72VsyJkX?pQH{((Rax<t7+s*{Z z(;zcI7-R+rgUU*fUQqrArBzTG0Lg<eNFIbiYCvh#gn@x!Hxne^gXBRNBoD%%@)VTU zL1hHU&7gD(k_TasJP3o-fZS{bbu%tCAUB(X$_<dF|NsAk(jCa0hLVp#AQ~Bi>Kag3 zfa(WOx(3++D$hW90z`wt8k7b=ZUd<S(Hn|C27%b1Fb2^eGoV)e2bl#j-=XAV5J*3$ zo(0K)#6UC%!_+W9)qv`DkT^aypgNV18c^L1Q{&CRzyR_r$bFzP>p=O(Advq+?g!DJ zHb5!^149|K{sj2}<S$U!11dK_G)T<^1_p+CP&J@(5u^qr56bT#8l-O%0|Nu7`~=wr zY7>CefaF2p2BJajma_~D4ELetfXoG{0mTcbJprOY=6qvdVE6}B1LA|!fXo271w@1N zf&2%;urLJ07sy^v-3}7R6^0-+pt_xq8c^L%NDZiNC!_{cw-ZtWs+S0<0o6G$HIa-A z3`vZTvK~}cfZ`NHgVG_028B;0BLhP-k{VDLg31g~z68;ra9zO2z_1>w2BZh11{9v4 zumsT{HK!RF7;Zq-fcPLapnMF93lI&`_m&Y-KZC*+7VjW4KyePDLHa;$2Vs!8ptu2v zgVG<UZU>2j#6UC%!_<KC1xO93ZU>3uQ$r{WLFRzcCLwc>!x^L&gwfpts@p;0_}l}k z+wrLZg&!y`2&n;;m-y6x@*b%CBcujYjuBD=s@w6Y0mUaFH-qvpsBXt+4oD5CZYQJ$ zRJRjS1FG8zsR7mP_|$;P6j0qoNDZiNC!_{c=ipNV%AcUR3!fTL{sh%G_|$;XBq+ZV zQbWitkU50h49e$(!Uv>=P+A4$c~HHB&&?n;gxm~LgY0HdJ_KP<Jqv0Jg8DolJ_v*C z0=W%T1~GgskOI|RAaP>Ug7guq7L+fDRSSw+m|9S~6yzpQzX;}TkiB5{dYqL4<y)9q zQ2P|71|){=H_*5NNF3xhLTW*6RG3;&n-)}V!`uL}1MUXzvr?coCQL0TZb0=o$PSPg z$PJ+U40bOA0|Tf{1`-F!gW?FET3e7pXgv=qpF#FQg}~!6AUA-;z7|NiK_x(S3@8tQ z#i3##Hz2F^gNlRHg7OkRwUJ0_L3sh6T2LPvq#R@}$UfwF1Nj#u2BI1M9g`{qDTMkB zlwOg;7ZlELwH{}snvm3j>IH0W0Gs=@KngVG1yT-j1E}oBrxw&^gQ*4i6PsFaynQW@ z0=4a6YC&Tu_|z^1DTMkBG&Tfk1AzPl(gTWbkl(=OdY_eAi=;LNI_3mZ3sM7D>wZ=W z)Sdz<2l*`)O)ba{xLWVCQhPxPp>BvnQwxe0xLWtKQb&>0g5nTl7R(Kxc!8_+J}U)k zpMsQw+>n4~E=UZn*8Qy1Rgglc8xo;ocQA87=^3up`>fP+B(+Is=7Q2QT&??ADNy?t zq#R^lGIR_MW-cf_!_|hJk^;4pVQN#*)PmA8T&??ADOOMfLEQ^#^Mk?(W-dq_2!rB; zA?%bCAClTMG;=}e8Lrm-tdty*+H~mH9L!u$dWNeFI|VOGKz;++4@xiKv<>a2f!Y{g zHz1WIAhn=)!lzar>IP7_gVaO0prFAhOF(%6Bmq(j!uZshLM1`!K=B0Sg0y3p3oFk+ zYC-XYPpval5~LOsPsnK!l&?Wy1`ZeZvr=A2YC+=2YC+)z5(BIKe@rS6Ni8Uzkkx|9 zX}DVVvr-XAYC-XYtQOQJf~)<1Oe!8pEy#VyYC-J~xLWtKQfWwPLGD9V3u;He)&4&w z1saD01tusQK<<NT1qs2!7wosM1yZF@NswBQ`=DH`YU`nrAhn=859Ok$1*bDmnF^Hz zsRiYEC>N{RDNsp}T2P*ca<Qsi29*S<1?71t7pvM$P)U$lP@ac!v8vq(l?15;<#|wE z17VObs2u_dU$Ec)ACm%&&w>mAsRiYE<hTI!8{leno=RN;82}yM1?72UwV-wzT<y_I zQlLH|%v?~OM^+0OhlQ&Zc_eiY$=nRIvJcc2f~!4xN$Lrb+DtUHGSD%3u-`-;Nxec+ z3mUsdb^~av1KHdUNNPdz8pvuv<Fs(KB9ElLA*s#5GKT_J`?WyoFOu3^0%}>n2^lia zkq4cl0hMVWBS7Q8aC47dk`h2tn~$azG#(6BEAmJRR`!ANFsPganFop+5Jqns!OA|6 zT0-R{tjz^d3rauu%vFH87o-+cPJ+@S2!r&1+OQz^f|ZColF|b+AoFFQJP!&3aGXNx z0FYWR|7!u<Z=f;<WG=`&kiS3}m)}5Y36;N~v2u_ZAhn?K7n`|YXMQb^asV?Rb2K2g z;Zy65q!v_0fzmg~e;_@e{xwKH*l(=2;B_I$4aC-kAhn=42AK)93S3Tt%2}|vpz%Ja z1V{{&&OsO!7oa{Y$PFO(Fo-;o3PVy0as#qjP+K3ami3lY6p~ty8<5q4+WK&{B9Elv zkko?G8M0bXKM}5$^_El;l3GwYLskpw)4<h=Jdy&<1%ToW<Tp@yKvoOt`@z++-jd2f zG8dE{Kw$vFAisn9U2wG`kE9Ba)Pm9jvbmtX6i6*t3F|GXaxeoj*M=TupuQAHEyxZA z)>~2yNNPc022u}m1E>!MQVUk%az&~g%z&5+3NvK2p!ov0TGm@qy+~?7W6PlQ0E>TE zz5w&T7D&xRQtJ$zKL*QT$!|-L)PlyN@tF%NcR=YJ<TtRNL6L)GE?DeqffTIV0jUM~ z4J?jB?RuyiKx#qh0mOxc8E8%f9u6*7q_%-1p>vv`^Z;@%Of9J23RlZ|3tsMk%mt+% zkXDd-kXt}=Bp|imaB;Z;FLyv@66-gRT0(w<wI@MpL4E_-4GjixJ_fk~EcUfP3f7(k zsRd!MI8-q#j=^GI3#9geJOiB{2c>neI1aTZk<^0HIzF}Mk<`N7hGs5U$=3p@TVMuc z&JWb~#AYs7$=3pSyAR|qP@REKEv(%KQVS~o@Tq+SwgBP=P(2A!3(CtNC&R)F9LGp? z3n;vZjbo5nLUH^W>IRTnLUH^7NiE2KptK9ZAa{f2<lte>dQ0jXl3I}2Ab-Ksg68Jn zYF(~K!TJ{<b)Yy#RtuV=1E~cY$9fCizW}KPi6g58&DDX#z-nEt!21^<wV*ggRtuW5 zgR5n|1@B*g)Pmv|SuJRs2(H%U3cP;-QVWV>WVN6<Jh)ocTk!q`NG&KIBdZ0i4T7sh z%EzEE0F|epa0R6W5Qe1(uozMq1yV~WJ^Td+2xJ`zq4dB3iX`Zo6Hs~paX}blFKCSp z+`WlUrT9P+P_>}+08#^TD+t5f3%2@e0lYi|xfc{>pt1~{wvozTFdr$cgX|*YH&|H> zQcK8huy6pW1^Eq|d%<E~3#3G#egmlm`3*VVte|asu;0qANXa6pg~c(*e;~80p=!Z? zOMD71t3m0JSigbP67rh{)D0lDg#4zDq!#2ikUK$f2Ewp-1B-nvkTOG33rfRaagai! zcms#S*8(X=B(<P;#;4W?NiC?31BDMP%xsXutn7+Z1d>`%{~cK^sNMm^5lD<7@u?Ij zo}kVHQy~9<@)8Jx1)u~-92EYfst4NxH3LL}%mJA(P~zaQ2RQ_aLGA$s7cTdJ+zM3+ zra<aJVM8r(<TwXe05TusMqKV0C~=URK<<NKkUQ~(+d#P!m%X680&_cv2Du-k7MD0K z|ANLpK>A@AWDckw2r>&M2BJaYpfoa2;<(&Ts(V0w0Qm=oLH@#*{&A&eP+EbR0ir?X zfWjV^dTP0!RR2;-J+<5q3L{W>!7wP?KyJh(9$%7Qz>t$)k{@4^#E@9T;1U`F<}xG} zC1)_?Wag#D$D5hK7#1*wC6r-q$WW45l$f3x4`VPS#zRCHa`RJ4bMnpN4H=U2a|=pR zON-*6LU5@>hLp^*%wmvKT2X3Yydf%|!6`K(u`DycC^3g2x3t16-Z0(}%3w&0hlntg z6eZ>rC+DYtTmWUJmKK>8$EU=XA|x~ON}#ry#2dj_FgiXF>K#LK12_xjMMDd?n1vxs z*1`zRGJ&(q7!pfM@^ceQK%oI+G9<>snMJATnZ+eVsm1X|3~)9>VmyKk52>=moJ^3H zPz4wg<Ix1*Ttp}v#+z6of;^ESCp9I$pgcF;5XOQz*c=wGh8D&!F$+^T%N)*vMY|D9 znK4`h=6hqf6imb%7DVPSDRa0K%wh|;IWWb>F#C;R)*HhV8^J`33>lION<h9T26+se z)RXc{OY-v=l5+A3OH&z=bF$+N4GeM_ii%4ZisKX0(in>JOVd*s%2JC;7!vas^5WAH zi%S^t;)`?g%Nac5lS)fK+CAfwa`Kb289d|RCV0k!%<zvlFHTL*P0cHD$t*6&Nvvc* zhy<tRq$Zaz_{SHerZD)&rx&HB<}n02gN%SFhVVdwMXBiqP}&em8$oGfC~X3zO`&v9 zYGR6GN=i{`aWP1w7;If?N>FM#h+CSMSpuR<AeQE4=9Pk#<(1}!z_J5KJ_X`#sAIt% zgnAPy1NK0=0faJyP(~2S7($sqC{qUi_#CKba}eGwN=?qs1=$2nl8Nc5Aa-gVENz3r z4kVJ92Ts~x*|bb>KqaOWfn@SZb5o1*<CF7CixTs|eky_l6(pb_!2}5;NDzSoC?`G) zq&X)(2t*|pl*H$xrhr2L#s+0C2LE{H{L;LV)FR*f6f=h4AQ+dyACeOMAt@m^2xLD< z9fN1Q0fT3}A%kbU5rb#EF@tBk34>?6DT8NxacT~OKe+f|@QlwZ%}q)zV(^a#M}&Vo zG#)^_cu=7e>>QtxSp{R}mF9w({_(!~DWLF7NlngAVepKH2a9KXY91&VC4-!snU~Js zA75OOUz7@Rb8v`bh%19<e0dRrXFMp>JmXUmOA<lx2r6MgVeStW_m2k!t$%!Reo;v# zSSu(n$`W%JJVC(|1fjw}5t*726dw@o3t@(VnV>*`hhtDYNGm8z!QrT%k)NAdte<OS zl%12Am#**Y>f-G0r(aT_3#vqPQ%g!yG86Mkbm0n$i<0$0Qt>58dSw|Y4Ep&6CHk4U z>H1-rWr->IMg~SEdWKMrK9mRYlzv%8N{&8AcX3Hdype%{g?@2KVqQvOQ3}MIf};E^ z{fea0%$$^BeM?JAOFe^lPrs0O0}}(&cmoR)1O3dr;*!LioMQdNoSgWAoWzo}{G!}q zm|YMp`b619zMD%@D@ro+>EU8zn@DzVW@>6GERa*;bMn(Olj98y%}hWJ&tcG~NmvuG zlWKm@P0CNH90-pfTTFp(z~y3Qa(r<KIOWqmIxsDyj_Z-bgT^Te$qUG~l3RFzQV#=J zMIWRsOul|t+mU<|U=?sN`9^?ibWkH5Ty;}!4yc7nzA4c5Ecph&y#wuzkZ%%7?}dD0 zAUzrK^~1VA@O}^ZMnQrET<(M0)u8?(G6oAWFfep7FfceWFfdp%GBA9F?%7+)$iM)a zd&*>DU}#}xU@&K9U~p$)VED?+z_6DEf`79xFo3W%D+2=v*RnD&fbaoU1_lu3U}Ina zVLvto1`zINV_*Q`n`{gWAgsX7zyQJt><kPbyqcYX0fa$r1i1}HgV>-xFN{wt4blS| z3kHp^g2pXCW2c~b570Vx(6~BiJRBqsTEhbx-vq5S0ntU!wRE8Uj-WA+M(Fwv(0Wdg zIiT<Y&3l06XF+qRpuIbwy*Z#gDytb77(n|_K>JKU>mEV-L_m8OK>H6s`wKw(B0&2d zKw_YM3o!9L(0y~Dd2!HuH)tLkG(QcRcLvQTgXW1rbD5yIBhZ`>Xs!q37tq`cXs!V? z&JG$s2aN}V#(6>er$O!m%?rca2wL|6awlkwzAtD$DFXw8KLZ0p00RR<AZUF7bWbj5 zT|FplK<h<8>p){b`}r6c7(nZyKw$-1#{^oR1X_0lT2BNDH_+M}(3%?1S{cw97|_}l z&{`eP8XV9X7|@&@XuSw%tpsST0%#2aXl((qKR|OwpgAGXTo24opmk56^-Q33N}%yK zY3M#qkhw53(B)wEg6ssXNr35riNoAONIys&$ju-#knIMU0}==M1>`<ZdIE(hXkP<p z-vTIXVPS~N?VvEg7uTTqaL_zADD9(T4p1Tl?LUUn=;LVU>S25VP~ryd%Z1YD`q23> zeLtY;!JEgSc>yK|qS42@Kw==Q0h(L^?P-V7=;ou33xf23umNbI1#}(<$MF%GV%u z=vV?Y>B7Lkpa7-O^?}CD(Di`%paKH4-yKS$>jRYmV0CE33#j@JP#RqyG<wi<F)(O= zGAEKKvOZ8)!h#z~37DxvKp&_q11m%$(9;XZ4rC?J=s^|&v(eKFx;|+1fYl-s=;;Mr zA2fQ9wSd{^=>=UMG?>9^kqPwlg02rV=Y^~u#zv1nbbX-tL6}-(8a<t%>jTvd$m-#2 zs0TQpG`c=eodj2l#8?4!$Ob5lt`9ViiliLQ+yQmS0Vs{G541)Pt{91N0_u<pP#Rqy zs2)X94rgwFI%EfwM%M?LkAy2mV$6U#WC4^$*9Tf#jHDdSY=AnX14^Uo1C`Bi#Yl_{ zs6z^%G`c=enT@0z&J2J$BmzpK>jTw8aK%Ur3#daJpftKZP~C#09L`jLIz$6Xqw53J zYjDL#3=XJ61fVp!KG1qwB;|1C2dMfVP#RqysNRDsMq=E6df)++M%M?btC5t$nFpXA zI02>6^?~YNxMC#63aAG*KxuS+pn4xkIh-i~YN)|^sON})%mCHtNYZeo2myVdb^u&4 z5(7Q|q1OkXHUN@xI1{}bMArvux4;!6G0@8ibbX-q7Lsx}6TN;w*9U6<z!f7g(8~#Q zeW3OYl5#i`y_`VT2WtPq6(cdw%L#OSpf)p-ayS#coIuwHYG=b0BQem+33Pp+wmFh= zI1{~`K-ULq&%+fXG0^K3bbX*c0FrV96I!3Z>J@Z-ptdAJF@iM#nxSVvX>@(K+MgcK z3>^Ta(e;7)DF|B-ECXnUwt&*;`apdfglYs!0-B)}pftKZP=5%a8i@tX&>T=2T_33L zfutJFd;wMe0ZOCm1NFP$ijf!>pasDVD2=WU)K^1N4rlIw76b>NG`c=ezX+}viLn4$ z5UhaG==wl?DJ11^W(Tw&m;j~G^?~|daK%WB0%$={0j1IPf%<Gn%HhliXhDzwrP1|) z`mS)rNDK#PLEr(U(e;7)yGY96Obuv3U;w4j^?~}xaK%Ur0cb%W0j1IPf%?@*%Hhl( zQ1uMZ0s&ngTC*LlZv#~Q4k(ST&mOK4m4RN)qxTm;XKtYCfbh`k6?A=|F(8OaR1&=( zfUXZT&VZ@|!b5NOpz8yTO+Zwlk{_T2$PXxut`9VxfvN+-L+^K>_lMA`afl|+IYZD6 zkO7oN*9RImfh$I0px5u{`aokXNXp?%^!P*92O3X+D@J16fEJKHpftKZ&=>@gayZii zv^fjTgPbn{<AT~fpm7TrADQ+cpbvBg4YGO|8@*is4OW;m_-r^h2Z@2+E<o1@8dpJ5 z4rijb3()n!_Km|eKpE)m0(5<#u`#Gp6bijvfUXZTo`#|U%0X`zpz8yT!9kUxQ0Vyx zT_5NiAQTNy4toCqU0*O%9Xf@s551ocI=2s94~UQ6??BfV3Q~jxqxU<|^@U;40}{Fc zt@s~6X>@(zAVpa40cgd40!pLn1C8Bb(Z;X>TJdjy(&+j?W2V^jp_dcr;~$`LR&4sv z%WHIfps`tO`q2AF==wn8x!ClfkB5NtA&<3z_U>cTHv!tPnE|Ci`jFLu_VFY0VQlnp zfp+6z(%`cV;T$9edbptL1MT%iQVwULm%HftK;zqR#YhbF{t>!9(3m)qayS#c-HNUc zG>#5ejLd+xJ7MisbbX+)cVy)-HhQ}pT_0$?9;OzVMsJs+>jRDPBddq8(c4St`at`K zVQP_S^!6FLKD25Ft~CSNaVmh)==wnWis6cp80hH?I_v{i0h+&nbC4M5=?q;TXwCyk zIh=_ep6L2O^CWP^NDTCT2f9Ac+zOI%I1|0!fvyiU9|Kp6#6a(Npz8z8;UFo8Gtv7U z==uuaDp48e{SI_}u(MTAbuloY_dC$_f#&Pb^?>;3{SI_}pfgiJ>d-NIzXM%g3AzR- zA3dExhY6vw3=E(%R^c2Z26{R}*9SVo4@o(kiJs2T^_9a_qB79a8M?j-ROJXBTDgR- zuM(jWg%toDxQKw#==!QqR3kX(;Rzi+g)0Ef&%!xK4D|3s*H?q27MY1&exmEEMOKW& zM$dof`s$F>A~P+Z12Ya#8eLyKvSK7QdbmJ`hv6zfXEwt*NDTCFLDvVG=SETvXQG$$ z==wnO;&8=C4D@myT_5N?VkG5oCi*xSy1o{;N;C%AI2gLVRy5@>t^#zRO9M)y>uZB4 UM58&N{Zj!bjjpd9O+AbY0J6PX7XSbN literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..269fa3bfa6fd3202e388b505a5ef1ec565eb5242 GIT binary patch literal 4856 zcmZQT10v-RffHpAx}pw3kCLMyFd71*Aut*Ov<ZPZ|Ns97VVLQ{Fb0$sP-kFZXMo@@ z|NsAo3J-efLj%N#9Xt@ag7IxkbWV)Bq+Q%`zcZ#wSeY_kwq<)~zdzcy*VRKrsCx-l z?ot(#RRXVj%d_?Ge$6ZIpX=hfO0{A|MT0|pK<E;sJk2kPLL!G;W4L*@uL@z(I>_u{ z<Dw7{?@+6Kzx>?K^XDYr-?ZI*PuBY0-=+2c|DX9|{Q2w|`|HW_r(gBO1$J0|2vqrc z`nOKD%ULV2nvlg&FE@rwoZX>p_GzK-lY>jvte#-go$@1W`(e4JmyDjWnltBWt^B@w z-XnG$?k^icPS)nwcvox>`lEC=mo3hUf4Z`Si>u04wYR1ZRo{Ae>@Jz2(X?^PVIP*; zVQOnu3%p&?y+m&RxlLuOB}***S45U9iqC$$c=E25+YVQ)T6-~Wc|O<0S-U)5eYx#0 z``DU~-*$dGrxZ}ulCynw?TwV}+D@^HW^Cwh$?%U=`KtC=fBBwy!l#*RbtHH9_oj35 zPoDcNI>Ih)XWs%|(TP{u-wNjN_q{*R&=>hPDr<Jx%$0TW*EVN<{%%unWBy!I&F3nL z%a-U(`gI}SI{SRyTHjx9S8y4nea+Hd@Jwpe>^099tkJ%;Gw=Ai`G>38_*Tzzogp*7 z`O^GG#+}aJw=X+qI@ikTyMdFBcdW|Swa0R^_VB*Gx$=2Qy8WB;=Wg{T2Y4J?>e2T3 z&%(Fizl-Wc+HQr^^L?<)4mc-&xT=kJlW$A@!yhu=o~!-R)p;rQEj?kjT-*Bahs$2w zOt~*^QTBC}UE)uk*OJ$a&K^$Hck$#74ch-p?X*<zWU0FT^<ryZ9Z@?{@JeZA{OTQR z|K7SEo?8(Tz3}_4l^=!c=Zfy(klTJJPWJo0NhdCb*uHFUF3`V|vWIn9@4k{nlUAPm z#}lF>Hb+j>qc+g@>gJO_?o51b@%LN9t>Cu*_uO@(uD1VOv(2q~ZuUNbwJW=q*lGN` zvt*uiSuC&2f^8?OpB{gh?XCTB^O0lKM`nx0Z&~%xrgoCc?nho1>vT)x-UKK7(rif; zJ?-kQWpD0qU7w-Cu=3H9iElRUerH)4v@!Im^wyG3-}n2Je_uIST8K;U-&~XHJ9&0k zt`qEEGx3H8k4aK*PtT+UN8HMLd*7E$F`Tftr@wdisdpO<-8_p#9tg^Y?#;=y*=%}J zBdg`iCz+(cijq?$i<NFxusWHXDmXkPy-Z$WtJAr>wR0BD@%rT6*_9x?(&zk%Z0Y59 z_q^&|bnAiJZr6>S0cysjM)xvaPkCdK_jyOGVqve}%^B~myi9quuex7;ZjMXt3uaZV zn4?UR+%Z?oeRc*0e!KI*s`iOV&i2{Qd>ABHWY~r0UYOU}c_ZU9%Wgp%y})ZnZk#xx zS9$B&q}s*XjtldA==dyhmA~QUeR;OupGCeLp8D!%RAJ`LK6^=j^~p1TZ2bB2=gJ@K z-b;4~$Mj$4`s$RscEbFkTff4VD4zbK9Q5hR{KQ)ZX<AYVB~=TRlis&nnAVl2?0myK z&r;rUr^nv8?0@D69GyJ#`|hqAh54svem05FJZ36&AbzI!3*$@MZ!eVWXg^ZB|G}d# z+a7#Xf9xU8wAo(#K+pT&`I|a;m;8Hw<yU^krQ7Qd1RbwrNj$TJ<Jp^RF7?xM*tlbN zUXprl!!vUk+q1gkB7P#NEB`l7&AdEs&i#(hiUzeeRQ$fyYp&j(b#LCB{2lL?_6s~; zZp)dt@^{?x%7(f{=giL@`_EuHIX-k}bn@<tm;Z41-qb9U(N;P4bnlA%kowzN)-$(9 z<ge6!{qK+LkH8nRFI0+Evz#&Bw50i#_oQe1Pfi+19{jr?;FG`0?GNs<@3$v<?1^W1 ze6`JR&yh;$h!=dhdoLH(v9}%hY4PK0LhxI!Ij?5s|Gata?N5_8ou6Mn*qbofVtK&4 z3#_?&FW>&W+d$=<_XG0=-$y<RY!;s0pL2KK^hA$2_6+ZtXCImAQfasMf|UA4!RN*a ze>CSA&e1qEGyBEzJ>9~)er$eL@wDbE15f97%MEKDPAU_4>K8eE@wH@u-xb!^W<H*F zWp!=Hm4Ej&%ko~&{QYz;L+9J$lGiFTJ}tie_e;cuj@R#2AE;;B&wA&5Y46YV%`fvS zO5a@m^!Z?^)A66S5kk-JAIxW+edK4_6!nm+*NkP=zjGcrSvsS<U9Iq)d!^I#TMz!& z@pOKUPuM4I+&Q^U?MsmKoE-N@PwLv<Kk|9BWIm^A{k>cE^&HNR{^<Y6O_*%)GTrH_ znMFN|^P?v%g~B&f{C>^6uvB2qCjB*sCs?R6e5mp?nQ~an;0lxeJPxrhem480YHa^Z zd>%ddvD>#amy(D52P-=Y?`-B&-TGPaaVo#V?CG<QoR;hPSiQBHb=maYddK#!IC}b* z`r~iG8y@c1E6DrBP3?xFO0B^id3NiNnsz&<^Lq;N4d!KE&OWgHfPBUMq@p`3zH^G# z?=8IZA$DOvXm$OOf6w-|1U%a=efjeF+Fy5%Rh$!d3ZHdkb8L&=AG;ZG^5Ol5COlnw zvEkLe$V>67jb80|75;bKJ@GR$i^blb=3hLw@_pK+_{en^Sl`+#dHkfxrFxzFo_yoZ z$=^Ray*|(KH|+RNJqe-bg$zE<vyPnnUAb`jtvBZTS)BXU2Q#dg_g&@hR;{l;E!W8H zx_hyoUDxvYhWp|d>@H7G`(3!6DfQvb1^ZuG+<*J<470+2y8|kI`}Pa$THd?=<6fS> zYk3-8?To#|pIRU7@a@Q#>Z*{4%w2b%wk}Y8Z)9<Pj;p;~lgZ2c8h+I&Ih*$H@KaKZ zzxL>BRe^1y?T&e8oMx?Qui6*CLqg`hmESt`BPYM6oHOwIYV*0JE%;vcDa#j%36K93 z%355lRcl(8`RZ!j+wPwU#sz1e+WeQ?5-uaONM7Z`+&|X?nV2iqYm`J<v%j#|xlcg- z`t;@rYK5QT0$+St`oQAi-~Q(XzwR$)STQeI<?jN|ou_RZx=z=e-~8v_(gg1U-Dg(+ zM7P|&t?2tr|9x`nq+6Athe}sIw&!4eRL^zbK4Yd(z06Zi-5TW=^R4{0=@#1YHpEo> zJhIVHP}Hx}?Fg24pWn)R{Cq#-1^f5)K_?x8zt(OMdu#tr#Cm3c^<MGlA1(Jq`VRe^ z|6B6h|Cq<VznE(SU%c8L_4{zL!4i8L?uJ)?`<7f!y;Aq=uViO=n326D<1POXYu%gE z?8CerIov;<`1_JO!+qUKwcoQpFS@Yn+xJYXbIArO=k_x^s8;ZO^kk357Wduh7Ypxk zIzRfu&Tx+>Q%L>z>I)amEcy>mu=vm0u-)OC^zsLbYFN(v?E0tn#XMJj{r1<H5<>6) zGkn=QA-ZvTo%)vlC$D|JJU#Km&zGVMq3@@w{tffawEyP3xiU}u(8(Bok1MlpT2B0N z@$>E93y$oV^gYZb-fzKyXvL%Vdf(pEU;S9%b3D6o!{ZCf<5_<D9zSW>Y4Kh0VeSOA z!Xg>2P}BdH3X9eVtDnC=dBU=SO)`D<3$^=MZx{0`<z;QupIowR$$NoZE*TT+%)S+0 z-(8va+oNbk{3jU?zHa`*qGEk(m_)9=W8kr8`=|9|{)6v{hkncaSpPuNr%Lrh@Pq#i z!8csyvHxc|GyP+ElRf`G<{xZ166ddWKV4a~ahgWx8?S42lh0n=)csvQ^}NWm;%rX! zYj*Lv5vA7KC!N3gX<DpBy0~`5xpeQTOCKefO`SD&V_4YfRw+4woYc2oS3hmq>~(ai zlbN-(wwK$K+qS8Csj>&fMPhbeiMe$Bh{Dv5hmABl&n~IWJ$5}!cXz~TUC~YZZqAu9 z`;^b`wDy|ZbJvZ+@~*C%Qe39|@ynV}Z5`3qX8$5BwT~`+zUHXc&Mfh;?3-I-R?hf- z+RJ<4<u1=tQEP6+DPCStr8s}>JY8d7#rWk}Q?-9y4NBcM@$8nV`s+kr>pb`u_3)ru z%a!7&EF;_Gsp01pHFMrX<t^P8k$GUI@R8yqJ9Wt)y^~H>96fLHO8w+A{>aX!UONN) zr(Ax?e!<$iv;T~|WzF|k&fQig&&}1jvHE9H-qCqqcwcRGEnK{E>(f0`SA@KE*|=xQ zkBmay>FbwFemQgHl<yNh%==_%J-wk&^Wc>)TK|q)h+MyGHoO1z+Sq47IwD+5?qAE& z!e1>aOZ@3OZ{?Ho`F6pnJl{-=MdP;XJ^bcizVfc(-P$V2>vx|&IL~x9U2u-E*?fO3 z>*DI~J1Z^+e3$%j^<&!lcg0+1O7EsWKG%GA`Sh2<o8QIQ&OX1cZ2r{SD#m_njaTRL zf4az7r_p}@!bA1cIsUgS;%C2nu-i7`>)d3g_jae6_cHVQ{kg?=^MBu&wEa64heYlZ zQ;FZ3=~6i>e#O&z$>oWUmwvMEd0f|`ap-+Uj)|DF=?7<Bt(7O|nB;Kk^QRqVpCtWa o%0t!-8yp<ZHRs<m62H!+cF|i{#pmIaZu#f+M*sNF%$2JK09-?(t^fc4 literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..34bc702a660cf8c93af226f43409a75eaeed9d0e GIT binary patch literal 2530 zcmcb`$p8iwjC*HT|G6C|di<<*>8&XiXBTVfuKiW^OW{>_f7>Mvk04gAz)3+zHZQuc z=)$y)WgkAi2wcq0)+=ROb!ElH#qRxbrd3}$-u<tsKY2gxX|tXD?>X-+zk7v;KfiPR zM|<;PZO60|L7!qjKUeoT_u!%PG2NI0-W4V$|M~nL9_-(6m@#IaNi|2myxF;jk}(Gk zDnFZlP<Thv-r#5Pha~qjvG>oa|1j^Qgxn$7IR|In`@LzZxIUjn@3+6!5r55pZ2Wn( zo^j1T?!>S0e5?O+Rk;7Zy5Uzo-|GL@HvGzGUj3iVe&e@0_4N_&?c1*IXI%5|Y{J)k zzSZ^G6&1JU1s^W1V69gEy#67Z+`lVt9viE<e-Pq(a54EA|3lU}hpa7{S8ooh<H~;^ zG;f~qf0?*L+!prv|3vpRTrVg(_{Vxj6MOg>IlH_|?aMz%e6#(0yns*r!w1gK;ss3p z4|HP=KK#C>hIjo#v2XKD{tM+j<dd^Y{ikcw{&;m-ysKI{drbW8@5kT2|NO0Cb;W)8 z*!$1<!uI4O9_{{bti8TQ;_#_<kuaOI4UY=hwBxm>UjM<SEf>DwQ6aasT=|Aa7k}^E z>i_Y@;jZHxVK&D$JThz-?Ppz6G3Q{{ajq~MvkjkiwoW}C9r1Hd^VIW?`Pcl7-dE3X zeqa7Ko_U8YW$bhRc|Cn7#W&CJKi9ki**89)wg1z4l1J`fbNX4igN8DPW#=3|SRA&m zA^Zc+w|VCEtmXyG{BZ{L+}A&_y?el?7r*7x;rLlG2bFhJoP5K0=YZmzeL4R`);;9u zJN9h;A=Q{ekGr4cKVZsxcv1V={X?32n#(toA3oI^XZrFm_l}0>r<qAlkGy4kZvOr6 z;^^OZWD3fv@7w>l5b^k+z_kj2LsI-o5sw`ivu$P^km7%~;aGF)DPy^ugrnK)+Hrg1 zBY%smw_8);oAA_|OFQ1H!foG~gs0xzudDSEYtPHBsgS5ic^hcM@?p|@@k2ke!`3xj z|5E+=_1}MaiC@2qh1I`L`1;*q>#wT$4A*|AZ1`l}K6U@nQ`+%~Pv0|z{WII}shn54 z-h9KS@(<G4^FNhyXxAU#@X5Sk>VD2Oe|!_4zGn&h=a=~OJ=eU8`HSzr{l1-j_5S3D zzjF_~dat?WpI+kEa^}_hqa*(AZ4})vyXME&y8O4%0{V7y_T+ujyz}>3#UJ*`kFGL` z*PHDBRLS(Z_W6c;<&3Xu%{P2n**w+%-tn^f-v4%Mesn(Q`gXkF2lMLigNEOGjW&0i zZ@6{;VAlTc>e}nS{oZhE{()1^MZ)Z+uR8z3F1WV$`G#lTRoDEiWzVjYuSt4)@sHer z;CtpRf4#%>T8k~3+Z%t17fhD)|CbZ==6~w^=!nPfg|7YB-F!8^*?;Z6U)l}t-_L*W z`?q?;>-S98epR!nZ`t3p{e$1vO!vPtZTB}V-Y=D1FLwCWeBXqv^<1m}az}i;bf9ZG zU)cYg#IODHZ+|{){zANh*FUkp>Y}W+`a8ZIf26-B)|)nO-4}Zx>b}{AYumFUUf<?j z^Y!h6;@$hNZFi1%ecN!&*Ib6|+Sv!9?l0SLZM$&9{c5h))z>$Ci!P4%y;gS4%DeFq z)uH>^Lie?9wU0h@Ykuj5Z|Ttyzc*i;UiSZk?_{|>ZOJ$4PygY(b11mt$F`r|6@29d z2aD6|w--J7@XjuuuOjTt@5A*H+HuMeAEOR*edk<LG5_!_f4zja>fsyCDPP-gPPy#E zwC~b2sc&cQO*U$DpK@Eap!WQ!lkxKm^zJ{k<DYqD=e3lKHN|T_UOy)LdXd1lnfH?_ zj_#Sh%I32hAHRgSdcm0;L96U4qYs+Czc^>h^XePVH=MgD#y>m$dD>OwV^-?3=NVnw zdE-go<5|C-Za8=J*z&XMi{})itSO%TrKixR=IDlVxr>f}`^&hdc=pGewfos$-$^UU zyKmo6nzz~dL-~etxn|G5zh0j(_qIv=``^<GYOlUI&8_zQhIGVptM21x<tK%kt|^{- z%DBGtZVKn?nDk#q_U&&dT{pSdZ}G2{Kf11Z&%3i;{-D)$&iS{#_b1MM-E(7kcKrU9 zt^M&fzJK{AoS$*UuJ)C5cKkg1>@~F&-{urnA5LM)mfyE9{^|Wgl}S$@Klfd?p?$-* zmxt@$-M`=LZhls7PePG<t^74RJBt<a2YJ8l6<@orzm_9={(QMB=O4bAc2#;qfB(k( zmFtTeUON7jwAqvU)%unAjq4lEafazf?__-%9r2vy?2SLHucunBDQ^0;=;!nvcjJiX zER(~}tS@eS5Sl!fxn#q)iN&`p6JzfmuzUUVY<AfGL;ISx_DAf?`xlwEsd1}be6au9 zw+VaiH<f00?`_+<PWIpFcdLKNt@(9T^>hBp_(M_O{UXKlB-e9Cygo7Eck3GYpo+5N z?`9v~H?O;A!cXZiz2@ME*L(i>er|6)DRRw9sq*w2*?%0@tQI}lCw*)+m)`rL``dl} zHuNX1H5R+^xH@cI>-G)*`)+2xQI7b$t@8ix{g#Q_D)pnDB`iPR&i}^v%QEx7(tF>2 z<*L2<`t{_$@%3ka-*4M_;idO8$L0EdU+e!q{nGXG+{>4l>88~!@8*@V?tS}J;;yZ> zJV@%5+KY96+F#_ZU;bis-26E&|NlBY_52L>xpMPM!#1-&mwR#VC;P7lhnV+TFIzt& z|4yycGqL5@&nWxp&orJBXJBt(o2pk(b!5l;FLw=V5C3@o#`{>^a{WL5*6*JaXZXyz z_SBDeU*>GQ^^)`Py35YT9G3s?N%xaCDzmJu_KEMWcCNRqKK<j#7t7?ymyM4pF0by< z^piKJvjABpAwFmC&L>>A{=R<D7&oapH(%?dari!k<#XmulK1QHC|&-4|N1|B+5Kf= z&HhU7&A71t=Ih9mZ~0%9c4qzBK6gjjuktEG_wtuB_T-mM@3a1rxc>Uao3X2oUiU3u zX|^+Qm$#|C;oYUTgzhelD!lyW{@d2_(<Re`bbqb5{`q!V`OBdClwID_P1BS0V!f_i z*NbI(CN*6zyJWi3*W-WV>vxKD8ZZBP{!RT^b@x{XmY$eWd4B1M8J3?kT<ta+>A608 zp{IN5&Ko`5N7l=BZ@IDP_qi{vzolhlC+6-qxx3W$=#1Bwly+s8O&62h<=wr?;J5c) zkNrk}HALUdw0n0{=5Nya@ORE%r+c^Fs$KD~sOqI%Nd3+&8F!Dr)K8zkyZ>^$-+V(p zE87zJh5KV(9eJnxWxBWc{EY{uM#^7~KGwRpdKce|^^2>2xxbodml#v<?b6?`(}gEy zhtylvmiyQn{p<hF^()IW{@00~y0{D9ol}mPXZ^@KeSWPY@BQ)?pN{6H8xeI`Pq!Tj z?wKQ$z4*)@OT$Rv<<^fZ9IqXz@VmpleQjLj=_hS}I45pC^5!n@WWl16yB7Vv+n%g% i*I75S_N2uF#p4riE}!nZPBOeH`EmE>KkR;m3_k(PsC0S& literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx new file mode 100644 index 0000000..6eb25f2 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 10787837244291083020 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..67d276f1996415f5ef9312c3317a65a366f5482a GIT binary patch literal 555 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=c&bp=xF(&Qfq~&OBLjmM0|Nsy1H*#g z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0 zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)EujX4`UQKs`?<Ohtr6xjPrndX zcUOpo3=9knFqhRp0|6A|@vb3`Az+h1&R}I=U;qVraY=l6VrEHvT4H8SY6=64TU?r) zoLXEA;wR^q=9OgTr9;@c1&Ku<R&hywQ7VX$nwJuvUX+@e2jdi_rhq-H0rDXO149v% T-V3GgLTNc@NQ6V_awrV|a`9}E literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..f8afe5bd4f635221ad276f30c838eb283b5a565a GIT binary patch literal 7016 zcmXqHU|>j)U|>*TU|`UYWMIgUW?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5 z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04 z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3` zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN( zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+ zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3 z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;! z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~; zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHhLrWa z%nS^w3=9mN%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^mx+RjC zfx(D@fgzNcfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`- zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm- zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5 zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1 zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8 zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM zihm{shD1>OGchnEf#RQufgu?b|4a-FDGUq@y-W-Ysi630Vqi!E#Xl1RLpmt_nHU%{ zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb z@z2D-P{_c*5Xr>APy~v9CI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9 zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D? z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p< zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I) zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@ z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+ zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9 zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9 zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9 zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{ z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=; z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ) zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ z!Ri<oJ~)H)|Ep(UNGoP2C}zkjW+*LY$Sr0lPEBUW&CFxS0M!v7{h&G=WERLRAU;SR z)J~9->OlkuL&d>u9S|R+7KA}<A`l-Y4{AGs_%A?)g6acMI{E+qzk?H~wFYU6f#exZ z%>sD^+-3vw1(sd`<pWTBgZT&6Uz=zKX%m9^42FSvr+64ZY-9{-3xKSG^=m<HLdH<F z82$jY0dVPqhIa$ZPsrwh+6vh8fz*QZfiQ@TtOvvfwIM+IK<NR*hhdOf5C-W3VGtW6 z2Wn&BQV)^`sRhLoOg*TLL5g}%wj@P8sLeu(dQjVj6!oCCJ1A^G;~F6U!7wb`(Bl{6 zZ%`P3Fo+Fm^Mmw*`V=s|Ai5|s9W-u{ng(hFF+lnRAax))7zXJFrGF3&%8wv%P`?Mn zho+zZpgsghAG$k0YCjth_&41)&)K!X6#@fq+y0BB$UG>`xqT+jve0w8U1aQ_IV z5lVx~TaY_I<pxLyG;RdqgUU;gn?ZdOP&kA7Fd+AV+zB!V<PT6-g8ELNFafz6gkk;x zrDKpfkRFh^Fbpyq#76fMs4WXp4>AKJkB(vPL>C981CTyq?E$rMLF%#DgX|_y`Grdz z$Pb_}0nzC00kw&7=>w?+xf7c{Q1Zd052PNKK2Vz*mp+hvAUTkJ5E~hT`fQ;11DT5~ zj;t0r%t3uSYN!XLDVTl8=7YqL`D>UU{di*Cx0Xcp>qt;fOdf+&;0z4&q2muA|G+TF zU$FcR>T83<LHP|7)}Z_b3KLL%1EncYegpX#l;1#c2g+}ta0lf#P(B0YH&EIIVUW47 z{I&pUFGw%Qd>97V0b_&I!}tpcs0X#ZKn((z`b8wF2lcr@?#1OE<h%xQAE@0)ih5AJ z3{npY2atYbjBGZj4^ND`EPlv15ia+F%tsDCT<Sq_2~rEf$m)^##U=6OiJ2wwpayJe z3ImK=T$-GmT3ig`C+C;um4I5h#U=5{`MCv&MIh1QlKi4n5Cha0O)pAK&4Y1@QbF}I z$PUn$6KGru#D-x|`T>OjC@w+u9Y`EB)&b(%LdX6=V>_Vq2dXVVVE}5kg3=$T-UR7~ zr87`E1&v2+U}0eR!Nb6i!OOsKgO`CpfscW~fscV<0v`iI06zmm2R{SD0e%LCAN&jq z8UhRq5dsVh9RdstI|LXQUI;KSNC+}87zi>jL<ll4Gzc;<>=0yNkPu>E=n!IH*dfHg z@Ii=yK|z>-!9$pVp+T5|VTUjS!v|po1`QDgh6oV`h7J)1h7%$T3_nB|7&Jr~7#c(w z7=DN{FldM|FhqzkFm#A9FzgUxV0a<Mz#t&bz+fTHz>on798ksr&5=Nwi(vPH!ea)M z5Ap|ye*ulp0m^_33=ANDgXArsd{9_`_!&?>D11Qt88AL*FcH)Th4MjR1d{&&<%7Zt z#Mb}?G^noxwbucSp8@5A!VIMT1e6bR?+j1_g#i+VV0kn?c(4*QP5~7+0Ch+}eLE;W z0?G%)0Z9J@H2w)RK6ua*$vz8EL50N6K;zFq<KKYtLGcT+j{`J#3Tos)?XiIJLGccj z7Xy(<;Sc4*+?xUAgVF&=<qRkvCVv8r{{zYgr4x{P1yI@mg%4ER1ImZRX9XG`0O-G} AP5=M^ literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..d318cf062cb00c3c93eec91ba18455fe97704643 GIT binary patch literal 8096 zcma#Z%*o8FP>2Z5%vFeRadKBMFk~oeT$Ap=z`(G8je%i-JOXzxFfg28Kn092ZuQ3? z5F3OUpll|P9F)xrWwSFdFt9+`Ahp~`Y#u0^gMop87s>`v|NsC04>A*(4bltZgTz5> z5Qeb{*^6vGNFO#gfW$>X7C_xE24%A`FffQCv1O6i%1CTYB(^>h+Z4(Mg@-ki4KmXX z$_9mx3liHKi5-N*j)by7eocU~K@_gAg1H~$FOc1^utN4DNIys%<Q{7H5o8y(um#xz zb0<hm5=a0V&dEsZ6eM;klnruw8k7xkXC@LmABkOx#I8nSHzKjyk=VUZHpo4bk=Rq9 zY!C%=Gcp^b9yts_?gND(NF0PgY>*f%u0di`K?Xqm3yMFG8jv_h9gK|}Uoi8f85kI* zLG^+tZ0-St2{qyl<QABlL1x0zCo&sXS_S!KBglbJKW;)|Z$@Gx`w?Ux$nT)=fTd^T zd<qiZLO?IbURYRx*dXN~GeK-@X$d5bEA7GJ9i#?1J!}IR3iTH@_k+~K(lE$Rv`(v} zxB=ugSUv)=w-ezPSonbA9XYS<AVM#$FvM0Sure?(?1P#KVjqUG85ur;i#-q*<OUE8 z!Y4o#6axbTvOK6>nNj#L=wCepLxnv^6{<WaK49_}zzG^v9%L^loq=>Jz|Ak00`Wmu z7(_tv4o?V!k)d1)6qX>2OCDq<2;-6mxeJ7G$%Fg_!nou??gn98@}O`7VeImV@HJy# zV1R|s3vePv4IgtP`3c|*1(HXEk01jBgNMgiaCjwnpoR~KCx9fs!yP07(GLn65RV^8 zUI0lR6gD7vJ|y`JH;@QKKPYTKJY6JtffA4iL>?42p!mTh4+<NQ8*s^k$~ll5u*)OD zPlbVj0bB<$Ffc@9!R$xKgW3nM@ZA8;Od$7d0f!ezHHh(n`w@s@=m-FbK;%Jg2Vsx| zHhGZSK^T`j$n7AEOCA({AdE{M6n-F#OCI7<1_n_51oNLkAVidrVGBHe;F1Tq9fWbo zgWL|nxa2`@2Vq?DAh&}scKKZ(Z-E^vgOt8+z}>$K?tWbIAh&}sE_smKK^T`j$n7AE zOCIEQ5XL1Bc02<E1E?(u3;zaasmaKIa6c}2klR5RmpsVrAdE{M<aQ9oB@c2t2;-86 zxnGWffgwQrDL6hff+3>F?#Cq$aytm)k_WjRgmKA(+z!IH<Uwu+VO;VMmohNOBc-1U zSlo|G9^`fq#w8DOI|$>F2e}=Damj<+4#K$PVeVH%a=$=2L=-vvamj<+4#K$PAto^} zs3Mu4kpmG$HXoNf$ZQbCB@YT45XL1B3L6l{B@YT45XL1BaVY}>s80vWUl9cmQDpby zk_WjRgmKA(+z!IH<Uwu+VO;Vcw}UV)c~JcZ!nouiK4D<cLW&=bLWn4`|8U8J{073f z<UxJ|VO;Vczkx6=d63^g7?(WAZy=0aemA&00r^G<*?;i%+irOIj!PcoHxR}p5Aqub z<B|vY4TN#YgZu`<xa2{817Te9AiseyXv_qbJ`cEpL_qBag#U2KgZu`<xa2{817Te9 zAiseyE_smOKp2-i$ZsHwOCI7A1_m7l28Iodm%#CvQ4A4fWI*^2yF8-(rH2&%8R0Pf z*yTI0$V(#Wf8dX)-v~+GAr2<r1a>coXNV*}BNikAik~KU`okp;iW?BdB@Z!)fx!gH z{0-nvG^+Wy<UwYGFfMse+=DPKc~IPgFfMse+=DPKd6@e_<7BY(>ktJIWn@5v4=#C- z+d&wYJjm@Jj7uKmb`ZuT4{|#Q<C2HClz{<RK7oMyk>x>d2Vq?LL2d_OT=F2dgD@_6 zklR5RmpnH2HxO_?vOLJ`AdGB2vOLJ`AdE{M<aQ9oB@c2t2;-8+=Kci)+>a~|aytkk zn~y9Haytm)k_WjRgmKA(+z!IH<gvN`00H+S%Y)nw!pP<$%Y)nw!nou?ZU<pp@*uZ^ zFfMs)?tehQ{mAklw}UXU`N;Ahw}UV)d63&d7?(WA?I4U@9((z(fu;OMu0K4m$SW`~ zFvLRxBLh6}2P%Ij!OMRor1JSg21Fqv!z6h5k4qjDHXw{k9uzhpj7uIAHXw{k9uzhp zj7uI=H-j)PdC>R>2;-6mjpKqaE_qG{1_ltuCC|mczyQLy<U!+!AdE{MG_M50xa2|Y z4iLsA4;ps@VO;W{aVrqUB@Y_+0%2V8pm8%0#w8DG_kl1jdC<5X2;-6$VPIeYVO;W{ zF>?^cB@Y^724P(Cp!o(6#w9Poz`y{)xa2|YDiFpc56VCwj9ng)f7Oxl7i=I7d3;y{ zNqz-%pblAH4M{#C50O71ECvQ`B>4kH5K-j(i(MY!KIHO)155c~fMouM7=-x{76XGZ zk~{~zeTOJNaLI$p4iLsA4=OuA7?(V#>;Pe0@}RN<gmKBk+z*=j+z;g_z{i&n?#Cq$ zaytm)k_WjRgmKA(+z!In<tKxZ7T8rFd3gI1?!L+J@)?&r$Za5uOCIDl5XL1BavKO^ GlLr9j#WxWE literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..cd8cadc --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=false +OUTPUT_OBJECT_FILTER=false +INOUT_OBJECT_FILTER=false +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=false +VARIABLE_OBJECT_FILTER=false +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=95 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=83 +OBJECT_NAME_COLUMN_WIDTH=75 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=0 +PROCESS_TYPE_COLUMN_WIDTH=0 +FRAME_INDEX_COLUMN_WIDTH=0 +FRAME_NAME_COLUMN_WIDTH=0 +FRAME_FILE_NAME_COLUMN_WIDTH=0 +FRAME_LINE_NUM_COLUMN_WIDTH=0 +LOCAL_NAME_COLUMN_WIDTH=0 +LOCAL_VALUE_COLUMN_WIDTH=0 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..5776ea2e6155935c02aeb283f2109bee89282937 GIT binary patch literal 45296 zcmb<-^>JfjWMqH=W(GS35buK$M8p9?F%+zXG8h;b92hJZco`fR<QQZb*cccXSioWs zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful-XRVVh0zQG5I#sB zD~PE8A{ZDL(C9!%h&YT!)(5t)03y$TMhEah#9=hDK2X?L^g!g%=?Ji67#I?uG)x~T zxIp?mp!(2h1&{)e^Pw~>JV9;*VGC$@qSI*JWkA;#0o8|2yFi@J0HZ;6fP{jdmZX5# z==Q+)xa<pn>N6+=nZm$;PG12<6axbTj0V{OQW^NPBn1>MAT}`=8tn{0Q2WrsAI3ic z^*4-$TF;=LlbK{@qMwtZo0FMWTA^EEVWw+lqF0=+X9Tt$<SvjMpy+e=3uRzxU^oC0 zgXsscMHm>s=^P}l>S#Wrz47aIujTbyWdDoqb}I}13sM6z1EdF}24pbEInbyDaT!2t zLk0$Lo`m?n5iAZ812Hb9p34Q%xbPuH1_mK4k~>+ji&x?h7seqj3rdSv%s<48-TY-Z z#HTZ0S3iXbyLbT(_bkTYUr-ps(j>BTFX2!xiNl?Lakx_qhdDYp!Y2)fIs0*lN8|8s zFb;bk;4p^=M|f7^FvkstIlegDGZTk7FL8*6;c!nQj_}`vL;Wip>J@O<tAWG)`*E0` zfW!P^9O0vh!<<bx>@~w-egh73R^Sl-g2TTPao7v0*0Gfjpu!BB_zxWR8sQLM%)-C` zZAu_#I|hb$&k*1El+>cs^vvRt)S?hy=bZe!)R4raoK%MR`1IWTy!hgh#G;b;c!*N0 z3Ny1a<I6Hqa^ll6b5i5W5{oiH`r~108Q}6oskvZIW@>6`d|qj8YEfo#d~r!id}&^B zW_n&~N_=WzX<`moO>$9cVo7RzN-9VOERtH0np|3v8edS9pPX7;oLUSP1zVh)k(!(x zUzC`ao*JK@7N41yl3Ed;Uw}}MSX7i)8K0b=TaZ|kiew8|cV=E{QAuWEPJB^nR%&ty zSXW|BW_li&1y)j=oROMRnv)t|Qk0lioS0ma2@09a^t{BJ__W00lKA4(lBC4sYy+?s z-2Tf;EQwD{Ndc<@8=P8}npYAJ@?&C7d_iJSVs2_lY7tnzxS+H+1Hwuzi7zgTFR3g@ zg@kcIVo64PUSe)4M7kumBtAbcCo>PqPc4ZrN{%ln0{JO9zcdfxC9ru_U>!(SCnx6Q zfLsn1PpQmH%*_O8Mfeh=7A#p@01Z)iV8CM{KCvXeBr`WPzACjSAFLRpD;};8OQ;p+ z<d=h07H8&`=AcD&MrvX~d}dxIC{{A7Aihe02S-tAPJVJ?2}GEnMJUk|Uz}N$Y6!L$ zBa%w;;pvb8Y%18*X{C9|@!(iO2`R7weEtJj3$~{?wIn{dsH`|Xr8KtyTl9gA$^j*! zvc#OyRP2cXtOzv~gS-N^0mCQo>;y_~h%AL$T}gg?W?o5ZIyB{hEi1|_&df`XPfbaO z1T@GW6~&pkDVas_;P3}!fy}h{qSV~Pf`U{?nl4VwE6yx|MHxJNpa})++7xgyD$dMx zD#}brPmNDcEeTIa3NOk8rTh5!oXjLpnE)ztauYN27~<oTD-z?=GV>C1K*r{#<|gMB zFyyA@7N?egsN{l5hMdf#qLj>dXiCa2iqB3h%1h1BE6zufN`hF2B9#nkN}4ga`*=Dz z#~bMxn!uUH2&R#qDT97~L5Y55Zn}P0W?5oNzL9~EiJl>pqYvffWG3n7<W-oN=;iC{ z>48v5K`}%`KRr2F*HX_|&p<yZGY=#MZrFlbluQhaRDuYTm>C!uSiq)&TCSjWD>DPA zp$lr~f+~P16Q{9(dW`I>4B#%$7BHWgK?uq(mCEE~U|?d91vRW07#LvfbXb4of-=Yy z1_lRc{|F{N0V>Wh0U{3*hw&BA#1mp5>NL>AKS0F|(8LvDA?hvA#5X|29ni!Z!XfHC z(8Lc!Lc{~m#2KO>;t^=#4p8v~H1P(gcm|sI0jPKZnz%tU#QX|0@djwet^rN_f-6LQ z2b#D6s6oiUz%T(#d;(N_2AcQ>sQ3aj@c?&-`76-GH$cTVpote4K-BL*6Q5uX5kG(? zE?@%@KY=c83lYD7CjI~_egjS1zz(AR0h;&*=m5tHG;smwIKl@saRz6IIX}?E4?x8k zCPL(4=~lo4%10A7@Pvp9pouehLBu7{#1D8w#1+uQ1$-dl8ffAPP;mn^@ejTb^%iL2 z4So=D2Q={qP;n15aR+~h`T#WX4}lQz2sH76V2F4En)rlJh<FB?_yeeT1)BH=U5NSy zH1Q335b+K)@dAB__>F!D4eEPCizKkX10-=Cun2^Bfg~;n5dxDRki=nqcaX#nBymt* z5hMn}1yJ{Z`mKB*0Vr<hgD}{^B{+l)B0G@8Atf(Jl!0Lak~nB=0V2x4Fat>(G!6k1 zUw|YI@-s|)1(G<(ZkYH6Bym{U1<CC|66XX7K=A=2aW1GBh&q8J&J7ZP;tNRP(4jW4 z%nc-Qey|9Hcz`4ht8+jyFObBM`!yes#Dzf$q4)=qIA|OPD$KwDjW19bh{6RK7&wr` z#gN1Wki?-wlVDj1BykC_2!v2T5|@Msfk_P{aVf9}gfKu7mxc&|Ned)#*cd8E!U0Jf zG<E|L17Qy&aXF9x6bB%Q%R|LLR0NVZ^0-O@lDHyBArxmIi6i$13y{R2RXA8j1(LW5 zSOh{eAc?C&gurA6lDHaJ1VT(e5?6-^fyo(2;u>HP2(bW3ToWP$CRZSdYk@@|#0DgB zZHN$<+<_zxEqcJ>2av>}MGsi~1d=$k=mCpgKoW;mfnf1bVl)IsLtr!nMnhmU1V%$( zGz7>EfzSMMzdV}XaCmgHp3G-p@Mt|y!u0=wNAnSm!(b!-n|kFjF#K2b$zx#Pmv><J zuL|O4faG32`2YX^e^sYE28Ik!H|6C8Fy9Kq2X#SS9su)=KzvZw<K+f0Ukk(sbva%x z0P~eVd{Ecw<peNa3d9F>Jzh3|`9dH*sJZ>J0L<qC@j+dUmkD4#6NnG$V!R9h^MB<s zFl2zb7B3yZ{7)c0s7vwE0L*^{;)A+uFBQQ2M<70^Yw=P5%)bTVgSuQV8NmEYAU>#z z@$$nzkbh5s_@J)E%LicoArK$b)q8mX%-;p#gSr?m4}kfbKzvZw;^hV~e-(%i>QcO1 z0Ol_O@j+dQmlMGJSs*^B3-Pi6%%23}gSrkc3&8v?5FgZKc$om^H-Y$|uENUzFuw}K z2Xzr%I)M2_AU>#T@X`Rx&jRs5U4oYiV15#a59$iM6ae$1KzvXa;3Wf?9|Yoqn*J|8 z`~~^n3&aOC`CmQ&^PNC^P*eZq1u)+V#0NF;UmgJSjX-=*)BfcKFkcJA2Q}$mE&%hD zKzvYB{^bNPUkbzrHQ`@2fcZioKB(#bvH;BI0`Wmj_Lm7@J`;!!YO22s0P}z4Ffe3* zn&>Ybz<iMUH0Y=c0|O|%8@~1Iycp-v`N*UB$%lXtSHlB_Cp~&?yKEU4{);}&VPN=@ zrpGVe0!rfy9=*1ic?=95y{t(f%JN5vs7E*JvK&ZO;r#!AU%mmP@GzA2=(V*3DeN@` zrF##}e;%D5JPy9I_c-{2*@N+z$HjjoA|BnWxljW*{y#|Lmv>=cfawRhufg^!XimRW zJJh4u)+>jBfx)BmX$g-<w{2Js1H%i=|NsBLNcsQ&|1s9HxeN@9v4{EPQS`qo|Ns9# z)IE;jj$w|Wjv>JwotKX}MX)qi1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)? zF8nUvEx)_)`+acf{P^PSpa1_|w2M7l_}3SQ_%i-`3F?mc^cF{W^g4TZbaQxgJ8*b( zdM9{vI%jk{Cv-Z;bbIIUuRqAY-aEzeM16{9=YP+};}vzF0mBI(z`xBo!lzf|fKPWe z!Uf&lF)y0`{Qut#(w@?JNITere|>O>BmcG#Q3hYeKTiDHM3g#$BP>sp2z3YNFka|% zj^ST_h=2Vl%M*2L9lO|~9b+709pfD14<B=KV&LEA<lxcEa)5uEm!k*c&#o56lXVOX z9ZpV%CrrRDFIA6UJ{w8i5o~@}i^U$WpyOeXx8OJw9^e5UotL|_5?l@6w!U@b_jud+ z{zd)o|Nk93k9l;yf1&c{|9{WU<A&cnI*)sFzIw6c*Z=?B*$J(09ru8elMBD+)Bk6E zI-kFI{rmrak51<TkIv&ho&S6~AHDeb=l}oC?26;gHDHyUi$MwScrz%yf(oo-%^e`| zZs&wvkN>R)T=+d-basPA%a1q1a)C?d>M0Bi49A;iFff1%Igf7V3Xjg_1)!wX-3&6% zqqBJh0|P@hSgf;o4v5{ohJk?r9J$>~7#J8VPw@BuWME+M>9yVE35#Hl?(Bf>-5~3_ zH-oI}o(!_1yBlP{i}@f=f|;Esw3|VS_}7Eoz`xCfm4W4-4QqKm|F#33$t>+Yj6eQA z@aWDi=&r8lF0SdG4D#NKIFJEg$7nlO@UI8^`IrkU1Ji4FXbfOz(Z->L`Lzg03na7{ zK}<022Akdu^<ari_hgW#881MB0G8<Z`$Yc#|L@bAUD2=`9NPRX^B5QyJiA>PJiC24 zJUW}VfP%8K8x)z{U`}WA25=Z2fQR7@1_p-L%3xa&ME7Kn0+4+m<uLo|ozeZ(-3^j| z;rsLd|8B6!hydZ==AF^u!^!{=@9qZKV|k)<sZV!x0m6mO4j!G}9v;cFA+de`MbR%% zLOTjcXC9rmUQGP;|G!J;_ZO02`uPh%u!0w$wgEi9#iFKuQ2ugc1m(Z37K@`e^GC2p z;~P-2@aVkk+4;|>x5~lrt>L#_phkpG=l>Tce*FJ$!&n;Z(HWx>;L+_I(Cr=3#lq3i zq5?`$9=#zd0Y07IUrhY}|Gy1$sTN2Xhv7Gn&UZil|L<bxa8dC9sp0^s$_A-oa^RnG z^fi;=+s+prjYmLMM}ta>*uxXLy&O8dJdQiLfGpnuBAS0P)kuL^pjhcV_y54fm(Bl} z%fEIyxpaDYpm`XP-#r@NR4{^yYLK70vl4n;|93WlQZ1qcXac2`<4vH156YsQt3dua z-UP~vpq$&;1ajH&CQx31$b&NE@g`7a1IxdEVf+36|4tVb7ElrL@H?moIRY<23cvmT z@6x>+WTj)TJELps|D7OH_&rZJHvj+U!tej0lSjoP`6Wp2)bF6k24`__gg*n7K?{ET z|L@TYPFOCTyFuyeI5_EoOmXR44N6DHn?WfW<d`mSG11WsO0LJ7Pk_{b(l<Cub~c{@ z7cW<!gVfDG{&{rzsBrN2J!N8G==EUi?7je2a0IHY^#FgzJtk05lA<Eu)0?9b0SbE% z21RZJh!Ei42DYoi1(YU0g$yV#yElUZvwJcq2)nyM#=Wrm2Fem3rZ%`F<X_(mk_8tr z9WE+?KAqovIv>3d{`LQVM~ez5#67yRGrD(!SlydJVc$I&6yi|hUVlXx2U5bnz8NG7 zF|I{rCa3@enfU6(!JnYg{kTW>YH-O34#4isTR@>Q85C+z17{%_2nu8V_24jd>8N34 z0C^PRTaV5;Dh7-U3@~4}s1$$_7r1oA;c-VKgF#AAJl>*G$jHFp)A`+_cM90x7ngqg z{|^q#&N(VCK!p-Cd|OmBAU5F+lj~pbhKVM^CXm4|G9Wg=LcS8jg@%0R92HO+htwJ% zM|WdJXeP)uaNL4w4Ui%<pMlo8VUNQXx*)yHki>u`_8vlF4-_UKXS~?=9h@kTBJ~VN z1f1}?!NzoVgOax8i4vpk$>8LDp%W|)E2;VWy#D_G|61gDGbn|FlJ{}2Jc#Afy&04; zJi2{UI6S%;J-R(PJUZd!FerO@bl!jQ>I*1qLdxN9pmLZK6t6WZEH5U2JY%Esq64e~ zRFZ)T9MrPdqr1w%qq``g+bN*iE5i|7j&&Y-8T$GEe^7RsfGDp5Tsx0DcAoR-{Or;B z*Rk`gZ|@S@9~_`G^4z8K&<o=)|NplhC`tF|-5Zd>!0_VpCs5(A7c?LG;yHvJ!2v4n zY*ait@40k-a_Ri}!Vc`R!=Al+bOks;Mm_WC{Pn^EB-)Lv?%JpS|9v{2dvx9d$!mb* z8;?Sadk;4awW4|X2<!#??q9(VvJ~w8;?H3BM|<?{J(0@5@WL44W)6OIH+Ovog*VvE z2f*6FZk_@XMRBtdiknM7@{LC!#^H3c9@x#`fQJ(J-M>Qs?*7f6K<?*nnacp`eeBH$ zV_<lZ{}CJq3nCd9UL-@<0Wk~=FTx@0jCcly7v2zdLlOhS3wsD#p$O#vkDwseQSs?r zD*?6z;pi9bps?vY?9t7l;?cWD;{sS791Oi+Pa`Rn0UHC0xjc}@#-ktuJvtGp&|*&G z6EyKnm@vUHEI7cU^RwqZP!A8(D+g8F-(M_!|Np;7w{u3fcR_;<2Qz;wsKE5>tz$I& z_M-Fs|NqCD4VW1i7(IG-M=*iHV>4*KzzhG6|NndT)-f4=dyxWG6u`{Dz~s@p8?;K^ zqjxiCG5QNFh$3dgZ!he@iVC2LUVw_Z-p!yD?=M&(idYQ4y^sPcngCS<%3&V8n=6<Z z7+yU1@c+MO^D$P4lRv!!Icoz{-3E|hjfZD2GcdeVW@BLR?5$%n{PyDPJ5Y>OYZ!hr zykvOt#k+U^|F<0AZ`scZR>5xg?Zs-4itgqFCI*J??hGadhAtM4e<y5M`P(nDfQtVE z9=*K9b_@(2$t;Z?j3@p-@a(PQGW_<U7;HVr!^~(Nwgfq|w~pKJ+Y3LiB5WQOfhgiJ z{Psc<tO%Qj-@gZkFR$UZ7c5{!D8B984D#)ZQxHXbhTmS?e+!Dm<{O|YuNzb<L*f$T z5zpph{4ck%fW0kj`0d4(x1i7iIUhZ~svzcx7=C-v4_1WD`JoU+qK4mIWPufdoDT{J z<oGgxC=xUL_QDmc2%Gb{A&SHezr9ev;(R9lRw0n9n~zCAqW$+9ki$W#k@=+#NT#<= z#_-#VCtzW0{#o@79Eh@p-(KtoE5hcVR)``w!*4HUffa%L0}2^r|0F;Z$s2xqQ3_Us z%|F%<MGA)BUIe@WM~46-C}~MBGB7mQa4_+=dNDIFcs3tXg!o4rY!b*nEH7g~GQD-` zhTmR@fQ7O7=hj=We>4ogy?Fl`6c*V0vlXI9)9~AiQ(#5d{4)WfNXzisi=|*iApd|O z5uBNz$txS8NZat+i-y-=|9F7?69DlKGk@zuCa`~WUhV>Q!Fubg4Zpnz1)B$QKFB=e z;y@Z=o{izR7Y1NO*qs0E4cL#ihTmRrgB4+O{zZr)JHu};p1lGE1jzZII74>+8i*o$ z!*4GRz5+WR)X?be1~mjA(Xp8k?0g4Ebj$&p1d0x}muEpTy>&*0-(IwWg+cxSS%B;x zZ-@oPhTmQ!fE9uK1M)O-@umh*WMcU3g*8|aHvcd}6qy=+dm#o^gv~#9UV}r%%<$Wb zk1sL(!_43M7!(W5$IK!AIr9<}G9dr3z69-^@a(N~G5q#oD_9ttf2tuCxEg+YF#)Uy zn}5O}irfspy~qYD!sZ`Ch$45xZ!g@yim>^I2cpQs@Y@STh<{K^uTpW(=3|}^zc7GJ z0r`dLwF#t-i#zPud`uZ4{qP0Ms|>G2J)4i|L4;4ih2hm#<6%(s<<WT$YRJpBSFjoq zG<*~csvtZ6d+q~`%7R9!AqF+R0EIVF9oY&=AD}ui^~L}Hj{Mu(FV!<Jq;)oLVPar# z>~23-&%n^xya8Ne?gs6I@aWw<gNcD*7if4L9DmT-Qw^&921NT6i1sUB?H3^0LAlPO zck>Ro_DwIrDFIZkK7S6e{T@X77l`&-VC^3u+QGe--p!zGfDqe@A=*JT?h2^(ClKu- z%wWeq0BaXu237aFXMn2L-p!!h2N3Nh5bdmn-(F-wwZDRBH-Tt>2G$OWERWvZ2O!!( zp$^gh^#!;pXM=>SI@E*@5EDWmCcFWg07|1Cy}QA=sqrwVMFBR!qw^kk2;t>wkV(CD zpsM`AGnC4n@j0Zjmxn|XhvByu`#>rnV`}gsfSJD)nlV5X)f}*j&4@(k$iIF1Cy=|0 zMfe=M+P~B@Fc`V;G4XFZkj8m|zXjCs21Wc=uo4zS$FAw$K}tdlnfSL|O5;4l-va7` zft36}DEWn^goBZR0i@(NLdjn=C7@0rNXb8h5{3o_1|uYkKuH9ogt38vA<Y=<7G}7T zQ)!$B`CC8}MV`&aWWnJM3BXlP|NjTGKv_u~Eb(I6Q&3WG2JL$5?glxoTZE%?GAJSQ zZ+GEffu?X!y9X4pjfX*r`en*LaGXNx>0qozfD$vz2v92b=-mx+wMXM&P{Mwx2r)tu z;tBz*Mt~AD%m`3Q_vqaXPTY-$L5ch2y}w{rNI{G^`vjXuK#3Y=1Sqw8^g<GN<6%$& ze>odsgfzs6F04j?5;n{TP>P2oa!AqgG6G_R48#a`tVV!RHp~c6l7A`s_y2!*`y4dm z1ez6tn7|4)0bcf$T6+FJ29bIF`2YXo%^aW!KX6ZFH)zztqw(+uMh1pmplLp&Vy^xv zxX1vt*S9=I$tzbMgYrs=t7mT=s6d$pl10xarRtu&b)fR10wQYxZU;CpGB7mTaIlsN zf|}+p)Bpef4=q(e>4_cUNH=sH-7Fmc4|IZ^{nFz<tni&MVM3^57-)17R7rzN;eQ_c zL334*ka+R$5jZ*#Hg~gdbh@Zy9CuO40Znm&Mja(Qd$&1QgZgpbU&Mht+<B}!M<v0d zw?rkv<G70oIH){!fRuyA(L6d`R0KSFYg9PE<DV~HKLVGfY-x~!+p)`ysiPUR>&>Hg zH)u$~1CrWzf%JQH-g}|_<p2N9_b;A+T-JC5<nCz4IK;3ls4nr?51L+sdchi`u)7)I z1#sYT9%}~mgP32lLl~e|#t%?@HXe2WmB5|%qF+9I{Qp1F_<={`8_?*2XXkg%&VL@e zK{Jq`SyRw(<oy>{AO8RE+3lX;*zFJP(5(iU_Wv+MdK*X@5=<T-L%`d}Jvv)dK7ds9 zP5}=Jfktm-LFumZaQ7PU5S2&o78Ovp0u+o2pebXI9iW;F7K|F8U{rtv<C2H}|93Mx zcD93xe*W#wENPCN?MJ{R@qUoUJR6U%0HsinexJ^N;PC5w|6=aL|NmcdfeMx0YEUxe z1v|7J)_>D`2uh}qBGsd}8kAIDKLGi(9Tf16-TlyJ9W#IHQLs;{LFx6xga7}1dzXO{ zg=2R+s5tXvZU>cZARmKDM33W;;XRO-Jokc}1oDzk=Nc7I^Z$5@N&_PU18CJSXrKyI z5QE1spS@WB0OXvKe2?bCtdM|>eenN3-VpWaeD>l5C<$N<Q~{6+d^&SfBtSta0G_e- zXgmUnBBWs^kLJVd5bNIE|NkG;OW+xW<1H$np*o0xYrrG-$6LU|t)M}6P)0F;`eG%> z&7Ft4b5tronWVtuIExBITaF5cN9XgGTK^Ck6q24ng9nhr_9FW}I7@<p6RD~Ib);cs zTMncJ0?j-Dum%jM27meX0m5kT2n}R>CInOlfW6WA88n5t4>W%cS_1$nPQKp**$FQV z`CCD=qR`Qb+xPzeckF8CXkcLIXa-sB*xk<30BVPU+~m=_8x*A;y_>=A0D1F8CMX3p zA7g@;JsYB*7p%Vr(vso?>+gX0V*x02HXbej`2(ciqw}6e;}MW!K~WUv7$5sm9AqM- zB=rF~wHH1Bk$Vr6V)$D=fg0(M(p2jnXfU?}WGZtrD4~H$qy$hF%K#PMo_j!+K+<E1 zO2Kg#aGGQQMWBFZ?{-k42F<)Yxd)nzINqJ35&??D08k`?wEJ|XfFlu-EBQd4>t^q4 z28D%Vmpcn2h_Ho9@O^N(#se}JKIT$)7ZmVdS9W)UoD6OkfC8q=g@dJ|85CX~y}Pjl z(F<l!IzbI5&{PhjxCb@2kc)drRKMVdSO?16kmed_7Rp7%!J`|=2@o+ykIqM+0;}dB zcmx@g^gX(pK}Ae=H>f`dQq`gYPJ_Kuz_|gWdL2Y{C%CK#QSktYS%JiwLG`;w?`}|G z;Q^`BA@K}yX|!Y9%aD7Jgp28ZP+1RkzyBR@aDnu6gU4e)uE6j9Jr786|2v3k6!%ww z#F}q_YK`9ApxW7^@$dl<51dXxZUMP}%3X;2A^90J4+2`UWBBdG?A!nUcW(w&kKMZ; zfV4612Ajpa8Pt6Px4n0QN=V4i_KTW3pt(Z+7Es8-v~jR7FnBQU2AxadfkT@sR2yid zAEwO!q7Ae&9G5meu(pykn3f2LmH;q?-GR4ngB)054Aas8R=c|bOkvlu;x;IgmdG7z zR$yab0QKcT4MvZ~!yIg&!Rvd`FT?JDx|$$arq>U^<ra*9gy(h!1_o$&df$Si0cOx3 z5GX*wS>^;A0|TslJOeTnp42w5LHmc`^6~6#NHoEWp8zo*G*<yN|Mg9<`Jlk=?goWC z*!%)^g!v`x;4U1rT^PZRY<@0w^Fb4rQ1iPX=7VCTyBicmVDlw75a!E(rXhNFM}SJO z#>1eKO~9!H?0#PC=7UCxpyq2s%m+nbcQ+_L!R8-8GXDs~d~ng&cz6W|visNG!Wuri z5#fLO1~_~`@!j1GihQv78Jr0B=Wv3<2UJ#gG#(D%L^j_SyZNBeaj5&VA?7b&0mbhM z5DDrk32-6I7vTb%e*qFcKTynndlSw5@b*8bJtx4xzyPV0Si!cyYrIk!MEn0bsQnKz z95luCawDW-0kxejUPo!-f4UA%)ppP({$`LYMiXDdv-ubc#L7t!1xOV;3&(4Jc-y|W z4%GM0MOOrA&3ZN;V|y9%AKViIFAezq!Wms9v<m=oxkvAAP@53c3k0<ZLG>1>v~51Z z0V=9a-arZ?Ed2veV+0aXFYa9fM>dL^@%0bvZh~q}lKKayufh8V6`<AuxPMRuX&QhE zL6646pt%Hi|A6}jxE?(Mav7HX0l2*bYVCk)EKqCbpXYwi>Os(oMo>ijf58dTikO=z zt@iwX93u1nDyXf~rU7oNe1eQ2w5fr5|DDaC{-0-en_L5^$Z7@+pLlk+@il-J$@GbV z1-l=BQe<cI4G;w{e?aZ^U7+R`C<YpjfSd&$9f*DDcpcPxtOq5nva2XbYx-4C(&BHq z2}*p;$C)9n3%m+y*nq~pJ(!z8gW#ZsO$Ml8QvmXy=YEhakcLf-N(HEu4PCAPYTF?9 z;y6G7(0SCQy9Bu#2QtH_vj(N}rU!CYw=07OWDyY9XPpp#cDo9Abb`Zyf4i$h8YF<a zT%|giK>>%T>XH5b!tWYn2@V^`T;#w2HC@1EGNe2PEx&|B*o){Z;3Nq0Z+ADy^Ia|+ zQXS2p5faca1E{6Zc(?(SUO;_1-fKu{8)P8FJkat@sCnF2%oFcu2KNf#JyH!&MGZ1< z?^QJOVC6~YKac&OAqCLFSkU<IWl&sh(`;a1=-v(L(ReU}2PnbGb|<KB3$5ShTmeNb zXz`=7LASTXOHkJG?A@kAP-8MeV??)i!pq~Jw9&gwpP)u9h(;k$BM&^01xkW1L4;@T zHY0-CUtb10m><;o18WDhZC?9$HXbu2q}QkO+lw^_?V#M;-3@9mz2^1kZU&W5-Q6Jn zgKH4bFaoRw2Oa9;0dH)9avrP(gcg@CS+79j1=3ywbyH#OUC>5j(DG!%Z!hF8f!1JJ zcrZH~fbxn5D6a&7n*XT%><CZ>;XoSZn11>He@NQ)=-mxUc^-|2LFFoJ{O3j6<^TUZ z8jpaijdqNKC*BDYpzR6Jre>%cW?uxSUr@^J?gounciV961J!je0xyAVnE*<<y}Lne za*xKtpdt`vOY}?m%TQl}QZfWX(lcmtG}L@+bn`uuSvWj052UB1rFnE7^f>quv{qM% z@kD1cXt39#cQ+^oJQ@!xFe3)EqF-*g1hEP<UJqVh>)H9$v-7`4^S%sF>Mh}LJk|<6 zQ_OP@Xy-J@a^Kz(mH(ik>HCXq7eIpst)TF5?Cu4nkZu_ckLKU({OxPOr6KDK1<;f) z&kPU7ga03bG(CUOcmY(}w%au@FgSL!z5@+X^KWmr0Ts87ovm*`{O)#(1_p+gpm7d} z9$$zaS5!UDsCw){dL}SJ>iXv|Bq4fyQ1y7B>Tv_<iGk~RdLHbyU{pPUsCs-sdO(>S z;<k+tJyEE7B2e{&fb@KUwnLu3sD<cBMAZ|IswWzx2ed8?Vvi?8PX?-<G*mrFAU&Y9 zWDq@~5Iy;*dU8?qWHvA`ypD!wcyjLl|K{HurRt7d?PaLCN>FtbfOM%kcD2`{Dyv3S zRt}QwY<&TqlC2H!?A>|+lmI~+AzqkY_zz7;x##}>ho&?}k6zYx(6Dc3D<}zq1_K~V zq9GXpN`mWq@N#g_z=lWjJ_%53r-c1jGpL2i;JF8MJ_TB8<v0h<lAw{d?rzX*LbndM zQT4p_ZHaaBU&fMz=D$q*t)PSHUfekgP6(60O@etWkZFYpAg^^c&jE3}+j~Gky^Mi@ z;l+B0;uaLeO(=@%K%rIhq7|aD8%1R&ipo}y%Agm~XaE0i{>xkn3gMY3%BQ0!pA1s2 z?AX=507cO}6h*VZ11~c`eYM`|3Xk5+pa%Rda2#wt2aAK3XF#1XQ1F4GVDmrFa-wEX zu!5ohG#-Oo-oeAa@l66Kr$bv@p#2=6Jt3gP^#8^5GoS!Rw6@*gEw0)#;MQN;LWna# zBMYF(DM+mjnk3r=8i@A*ceO$0!<_Jv<s7KO1vj27L8c>ygrd%XDlGn%T=3v%J!ni* z{0w}+5>oGYFgJroW;<I{Kod@&@yZLZ#?oGpji6?fN9P(9P+95O+Xh;a4jSA9B^eJ; zXAx9wF@Qus$;SgU*8&#-8{9huJX#1H5uAP&<fIZ?&*tOc&cOc{t*0UWUP{Q{FQm`@ z|Nn9!sIqT94xZlo|H9)ms38cdKjHpxQGqlB!DEq~Ihf5qs7rXyfE(y8-8INfKbS7$ zaeHHk3&G>|pos0=4fbl|VdPkO0g6AD&i^k=PlGE&<S2rq5=eao+Aaf04llY-fzkpv z_`AD7e&FBE!jab53|R*SUbX7cc-R3n8VHIAaIY5>f6)96ub;rx{a?>Lpq(@jqd~h1 zbWefOF6fj>W}n{Opfb~^ck>I7VW3)UC&;6&h6j8)|AX2l4W~hAs73`e;r!(!Tq8Ki zp(zc8Dg`yxPa-J=8HlD-3#t^9@a7?u8eT$E#{^Xe8c{Dhi54i1{M)B42c->b5sng5 z5N{=f$5`SF;;n}90zI3LKnkxHhH%T63@^P7@@zf=UfK2hg#<zZ+#Y>x=GlA%oR*%y z_;Uj0Zziz6UrTv59|6xYJ%8~4Ne<JapsWZkkY8Gyg0vk#QG`?;fSWX+@&MF|0G)5i z04kO*fXZjjJ)r3m&?YyeMnvHWP;`JtV7no06=uk=VJB#a@3@PK2RK21hSDILJUT&3 zr$NJ%|6e>k`Tu|C(dHj4{Oz2K3=EwwJbHOuB|uFM=qfW%LG=6u-wAMOz8PFZeghTX z{M*|%g2J-1`3s2K-M$u7n#(Z48V`4lgLUje(Xj(X#}<%|SMVx*6-38D6dn6fbnFJ{ z02Lz;S5-iCoIufW3`NHwkd8LE9c~aE=TUT=MbU8*R3C&xED%2qszX@8b;vaoJy%fl zTmb0-)ggCL6x~KqbRArWd;r%WyFY+BO1+zJurM${s*#)%uxez{F;L0^MK`D#VTM#A zpk&|y>$b;0_bh<Y4Gcrdi_HuS44~9u`0YhB*q9ltkby(!pj!hg0|PiIgYy))j7UBK zPJ|HsTM+uC!TKGb1CLPs8f**<;N*_buZ*mJD?<P6qabg*K-GT%q#vFo!1g~rj%5Ef zg#OuJ{S(+x++V@YzyK`}z?zpqG$XAi1@$jMDFhk{p+`Z<u<Z#rUhFu)&5*W7ka)2H zal6~@f#byjGPnn7s)O429ME|2K&;I<bqwrKXn@1>D=4G>0X6qQTTww7^#q9FxgRw2 z2XcgOZ#lG$cJc_guu=ex&2@vuF&+80Pf>Xaatx@nvIcXXL%573uCDysm#DmK0FB@2 zFoM$K{}=5?{{K&Nw0u+I2<iudM{+^qt*`AN(isp78o&}A%nS^#)gTi75Q!CFi47nL zP)8Efj_mFR4XT4Xk}tqRogtuA6_ej^FfeqvaIkeWzk`Gec>bYx^95MAyx4OTmR>&{ z21PW;*P!(J2a;YhI2af}1s%NE1L|)!zwrRqKL0%SJ28L`cLNm`FE$+p2MegwL!Dd! zl~CVbOg{1de@8QDmdmGiGid3)N8@2ood-<@jynX@!E@}OIq>I4{{P<v;_n0zpiK_o z=<@)L-u*s|9DUIKCg{*JP~m9!?S(ej8=#dzOdh?}2_B7yLA4<`m3=t!|39eygya#> zfoM=Y-w%Pj4w}?p^6A|SY6<!D?goW2)N$Y{^E+r-+4l%cB{NjcamNLAu){&pdT?nb zxby}ym^66Y>m}C_WDg+R13D-T>YjA4dqC55Og_EE8a}<dLBrWl6A_L%0QM?K8NxB3 z^WDI0oqsPD!le<8(GX_>Z5jc&wFxeb(0$+>Tsj#pjnKX0Gc!!L3tSpW_aPRTv?^R0 zp}S!sJ4~7lE{)KwAjbideva@z)YFbT9>ns(q)))55xOH>_+Zj2;nE1ZCFbzMq`TnK z2;B{5gkaLyaA}0@h;DJ1v=3Ywp}U}35+<z+mqzIR!R!i?=7UQkbPHIe!ld6H1f?!e zgd%h^$W_3kFTkY{x)uCtVbUAn(g@ufR2rbtki^$}@c)0%mgHcM&fhyh=M{PMioVnb z%_o>@2{16c0Lgpw?$$74V0fW;5Hu*eI|0NNJ^25B^kI-r@ZkczrhoVu7+&y$RDg~a zK$3V2l5jip|39eoW_ZDK2(o?$tT!|mq`ULCN9R}2j8Fq;+J?cS*ECH4<N{j|_2Tw^ zP=D)P=lvIkAk%wo4}lDP3KH$LeFkD%9)uYlzyJUL(BLeF07sB<431zcJ$iX_L5qfZ zMSa1PDG!*ky#>;n3o@tqh{FC9P=9s)ewaz7AiF^G1F?r8d&)r$1r4@APE7z!g^c3S z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cJR!iyz{D_f+yDP(w*CMA2Z~|* zX$SxR4?Xn%KWK2~>*4?Zw;cKZzvbxv|AqJe|6h9l|Noo!|NrNG@c+N#ga7|aAN>Ch zTF8I*!T<k9p8x;<?fL)z8ZZ9;k9zU{f6t5m|3UY6=%r*9>F4B^<j0pJ#U~cUC#R*y zC#7a2mg$3K!I!JRLIx~?Uwv^I=vo@61|rmhu0jFbgaXz<g!)|2ok7K!xw*Lvxu9#F z7(nA6jMB{3pu6cT85kHoto#2Tv<zp%#{d5fm>3uiZ2bQpwD@MjrvLvzb1w>8{{L@b zVqkFC{{KH{<C(yY|NnWI85kDq`TrlZ+vdQY|NlYTh8p(%|G$Nqfx%(l|NnnL^#1?< zU04_x5{~`<U&F${@Zi+{|3^Ud>Hq)#urM%eIP?F%0ccsy+5i82SQ!`^&j0^Ehn0ch z!TJCHcd#-rT)6oEzX%%x!-Px!|APkd11|snpTow$u;9x7|2?3!(^vohU%|$}kZ|?? z|08S+3<s|M|NnrEfkENg|Nnp37#JF^{r@k)&cN{C+W-G1pvmqV|NrN(Gca7Z@&Eq< zb_RwCxBmbC0FuA;|34201B1cs|Nk{O7#I%R`TswJgMlI7?*IP{91IK_?*9Kjhl7DZ z;NJiLJ3#Ue{{R2N!NB0~=>LBMP6mdAC;$KVa56A#c=G@M3Qh)wfM@^zKjCCxnDFBN ze+@1Mh6^wL|99bHU=Vou|9=7(14F>e|Nm>a7#KkNf@gr11ikwI{{R;Q185)jA1(%l z1F!%8SK(%0`0)Dwe+O;`hK4u)|L1TsFnoUZ|Njhb1_s^t|Nn2{W?*o8|Ns9PZU%<T z_y7Mt0i8j?z`y{S5(Om;#;PC&#tH#OX&!bC(2^LCIOwp%6YKx~2QBnuaA60n^<)5T z3Nv9~V0f_R|NjG^VOTx^H$Dk3e(rLP1_pa6Yb|3H&>}jpxu7dWD%Su151JqV*#W{9 z3=9k<3=9kj8~*<X&44pF@d-HbNjUK-IPqyX@)<O<^|1D`^fC7{G2P?S01Hcim4L?O z85kHqYlfz5{Qv(W$Zkg@y-s`vj(ir5d=Aa*J#4+KeJuUVZA{F!nLuI&PDn;M@`2m~ za>pMA28N6+|Nnz_u`s~Ra^%x+=R3gI3^jtO8sshoup0#+dO<74K>Nu4Z2AAc7c@-? z*9*1><PLYf4a_JGDP+O!5Ky4DFfuSW?EL>9v@R1AMxar8(CoR-&j0`SfdnwkNAoAs z5^Sb}%mJ-6J+kxv|4qc1GXs}78cYlfHoN}+2hGGI!V2zRboboDW)3LbfF?G+?E3#- z3Y5Q`_yipJBpmq^nwk5Um`}2S*aA*`9FBYnOkfERhXH&CC+Oa{I|u*&2Q5T_rWuev za7tlb&In3DAjPhH3d|PBJV%&}BcB4ue$Xyfkz@b=e+PvJiv3KXFza3U6qwRs97jF{ z(E0PAm7aHw{r?YI1_JfJBcB2|p|JEZw=ps8;8TFu1xX{Ig)N|CB|vkw;Hy6z`2?Do zm^?v=&xe750d%m+n&bcfgBJ2Z%>yTP4M;k6=lg(~eqJzQ3sFZt(8MapF3?2Yos<9n zgRc#N*yRhdD}sT6;R!PXgTkr*|KEaUB9YUQBcFjg-v&ldo`d8UrnR74gvfS|d>pQP z8yK0T88O5e7#Ki@kvgz2Fl;&f|37GDJ}6!s`6S%=J}`nJlxY<#454asKy$%o2&)B^ zJD{~kch3C(?+kTwGZV83Bgi!Z3=AOu?qFeH;5mosUy$4t76yg@9CD!f=qWhlcvu-2 zZs3s9U}a#CIgi~w7gh#_1T1o(^ai@;cFFnw|HVQ6KuvE8V8H`Q?(p=+z`y`XZ=i|n zo(sgMH&B{7!^*&*aq<6u(6&WHngW++8xZB$bky<;9KTGAsDh9%mSJOHm~rX<fACd3 z;51bWN>bqPw_synID<tFlqNzzb?xQ<|GP2#%v{e1^E5O~G_Wx+q+I#`zZH=m!I_G= zkBO-qNi8T|*RU}#oVfD;KlsWVuzQ%iK@I}Rfj0K=T>bwaROf*F-ps@l29g7n^H10q z7y_>T|GyYiXMigcZ1oNEL?)zK!ikTA0i;)foq^%V_5c4%L4HBYJBWP4oXUu#7gPd( z@?8u&0|Up+|No^y_M+)^=bONc(9e{Bk-uE|CNMKk!<z3v{@uaOz;Nc)|No#B#8Ce_ z!~A=Noq>Vj_W%E&Is}v_!F3l#{mR^g>}HUEL03Boa4;~W-1-0C7^|BvAe9x&(o7hR zhNK%%TPWe)|Nr2trNHr24ssee-4t*zFtp&1>)~KvSb;+hwEgJJz5oBMK<NZ*W(n9# zP<sTlQR&0I|NlXE%Yyt1t~$VV3R4s;34!7gG|O*s|Nnm-{BbFR94?@^1lgg%$-toV z041He@FjqYxiXj?pm+goW18~d|9?;(hQv!2*qxw!0ow4i;=%v_p!GQ*cRGPIDl~)Z zFs2GvMFlBWXK*qw@I3tgzZet`kTi>^?^2oIia~ZB;bdS4ehdv8S5Q3z2^&xw?g1wQ zL*e89|3TZD7(Dm{0{A$<Z8OkzsG`UJ|ASWCfyATuI2b_X6=(xh?c@LdLHDCFxbg|K zfhyu=W*0sMCVx;FVc-O+ha3v|6e2*bhqj17kur)$Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(_=EuL9(LHh>!6_oknfIz<^iBv13~;pXnfFJ@E~!15W&E}pb47C zVqjnZ9dim60^Kadz`y`Htq#O@Nd%D$4B&n{1L8h-*c<_94g;hDbQ%MQhTRJf8aoB? zVe()9{m%#S7chfJ1_r3L3>8oXprJaD3~2lqM1!W6Ks0FQ42XtK6M@HWKzz`JT_75U zp~f;~K<^C(4Of8VKqumWXwVo0hz1QwfoRZK2q1a`Xs;~;0|QKa0q9sW1_sb6HXvyR z@QG~D-7z2m&^QM&4Pt}v@Ba}0F+#QegYpxg=KY8AVe#|<%Krfk=$}wNc)SK=AeFHP zXm2$ZGtHp!?FOa8pmZ9PE`!o-P<k4aUIwMNLFr>q`Wlpe2Bp72X*NZO<zi4;4N99q zX*Vbx2Bp)WbQzRxgVNKW^fD;D4N4z_($}E$GbsHHO0$7y0~r{^ptKs4HiOb`P&y1s zr$Om5DBT97r$OmuP<k7bJ_e<)L1~C@i6=QGU{{*}9!O?j_yDC9Vj=1_K<S2X2>$?R z-#CaF1tJ(29H4Xqls*8Z4Wc3P4WLOm1_p);plvmvv3@s*_yj2Z0ZIpewz+`jJE3#| zG=WYqho~2@fzseXRtAO#P#Sbk1xRKCXfT(7fkD6##A9G!01x6bFdTr=0-#Aukp18R zWCjKX&`}W#3=9XnA?gJ{6AGYtS}6SibeaPL0|V^dd)PhquzT%c_t?Ykt%u!H54)Eh zVk!dz>^^$f{qwN<=G~p0trRpulS=bSN)-%^^^Ellbj?b^T%$T86FmbHO{g%;B<wUO zpR+K0{EsdR+M345z`{@iEwG^3g8@_wVN(yf2#|?^pFsgUK#DL8qz@z>fF^DX-r&X0 z0IL^ZIzYk8$RNou0qI_QkX$IZt`KE_mD?aO5C+|C1~MO3&w#{07<3K`BZCNo1zLHs zoPmJ>oBP+}5Xa?T(3TKJ24M!DT99q17@PUWz)FM|3<|*vH~~6}h><}YULU|D-h=%s z#(-WPE(Xm_f@&AEd<dFn2A!D$(+{IT8}kGiBp4K+>On^kgXBP`uLv>lGcbT|L}XxK zFailOFfgbwGBAL|H$d|dczzVLKNczu%NOAJO9lo88>qMeG#|p$yMx7{h9XhRnIYzX zfR;btwGs>r3{hb9{0s@u`~#i~V_;xNg^I)S8+fjcfq?<!50E=y`3XE%#lXN&4OWk2 zA(S~8hdAgoHBcIbDuYwoaj3r!7UySx<yTO81!;N(6^E5y;JFnB28OR7aV7x<So;K| z7KDF;#2NS*K7b}m7#J8p`3EE}$OH+W0MG;o0|Pj}fyC9p;vg0(cE%wdibFgTEY8mW zE1$u0E1)$dP;po}37+p~U|^_+io?oD@ccUi149>B9Mvr#-eer&pp%I~@dYbSVd)2S zDg;OzR<424G02?LU~~8xVC6h4U0q{hV89k%pTO!tI#DqvIK82Yfp}`n3=Dz{f(#4= zAReepfY7Em)cfHO2d&QnxgS<Pfak3l7#Nbl>iHR9<ve&kl!1XE4=N6;H=xxELnTxk zR^Efxu`n<&w1e|I#BIprLS_aA0W9UfI<Ps&Dk1FMU~ztivIGbpRvaIJio@zl@H!I) z28Q!caaer>o*QLgV7SH1zyQs<5QCuPSEzahX#EYXUcmti3LjW~4xUS7U|`^8fu?iN zF{BI(4B+)i3=9m4U~#CSNR$%}@o=y>Kf?vIe3T0oM=}7)oQy+!16Z7&0oD$HrMKNM zacDaRJio}mz;FyK4mA{sdJGolXApn}0C@ci0|Uc576t}ECJA`G2GjBjtRBWg&{C|R z@ImllEGt%sIq2;$cd&YX23UIt=Kdh4IIJB7ULV51zz_=-hnb3?vvG)*;t&V*dqD9E zYwv*9C4kN|1FPp}fVGQY>3kYg+yGi|!op`ESRCOF80$1xoFCqf0<UFYU|_fg6Nk1d z!SnA73=9uhLHPpFet?<t7OEcB-h@UE!w;}HOcjEbWdnsjKLf1&2wq18T7v-<hqZTM z{xyb*8$i=DsNDcE%@ZpA0Gi>zYxo!#7~;X=AQh+>wEhxXJw6qOdeAx!Z0g^F)$_yK zx!^Tb3=9lEz~ZQOf_R4P5dS(r+XLWrdJGH<?qG3{3RIkdL%auvcrw^teg<V|`2${; zz`(#T6|A100oHzprCZSYQEdL&3{?;755Uy#V~5213~0L%=Gwzx^)McSev3n#kpmJA z7jz+NVdDo9U~z~TGO5G?sYi66?K<c%27>`uJwF4i-40$)$iTn=I%5KqUts+KXm^Pr z5u6VB8DgQ~0~^Qi1e=3wBZQp@S})6hS)PCnRsfj~>sNr+(l9VE6obv-XMpv;VClaO zEDkXfnVbz4=VyTR%V6=f3@Tm#ZJ&VGf-*2L?1734K+`|0J#z^xj%+f74LVH%Tm1gQ zp<bF35-+g+8F+0TsJ#jmhxI$5-983GsQ651c!JkwFfcHffy9}3Fd7jyAaRJ(P|4ur zq7p;Be1`b=#H7sllEid|<f4+|lG3y^y<~=z)S}e%%;J*NqWF^B_~e}YywqZb`1q9k z`1G9oq{N)~l#=|S;`qeU3WntT+=86clGGGE1MDi|(=zii;}eUD5-a0V^Gb>;8PbXp zb5r9}N^^578RFxSc=4HenI$MnOY#eHa>{ZUK+DzR4fT>4;^W<d9DQBmUHx3*;~C=P z-Tgx2T|J<J9xg!)@$NqUPL4kD{%&r;t|9Ruj!r(V@eJ`Uk$#T8p3V%J*_rXhCAlT> z`FS~+d8rI(rFqFAiAfBZsi~>)1@R_{25D)j$(HfOrAhG?45<~V$)zQ!@g_)21B9pv zLvCtracYT!yN{=nbG(tBk)A1B*3=xqG=?+Hj2JSDGjpAaGE>r1<I_`1!c&sMi!w`6 zi@;7R%Sg$IFUl;=%uA0?O-WB>h>uUt&CiQ3E=epZiH`@X0XsFXG&i*<GdaGvBqhEy zuQ)S3FEu4TFR>&(wXifX2VtEVoM~>%0FDZnS1l9GQ_~ESlfYgz!YXP8wh!zkNc@2! zJFzG=zBscg6)cxrl$uzQ8lRF1asgN*BQ>!A9FLiaIUrTAAT&n=hp`ddQN|D}QVQ}5 zz`Bc5OX7>m;z1i5;?pv7QsWB}OETi~5_41GT1*TXN{SNmiW8GdGV}A|EfEr?4Dp^J zzVV2}8{+E>O1dG5NjVVbf{ZUpjxQ<7Ow5T-&M(a?VF3FMY#=Bp#HW^}=9MHT=Hw(L zCTBCmd-{VyI5RK4v^X^d>_V{m%)Hd15|9~1sadJXC18ofoXqq*xMNHa$;1p1`=%x+ zZa0EB7?#&ioEsmXl30=miFmO2V2g`Va~X;=b4zm)OAsMqgh-uc<_z)i$rXv9m<ESG z)}(2UaGbdb)|fX%SecxWnw%Y9l$e*E8lRsQpP84ES`iP6uH=l=lu}SM73C+V78heR z%Mff9{#2Wof)u)_X$>_&8zYjDxdqtZl*+us+)PlUA`%5Ctl&{$Y|IcJAL8o_Ei*tR z07xDZ)$yQ&o0t<{kO(T2P_iL7{govaWrC7VJS>txg<Nrdd`4nkN)93lOc43Z#0c!H z;?%t2%o0!z09jaEP+FV;5eKoV;Eppzq+e5{vI7!kU}qM?!Wf)Lp{X9~>f)UIa=1}u zh*&kVV2F=TDlUd5A9x-_GBzi%xFo(TF{c!io73}9f&ix87-6EhDb~2fo_Y+xJ_YY* z0;N+>0N{@PlKlA0ypq&(<Ps2{pVL4NFHS81Wl)Gkpj-{AAW|}m;&bwo6T#&|W?Fnv zYHngdL23#-gp5tV4kH+>C{}<AJ42Z7Q7Q;auyG*kL3^t}HCh3BsfFL~khBd_Qw%Br zVc~9K4yss^a|^KMUK3-6_|!b4{6Z+SAg+X#8t}3jOND0$3C|Qz5@ql!%?(Ng2WP5h z3QDnsRNNpXC~(Ock0?4*LFE@b=*<v`+su+7K0YTi2~>H3YPH<N%sjY9jZNTM%&}KW z=CG(hslbfEo`eNp0i-xeEe3~UK_%RHLr4n1NR1`=@LCjZzcC_fnwv3zT*&~g*uXZy z!yIl^d}2v_NoH<pd{t^uJ_CbZab<2vViJR1aY+$`&VaE%$-St6K`$@ABvmgxuT-y~ zD8C@JsH74}D5*3vCq*|i1uEp|<f#j4qk)xYBo=2d=%rNV6<6j$=#nA^uuNHMQE_H| z9ttPEh(WI?H760I0m>@KDPhn9wY3=Z3UW&H((_9g^h#1IN*MG&Z8ZkHqI_`N>7`~s zTW1+5MGSBrL@T732GIdyLyKYtJ&5+C;$jB9<ow*+)I88uFVyxYnLJRx1vIt~n_mPS zTm~`<M#JVkL1G{bQVXJC7}Q+_%{9XGgU+}H$-!v!F*xY-C`btyn?dI)VfsPiWFQF` z4IQol34t-J-vs7>PGv>Y51WU8(dhQ0>jw=BeEa`DA7(#nJ{LyA?1#<gqPrh7oeY}G zh3SXQ_rhq<coE30pfCc39ZWxL-Zhhf0dzhb157_`{uoAs#!W!_VHjivj18he-AT|~ zEKEOa{uM@p&Y1$KgSj834o258Fff4Tc42(j{4R`!PIrOllR<XF+z&DngnPmBA&^7( zKz!JIGmM7KH^c0Q>4*7$I#fUCAU}|P*!(q&hRt__^n>QO(e;B)<^{P6BoERLAM}Mr zJScsG#i0ax{LcZ;pD-}M^eaI1!D#SaOsHNE1=?4LOoQf$knMi}9rT3JF!zG=fG~^? zqe1&Fk@dsoJ79E>9Y_}f!|aENfKIwZ)(@LMfYH$Dc$jj~F^_OIhyfa32kD2!KWv;E zMuQIQ1gV2zn7d*8Bhc`J>4(i*!D!IlW|&$KjUN7&(DZYFcSbWXz-SNH!U>ROq;(8X zF2fxL1_scQ1nBf5d>#)rpNH;V7#~K14pj!N9f9eG%^SjKm^zq!Fg}b19VHJ+J23sQ zc{msix>^~e7nJ`&G)x~X9zo~ug2ErBAGY2DM#IL_L3%+LrXNOwu6jk*&j6jjgVCTp z#~^($3{BoJE(0@kfd|Zf*nBFChS>wt0J00l2GO8-Ly#D3JOv~M!Z7{XAPy1+sReP7 zX;|6;iNo*#==hukGy#L^A<$SU%ssI3gA-bQ!t}xDAM+rr1dsv<6HLPF1#=icV{(x4 T7siLt5um#iK=NoB(6|f$2$(<K literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..9d8c974 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 34211 +Design successfully loaded +Design Loading Memory Usage: 20192 KB (Peak: 20752 KB) +Design Loading CPU Usage: 50 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..3523bba --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..78dbaa80dff146f8a569dfadaf3717276f55ee80 GIT binary patch literal 8648 zcmb<-^>JfjWMqH=Mg}_u1P><4z~CW|U^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~od3mFE62@t@)%{juSSLJ|DcQ(QW-QF=^o4P^TQ#uc62Yc|Z4-RqU z-xeau;LG^OiGQ1jQb%xv<%trZ?%*883!Tm}{Ob?#uRmpZqHe8Y7hAMrjAN{0oMZgq zV@^&C{M(!yJbGCU@Ne^S^kDqi)xy{*!@$tt<aBt#1nly+2*_*8BJ?|g&F^Zlr~wN) z9)@@fN`}G%Jiw##a(7mOtKr+$w~qWCZ#&<E{pi?v%md2w>^yGx&7<?UN9QZBRCjhl z>s!Y?;4tU+eER>aPv>*6gh!`yfk)?YpU!_iosYmGo!J$~oom1<I~O-FFfbf%Zed_x z`2YX^|6|P^An|VJgkF#Ttp{BAJzsQo_kfj507)<~Ft~KCo&pw_0oCKt?Ofr}*}Q;( zfx)A@8DySEXY&dM28M31SZDJb5W9N~0|Ns%a=Vu>Ffdr2;P3wlP7bypb0GoX(VZR8 zy&Gg*_hyiF-IGCfba#Uc0J|K*>^z~}3{u3uz8NIXzs-e}f#shKYk5BZwgaBYEbTsw zKmI@P=*}+auCC}VuIZi(@*czhuw%5HEBM!g{d~-Ym4WHCJH+}4L~CJwEdtU42`xqt z6O6mTrguX<SR&Is8RTik3y>gyB|82-k^ley`}Ag4H0%b4Hh;@J1_lPtZdV4+ZeI?M z&gLzkpzQ1hMP@gc)7iWM9EJzrVYq{Vf#J0>*j5D5JsG3`WFJU5%)WYObbobsgXEzB z0%9TpgnyfNMu!h8LpRh$kUf?sN|*X{R~H~$=<MLp>Fwc>JR1_*_rXSabRLDIGmp+& zU;&rT?@$_+5?^>UAK`%Kw^-EF56WMTjG+A2)nd_#Gk*koG`;~vyGQ3`&(427y;Tl| zZw<fg0+nk%o&UiW+Ax*|dvwOA1bB2i2XuQ!bg^)Bw5Whmlt*ufN`Md4T{g_6S|DW{ zhTmX1yBIoLR6IbcIN++79Qdakea&R}w)2HY;}MY6(V)^I_V5Hme)VX4Q^Cl<0C7`y zRzk1q|IQ{*szc=9CQw`-ZvrJ;P>Szd1#-{vCQx1i<-E=&kjclJKxrK!56V!-n?RWb zEDtFGI$cy)5JkWdlp?^TdpF2R$6j|v*Vg|#L8kC~o^Wjb|IdZr|3xQ{ibwKGm|kcW z1xMI3SQ+Bc3r-j=ox4Ga;y5_zflP7fTn$PG$D2VZ66BaJa5nE~1|_%S%_l%=K<N{l zr8=9>fQyGK3=H7PruoM|k4_&I4*tHUObiUY9*mvc7r+XRK()0V;P1G{1gaTQR0Mo_ zb5tT=DH;?x5g<Z<e;e4Y4i`|If(i*xV0Lc?1!nhTP!M)^gNy^mes?p7sSPg4_}4ds zWWhy5hl@&}Pv>`7DcI4X0t#`D?(B^2-5^%?W>DC7PX>iJ7UMul_}4dsWFf}2sLTWv zU?3AAMX+P%agXlR;F1p<fZdz7fI?+5DAceR2nu8V_24jd>8N340C^PRTaV5;Dh7-U z3@~4}s1$$_7r1mJ$Kx$3g^UagKAqn^dZ&O528R<kFgxd{fH(-7G$1yS9VVIxn?MGG zFep!93;E7DDxfqDDfRLB3mmtgQXixUB}!XV9x#Ba9c*z3wi=cgu*BX&NbG^a1mp~` z@(zqhg(Px_G2Pvuq-}Yk#Hf2RIC)>_1gAAvNz32o_4oh(*CNN8K`9)RypMzBK`fu{ z&7hRw(e0zc;nB_L(e26M(Frd{LD|cr6SW-u1}jIqYgAakuIsh|M;3zzxFiA<IH+YQ zqC5(4?L6+-dCsHrvq$G&$Ii39y-RF0ctL64xl89EuwktSO42=g_dXD1U;y(ydiQpS zA+dQt#hHzYN9R44&QC6#KOu@b4}13R(RBbD^~|U97eurhU7b(obC1q@aQVig9bn_$ z!%ai22ws9hXu<@?u;2iX&d;8m|2+1KGcYi4GB7Y0egj+K*}JVzmVu#rH#mJV?^a-B zVA#dXz`(E*L^$pM75`v`EeA??Ji46?y1gx4z5`XOz1t>|qA{Y|JK^P4h{h?zYUGDD ze7d_qDfD%vXYaP@r04~u3`nK?+Sar2*epVNeL5kb(sH20#iJXX+Pb@MfC?KCj?T#( zObiVC+g&(V(mI=Ym>3v5dUrd3a&_b351>$E1Lc^`dyp820|z~njb0x+h6Q^xzwv-Y zi3I}#0}~=jJi41f#Zq@SC?SHXSQixskIqN1db6Y10bHnW&Vbmh!NkB|cxfjnq8xV! zsDsktcaP3{;7HpA;_n0zph61lHjijWh}&S5!GsBr`WED*3W$?D_uIgo1gpV4dUt~? zH2h|G$?zoD@RkGoEh3Bz44%F9jEK}Umrxi(^_KX1HXmm~v=AJ-+7^M@Ce5#y7#JM+ zx3?{jWnf6_Y<>X=XbFgCFEBxqrw7<G6_6GKIIKOJkFy|*^X%QGAPj0ML(+9KC|!GW zx~N2W9CuMk0EM>44p0gQ)w&?@jN>jUpipN(X`^)Ks04I*Fm-f;9L2vKzk9$QgZ3gk znvX!;b{LceabOXsIAc{117n2%qcjgY#{@<O22g^Kfy#XV(N25<PJ9whd<sr{8jgGh z&1^lay)1pq{Y*^v_%y)65@0193=AF&3=9z<Jq!#CA3^?fMAGZTXW+<Z;mGIE%-+M+ z%i71%&)mkue47a*X5fTmlp`Mp1H>JmdKqLKsE^42H_MSv!=3K{V>8qUrfQJ86u@p2 zfanFe15}5A^!BnaFd+1T?E$&Noo@p(ibD!nusg(sfq_8>l)xDn81{h-gu4T77Mh=! zmS8i<gn@yf1Zqwrh<4%=aO9J4<Wp#7?qg!kWdyMWocK6g`4n7WYz77f6$S=|H6Zm2 z3=FLx`yu*V_!2<+n95*EeHa)Ru0Ykrf@ruq9r-j|_!c0-YXfR{x$-SwWSWR72C@eS zW@BVvU}5<9ADc8YxG2GtVP*hD0;>3VCI$u}1}26AE{HfdOc)p#)<MM?cp&0nKQk~e z^g_iaK*hm+1l1={aRpY0dT@L*Ffi~kL(GSWGBAMCGN^8Ws)zCApbBO{#RWiN$H2e< z6EBB~gW7H&r7-bCsCWQWy)a0Ufq}srDh|%juzbM4z+eg!hXw^qy*yNW0#qEF))^QW z{xC2w2tdt5rcUD!uVTcmo)3pOsCLC>eg+Qn58)68`4gKtpkTo!-h#tD+Bn2PZ8B`; zMBq?gi^HA%IK=1T5MPYL{98=e!x<Ex*xdOQhxwpnj7>eL?7}Agn-P+pH-H*Yu(F7O zfx#Y{I6%oq8kBB8waWkh|5xG=?*&B+11Jwe{P72>eg=}b1d=%`ki=Dy#1A5ggW7d4 zb1ox^gUT+Lcp9iafY$7w$`2+E%GV&LqPzbbR6V*lBS<sU{mA~kk3(DwWB^pX5R&_? zk;IYR>5L>UhNRvbhxisGaaknww~@s8ki_31iGzk{Vd20CEx$n0$m;u%#6jr-rhYb( zIC6ZgMiNJkuj@$S$no_CNgO#GHi4Ry&~QTz=bK34+(`anWCz6}BwZn^*G3XYRv&~U zj;ww)4)I$^;-D-53kOk9V*!ghU690))dwSqgQ^~wIn7Ao$nM#XL;M|*II{WR4i3~k z$mSP;6hXz2(_u4`ICA*+Ac-TV!znn#Zz72+BgM;0BymwB@vk_<`9O|_x)an6goTd^ zk~p$E&5*=FWgtww3z9gp`Ya@IWb@mR#3hm3xdBOB3Q7DW4sj(=B7?dgS$!N1@wqs} zpCXAXBH1eiD!8HMBfHZQNgTw4g+nTc168ktWKJWJIH*klQ@;U89NGLsNa6}e=3GS* zM^^t2NgO#G1b7ko6j^;Bk~p$|<B`OX)h|U72lXXj?!S&Cj%?07Bym9`_d{F*>4buc z{JkIo8eSkd5Eq2MfCvT#257bew=_XQ8qjhd>Rq_F4pbaeW+Iz&7Nm)RfdS-ZWN{X# zdQjLRi-Xu890MYt^%uwpP`d{t1nTC4Xpk5P!`g9AK!Ql>VeL~`I})T8gkkkLsKX7? z0ulpZSi5)wv_1uifiSFHd;?7!)-Gnq%+8E2E+{R|sA9-X%}p+-1dEhqq~ydGCugLl zl;)(ymlP%D6(=T_Waj6^7iXsDCFaBz=j4|&=oO{rB<hu<R+NDB7N?dV^k(Lz7L{Zs z=EN7JW~C-WB;e*16y+zU78fH_r<SGWmB5THNGwXsO)W_+LdfSN7MH}ACFYc-VpmjL zkY4~+odRQkJ&>G{nw%Y9l$e*E8lRsQpP84ES`nXL0CH?{E<{6OPG))@LrH!?PEJ`a z#E8ng#N5ne6i<Up151J!@rgx6iIwrm`MCv&MXB+rg{6r(40?I_C8>JuexbU>C5g$| z2*nVuB`4<OB*DbN!3|cC0t$FAtGFb$BtAbcCo?Y<8my@q@oAud084}IEy^s;%uA0? zO-WA$3udOKrpD)$=B5^9CdU_-q{Nrzfnp~$B|a~)Bt9`Eg+Z^lq^Kk@i9xTpG8asP zBdjPtC9x!t0XbGJ7{G=V#G51<q@|@MTgDfcCdI==EfdXC(+rc7z@p|bwJ4%ySVc{- zike^*HD*YyNKGy+NsTu$#;U*wp}+{M0z-rXLvRRzgAJbSkUb0vsKlK3G*H4SPAvh& zjR96O4M4e!a(s`GfdN`%pctkAs?-=57?jZ@q1hF#)(JY50&Pyg#UXR`3=D6f<t0o4 zR*u2=pea^RTM@>G(E`wd?EutZP&E%KH(=!^sI3T6`<W3kl>?Fk@nP5i)c9mzV1TKE ziKE-~4m=J3lSR-MK$Q&x0|RI#3?vG&AEX!Eeh;YqAT`L?18P6A7>JD?elsEZ3((yU z)31Og0ka=u76?zl;r;|r<Bx%X0W=K;QU`KBsObsQ4-1!PjF37Dqy`yhKqG1eH2y*E H#^!$j0l2}S literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..8998a5e --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,111 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8}; +const int NumRelocateId= 5; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 5); + iki_vhdl_file_variable_register(dp + 3592); + iki_vhdl_file_variable_register(dp + 3648); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef GIT binary patch literal 4336 zcmb<-^>JfjWMqH=Mg}_u1P><4z)--0U^{@B4h%vJf()Pe<$eWwbpGDS%D}+j(Jcy+ z^5``MQ7=G*NAGS9J_ZID+X2RoJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`v^T45G%7+;bUpIDTf5ucov9-ow& zkyxe=R#B9ilb;N-3Cts`zPPNUvLKa#fmrjCi^_^qN^=W{RiB%h%aB=|nVXx-kXsCL z7bto`G}+kQ+1W}#BQ&WrucTDL&{)q{&p_9#6wEcMGcwULFwukxgRNj;U|<knU|;~* z>+ToIz{J3C03^l?mStcNfzpgsK@5x)0*ul;>>Lvq85krO7#L)ra#kSPl~16Vsf3S% z0iwqOB*wtNAPJ&9_yhv@IKXBFfcOjy49XzdgHIrekAnfEHUq?GU|?7YqFwm}+L#>q zB$}CB_!OA@`7|8)44n8Z9Qhmy`4l4fBpmq!9Kkk$6l2Gryv4%s@jo_MW-K|63#<?n z3Sb7D;0LqVz$7vO2^Tg7c4R@Ae;HUA4A8{oz<gGQ1Tc*tz~R8a$}j;T1ZH_LFfa%q zxf2}53=9k!(D(u?LL%%K7#IW@K%oy21gQhD+;FH5#vxt~wHIa|IQ|$I7(j^=lukfq zBI8D=dXRY_afp8y7`kwnzYd4^5vVz3AVXm3fPsPGBo6g=afmZ9LeewT7&xVfL);06 zcoYut930}!IK-zjg5m{{N<euF<fx@M)NjBcz8i=5F&yHLafpKprg%fWWClGSX9Eyn z2qKI?gfWOP0THGQ@$NqUPL4kD{%&r;t|9Ruj!r(V@eFCDdC4J(Ner3UnepJFG_fQV z%qh!A$%#+P%t?(eODxJvOv*`(FG@|%EG|hc0?QYt<`rj_WLBjz6ldm^=D@TSCugLl zl;)(y7Zl|urxq8d7RM)+#Fu2|rp8yL7UhGrrdFgTmzE$YVaQF)%mYhhq$U=`XXa&= zWG3c-%mz8DIJG1mRJf;P7RBe}CnuI<=I6y{ro|Vf<|Y;tq^5v1f)o{(#e>Rrh^q?{ zOETi~5_2KG0ZD^O@_0~Dj-jA9GuNpoGbKGWK0UQ0JS8c-C==$*<f7EXlGON=)Z)x^ zh!a6Z6(z@)6lEsn#3$#M=9NGk01XA0QoqvNAW+qmSd!|Q!T|HKkr9Gvj9?lvfP=fF zC^4@X6eIDeWvO{3$%#2RNr}nX3}ErZWU$9UMJp(|fy;OhLyLidft!JW;m>~v5C;h| zFo4Q^22hy~6Ni=Up!5oAlEB1a<qAj~RAs}&O`ztWi@QR_nHU&Q%m<ZkASsY~P#Fs| zCk`Zt#XaCkn}LA=q+Sro{kc%}AaNli@kvl|ki8%|nE5l2#6hJ!One?x9HbUhw!_3% zAc=z-T`=+WP;rp?pxOZ@z6B}{GDi%_J-eaeAoZZq0H*!`R2-xp6c;e@Q%K^Vx)vt> z2r3SWM^JeT6Mu;$&WB|02PAP$B=PT1agcjJwFb<bKTvUydq8DBOq>f;p+du73dtN1 zBynjZai}ri8cY_(VPJsQP#|rPIuRlX@(YLs5|;-tpcv$L5ECS>0AfHfj13Z3go=SE zm^jE^APf=%VH*$uO;;dsSp61)CJw8|a?r$K^%8?#ab<2vViJR1aY+$`&VaFsQgagZ zN>VFI81zySOA;CMl8TEN^osI99FTHDJquK>A&kqQ2i6N#Sd^N>pqHGVo12<f!l0Lz zUy`cl?iZ?CTms755Po`Isa`=*enDzcNhMTkYDRoo5vcrvx|>o86po;<1*L6dvmkvE z1_pVMB$5OgmjRR(Kxqi39;UPbl-L*;7z#j<z`(!&%KIR_P+@Sp5~Nm*fdQO$KxGn$ z55w^w#V`&MjV`PMO>5-pH-y@6gk%SZnE<s2mY+dlAPjRqRGI<gZx9<@zckd}koG1> zCj^7kf><!xA8J2TIY<hOHJ}2ZumuZ133Pr8R6n}^9iaMQ<v3J311P>>Y!Dp|&Fdh4 zfcP-{0qXDyr~oMbKz;{dkPs}tra|pTZwF^U?FYpVNIeY0{0*b?q55I+FnS79Ka3Bf zVftYFdIkn?+Z<i_45$FQe_`&2>7Nd@AF3QC%&-G0umCOsVW8^=)jyy(K@YzSQ02?O z0PhEY!VgrB!t}$!59A-HRS*lHWCS!q4WKlr+ys^D==wooP$f{E5UK;3p+ABwfCzy} WG%f?Ej>V?`1l0Wt!MYFxx_$uA+@wDM literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..39950ba4720b4fb9f5f894a4dd6ccf12e07191cd GIT binary patch literal 4320 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk{%9x+eY0|NsAYFfcGoU}Rv>;ALRQU}9j1U}a#q z!G?(?*cliSK$wStA%Ta1VFM2X0|PGug9H?_F)%QIupk2i0|*N+U;;Y^1_n0<28RDE z5IHUe1_lrY=>v%{GB7YOGD0u|1Bl1K@c;k+|8O~wUML?V2Ewc$0*aX#7#NtKY!EF2 zGLM0QK?F*Jq(G*E^l(De>OyG^BsCy)AbUX=WR3*`0|UrxLp*A%7#J8p-ZF)n2QmYM zL1ut3$Ubof1_qGbAU+5SgA_0@Fnl;3bQa2lQy_Kx3=9k)eIN{CgWRG3H6P?R2?hoR zd8ir=1_lO&<3VRZYC--5(IEGL>;Yk3sL30S2b~3(1M(9{93%{)K^Uf{0ICM$Cy+QU zH6S}ceuAk1sRLn<`AX3A0Aho}93;*M^*=*GgE=TXL1G|zWi+)Q^>DR~4d$@)0aB}i zrWPaySDV&g4ssLBTvar+AUok|>l(~KNf@S94NWa5?BHq>8q7iA4^yj-rWO=eaJ6*} z=0YHa(0Br+E0Db)cY^!{@(WyTLW8+9l3GnPbJ-af7~pE_8q8&p)M`P~BFJozFenb- zYU}5lD}n@}X#->@2*ca}N-H3>Aoqac0u)Xl<)E+w*@>(cBnDSo*I*8EBTOyG9Avei zybM>H&|nVATQIdCbCA_?L;VJJLtTS8C~v{kg3Liy3yLRXb3u6wrWRxlvRY6YhO4b> zFt-FLgoXpi98h?{!U3cXgu!k|XfU@&QVTK%*<4WkgVcibGSoGggOq~e9Yn)0C=Nh+ z21*?4Hkf0eWhKbJ1LYo4?IqRy@g?~M47sHhX7PscB}oj4MadZqE}<b%A%?_wh#W&s zW?pK1yr~&OazP1{V`R+0z~E}Y;A+U=YQ*4b%;0Lm;A+a??C<OA=;z|f5X|75lg;26 zZ@}OgZ^+;oZ^YmkZ_MBsZ^GaiZ_3~qU!0o5;14#Rfq_9kBR@B_SU=auC_5)JFJ0f) z)y3K0Prsx<Hz&U&UpKX+G$k`JuS6HFptvYmAL6$7k|e#dj1&g_{DKnw%-nSSu*|Z= zlzbxtBNIJCC`TX4OGzwA)Gy0O$<YVtFD^-mH!?7=&@V1Y%u7iuN`aVDP?VpgUy)Rr znUhkiZ)s_1sb>)H=@$}jU}9hzZ(w0!pr4snT#}fRQ>>qulM`Q%lUS0LUzA%6vkRg{ zpD4S?cXLT<MM-8pJzR`z6Upw)OifLN1#(J!PJVi3a=f9TnF+|@ISl$V32Op&Qq2## zN%<+21K|;5iz)C;UTJP>QD$;{aS1r((>^*dEu@a?k;8+=DGJF8$hMMSe1Xyrq>T=$ z`(PMW1$8qpFgP<XFxWCOFnniVVEE3+z_6T=f#CoX14A|w14A1#1A`?q1A`|E1H*S_ z28R7C5DYR0WCn}|u|ahSj87~L(gUj7L2X?dkUJO{7(jgx2L=WPP`edmE;8l-HGUWv z7zCg+NH4NHF7^Sa`V&wZT^~9hW=;jD0mZ<;&;X^;)uGpiFntD~#uNhsg9VgE*9WTe zVQP`-7f|&dpftKZP@5N7J&e5o)F5MEU|0dA(e;7C8KxGQjsP{z7#J85pftKZP+JLE zJ&f%DYN#<VFnB;|bbZiZhAD^Bpgt^!V*qNrLEFb5J`6*H8ODXv=<$vo?$F?ZtA{br z;~iZeG`L{O;WT=@qw9kP7hFAzfgbPZ`k=uDQx2!m;~iZeG`QgEVGQ(mN7n~x55v?V a)9CSzt`F3fMph4FqsKeCK5SzOAiV&PLgwNC literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..773d58a772f54b73e9859830df228611c4a75356 GIT binary patch literal 2721 zcmZQT0|}B40S72;Ap_x$lA|Fo8UmvsFd72134uBP|NjSJnCToa29y?1XJBAwfZ#9x z|Nn;y4|-~aEX0Y1ED*Ya@ot27|LqWwePwDh-8y&`wsKEU+Sqc$Gs9guq%+J|c`H+t zfU$_8%Hz(vN0d61kAIH3Xn#?bJK_`njn9)EHnhCo{cU4@+MLWuoX)qE=T@J${BHAJ zdtYFd>5t<KRSMd+FOS{!y6SU%+a}+#z*}k|Vpr=n$`uPQFw1=Dx!(BwDKF9K*ALub zQHg)48J~Pl^!L;=(wY0aDvjU%H_u;Pb39h>YG!=#J>%;KUVT6LwDqjyUPJeNYa-4W zRNtCq`*Kq8o=d-UW>0?+A3k^g-lbQ362Iwa|J<`;{!QVwO(!guH^`@Iq;khSS^aHI zqsGT0=lwaZ$ea6BxLABsoUrlhk0lRZ%kh3aR5)V~XBBe=`;6DEiv`~B?BVXKQZx7$ z{(JYk!|w4iZ)P*I{gunO?DuKe-N(&;x!Wd%i<gByh)t-B3u8OAN%vmrv`uTc7+Yd* zuDE7q8pxWlC~WQ0BWYVtDH^&r@Vt64bH2mDVzW+;R6$99X6MGMhL;z%mZ&rZx%Pyl zeTcDBSk?4=i<YdH_{n1uQ4Z+~XUq&TGq1Y0>64a*bi|q4N><!QmIS~3v1nc28ujfp zImzcDHfo5!<=dB8zOVMv&E_=2l0@E~g+EpF)>PhapS{6opX0{YXM_#zKRs=*NS{lF zX<qX$qk_e8+qIvv%@(+x;&F9#SgrGp>ARj+&G`J$m{sz_Jf)wU6JGz-G}yn~;l0b` z%@%L?Cmmbgo40%K-HILCw_ne?BhM!tWs`g4ph-tR^ZupJe=*+>c=~T*T+O`a3!^kH zcX3(Foq0v5R(GLZ=Vz;;mg1-0@0H)WzDqy5pi+sY`cK}SDs|Ble?F8qn?EmiQ1)3B za_Q4K^$&kM-bNLytBn0(Va^iw?e&Uq>)lIsPG8%<BBwcl&%g0Z;zZM?TbmS8&)qPL z<E!8N>y0(*q0g0dJd$%=9PTZ<K2<$=*7on;>!&?G`B(0HzuTYKg=bA(U(_spH23Ac zC6%$?J}xRf;TBQ0e|!EpKe@;{?YRt+zxUtc|MH*b#Gj>X6S98y-~9W5XVd-0`~wfX zALt+0-(YP}#b-0OZSgeuW9MGHC^`Lh$H|4SkM3=}U45&ZzqzseO-ApN9HAANk9uqM zzl93TQA=dGSKc0I|7PdhbJucB?W9-@B|4_gb`hSsJLGVI%#L?+b+67=$r6`;zH-6u ziA8J|HD*{X&il@O;@7$7)w`|x<t<MxvFw?&LQ=)O?nUq9v~>o()voK-K5kq;Cu5Su z!xisqWaX;suH=^IZ?}?soHFOV>OAJB3!SUg&dECpWV(ibo$Pm_EAEg2hl*^m)62Xa zPNkp!nDQ(CfAh+(H#KgxvGLxk=B;teZ@x1<S=_|0xNqW*lnef1e`iHJidA#Zt?k!~ zvvSzCtmCKk!&UlPi&H9jPpw`iacYIlic|4+t$t}!?Q6bBZ%%sbufL?DZOYnv?vnqR J{K^)W0RUy%FZTcd literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..9c48453a7d135f6f9537bb0b6b5f584f204e7a43 GIT binary patch literal 360 zcmYdbWB`K-#=VoZ3z{87TrZ#B^2J*4?-IUFmoOz|Wo6}wCr)^zsB99F?2HK%l<ag- z(pKJmyZoI`+Unb)d#Wo=zqxHa{Yl}c%|%&`x}o#e#K%RheemQ~1^4m-X6qfz)i(~l zKC(~#fv(M=pS@ovr>`!q;OZ~n^Uuq@Z~cc+{~=%8!PC~a_g}2r(bir4dV2xy`v-3h z{<<u_nzguGP(OaH{{OHIe{LW6w7%_WJooASvO53yHvD;=__Ms_>HU<n&nNbaOskjF z`TuOgpXdXh)?cdo6MgtoKL7JG7bh&fIs0tjVby=SCpv$zu9>6y#N}`D6l3wP<$>p} zJ#o7~J@8ym(W02%4d1>8u8Wzn*z8pA-A~^)Kfbp%KlF~`i=N76Ilq#_a~}R}l5Fy` zuz#^$_-;1e|9cCq*V|t__uBT?gRk}LCI5R`Twi#8kKKgn#p{dTRSMoK-oC*4*}gBo V^urGGm=^Q?`E2!CI%B7g902N>!4&`i literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000..746ee1a --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 9777259282487627216 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..49b105e68262d7a909c01d15696b1afc22c1b548 GIT binary patch literal 318 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG==t|j~x+a~4fq_Aek%7URfq{XUfnh;# zh)aB^kH5R8GXoO?0~j+iFfed3FfgcxsxzoZs57V=s57V=sxzoZsWYgDt23zks57X0 zs57YRsxvS$Ffb@EFfgz(Ff8zM3<(W#^Z}c}z`(%p|NsAbkh~)U1A_q6DzHuQVXn?0 z{y|`cj0_7vYQYA96oW8WfPsPG-~a#r=l=iy-wVmDU<1H9LCz)BsTxqDi=p&nD18M= F0|3~2Gfw~j literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..1d082a6ef3d8e5fe896053f9d52eeb082dd9c616 GIT binary patch literal 6824 zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7 z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq} zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4 z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6 zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN; z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp> zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_` zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~ zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_| zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$ zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3 z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf& zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+ zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$ z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8 zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E zbqow2oI(2k)iW@p6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9 z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6 z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{ zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv= zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9# zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD& z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us< zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l` zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0L;9AP5=M^ literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..7452e56202ff15af3de30ff5f486f66412a0a12b GIT binary patch literal 592 zcma#Z%*o8FP>2Z5%vFeRadKBMFl5-Ax+dL$fq_AQk%1wA34sL}7#O%1Fv0)-|Nk?b z3pxvuA(zbz(hS1qg3hurFff4m=Yq~MGB7Z3Ld8K8x#lD51)B{LK(h-Z#K^GR97KaK z3j+fK$I+m(|LPeSG{iw73=AN7kefglmpl^#0|N--l82bYz`%-RzJ(-26xn=S@*uN8 p7?(WAe;|xY9^@_%#w8CDhhdoi5$=P;Gsv|dJ7Dq+VjvL)1^^p5h`Rs) literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..6d0caa7 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=118 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=125 +OBJECT_NAME_COLUMN_WIDTH=75 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=0 +PROCESS_TYPE_COLUMN_WIDTH=0 +FRAME_INDEX_COLUMN_WIDTH=0 +FRAME_NAME_COLUMN_WIDTH=0 +FRAME_FILE_NAME_COLUMN_WIDTH=0 +FRAME_LINE_NUM_COLUMN_WIDTH=0 +LOCAL_NAME_COLUMN_WIDTH=0 +LOCAL_VALUE_COLUMN_WIDTH=0 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..6bea91c6e7c2beabe6072050b6016d77b3031c25 GIT binary patch literal 26568 zcmb<-^>JfjWMqH=W(GS35U)T9BH{p{7(5c73<d@U2L=lUUIqsSIR;q<HU<U;7O)sZ z9;O~f-(Z4>!)Oi&mw_3oPXfeXU|>L}WuWR{G{{XLArK9+55&fX4Fn;gFq%OC!UySN z1u+#s1Oo#D8eJ&_5r@&p`oQ+VJcLFUfF&6iU^KEmP}o%XK+LU(L8UK1{e1&U!}NiI z3#6|Ds;>j84@N716o8x$rD5R-as~)nK+Qv^(Y(umt}g<r51n>_IGX`RgX{na1wSoG z0kP5Tf$?$K7Xa1w1{#j&bPLFE1_lNg4YC6y6!^3x1r#nIHZd3)?F>Os`*6iW2h`s% z8k9~z=IiHVCYhP&=cMT7WagDt=vG*m>6)4773b?2fuzA{9%Kh7O}YDpGB7nT900i$ zrXR!>VPF8KbCCR<b5FhIy)Hj=GK<qy+~h@zRr7}gkQ$H~AUz;8AcH~9fkrKe%K&0) zGBAMiB*g!XU~!Nbh;cFXTrP;lg(osHFhG+wijwKf*u}$fh<{|lu3i?D7Ew%waMBpC zt53#Zjvo$ti*UFf6lSnA2C)%BF2td}4~M;HaJcg+4sjhE{_Vq|-V%qulyJCnJq~kj z<52H`L);CAIodeflYzsW9XP~QafK%i@kSit?{T=34Tn2d<1pt34tGw#VU9lzbINgu zAI4#RJ`VFMaQJH;4)u&U>}_ObV1QP|kOU4R<2^%s<5N<LQqwbwOHzwMe4TUh^HM_+ zlX6lS;^WhE^Yh}1OA?Dp;^P^>O0g=;%+8E2%Sg$IPs_|njW0_q$^_|;hpA-%$tM@3 zCYGeer=%8Vrssi0QY%uEOG{GY3ySiSQ;UmJi@~B`bCNSsle6QC67$ki<MY$vGxJhX zE8_DD5DF5DiV`d1lk;;65{pvfQwvKIa}bi5d8tJunTa{^MX6b-$t7Tk#2lElU<-<q zGg4DZb5i3=iW2jR6O&6aK_1Oa&r8gSPfIK=i7!qqNlHx4HUMkROifLV&nwMMEy_%e zFD^-mFU<q_A~hvGFR>&(F(n183T$v{S!!NMJV-V%C%z!DC^0v+B((@EUtCaHoB?5_ zmc$pA#g|kTq(XwMAh9GPJ})sh6(U`dTN0n2my?+X<)@a!7bVA+6oLGdoL`y;@e<g) zDzFYDtCJIRazHKzi>FlPCFW*=v?6>7QVW(WE`Ww8JTTxf5uaERUy_-d8ef%Kln+)6 z(iIO^h$YmDbMni<DvL97OLNeoIwLi)AU-oM6BH|%RS;jLz=NYGH77qgu_ToNYzf%x zw9>rfcyL&v_@_9vBtE&QtT;ZUG`9d-(1A_J0YzY0Voqr)_J{y0D#|R*%uA0?O-YA1 z2V`_bab|8xW>GxYIiM7gnHFD^nwwZqkP3<7;?%t2%o3On;K2b6H?a3pz@b{4nd?-P znUbCwpPpJ0o{|(^lnF`(@$osCNuVSI%2BzAnRyKH@yQj5@oAZPi8&x+b5nDZa|;-9 zQ*(<`OF&d|K_x>@W>Qf~W;`@t^NZrMQ;YIabM%Vyk))C!)}crxgBluU4DLRjPR{X0 zdWI%&rZIwPq-V;YpI=a-pP8GkAC_5`n38W~U}U0a2<7NQc{!O$`Z;+OW+r<1`g(dG zR8mk35z$XiPS&;5GuAWEPs+>#Nr9U^;PwU+10w|xrkk08k%5JQ5!{Xe6<v%B%nY}g z8DPy_hA9)Lv4MIJPoZL4z<g$g4^V!oR3;|_0~5n<P{W9UfdSTzhP7V<ltHF4Ff>5h z$uMyNs5l3--vSed@fFa-6Z9eGXrPIIfQlQSiC@r#sCPgU7l4Z2h=<67+H9aUB811l z@Bm4i3ns|G!0-Y|oF7U21Cltb%?FbHfh4X95`bb0sCz)|BOa(2h&m7lA{iLi!Ep;= zgUAy|;-I!BNDPE8Ac;eR9wf|g14$gzegz9MFfcqo5(oJiCjJ6R9Ar04`~#9WEN(z@ zKaj*ZK>{!g^)JW_ZkQMY0|N(=I4_d80FpQ#lDGttIH>InlTttuhjt;sQW{9&$n9tY zBynM|N(f<rBo1o-Lqr)E9FW9CVS)?{3?4}0Vo2fvNaEs1;t@#V5=i0+NaB)6;u%Qd zQb^(jNaE5+;uT2Zu)a4)x&cWX)aD0?fp7<sxEx3TiYFk6%R|LL)C?qX<o5dlBymNM zLMUE=B#zt;+JGbu&FWwsJCMXxz#<Uh0Ft;GL<mftKoVC6i$I7ANa7k0p;2-)1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VYzTbjm;2?>{D#A$oAsnU1A|BFffA<w z7d)Dea2y8v>Az{09RtIE)gC(r27Y-5hX1M{eg;VH<%9qK|NmEQvSVNX%^oqlya47` zf%u@Iq?ZT4{2~w^G=%hW1DKx$;)8~cUM>LhlR$jX5ZTKKV15*c4;n&x*#PDTf%u?d zpO*z-z88oO8ZvsB0OmV^_@JSpmjPhD6^IWST6^gL<{N?dpdq7|24KDxhz}YXd#M2C zD}nf+p`(`qV7?TH4;nIh$pGdHf%u>yxtAaQf&9w_;)8~cUOoWxnLvEdkkQKvVE!*# z28ImKP|?c+VE!i%A2dYtas!zE3d9Eu4ZU0d=05`QK|?|>CxH34Kzz_p(8~re{}PA~ z8UlJ*0Op?p@j*jBFB8E0Lm)nA$meAMn7<3e2MzVSbO7@=f%u>yo|gt-{wfe3G_><l z0nA?n;)8~CUJ8Kuvp{^%P|iyRFn<z=4;sRG`Qb0f|6L$HXz1qU12De{#0L%8yu1MB zSAqDTp_-Qmz<iMUv<aXD1Hz#6X86{#^J1Jw=Od5iCm#YrTn!Hxp7iLoHQ{Gq_%E7l z%fRp@O^;t5vI4-P*LI;T1A|8|E680QmOn~FJ-S)dKtintN;v;N;FoUznR^&Yd-U2C zf+TxQvp|&QKab829tYpqdmQ}1?7?`<<Kn*(5sz-xw>FTp&hh_28o#^?0|QJy$bAjA zy0#1q45iwk9?iC=Y(S%Qoli@6Ji2YK*)TA?(ER`Z|BICW|NkFjWwm8sV2nM?FOQ=C zW%>XA|Do=240jB340Q|%_UOEP%qfDUxhjCM)Xt~7+M&DJqr2FrJ2<5I*Z<BR{4U3u ze=$0Ceske>`EL2$h2QUkOXtTIwtxTschN5PaN%EH9OBFP?`1y&1A|X*afC;&vxi4F zhex*qhexM(f=8!wMz?c9r*llVcMkvhgZ%5gQ!G!^r+9Y$_iQ|FA;Z8h0Rs59IY;>P zsvPj?&PKSP+dJmP<v;)bcZ0O2bRN<U_TXP19OB5oEku;Tm+_Ai|27e&j^GH(6D303 z!8wc<I-O(q*B|0vf6DSi-CD;kwrIx~$5_WW$N0m?oSYc=w>dd@^s*e_-{$4$!T7VQ zg|SnHfuY06>F|UJ*yV2#kk^()=ywF0-_>GK0~T~V4DlG0421`HfJf)$?yLk?!?&$( z9r-=pcD{dc|M&m@j-AImI^Vx2`Sbt3XXkOlZyue;Jvv{#F!}xee|L65>s!Y?pycGj z@A>rqS)b15FRcIk|L@W1T;S1p+^6%OPv@f-oPYoS@64_^?py;_*|`{$0FO6=(krOI zIM&<&67P0S==J#DdccL>^F?QO4+8_k@n%>qaOqq<1uQUwfdO2|cyv2gcyu-|0425V zW{`Ovoy{v47#O<2Vx7%%K<w@{3=9n5$n9Rjz`$U6g1`SKI62r_Nx>r6qdPmGdpF3s z?#&?Ux+jC|=<Ws?@Z$Zi|NkM(&J)_rAVvJ^n?dsY+gw-~SpM0tmgn<tJK&kj((c3f z<NpJX?(Bl@>Wc2-n(oOU@4Z+DG63utZRZO9^<Y0Ab75s*dhHGke+(_vIJ7Xo76EC2 zgcc)+3C7)E)4QP_ERpG+4DvMN1xOIU5*>e^$p8QUeR{Ji8g_$2o4;iq0|SF+w=08Z zw=aiBXY&?NP<D2MBC{LJ>1^Hr4#NZRFx<hw!0=ibY%7B3o(xg|vJa#jW?#KCy1%-+ zLGmx={`~*H8*DNnK=`+LXLR_mGC;(;yFvC?o+w@F(_LMFaG|q<N2j-kNAhe)Y~O#; z^b3^GjzZFzN9U~<3xECp@6!4Gg(aAN{=yKf;035|hUd3f)YK2kUyh8R{MXfD(TX#F z1bZ~T0Y$q<=Vi~%e?Glc4u)?HzwH9e8ToYne<AVn|9>0C(qNCy7?l8zZs&k*?}#oI zj*b=;P>S;C4N(d3>HPj;;{X5uZJ0~7K*~4_zkzhx{rvyGi=o3s#RH^@1EeY&q>9Ob zf6CF<OoneeUwAYg0a+alDlK9UPe9~XkH$9@j0_AAH+5$v^t%4<Yyzb^L=J8O#r5$f zP{IXevCdT>_Z)8m<t0$g>uds<e7p&i)*<qs40XH-lv%*??_b>g{{MfciwX;<2q^pk zDgutcivYv#|Npym?*>`v*z3;d+WLPd$P|9h6OPUQ|GDt{zv$#q@ko9N(yRId6j|Ub z3XZU6pfcnGNU#^2FkCu!gVM)waMA;r;?lVqln#zJgHj~OF<szn-q8$7ZpWKXfYgA} zCpb%WHiMQW9dEt@ovdsA@z0~vM}>pG?<o@lL$3#8XZHng`EdlQt@QwZ$2}%c&5)uZ z;M1F<5&=umpvZ{;5d!?%z;<=GfZ`NXNPq&fdow67yC;K!u)7;%+>2A+KuH?J)CQMi z{Og-Rvfv`3!$l>~r}I0g6b%0L|9?k|3Mj-qy0bI7cY|2nn?YgUJsA|@P~)nRi~}j* zU*8Opg&5bOG80sQflPe$g7FuqbUyCUy&7EdfdjC6^A=F3Oa_G-)IcpH13_WTzaAW> zE*&+j3?Pp}eCyFUN5z1VfdS_07L@`};sTeBI6QvwD;|%xs1!0XF!*$S_voDhHu#0m z&;S3yf!R4n<provfrf93iU!0c++mWAWD}M!(L~q;GWf-cA7GncA-@yEg@%0R92HO+ zhm`s_{Ppt-sMH5VD5%s2DME?T7L^AK3=G)g@Woz`-e!<qZAe9d8hZ~Ru?GqhkTYKV z`wmVNNRi3|ax6IEb%TxR?gk}o%M&F=-IKw|`$8vJ99Giu_j&#O|Nphf@n%p82PN<0 zV0jSBr+YIfWq5S^sBn06GkSD;a(HyY%TZAF^60$(!s;t1YeLG=Z=iBC5)|4sDl9KP zfIMTP^5Vr8kP1*q1S)V)%Th#n6yVx<+_CeVN9Sjc&cBYGXMKB@*lO^C(!g_<&O<LY zfBFBv^*~9wNAKPTq6`c#7JvT#-=lYLhZqCHi|J4{52!e^QSs=!=hFGfrSs>Dbzqwh zd-m?pbpRXn%%}6$iya`*Ze(?Bpa1{&>3r_dc@HE%10>&gv;%D1d$?(+6~W7(FaQ5f znBW)|9N^LU*|YPX$9_<!0n{-v{Px22)BpdTz1#X^85p{EgVQJTZUsgLhFzeJ*-jAQ z2wLgK@Z!&>|NmPKfEv5b2HoBkFW-Tx)!uCr32Hoy&=}F}o$zuiMB@~K8oMAG`8^;F zpYCo@3VmJa*}H8zLA`zmy`Yo<sgz&adNv-LMM$qt=eHO95bZ7=-Qd*L-F*X8*obg+ zPUc`@VBp{G!oiZ(+04TP>VNEZ0Ojh&!yiDQ2I`)Abl!u+K-|mpPyhcz+35ALV_2|9 z^BWIXlvpr;7PBxg7=C-P>BIm39^K8LVyU|uln_Bxtc!|+N9Q9@y(#?l|No9=2XLXj z8Pvb_XgsXJ#K2&9X(y<jcHAML4oZvPJv#5b*#7bV|6L&dP7nbqq`+?Th<1#7dHVw> zS-`3TNPP=(QU%0Gp8G-l8&G#0QiIEYT-Cc9WTD|V!%K!IUs!zrr3n5O5k>|E&)#}Q z!*4IXzXzwLxrD;_#X7Lw5`WL;<4h3q_q_lA-?6K05vXm_{ECTz!I6J^+X7hzhP2M+ z7m$FKfCTgfCTQ}61at+Y#qjd?hyVXQn~$?VjLU_%L_rwTREDJMW>C8J=yXwu@Hp<G zk^u6W#|}^m2i3YD@r>gxDxgqjfVWXV{^`z93Fz`*>gWbJihnzP_q-_m@c)13`xk!i z!4VI2+hI`WG1#N?_fF7ohDWdHemzjiG2Ow(!0^Ht60jT~_HmH5-rWu$_U`xp|3`y$ zf`?&xO}jv%TR|#7gD@Z?p%R54i8&ws{|A+H3@?g62Evqtf})h8^S4LmS5Tv+VHc>p z^yoGH!3T1IEr@zC@g1nxd)ImY1;l>a0FZ&RL8863B_Q_x_b|gv-~Im|8l1%t;0Q8~ z!4YhwM=x*ge^4nW>I<e!dBBuy21u_m$eiXQ3j0$)tC>RI!AzPB^+|Lr!jm9ZgB&=D zM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz91t0-&8alF$DC_j&gJe+?AF_#j7s zc2?=7WESb?mR6X>8^)I;#U~aeXT&F`rN<|wW+ayBgH?ccf{~!UxD2$14z!=AI5U?B z^Fe#ZKwHNcz_t-~Pi|^1LuPSiZf-6^ZZXJRpdLA+G_y5mwgohqZ*u?tf6&l!$;1Eu z?|}B3Km7k6G<IF`=>PvO3=9lU9{>Lj8b4-v{{MdsBLl;f=l}nMM#xm&{Qu9w#K7?6 z&Hw*CObiSq@BaU9VParldH?_a8YTvY#1H@f|6yWanEm1Zf6$o*TR!~%Z@|pJa2?cJ zXJ%jkP5gu0!&nu>z*r%`D9yvpF@X^x4w{sI@ZkS{@cslBcF-CF2GAY`6Hqwa`~M#_ z7{tIQ;KnE6#m`;N(ZFCYWvykb0-9?Fn+w_kHQ~Yk|DeSYAUi-9G~iSM(*N-P{|_Jm zCq4luJ_#p21t&fYM?QmQwjS1AmOkcwCZ>CQ8em}wuo4ai1`h@X2G9umnn(ZtgZ6(j zI3nqF;xlmMvvA~dXlCzW>t*d@>1S?ZV!q7;5;Jf@GRlz;<Q|Ya{xC2wG(7$PA2f%J zFw2oo!=3K{V>8qUrfQJ86u@p2fanFe!-A24;mXth|9e3zM=;&t&bNUX#UX_(*c}2o z$DoCgfkEQc|Nr|y;elxunxB}KU^596CubNL7$&^>|GyD5Am_v<;K(Q8$fwZE+{eV6 z%Lrl%IPr0~@+r8$*bEHd_ynb&7q9>SZw1*8(dWXK0Mf@)22<+8z`&rx#J~{n=Kud# zkoj<TI`V0_@GU@u*9O$^a^+jV$TSgE40OH*0|P@169Yr++yDQqK=!)w2{bd6fa3;~ zCTB1)FjT+&{~xrFgu#PPAb^hpoTfG~F)-A;{r?}l$Hs$CAc~KJ0p#}+ObiU|Z~y;a z2{o&Y$&pW@nc0O;fyp0axq%ZX)Eo-=6e7T0g2W_9@hBb*fzc2c4S~@R7!85Z5E!W; z0Nb|@+oum|;()?pDQKn+y6gqSKY+%+4dsK{@F011P<xPpfdRCj7sQ91>jhfS3*zTM zj%#87w`)M{U{E8Ofq?<EAQmJKYMX*+(1KYI4Le5w)D{QvVe()9{m%#S7eMU?^(R35 z9iWxT3=H6N&_MhXP<hY-WDq|N>ORl{WDp;;a2G^_7W{%}7=~(NSOMMt4w|+E$$3B} zKy5S-A8H)~Xl@_Ge*yI<Ond`0AYkqWwVOfeK<fZNG|YY&4H5(4-~S>0V`P9F4fYSp zFMyi&AIgWt(+4PD3@ZN<%7?iFWF|E+?EEuyGtEFNkr@~m+@N$Alum=vWl*{eN>78* z%b@f&D18h{UxU)mp!7E=%?4WG%)r1P2Bp=Yv>B9kgVJG8It@yfLFqOqJq=1PgVNie z^f4%X4N5<Q(%+yo8@QLpz#s;t)u6N)ly-yCVNe=9oCxt@=VG9%gPn^3I|l=H?gdO8 z?7RziXJ;z~jnJghypmD{Lu1g9BD!X!V6IV}k%^vxi6&GSrV~32N?$AtAOEAvg3>SO zB#|0uet<>`188AAHuazd>`V;&3=7c01EdcmegRF~7`!lpp8-}L!R+@0mqn8B^AADp z1IdMg#YN%gj)25K7_=rBWIn8X1Brn!Xi*Czg9!Zm5|9`OF9)B4i0NO@IbhiQh0DL7 z33^5bVTK$~2Nz^862@lEF|fQ41MK`gFqeUW;U?H$;_z|^CJs8Mi;+Q$0X-kiV*(we zD8ax0J$D4A{s)fpuQ(VP7z7z47+~kGz|K|>0H3eL&(HuB0Qd757#P&R;xJPY^dj(i zS^NyJb1K1OCZI*pIMfH?5Km(SxgTLJj8zI&&(8o$_uxJ_X#4^s&LqG9t5;y^+d$$B z{0s%40UHJe22lC~$<2X^Uw|Gi0g6A6_$IJ8h=q!e;1IuoL;N8QanOM~ptO!^Is=0Y zINZ=h85oRlhzBr%;!6;8=qFecv^rym$DzIghj<e>oq&x%A?7fF&H}<rKP$oJ@G~qh zgeV7(KQJ&bY+}NG9@<%``U}wT2ai`VFfcp@i$lynCRuQt!zKw9=Vu6jngfeReXuyP z2@rND4)G!!;{D7F41!D&@bUy=3IoGz9O`%D5C^q`K<1#A+b?ja|As>xbdV)zvl7f5 zFq)eM`}vKgU~zs1SUmt9`vRT+$^wc<L^%mF#|Nw)#zWABU~zti4bX6g<%@Qxcmg!S z!DE&T3=DI@;t2gP)+!w0cX5a_v10d^G7j;DU~~8xe4*(OJaz^eo5P{ro)r=f0cho+ z3l8-WIK-1!A^GkF^qf>!zAFH$=VyS`yWlZQ1_p*V;P~ZdXotEJ*50iHtA~Xaf}RF; zCuTaF3s%q10IT=GW0VXG3@gCm2>meDQLs2a!v|>j1|HjGU|_fn6`uf&Usyi+2o{Iw zM$qhR*yBYKEY8nx80s(Zcq6EM1&K59U=-deAaR7T2v%@%QHh~mK0|zbVp3*&Nn$!f za#2ZfNoiV|UNQsX9L4yO-1ubBNs7e`@$o77@##7FNr^e}DJA(u#qo)y6%3%G3v*IS zQd9H{u&V%_36~iUIp;7nucWAwAq{kxAn4?~+)9S{cqAV9WIYt6CHVz8Ic2%vX^wb9 zy<~>?c())&U)Ok7KNrwRj`8k(q4BOBP(cruAclB%AAcuDpLl;aw_w+h_z*`YAJ=$> zc$Y{&M_*58@X2<?NXHU_&gTnBOkx0^j93tFl4y{YmYQrCUtF3LZvi^Dt~j;C0e0>j z=!7}YEDE+0@iJjYM<Sochj#EJ?4U>^V+L@jz-+ZlG*3-4OilvZYJ^qP4D52uvnR11 zNC-O*5%=+o;4?50(Ts9jCdezGQv}hDY6PD)i0e?pcu)WM;*yliy!g^$=oy1x^|+6) z1iJusvL=fC@$o5%C5e#ZIvJpc1%eLoL_S~;HBLasS{B5k1fwZ9z`$<6cL*ou(T7;f zG6b6iP9nq|o(W0qpsay<swd84EaT%te4U|*8Wj9scY}|O#B=f^jzdc0<5P<B<1-TT zQgUD?LZTe@2tTe8{oGA>Sb!rL^(;~FshIHeYse5EpHy57jUu>%@t#+SC0tFgCUwYB zrQjJ<&{>*r)A2bE^Qco$0tQWvrr<vYlfW^Y;G;z$XIY}8xcJmO=s}uT4he-E2<lgw z8w5QM)H8(vIY=$Q$q6ZnlVL}l#;1Z(J_9_QjTkTv+Jrm97<=fMV+~Vdu$3@#p~rlt z7J~}7<bq1LnT93|ki$GdN1TGSzylqwCm!WURR+D{%G{E~BnG|Wk|GG50b^z6m82FG zFzDswm!#^Y=auRe6y+DB7L`;Y2_=<g=A`Imra*-poji3xbrD#3Mq+UWgI-EyUU6kE zgf1y!0Lzr678Pga=b>=oix~8ZQgaeP8lbF#oDv2-uvhd7a!T~l^Gg`?N>VFI81&LI z^D-Iqit@q1t(Test%WjDiWuNLh}L*;UWVv^v7y<RK@XxmskoRyFF8LqH#HA5wT!4^ zDPV!d<3N3D*ti#H?i^$sjE0TJfy6)<q!vWOFsQi*8he82hn+(YqtW|9u<<dNelrHh z*_bf>=;z==n=vrupk_0i4Pt=C36SlFjZ?sAbo*iLIgnlu4rgFs`1b#QKFogDI3tXP z*$>)z0#c9e{zL`_2GAHIOh0TK5=Mj0Qw8Y*xe*k0F#WJ`z)a{_^)UUgaZMNv8^;8h z0m2|NKr{>&LUuC4-47cVgwY{Tg)sNS?19mB3=E*-2H^T(<Bl*I+6;!DUkY<S)L4dI z@Hh%&a}7ugHVz7-VdJ1M`(gTF{+|xj?*!5e+Q9%FSA~tQ!p31i`axr_==v9e$4wC9 zz_4*>7!69_P@};Vdi;ado`Av>WIjlN0yM$EXz<z(kOUNi7l$x_`Wg@c&^QvZ{sidw z7L10u7or+E$O}3@6)FH)=YgyrHm(Ar|G);^q3U7wL%9sw(EJY@AA!-(;Djm1$UmSB zo*?^S>45<j;ZXVwTqlHq?jO(=MP&W3aV;1P+SLou2_ezL{}R;wF#8J}A?jc>_#y|0 z5ST=_AGC%DbZ7{4coII&2fKFyT|JBsqd^-zK|u`D51WT*04)STiYKt;NPL)n(3&Ms z+JWhZjnl#I5rN$s0?Pj&Ghq5)@wgi5f0%yQJj4n=kZJ~o2vFccm|zm7A4dO0(+?jH z3;-(!uO))8AS5(-LpY$a2RiWpvmbWfj0aRd%pRBqH0LmY#uY(g1~3Is8m3<x%7;-P zwIDV!4U2n_I1E=n?Js~j08|fw#wws(23Yw48lQ%_9i|sVzlZ>l3=AHi$bm4yB+Ong YhXK^rhujqc<HKm!Im3cz8ql~50D@I@YXATM literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..a0608fb --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 35111 +Design successfully loaded +Design Loading Memory Usage: 20148 KB (Peak: 20740 KB) +Design Loading CPU Usage: 30 ms +Simulation completed +Simulation Memory Usage: 110084 KB (Peak: 159416 KB) +Simulation CPU Usage: 30 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b89671a65ca3f2c5f3cb11603bff66181433c41b GIT binary patch literal 9272 zcmWg2k!R*+U|7Jwz`)?}q)MKdqquQRI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+=u_vmAXBvN9b{KVfM8(H-!~A&P;4;Xf2OI5IFWG<UD!>sZ{-)4O;@18?J^ zg}j}8E$yvJN<BP_`x;uBS1j(}>0R90&eOk`S58(?SV9J_fOla>Pj5FbbxdJnU|?VZ z`G~=Rk%3_YGZQ0&H4}65;)NVu$&OzBj#(KiY*gFxy&_IQgxL~Yv(h}X<ea$+{~u3K z1%<&{Icp{sPft%*zE!IiE_Cp4bxH7Z@XE?~yzD*0;l+v%m>D6e9NzC+E60S)K@x{B zp$2g`FJ5Td*s`djXGPz#WhxhVQuR(l6oAcOVBmA`XJBApgt{H%To7hpKoMr~Nlr)s z6X+5k$Fj_vIddulgTq1w1_oFlz@rV$U|?`yVh~_pVBm7dV_;z5fZE8~+TLi}0wzTq z+L;9y7#v!d1sIr^7#S=Wz$!UmDi<$YWZS|DB5|nXf~w?Q($L<&u%+1+Y&HrHhfZ#& zP7eQstgK9D2Ui$lDt0&VK-F`3db#>JX8XH3xFVQ1^zcIUur~K~*tUR49IoMms^oI< zcg^z8Oz?0>KrlC;xQLm-1QJU8P(AD&i+UF?wC#n`ve;FF;sKOa*_%5S*|yvELTMbT z1)*kh_H}eD>IA8Wv#{u3WDsC*SkEQE;IIKiYy=UTK*VMcu?0kI1rggo#C8y|gG+#c zf!QI5S%Bfc!%|id$;u$W@E;@?z%0Pvu$WbV!GRfM?mCcC2N22XP>+;w8JHYagN$0k zCBVRlD#Pfo7Np4v<X;eGVAKOqAPml}3=9ky0uBvOFC(i0=S(mGHW8~Dw2X_!WdQq8 z6l5p}!>s@RpMinFiWzDp1EU_C2~~k10Ja-l71(KD0^vS%HOS=wGMfP$?xN_*AufYu zY6eC<I1}bFbOEr-&;>z73J62u2o$#HD&S=#oWbA#N&}+k3PCPngw-7k|Apa!rYH(y zLdsu8Pyq}s<iG?{p+`a~imnyP2Nh%j4lWD~4B}8rITtr9?y&9XU$U6fSio4qRzlvy zn1O+TncZO`0|SEuR283>r=P2%w?mezV~Rso1{&AlJSd%lYBvV3E=i~^{)G!W8XH$E zv|ZfNu+nz%LUcYvA0q?9e~3OQs6Ot_zLv#(D|#Dk7cWF+L)0_D)k{Owb1rJ=?*Xeu zupnxg;c8`|YB@ZU6S6WKvNGTdh)Nc?N?E8%9)C~IWETf7e@}<33=|GT2P<5M98?FF zmuE6mJrWb5nhm6y`Tu_dSZs5_+6NAfNK6I>hjXCf08~&ooCUEifQXAA;u46s3?i<8 zh^rvt8kYcrDl9IUnRJ*y7?i}Bz}7+t4si7a5_RAMRhV!MEX`{dG=m9{Bn~a&C|a1A z)R{mSj|N^e4SGz>OyGiw0f!CzC>mIr*RBIOYF#rPJ0#JytOsdXk57vPNQ;I7G_F9^ zdsc=+at6oDT@!chaM-*9999er4!1$pGBB_?+yDjeO%QPlMBD}ucR<8la9It?OAgmT zDIZKi3U65KGBAPLAuuke3;|(iD+DGCZkd1y1_q?Ep&aT)2XKu9Ds^BQAWmao0J$FA zxP&tpm>eb{WorhoZydsrh1eYkrzMB^3=9mgFk)bIxCint$OUjQs1uON4R{Fxwv&N@ zkwpdS0Z^M6)TD%#VW5-^)y}}h1TJuqRDxx|?I}pBss&OPgDl5T1!_w{T2w9I%9?@E zp@)HiK@DNA7!n(7GT1tFL2#oC*&v4p0t^fm=*pNm6*xiIAre%n$1@8su(GKm>;fB& z#0T34iWx`(^UQJtGr)n#z=%~fdeMl^cL0?DY#L~GBJsg?!do}J2$lo5k-}(!u9lgT zpA&=~BA5jj*fh~hM&g4_hPJa{?X6x1Q2U30(E?o^$V3o^n5u<lDiR-TDxz_S)J{xr z0JX9h7%k9MgUkhCh}qg`W+U;zW<%SNu=ZrH1E|@?z-WQ44rC$-gH2_0sA6DX(19Aw z31ff_1SMurVni3@?&xgpu<h(?S=h1&nGKC;bmf>Sd<}~L0|N`ALp%cmgD%u+m}N+O zup@A!Dt0Y>s76Tgg7Lt57#JAPlM^~0oV-}s44{U<Y)9gQO+ut91PhkF(A9$S3kZYr z44WaE$w+*#$+*%Nx;juyfiO6>*o@FjMdE`^#h<>=RfEh0VTjqFRuHtU3(m1fe6ZQL z(igfqkcl7+HWge-nIK#(hQtP&3bqPe5Z0%IckWyr!2J?%S%t0`6y_id4tEDoS;fd^ zim(xE0TLf<D~?nJO~L4@k(*@5YzI&Sg@HlK0%{v1DZ+SQix?OfkaZxl!Ma)5ETNiV zNf3z-HUW|F5G+^{L{|$6b`S;!J)0Gp$w+*#$+(grx;l`FAPh0p8qHKBKG;<JNf2E% zDB?gE9C>UuXl5hv!Di!1g6Qf%t_5MRi@{0I7Gbg&5*utPIEA1K;!T3+ia~aPFvLDc z60}3u2(|!;54IJ55=2*xXy+qX4xpwn1A`W5WDS&YA;}NM16#ttz<^MXV1aeAvN@qS z5s44hjYx0^7A)zZs|9%<guy|;=8R@C5+7_buB3;q4rC$-LrevA<3JvTWfdeo*i`&U z4_!6LTo8ts?TY4NBtF<|TuBdI9mqrw2Ac{_dTt1l#gN!wQ^6?%T@W!og)~&<>Hr>; z0hfU28bJ1eFvLzs@^eSn3bq7^54IV9^21b((TN0g$ru>fJkjhy;uB#Hx@xq3HX0Y) zoY(S!+6YPRFdo=4&}b!QcNgSRRyJR#Hdx|8;)C@fk~o3|OYG=sL4ggz-~eayLo*qP z4>lQBVn<g8G7*F!rh*20krO)-A8acA#Ez~SWG)Cp%nm?vF%lnaHm<~ut`1akgD|-C z1}FAFgvnw^Y_O@|bcikp9$kfuv9>sXhaAC499<d677&Km1WDpS2)n>WBk{rZ;ZNe| zs*wgTkeCjj@dgG)wh%PCkoZK{g{~UCHHyv$w@$S{eOXWnfFyJn4{RN1a31^E3&_2! zY!PVAMdE|?Ba%3R1xxJcYC*{cgds^M63t{JKG<Yji5*=XC^A799HDHWnIe!^VVM(& z4>lElVn<gEaxDl$TpW$&VkAD;Y+Q*QT^-0o5C)qHPV6xVlf{tOU{k><5?v5wf};hM z=Kvml2Pb}XZJ=-hVQ^SMTez_ZyTMi=@xk`vPyFbrQAYbvI1ZpuHwFf+1gL$G#0cYo ztzuwcK+%H20qbXFON45NMKBT{Yz873B3Q5liLMqD6d()^4z?sTlacsflW`?TbakMp z1z~XXvL&OLio^$-ia$Z3s|J}1!Vt4l(9A~SgU!a3Ako!<Oax)Dso(^eiZEFWi48Ut zoJ!CIQIjAl4=F*SYXjK|!VsGwrEwa<Zm?BIe6aoa6C}E7q#_uJ2`P;kv@)S~F*+<^ zU|@jpz}A2U<^MCVvSmS)z!Dr1AFK|M%n&SCf<spe@+t^}y~~!3W-<~VY%;C{hprBk zgh3dRjC0UTMdE`^#h>8NRfAj$!VnkdqM41v2b+y6!J(@InFzvQQ^5%iG(QY2x5bdy zU{k@V09_Dow+dY`D0Dy=9751?FCWb>NPMuZ_>&pBYUKVnG8^1C2PGZIL=Tq~Y!aM- zfe|uFYsbjI0QMn525dhAXJboeZ@X>t;)MtnWNH^8&%nSS1)C@bnFgMEg`0+ufv859 zfncGV2AfO=nFg*z;HDvDh&K&3!45JFJm>;94Ix9kX|U;ckZIr!4BRw?4DqJHCgwq= zf!m63(-1Pmn+BV_2bl&MfprLh#VJCDc++6h`XJLlBd-Y45HiG@2AlQ=nFbnpMwo_> dA>K6DvH*~2pwV%JX$TpjO@k;zCLKU)h5&5B2hacj literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb new file mode 100644 index 0000000000000000000000000000000000000000..0c3cde80a6ced9440d3c97df6f099f357f1d12b7 GIT binary patch literal 3743 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+?`#u5wtIoavdB<eT6j8pApHz4@K_4p9sY4F93P!Igo5p}Bh%pNg`r!lD%& zi~D<e8+jWSE#&R&YiVy)QtIJZ+}F_3ykc<&Pw(R1cAoylymGRF!V)rY1-uJ8dV0Hg zsb>-!0|Nsm$af45j0_ALn3)(EteKdb7cb=SN_O<}cg)IIVWZlf?-g+hBFvWHnw936 zCFjgt`2To<DkwbG%2_k9czSxe@~v9EaG`^Tt4o5PgI89@<7Mv|4lh=Gz|06y<?w#r zS~(_U4w5*82{nkjdGSKq#+F4LJuCW_EmOI`ld5+bq5y0L0|SSHKLY~;Bh>96=YlW; z1Bx(<PjW&Em_U~RIhJMS%$ZXe7#tQdFfhOZ0VN7iI1CI9Obh}H3=Av|c?=8;98jBC zTiY9LTfn4<Lp!qo1A{{gvj77V6C;BK16U;}Y(bcH@xn#6EnpIdN-n6GT%InD;56jm zieOH~ZaOzq4~M5~R&qjyLjsJk!C^L%YnT~KK+!9};IN)cfWct{h}Z}sHgO3sFfcm= zF$*yKcUZ~_B3T&(82*C<1DFLE92T<*FgP$X2rxLT11SZQtPb@^fzQC?uo`648ZH3_ zMpPL_hqWM0R!mS=GBE1FncyVHz<?p((7?dJfUXLhy1)e3M67Di5+53u0qjRnbc6r@ zXJBBkVn(<R&V;!ST>xx1x**tTU;^PjbT!Cn8kx-i4tG&><q(&_5+(zq9-Ikt8M*-2 zW$1#SYyrZMI0A(&x(awchcg%)Kxse}T_MOtjIgqh;XfZd&=f)W0+hxXK#7cD10$#q z0cTV&fs}DcC<)NDLiwOf$gCj*wVTV&G20<4$-^Nz1J2yM!y$?dmJuCpGcYh1z}&~> z=m0C9k(dk&4kthf7?i*rj&lhxn2SM;XI|Xj2*OZ*K|KOe42=Va(_8`!Tr4p4U@ilL z!x=6C1}1QHz+|B68Nek4q`YW><V8@FV5mZr6)oV*%E0K*!@$5G33V3(1A`b68*Dh( zI&?vBaRVuIAO<-+5MW@iKv%}hslW-s4w0ZNAI~hnz{n<runTN75+7_IJiL*DJ~_kT zBq+l(a-yroY6N$4M_*^l!oC%@y^E3A3``ES3=9nDW`Ih2kkwcvL5USCY04?Uz`(-j zP{qK&APw~cCyW770m5MCpbK($bT)U`cJ{R_Y*~cNW?;ms95X7{ut2N^MU@QHYEV=m zu|bwIfQ>^JL=^Q%rM{~JxReG*8oCBhgn=+pq=6HOERxMgY=q6|f_P#QoLs=+jjqWM zY#sxHgD?juwa6hX1^W((4_1iB4hBX}bk$gmKu#gZY-nmhHv=WLpi82q76wK(1vC#J z@d<lC3|%$Y1L%Ty!oVQ`RA7M;J-Q~iH(Eet8rUfejNo#H5!@hgI0edZU=mXK!pb@Z zCU9E_#s$>@APj8@!GyuBBQU|hfK;|?KtscU5mfVoiYS-{hzl4PP#Q-l90o>*`3wvU zup*j)5nLv4!ue1Wz(IsA$l>Mb@8X)_p9yDx%W_7CWMmUzCV;{V-2_&cq&_19132ZP ztAHwC0GkXZI2ah19L|EOfJF=p3~ErngPQ*!pCj?XT460LcvCCE0o;mWU^Im(W#ojh zz&dc5B#@kt<>8r)XfR_4fE#g;;s@PCe5nc4^aE=Jr6v|BSYwrefe}*Y*nz?Z<Tr#2 z#G#yxEuFpXw#|zdB3O`yCqy1pDuXI|5C)kBZb-sS1Brq#OfA9;1Pk3XSfdwY8n~>3 zn}(2qn1?U}!9q6;)*J?z28tAi5Jm<DPJ}4LByiG07sLpA3;}S6LXsl7W^h777sO18 Y=qe!2VPF883?@Jk0u#lc9Y9@E04}F`6#xJL literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb new file mode 100644 index 0000000000000000000000000000000000000000..c0bfbe58f2ddc51099f1c0e9839031a1662d17a5 GIT binary patch literal 3731 zcmWg2k!R*+U|7Jwz`)?}q)MKd!!lz{I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+;+rC-`N0CHOidXL@EO`6hTIh<;rd|4`h=A&P;4;Xf2Ocrq|BG<UD!)9P(s z(cIf(yJ$tn;{KlAM&8Cn3wb;HTH0HclzMm;_cgRMuUOo{)4RC0ou_{>ubix)u!Ia; z0q??&p5AU=>YB#Jz`(!+@+pG@BLl+*W+p}kYbNIA#S1ySk{!ML9kVi4*r>MWdqtdr z2(u-)W~F&%$vJZu{y(0e3JROGa@I^No}Qkre5+P3T<GB8>XP8+;FXo}c-eb~!;2Lk zFf&3_IlSMuR*ngogCq`NLJi_>UcAt@v1L(5&x*cf%TzA#r0Si9C;*$mz`)_)&%nUI z2z5KixggBIfFjJ|lbnzOCeS57j%ArSbLLbA28V?V3=FV9z=%!^0R{#KCI$fp1_l;~ zJO%~^4yX%QTiY9LTfn4<Lp!qo1A{{gvj77V6C;BK16U;|Oy%N*i)>q1K_m{9Tu_zl zt&P3yO|~si8i#6bsA^uV=H8w~9gF)`^fub|f_O3zo}jI)!)zoMF*BHeqFR8#VLg`s zgTn?8u@OXU;u2tBV0H*%7GU`Au#^=<vN8xT{09jJFbgm^EM^s8aA0N-U~pImQVJ$n z9qN%Hf`Q3lHOQznTmlS?s4|QWYeAZ<Kxqwx85s3I6bOS;9|HpehJZr@)N{zHz$pz( zfK9}z1}!0?aT&mV6a^Uy!Z7Rq|7T!euwsT<$-t-wXF^qA2!QQIR|R$&m_WD>T@7;j zMrJdB!(9|zImBhKM9RRZ2WP@uhAseh8M+`Si-0gBjzD3Ht^%It;S2@`P#O?LR|s+u zBdm;M_|FFqG(|xe6O_mpHZXz;6mX^m6G)kugi--rE0hn)h)f#7Pz$+|J+u5X6FeM} zGoZ{F4x4v4q%neWtHW&u1_lF|+c+C+p(Qbb#lYZj0+e(?N!#H#D5-+dH8`_7oC0yd zBqUM8%wb>xmp3pjC~QF(TH3&b!6guwU|>KBGYzP_9T-753KSbK4bVtsz$kn$1Q-|{ z<})xbz=D8*(cvV>51^V0E(S9VoFvdi*%x(mb}a5|fzjaLa!5ut6RO{#7K<QMj=>?1 zk%0lL3Rb8Ru)z!rOf2G1Z-Z)DP=y0c5umV#DrMke0=pGSC0GVrx<bm>7D(9z3N#E= zppq3*zP5l1HwH$B9tH*mNrb&(NNljlVC&EY!9_H(K@JZD7#J+jl`(TFaDuQyB&djt zXBJ>!WRpVJ1vVOq54I1QK9Q0thzX8621al&qU!)_11HET1_lOcsQH{Q222`k8@eEO zM`v?~ZD(K0!j?tIYz9WG$}xj^4T}H+0}CV=WuR6wFffQAvB3@i8;33kt-WBiSc?O= zt^o%nx>8Uuf-rJW$|CFo8;`^X+lfCYK?#He9gGg3(3OK)DF$PJEdr%ika6gOcpLyu zFyQD!*96ZMQyD?&MILG+%nl?z*ls*_K+_Al4zM;*dSO#UGaHEyR)p7VF?7}7I6xP~ zV}wHjs3`<0I?y$N+HN2RILrkV&<=|j7#P&hoQlK;sbl~(YEYXv2%ZDD^}@ht3e&{M z31flv!h#lEP#`%W%fmAp(P+gG05_h9G>yBtqp!1NVc!bd-o?ml21tp9ZaKby1BDs7 zdQiIn<QoT5PEd{ny9`VyfEuJyu*N6@10$q-v;&pOphSz10cmGo;B0K^>}|JgUc3;& zf;3wp@(c_NQm_Uq$TV;x5pEhn2BI2a27-ld8mv(ZG7Ve;z)eHQ5N{f+(F-yS6!8ur zj0_B%2vLYh;KYh9h!MXS0^ks31XqISn!%YFT@coWbkGL{Hz?lFRY07>zyLNGOn@Q; LCW=8jfV!gqrOA=X literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..278288b7293965ef0497e720b7c9914cea5647ef GIT binary patch literal 4213 zcmWg2k!R*+U|7Jwz`)?}q)MKd!!lz{I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+@V`7Tap|wy$XJ?Xg|7qGNG?Pj92JxKy#|W*J?FC<X?G|4`uI!@$7c<(A#= zoaL}O!7tM*!Pg-<(=#i{H^F0pM{>pj7k^hjpT&!Pd$ar<UA;21JbM$e68w6TvKGwW zFk{xtxo`ywGCX|~+!j#XKuHD$22PNd85|fH7&b67F)~;)F*h$>$l;aj=;iO2m9fG` zwLRY};uJ)fEx|P_%`;2RnY-}+@dQ;+Agz_NW@7R5^mOH0wR+(~2M<@51V0C_tc=IY z-ZLCttoQ(;24u3s`+aNWzyw4L%w%Rn;xHj|ki;QOs6E`xix=89wk+!CS<$y_naTy8 zRK3$M1!yrPfF9}zqF)!rKNR-?Tg|}0;^5D~z#s+<1dzW$n1KOBn8hbKAq7mJOMrY0 z_BsQD!$JlI23TlfO(0kj4FiJ%6N3OK_#GHQG?PORvjD??2UZ3FhW`u<Ob!9e0t^n! z3<3-eJGcZGAS8=JJ(8Olm>jlp2{1Tp;}T$CM3rH5*bdTUB@Xo-1EU_C39^%cfdNCn zp@D&c0bLc?i(mq5B33nMk&nh@0DDXn-QfTK85kI>V4=pqs0U}l+=ngzwi{g#6lWj| z2?>x7&{e=wGn~Ny4rEbug&-F(!ioWg|5EUvQ<Q-*AvuMK34dAzCopOxdayx|<PBzm z2}rtO(~yHYiY+T6*})?NOwHKg(9R4>EG?kKbDM#I!2srV7B`P%M=-&_;BW$zY(UA% z;W(E7gN8iRC=MTw1V3j7j|?aS(>M(Us8YVwUJ1U*o>~5x2_6m}86fUl2zQ3Vj2#ZM z5w1ANz`&rP2-U{s<LBX!oWbVfH^X5whGWfDp~{#SuV`jAwh(4uV9<eyIh+HfXa<K) z1_lP07&NIcI2bcBFz8{3f|3KeAUHFF32?pvCn|I`7)1w$0E5F?kZV|E)S)hhy98t> zx*%(7d!ua&gml;l3VUp-5McufB8Rge3uH8~nU5~Wx_IFt+ZG7vunA^9x+-uA@qi>0 zj|_*CVDmMxnU5~W-rCsP-elVXqa8NG>_AruO*rrr<dNZU8f=diHha(od9|8*dlq#p z?px8@Xxod*bJzm26I~Z5?V%+_B(B35ko}P8Vqjok1ScaVaM|my8f4@tusn!_l%cQ; z!@vZt31D1MAp^qDdH^O2PDx;bfdQ%TFo1d&T(*PL7)%2!p%7h9usF<TU|@jdb_Pap z#DR^3i$TrgY;5W5ZMSV+yb!^Hlm`~*N|`zNIYHPV0#s0dEP#~(42<9y16u$WBWwY> zQjp;wjIaP!axgH0ql6RAhZ+vH5nWIqIU&o#GaJ#ezz_fzHH;3)3=9nDnjLDf2r|K} z(`RI0z^VeOfB|eWnBZVw07n9>6k}in=T5MT;bKs2h@eNXppk&Cl$le36NDWinFSbF z9L|GM<04Rz2TeSnwkfEf&_VFQmS8I=7#K}q$`~D*Q4IlW5`#&Dc_bL^a6ts5dIz%r zgD!>{pb$nEWQW=S4jyz#rVM|k41b41jG(5E1IV|!2;YHqAo0NlVJo&67)@cySS?^I zuxbVd22ipCVMx*gg$23_ELxCaLKj^*IF+Caazm}d>SiQgf+GW6GZqIR<wxQiAWLNj zkQ@TlRCWN#AuyRp2QV;z6Pg}cLPO$%4Z>A-F)*6Kl(JgDSYRDk6C1h;ELxC*8eKU! zv7rlsN)C{X;KYV5iJsWd<*_&bIjGT<V|4(it3*u)kQ@S+LAHa+Ay9#c?qqs|z;7ZQ M09A%YIe-Qn0A{SHlK=n! literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..211b0ea38fed7408238f6b7a516dfedddb0b1189 GIT binary patch literal 1179 zcmWg2k!R*+U|7Jwz`)?}q)MKd!!lz{I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+?J+ygeM;Jdzz9oU<HOC-`N0CHOidXL@EO`6hT|&zrGrXIZ1J%6}+u@L^zJ z@N&!U$D(+FM{>pj7k^hjpT&!Pd$ar<UA;21JbM$e68w6TvKGwWFk{xtxo`ywGCX|~ z+!j#XKobTA22PN_85|fH7&b67STiv<FJ8#umF(!{@0gXb!bY_{-z(x26B8pSRMyHt z<k=Ejv(h}X<ea$+{~u3Kg-Nk^dV0F@ty;Zsp@WC3OM;(+S60U3W$zgdFIId2Q-c<u z!cB{9wR+oEH23z{E?UvCxWA{jQCM86Sah?DE)(2Vhxhx|%0Wy(XEMWFh9LoUF<2az zB8ZvROkkIDH!ogj+t{+Gqi03mvSlh4cvAIF!xW%7TL3-o6GXo*jDINZ;}FKcz`%^A z8k;9sK&i;PRAuXDW7jQUH!?6VJNPp&Fu>vj6cHfIz<?sm;**?^0wx$3^kHi8rc1n% z3=9rT3<3-eOIZa#X+VI1fzg4LL4e^u$T`d)nZ+QP-CP0;4oA5J7$78*Lp_p98JHXn zgXE8J2{15P!Q8~as0U|)lNbX7hJZr@vN2FqAWwrZ#87N1;OP_2U;sN>6y3uA{}~t< zVR@V3zd0hgV>1?8JYf@KU~oubU|=wXng-4~kc0vWOHg1z{lWnDAxtGq5FGhn!eK8c MOc}tG1E^R60O0^q&;S4c literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb new file mode 100644 index 0000000000000000000000000000000000000000..85111e367c985cc7f85f4955cf48e393c1401367 GIT binary patch literal 3974 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+{fxT>Tug9g;IWvyyxhJQDQGSzVHstapfFU|{$U1rF{E3=GZPtN5B*+8b8c zE?UvCxWA{jk+*TtLf+24miAU9r5>KeeGM(mD;9U~^e*mg=jmU}D<>-`EFl9|z`L-c zr?;Dznr5*wFfed|{K(+I$iT3HnTe6Xnu)o2@j?!-WJfQ5$E=JMHmdFUUJ<7t!fXky zS!teGa?ad^|BolAf<k4joHY}Rr>CbY->TIM7dm*jx+M5Hcx7cgUiO~h@M6UW%#09K z4)6D^m19EYAc;emP=mOe7caDJY+2ONv!ZX=GL;KFsd}d&3czMCFmO8fGcYhPLfsB> zE(kL)pa`@0BqyYR33LgNV_9a-oH><&!C@f-0|P7&&>|9z%fR5k#2^4t?vTg8z`zc* zowc>S(Y6Ilia4}03otM^v@i=WFflPQSTKN9a==tBUbx7%g%w2NP{|2Z$>r(d2u@25 zt_bE-?52Za4}@74H8<Gyf=OA2*+}kSW-x)cgBxl(YhO#3Z7-OVMKKesl954x!C^g@ z0E5E@5U~+NYyuIRxda#(m>q(c1sMK2EM*0etPBDS|3QKQ%mNG!i&+I29GF4c*MXEe zfJjz{dZd_QU~*UuGHMN%00Sec45PzZkR~f8s3RE|_25i!`eb0h5O8Q<U|>L31x|`! z0&F5yHE1anjmrS`qbRz;|Nk>EFjz4o+y`gE+=ngzwi{g#>@+Zea38uF<P3n!W&nq~ zD7tcp%V4RPfl&|6gt-h|0PHe!K~Nq7VMrW-!WLZxyikBM7#u)pKonge$VH5>;*;S& zFFeo``C&{*fx`$YalpA6Od#cQ5{eCUtx!HFw=!u6LM`M<_RR9nOz?0>&VVv!IBeeG zkj4ng(hj#77#Iv-ZWBN)&>b8x1Q-|`PJ$9LD2Y3q03}>dDhHPj4yQp}FbPTGFjE<r zz{L}c3kqovh89jRVQ_&3CKwoyLQoy*3kPua1jP+Z12l>m&`K*bE(4>(d<F&vSYR+P zI-CNzA5^`;#b73Z69>8|`=XA{j>UZ~Fd7_i4#~)7LiIb;ViAPOF*xKgGB99O0ZKw3 zRbYb|7?@c^p<V`6$Dq0inl?b;4pIlgTuflMBB=z4GJp$XNRiwEDat?rhM@{n5JQUN z7I0a|!06D!z`!7muvZL;4K^8U9l9X6L`OEr;eh}Hg9W-WW=;i85O#<J6_)YL0t}37 z5(vA%MkDdT_CZrBQlbSh!EwjH2o6Sc9bj$X#8}0^z#s`VpA*J_NrP=e7v%2fZ0@k_ z>}y%rvIv>Yz=%~jW-zZ|5ny0ofdr!z)M^F>1~DWy*a2YU&;=1S9a5#|>Hw~QzyXS` z0Th@Zj1-{Y2$V*$8HtUs8C?)hD1)OC9MI^R;OTWLBPb?i5SD^{hr|b4jK>aWOrq-m zYXcFCY;tIZBk@5B81Nb{hOQdyK6F7mMmQvZ8a1F?jjjpQ0s}d~VJ^57WpG%;z`&q_ z=2#>?NG$`ni2`Y;^g4iA77PrGrZ7c}oG=zxEhz7U@-DidKypHshi5jTv56r7ZUPZ$ z8h3L?UuVn0z7@8;i;>w3km3d1a(qDr3MF*)pz0dr8wXQPQ1$@33`{66KtfCvEyR%c zAbkw1hz47)1GpK+z+?(j#ApFyfz>iFFo5y|2!r#57`iMt_R$6Lc?p#Fz&QY26G{%i z>mGhiW=?)ihX_!sl!1X&3f6XJU|@ul&vu|%0Tj^)8HjH<8(TVi+ijZ{FGR2)ZB~dp z0|SE;tbGkK4cumhn}(2qs79E9V4<4^YlDMK1D8E;(-1Pmn+9r`gD}W6P+E5gVPs(7 z1c`t!#2f|&aGZbyKo};7k)$yMz=?<vTnU3Tf-p=oIKn^zAPf@(wP-<X2Ypa*gOVyp x7KA~f$QW!gm;ePKR7MKcfdF|1lnlY10lOU`15t|zUIYs*pdkv8Ne565902zzmwf;L literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb new file mode 100644 index 0000000000000000000000000000000000000000..4ff9a4dc509d1a2aa89b1ccc1c88cc1860ba47ba GIT binary patch literal 3560 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+?_LbS&z!U9_TOVauwP=Grnj<`wo?iVjf>3=IFFz`>1yfx*iyyFbx0+1nvG z(=)?0+tq7<M{>pj7k^hjpT&!Pd$ar<UA;21JbM$e68w6TvKGwWFk{xtxo`ywGCX|~ z+!j#LC{_jr25yiC85|fH7&b67F)~;)F*h$>$l;aj=;iO2m9fG`wLRY};uJ)fEx|P_ z%`;2RnY-}+@dQ;+kgS!nW@7R5^mOH0wR+(~2M<@51V0C_tc=IY-ZLCttoVSL5u(cB z{l2wwOvoH0aR?J?5O?$9g|>|?i#mE%^etPaa)BpR?=(aK*bD{+E(d=G1_nl`+d<9+ zVFm^iVHTg{gcLA=E&*~Z%gmWGr!p`&EM#C{fCU0-ETZxl7#x@w1Q?hc@)#Hx*r7JF zWo0;eJNQE>hX;%TAZIZ$GFUQz)p5Yor6l-eIQX+cC=_)JEMS$OH~?YR*7io*7BDH| z(9SHtz~IorEWp6T#K>R)F_Q;sChOvbi)>rKBo39lP?ZqpB|xYRD5f$qm_SVBgQ*2O zF#+OIENU4U1Q;CFa|tjwYyc4(LBu8yu^B{c;SykAV0H*%7GU`Au#^=<vN8xT{09jJ zFbgm^EM^s8a9{?Ru@0ov0YtJo)Pq6|>Hr2Nht(jX)^G_hFrvyZI;;h0vSNZMWz>T+ z!AY2b0Yku{fq{VmT@^UBf(fvRSk<5<W;8AX*pH&<2LJ!hz`$U|jBp>E33DI10N8GH zL9o-n1j2pjYLN2?GMfP$?xN_*AufX@bOuH}I1}bFbOEr-&;>!+41^(Z1PWVp74UKc z&R}o=r2$cNg&-F(!irah|Dc=$$^Z<EibAmPgOo{(ph5|p@xcUAK|n&ug02<H2WM#w z5vYY+$(~vMnF$^a$r*6w<{b`EY_M$TaGQaF!2sqyE<eZQ1lMdwH&15-b20;i!zoY# z1|@BWlb{3(O5NbX!{H2w3nn2+8)gy%6S(ApaX}#r!q9RHCJZjizyt#WQpl-5UGD(S zo1i#>X@Ev818PZz%41-3n9sn#01E;JMu*c}0t}pRKGY0wYCsp{@bdI`an110gfqZl z#psZXY$D8rS}cN6FiCwz1_lRgDxeA&z$SwU4h9Bh773`QK@~Nq;)13MP{_k{a4~@$ zjieGR11?)3C2WfWxcmYI8HOrE>DuA|D&QCx9eNlT7(fmNCsPInF(fwFWUzJUg5Uxg zQcOb(a(E!Xz+i!{jG0q`6NDWiLB(V|vj77ln>3OiL2g9igYAQ-QKaOWoB@tM21bV& z3=9nDIv5>hV-;P3MfCrF1`Blk%$)q3AnXtUa^)&kP!Sypa;rlX0|SE$)V-WA2H2IL z7y`K-U68w@v$?~zv#(`g%OYep10y&b(UoIH+Zq-D1_l;JHd&~(FpH4*U<cq0CNXr? zV9U`3@fhKd04f|nDHmN6sCfc%fI|zo{8+@mz@UicR3tt~B?F|2hSt;x4xl=ffq~H! zri_sj#saH`c^F*~k82@ei>?7;ExZ{6uHHeV064pWGA79FN@#9J;)7iSX)-`t4hat6 zrT_z@DNGq7CyWJF4RbrXAVIgIYk*h_Z(4y{CCF}Pl7cnX7#JAAEf)rG6eC0-?qP3l zShQksLm!NWG}6FD61q};hz>X@1#9MkYydd`Vgo`Hq7r5@j7G8nT`8zEf@p$}EK;B{ z2ZTXZfD0`<P`rTh6-X3>Au1UdI2&6!d)sZB7cWGxAZ<RF3I-`y8xmw1sBm+Dn}(2q xs79E9V4<4^YiEK?10`995SZH#GQ^t(Yl(tP10^DaX$TpjO@k;zCLKV1JOGcXbDsbJ literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb new file mode 100644 index 0000000000000000000000000000000000000000..e5a6b4f08841504bbca30670bdeb7e9a1a2c9ea2 GIT binary patch literal 4564 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`TlR`%OAceIzbm})J%Q@7h8ih+UQKNL7PFfcH9xn=kJrX)FddHOBzNX}T` z;_vF`vv{#@Z<fEKt5;^0XKzAQf?sb^)`IyPX3Uy77p`DIhNo|W+XAW>!pgwFzytCQ zg99T2!v<z1Mh0sp=H|r<IlPh`z5E@sGFI5Aw�AoPr3mCAem#d1lEua~J+Uo}dZ} zfVFbgOe~(Bp00eWRxe!W;Nj|$;OF3#mGOAldxpb{6(2A&LR2}t-?vtd37LZ=4q-wK z;%;8N(6+H<QAf{;zGcf)F7Tx4orWj?o58@q<>1f2z`zJ~JIJ{p%)o#m%;J-rkOC&q zB|wg4nK^UjR0alzg$xV~ut0#t8H{FNaA0B(U|?WibI4<0VBmn-$J*N7XxjoNMI73h z1sE6{T9^eGn3xzDEEvElIbkXnFI;5X!U`gBsN{mGWJ~t)^mA}!+YF+nVmFl=rZyX- z$dzq3h{B;36sI7}1xjIHvlF=HZrS7jHh%+(`OFL^5Lfd;%?IlN+X2x7wg-zIMg{=} zhxJ?n3=SJW#6}RY2}EoL5nH$f7#Nrxf|vyu{yQvX1(B=_0u28_f&t6|3=WG~1sEKd zL1wH2DRlsmtPb@^5zD~juo`648ZH3_MpPL_hqWM0R!mSgGcfAGnc(Eiz<?p((7?dJ zfUXLha=`@HM67Di5;hu_0qjRnbc6r@XJBBkVn(<R&V;!ST>xx1x**tTU;^PjbT!EN z1)0qN4tG&><q(&_5<LT>9-Ikt8M*-2W$1#SEC<4nI0A(&x(aw10%tHdfYN{{x<ZhP z7-0n~!+%hg10`MtMnxf5_(94iMo<9-E(E{?QlUUXDTA&R$_Hh277Y=oh1?69JKAj* z_cz)uT8Lm989GF<!7{wVZ3YGg1DFe0+&q#U!2|<?!zoa*1tn;QlUxD}8lq4``8zun z*&-Q?%EvU^ToS601C)(3ygdEj3<gMmKtljzA2jkD&T$DaaIwI2g1HO~4(GW97?{BE z2$O-TX8;#ukm9TblAS?uiJ=Nqh(U_77I1!NV07qVU|^7jx{!f^K@5ovHXLjnx*)hT zL^jCbfdB)81-de3P6bX7c8CO(74e|*l}!d=7uaYdKG;4)JR!%~qJ<8pL1hJ_!wd!n z26R1)4zsa}F2N%D|38BTx_)L(eohc}hyb~B6)UKu3S|~xV3S32ED|5=Sk$;*v=E)| za2DZcbiEKqV~T<tjV=muG`bkb!61z8Xhw%B1_lN>sLMHF46u7a4Iog$Ko{ih=xpw= z?d)q=*s=(j&A<qbBXs4Mscj9500RRH6PrBLT9`#he6Rxu#I6{+da(8Af_M#aNC0K+ zS_TFNbZwxf2FMW(Eo=e|Yzk;DMdE`LF`!2!Iv*Cbpx{K;i`Ri*(;2~8ni1SIaX14? zBw!L!LBdKS1}1P@2*w4K(;y6O3BiQHts^kOz<^Z#szd$f0IuOdu7qg-JAi?K0oFo- z(F{xu^BEWzV1*|GBRI=(!ue2r;3z>C<nZ$JcX7?|&xA9;Wh|pZGO~#<6F{+pZUPHT zQlF85!2z2Jr~(GC$zXzmfdTAfSkcVD2#ys_I3KD7>|}I7xJ%&-u$d4iqbmkG2wf1< z$>=Je_M<wP+2I1HW?96*z@P$+Bv^Jq;)At<TXE2qAE@?#FdaZ`GX@4mQ<!o_F&GQ1 z3sj7w3xI<FT@cn_1sm(?0B-y-2r)2lqASFz8*eIS1cw;9Iq(nz73R?3UH~d{LAGLe zf;eZOXH0ZHI7tw24yc%hI_Cl?VLI#pISS-51_lREM5&@h6cQgC<lu;cHn%|$1z|dX z+s_P)rZDA<VlWn17bv381;9a#F31Kp&lO5JfE(zbAmc<=h*dWc5ru9J{)j?XO`J2( zBL<z16jA6Fz@39Hq8QkuV7)sA21ZCL$PQGPfC@i^3?$?^8(TVi+ijZ{FGR2)Jt~Mi z0|SE;tOp1(4ct3|n}(2qs79E9V4<4^>m`Cr1LrijX$TqOO@s9#L8gI|1Kc!(4DqIc z8tNbnG7VI?IE28$4I~P}5VIH<5dK53(0vN)gMv&0<p6|f2pNcZ2s02YG}9mokx2*8 Gcn$zB*BOxj literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb new file mode 100644 index 0000000000000000000000000000000000000000..caf9c3301d2bc11f9e9c943b74a39e4d4264d0c6 GIT binary patch literal 22819 zcmWg2k!R*+U|7Jwz`)?}q)MKdqquQRI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+>(*vJ(6ll;5`Q{orHg5XHd2@E;0no4Z%>^)Bvh=WSfHkhin1rM*>2sfTBA zUqegtip3o~ASs^y#k_K|g2ECqa0R>zJ9>J%c`4J)%D}+D2XZ}w10w^&22LhM25Tnf z=EVy+ypkQg{2jA0R@kVv=X*t*f(WxExMrn!X305o7ydt<pbGNuS~+Vb7Ee!4SH4xN z7cO-0aCJ%WbMVT_c)aXA!{Nn>511JtsvO?$TPw$e%s~=|Frfx<H!ogj+t{+Gqi03m zvSlh4cvAIFLliLM(ocdVV0S=_vt~kzBsNbM$7I*6r{;4cr~TYHkJi=+tWNOD^h)q` zNY3=kO7czcND%$HF#e&q53MccUC^<p%XZO<j)g6&TAFLi<d|33XDKq_3SC^Gwa{U8 zTBh%f;3r3K>3M#kwW9@B*f!fP)LgWpqq(<nVPC_d#e2iK1?<J9T%fhh?8?3EE1G+I z81AqC{kQ+-JV+8^;B)Y2U|?W`W+70n0bvFP6k!&h<b)J3fi3~cGb}S_&Ya4?;INQ^ zfdQ5m!6gNlU|?`yVh~_pa>!#~U|@&Z!<Lod=<VPSr5qkG3V<BJ$jD&H09MBVQ<sw9 zm*L>g2BA>YF>ruYazRyc_$Op#WjZ^!!WdH>+L;9y7#v!d1sFhy!UAG4H&i`)Yh!PF zlWhx>7QwEX2dbLOchRDS4nBS!4z36$4jXu(dRP}PTx8n<CUKY!DzrdYa7jaZ|H78$ z#eE$;ogE7`ZF`|2!f+8;huKKJVP-Ia_=X>9mq3<>r=Mp=mcOU3i)Y3XhXjbg4!FPu z?D|2$4sro&bH^gvUN9+(5)yEm1fe#u_jPnE>a^{J(pXe8G6*m@{NNH`aQF!#eu0SJ zAmR^*_zNQbfr$Sgf`MCr!GV!mfPsP8A&6Ok;lIODRuIX`Ai(e+BpARfz~Hc$Re-^P z8D#EvE>N6;NLGh>P?~`{i-F1E3&^~$TmlS?s4|QW-$0tIm|#j7_25i!t--*6A>h!! zz`%g63S2>e39yM+)u7cMXj}%cA4Sm({{NqWfx(Iy;XXJM=00=*u-)i_V5flzg!|Cd zAXiJsYzA<+i=r!sxD1x585s58Oqk2i1;8#t7X%e4APk8kP}riYfLGOU27?1A4Tz#E z1i6S2)?8uuFANVfMNt?N(hOjya)AmiFGsSOph6TJ2w(zIouV^`O87wC4XT)A96T8q z7{sB82ikaoQVfu!1of*psF>mb*E*6=<*cpkjkYad61|K!fRxZuFqOD#1E@-As7hXF zZD0#F8-<6%4jHIUSk;HK4usky3sujy$i*|+Apt_6*AP&(axk?EA!@-C4z=<ywTmHY z!4wX)3NW=RAZo!B4z-FfwW}a%!4wX)N-(u6A!@-C4z<cqwd|nUuids6N~70l(2!7p zs^)~$fbF)ua2BQxaPjCM0ZB1Zph`{}M96>$Sr8!yBIH4Y0*Fuq5lSFJ8APan2vv}7 zhf1W>$>1Ofl7JX11xths42%w7E9@8<7{KK(LI&&(2F}Ko&fa$0=EVyUEJ!sEk!N6F zkb)&tkZEAI!c9ZSKvW~lK(NqFgC%B=X<$#oO+&~KZyGFtgG>X59^5p94DqJHl0V2a za5RK4GB9u=L?I@D0}@?OAUPq+!!sMvLBtRMx3d@>k{K8n&^0^MVi5$@Zy*aD^g)q_ zO$A66guy0*2~g`8Bm#;ySpEcg2ArJ0o<WF0)PX&NE{NfF3<0oh5YM1%273lw5Ysd0 zDj?2bU;vv8CXhV?D?mV=0cQ)aXAq(g-C)n43u3q(LjY_W#53rc!Ja`E#Pkfh3W#%X zc?MR(fjk4w-eAulL?ODto<SGHa65(ol4sC0gFS;Ti0K)06%gm(@(ip926+ZtOo2Uv z5QXRldj?$)!|fOXNS;C04E7AVAf{)~RY07B%QLV#0^}KRaSQegLKLDK>=|@H47Xzl zAbAE|GuSief|#B`R{?PjF3-R!F_34#)dt8jV7DV=ASNJ6YXl3u+=f+hAk#qgj|1E^ zgbdN9!JFv@u(mmin@6%Em|$RV0JWzaKwUWpF;J}rYKEyp>sX9IB@6*9O*0m7(@Ya; z1h`R#w`ryYQ%SICrVUjI9W1~#XaH>}=s;ETYBl%vEb3U?x1zVvwim>cf$#)v(Yx~o zkT7+K09E-c4pE@+hz1ccAR-nd3T_C%!iT{j5+ngpE(P;4DAK@M;ngET24Vps;t?$L zBmfH*kZE8yz)eHQ5N{eR=s>1{Jp(rlAw#@ru%HE*1_~8$^5jH_LQDduesn>MjEW(E zlylKFgL5vrAgCJ;vJg>qqpJYPf-u-*Fo9It!E*<=1ELR0G$^B*sAHU1(mWeD%^N~B zL&wvg6w+`RG-VpW)IrA6Fo)Hk>P(>O2<IVFm`Z|q$PB6y;<y9|wE>d&po4VG3{d;c zVQRtdOn|r(Q!OZH862`fxr4<aACw^qKtv&kDB=c<Ip%_xc_0GRjE03VgF_BT2&9oo z3Kn*tBn46qX+a}I!Im(vw>K<WvACfRMnl6EBFVrY1q)e_DIohGrXWNi3SqioG@2<a zQm}vr83XnZJhvcZAVwkbFM<ULOo%+FZ3v4TkZItMft!Yqfv859fncGV28%$DY2YA+ zR}Bam;!T4^F~~GfT!C{kIO8H@h&By1D_KKR59U}qrU;fS#SG3;wopSjl0BV$GyNT0 zVT`HZe2f@Zh9+4%sCu?6SN}|h1PFzr-)Rq3%i7nm*tQo;;;IlDK#7~#p$U{;n?Xbi zNB~?Hz`V)e&<K)%sFZ@a8x*c!U7$GUM2JGd6dcg#f*1uNh5*>>kR~*`W^f6KE{NH- zL018B4z9KhEKot70s9`5<Tw$c5Zz$UpbKKS9YX-gGw7PZo<SF6gV@G^DDKf!Ko#KV z+`wWT<QY&bf>S&vLKLDK>=|@H47XzlAbAE|GuSief|#B`R{?Pjj+jBsaL&;5%m$fD zgHl+s7G%oC6{?(>-?oLB-&O?dc8m<=22-VA+rq4XOO-oJm6B}>vl1><9xzpkwk^zx zxKx1}prFYRW@XzJW@TKeykKT&*tRfh;8NucRmIxgvCy^!OycmT4^$-^Xd2QX0YYIG z9N_41m;uWD91gQU8Gbg1m;)l_f{1w_Vm^pi03sHGRD#P1SnM!3%mhh5jF5sw0w^_t zjRThx2vM+okXj5~5F_zn2!LZ0Qcj?22B$`JLCkUjT?NEBI8vh&EUkb%0}d9jXAq(g z-QcW+E{NfF3;`t1plb$u23-)-Gw3QH&cWpwSds>L1{}R$&mcr0y1|}77sPNoh5(Xh z&^3cSgD!~a8FUp8=iu@TEa!nd15T!3&mcr0y1|}77sPNoh5(Xh&^3cSgD!~a8FUp8 z=iu@TtbhP{2Ati%o<WF0bb~#EE{NfF3;`t1plb$u23-)-Gw3QH&cWpwSUCpr47k_; zdj=s2(GB(tx*&$zF$9o2gRU9u8FWER&!DS-I0u(!V8t!SGvMM6>=}e8L^s$o=z<t- z#}GjB47z5pXV3*P`-SK#AkM+%8CZ<~@(idLhcpxrq7dC+&!7upxE(_P$usDh!Ja`E z#Ecnq6%gm(@C<50Aply}!PcU{Xe><y$Y4$oR0H9TXfRAA!H#GMR3+Og(5j^b5H;5U zv%&@U0z#o`*_(SCJDNc=L|__MYyC2)(q(bD3aV$Xfr#rM;s!_*TouFo&){$cBmq$_ z1@j~*zQI~Si5DEb2pLEqBRXdY7J4@g77!rQz;1w>hL9oNG+1DPOaprc+yY04LQDcX z7F`e{>0t;UrA2hj;IxP?2wJ5CvJlY%M^^!o1!1tsU;=5tMG6+1AkTmz1(LB4q9C2n z88mc347XzlfNg`cdC)b3J%cU?T518Z5aAhg6(Cs<2Ad2fkURs=SQ?SgGzyz322C3` zE`;)gK|Dc2NFo6bvpC#lU|`Syt=$B*b=g2`nmjVV)QlY%%A%oWv-5X$EV6A}2%!ZH z!LETc*&*h|z!WM#6@qCj3S(gkm7og2G!}(%FolXxg<u+s!g!cMWvD_hjYVMsOrZu; zA(+OZFcGRyaN)v^Ma|6(%?%xkAX=az0?iE_Mj#O^nv<ZK`CL4cy*wf7vK%}z_*^_U z!?`ndU<4p&!VnZ8?2B9aR)9hpOk*)W1!_K<zh{<%M+TU}VqPj#8AnE@zpJl<M+TIE zMQIvTDL;HoV$X^`+s1`(zA=c8#VP4fjgZA=8L5tbNg1wQ4jvg0fgLabOgqi9p;|c> zIzX1I!Wj$<3_37bho7LLlfj{rfq?-g2Fej2>|o5uz@UdA3R+x*E(l(^2qqjr>%+jM z6}lSeiVrBo;IJ07W{gKB7wS%sjUWuxi!O*%JGD4$1T8}YxdL4kIFCWInn#AiYmnDu zK#L+l(GD^jgu&*c3xd}WLRJ#CIBbHMk5?6FnH_^nJ~r2*3qnhZW-RMXS{ydRY{R7s zRKCDVn`Q{l;T70#1=wsy7sObz;_9#kW+xG9L8}WHWD2p_gf0kK<^o;qlHjlvW(&GH zNErt!>lzn2yaD^B2%8<~f^6XBF$qx0VH?a2bam|D;<0fdjCObnwxbxE9q58=;AJ%l zP|9ID%no#Q?BJ5MaUqO$cn7wl1e+b`f^6XBI0;b7VF%0(bam|DLb-7vjCObrwxblA z9q58=;AK1sP|9H^%no#Q?BMdeaUqO$_yD$}44WP3f^6XBKM7FEVHeB}bam|Ds-STp zjCS}4wxb-I9q5A4RYS1VL%j~WVYZ;F1h21!tg`KO0Ihswkg33C1G*r5oe^TiQLn=u zm@Vk4p(}G?D|CAy%WEM8nt3(UK28N&Ey#Ls1PfI1!sH#4c|dD}!6h$D3{*aWFr?(g z5CxTa=z`!v7fc{ZUUW4WQ@a=f3=aE2r7?>PXn71qX^Ad~QW_tIg%Y|dSg8jou~2!? z@~aLSRv<rvFt}_+7epzWkHBohs|r#!gH|tN_yt`MZNj<5;V8@of+|5vt{G%Nk%D0h zx*%w38g-%?!E-nUvk_evv@L|$Izke0_yo#FkmxgShK47<f-TA<CpsUL4q<8?OcCi2 zCI*TN5Qd~f3{j9f(FMUt5KJJ_A-WpWxmHvjgTqNK0R|?SR;U+1)`KuONuUctrZ(Z5 z8_vQ4kbpYSl6eN1Hf%Pa3qmGD;oBn4!E7L)4zwPhfkmbRn-%DSD7ol7%mQ>(h|Lg4 z`yyN&Kr8(jWI(MpjI@U?h?0LU!0f@R3X*@iu=xUA5E2#8$>s!yi!k#s)FE|);BB*R zY&M_^LZSjXMV;Vq31$PjI@A&dl?N$rz)6h3ybo$C$3h3lbPAjS$`>$M2VX?KfQf+; zBnU(D1%@anU!V(uvjmtx<O_5)(CHi~#o%xiR2Fl{On|x*WFrWJvjVyxbc(0N;U+9x z&{g1QZB4{xI=Ub;WNN6z;TFtvbQQ4n6^w?qvnF9P9bJ$aGNshwa2sYix(Zm!3PwX) zSd+1tjxNXynTl$0xC1jCT?MR71*4&Dt0~w_M;C-nVYN8ig_(}70@j*>(a=`aRBWcB z3o=8dzFHja!AwV20c%IWXlQ$C8aC6>1;LYLkSVhkhx;%a&{Yv%`^ZekW-GcNWZn%r z{g&YH0A??`I?Qf8axdTEGq}D3XA$#RP<y!+I(Q{`WcWKKXCW~`xf!OyAsdmKVPc>> z1;XIm%!w|lhb{ole&~YWoDC)r*&AIA=9&mh5eA3H;C)q~A_QGOPnN$c+<zz>h%N>O z24<N#(4YW$2!z3|MHhsvuz)YMaCLYNiw1PH#6*M4Tx_<Y3qn?EKo@KzIJ|(_h^`KG zV5V`Q!xvCg%gn=O2f845i3en%N3X+6m<{Nvz(YCE5gm^Vhp!;>!2!=;4%%7?s!BPP zY@ypY5iC$bg2_A7AQBQx3>4lV3{FUT7^0v!MHd7o88CrJH|T0$WA`wc!Ql<4VqlS3 zj4eda1yPz8A7CMZt_tgr$r5blqYI)mD?Y-^$Eym`tXPW8baX+`oPn<cc<R8{A;IAj z%zSipkZ~}KfiWZjhaaF=mRW|)R&+tMNr+yD&s+iwoam~+Sqohd>Ja#LQ0V?pqz$7E zpzWBD;cIlw;Nfd@LCoQ6bQPd92f|>J!Q-7w&@{rpz`zC`+5`78!A*Q{dj?z#f|D>i zc+arIYEZ!L1rf(V#AOii5JbEJ5#gYqa{!T$9iOm8#tcm0&C4(@XyYLWL$@x&gu%%h zOfWDYZ74hdjR^<vHdavPfN22v1%$!7n!yBvsDm149w!b&6oZIX5U~hE+yoKx85kH~ z!}AP`;AS2;df;Lp^B5TL?ay%lnE@NEXJ7;m8-mS%ixFuCY$%_B5j>#93FkxggAGL& z#F*v45CBIkB;BBE2B#ZzLCpC?bQMtdpsu0<2Lo)9fq@a+j^l*$p<2LRKo`VtF@^w= z7tl3>y?`!==>>EZQ1@W*0&Mz&ff3wT<b?B~TEJdF7sPNeh5(Wm&^3d-fG&vX1#}fq z_h9h?Y+{Ci5!@o>g!7?Vz+ONX#Bec&0FoEbHG{o?E{N#`bQMtdVDSQM%7}px-0bCq z^PyV6UO*Sba507ek{8f5gS~(*i0K7%6;StJ@d9izi-8f`w&sNMp<2LRKo`VtF@^w= z7tl3>y?`!==>>EZQ1@W*0&JR%fe}1R#0lp^wSc{VE{Ne`3;`rBplb$u0bLMtxdyrl zsC%$@0XEskzzA;nbHe#hEnqL83u3q!LjcJO=$gS^Ko`V}1#}fq_h9h?Y~qoD5!|BX zg!7?Vz+ONX#Bec&0FoEbHG{o?E{M4x6<r0?Jy^T|n-XPU1UH&F;e4nTuout;F<gux zfaC>q&0sH}3u1Z!T?N!VSiAt6u4Q0!_{J^3zzOF=wSc{VE{Ne`3;`rBplb$u0bLN& z3+O7K?!n>(*fcT&qr-QQ7vOxT7O)r41u<NVA%Nrsbj@HdpbKJp0bK>uJy^T|o6u%p z1h>mM;e4nTuout;F<guxfaC>q&0sH}3u3NwL018F52_b<9e#ltohm#63=U=>!Vg5` zfQVWU;mg3lunO9|1vNU6*kJR(zC#z}O7?W|1a<KfvXGbzjGX8SvFhgV^7MCc&G65J zGaRDWKqs_-8rJA05Iv*=HUms3IB0VUFfg!SwH&^FVKHd^0@8X0C?A|m85r0dKy%xx zp#j6_02*q5@xb8%3M(cBetrc71qCKfI13u9pot}P<uGknOkM-E2d0FRiJu>2Ha`=B zg=8|ia(L3fbn{v)CW9OeF&V-_G8tVtyc)zbc^!tyAQvm}gG`38kW5Bb4(}>rn!Fyv zWJq9uOop+LOh#AE0u4nh;k*H>$^2k9gG>gokW5Bb4zE!$-MkUgWPV8Kf?^QDf|?8( zZ$MZ6|33qC+n2*41_p-B2-7%`*kF%=Q#HCE(Or2^!bH~$4=_+~AL2*`21ZkuGDZs+ z3v54V=#Eo?lbKV2(;*Uc{1gM&$y<<|jKl_O0XrF8kR&IgYlg=Js*_>L7%gBdu>Clk zycNmGNNlhcu#?dRNpdo}W_T5Z>SUNQMhh4VY(EYsZ$ol25*w@q>|}I7lAMgL8FVlk z$Y{i14I?OFz?3msz*rzfAPh<rAdH+ab|9P#b|DfUq=f;x1P#6x%_9Tc*a2AtQwk}5 zVLY%dNbw6Qd=)^&0+^w|&je1r3=9sST5T7a#YlXx5zwH4tw)0`P(vSw13MaBFZ3uj z%){A`L>xc|nt`ezP-(Fn>KI0_aWEd(9iS8N!0Y-N7s7^<!HsT+uhEsVG%s4z3?{&S z1s8HKH4wx1K&^rqj%5THVl=u!mga>ZqZck}#%1(gG^26E9mIHa#VpN>7J^*95U26` z(2OUlu4Z%q6{zT1K?N%Ka6ASkDNr&7jgx~`_=4xt!Et~Pg#;8k*3G=&rWm?X&;ff8 zO%PHFv;-Gs19(0iYy(0Rq707>=t@Cn^*}U1NDe93k%k~ofY!G;z*lf1WFTr0tH2Q~ z$QoXVJZKXz?7%~iX`nSB2-6TU5Y-4X5G-`lU<V?COasmGBTPfc5N{gns6>!ypy79f zX$TqOO#?*>2!l)mWk|^KZjcBFL(G9L?*<8gFia4m$&Mia&L@y@PLM_rhG_;T9FPDA z!vx`V0Q_7ZkSqwpRKRGk$zTGMG@znVpo9le%?K%z!3*yZq7Zf9#D^}3;dTrGux${} zplb$u23-)8D?k<^)~chc0Lg+d*kmw)>>1EfGMHyT>)yaI19m$?2BaJBNH|0R1A`Ro gC`wQ~gN}|wn1+ynC`P1X1Pd)iLlh#D4xr<a0VFivh5!Hn literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3b843e27f5c9f942621b9af4de44e4699ee492f3 GIT binary patch literal 6789 zcmWg2k!R*+U|7Jwz`)?}q)MKdqquQRI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`T_7TfkN?rm2uu0Q5eX3pRc#lXPu9|{~C7#JA5+_L+fvm6q#68si;Bxfve z@ptv}S-jY{H_PAA)hjd0vlk@Qo0PR+{)QQ|X3m8xSdiiAo8Y#9DuzfiFffRKyu;wY z$iT3HnTe6Xnu)o2@j?!-WJfQ5$E=JMHmdFUUJ<7t!fXkyS!teGa?ad^|BolAf&yTz zoHY}Rr>CbY->TIM7dm*jx+M5Hcx7cgUiO~h@M6UW5H%o^9p3L-D+eYZVqhjSBNB%R znS&$_VM6WUZeG05wy|YVN6(7BWy@49@TBUUhABXc1r|^cGAO@o-TT4E4s0C*1B-({ z0|SE?)Z-w(f-nOEiZF{$azYB2K$igd6zp9F28V?V3=FUkghe=vW?*n&Vh{iYtpg*7 zW^xE(7GU`Az{()N@SlN!$svGQfWd*8L4d(wAC~|Fgk*83M{*kjlf!N<0S1RXTmlS? zs4|QWdqJA4#G&3`VAO*%L3T1QFklEcG%zqQpsNCV1x$cV#Ht1@V$rw^V2_ES8~p!2 z0|SE<EOZzc_25jH`_KizcB2b|;s%5vAp!CMx(awog)<nyfh>xy5ac38SoUT3FAEPk zMMW4Bk_(ua@TWs?LZU{31{(xPx?m=lfTSB9ZWXAb*s?Mly&e3al*0o?P+~dHCBPtU z0CP7}vLjQnBLjoOIZ$!|B`1foTmlT-sxTu`68th8{MjHB)QF29BQ!K%O8gVDG83HH zAe2KpGsu<}P!he(z`%e|=9!t8;OEQ+p>QhW@LjZMp@WZ~2b_UZDf8lmi$EAdfhN>t ztX*9VwhJMo!)%1RPJ-N}1yj_~xuSOwE0{z!2}Kc0R<dU{n7~k?4K;_!-;v4R5#1Vd zBd9d<;uX!z#uma13=BFjF^5~AoXFtN$-uw>6N4sk1_xtC1_nJ0QBX2Q7X%kJU;>=q z!I=R=4X8MSlxYrYxda%PWK5tAgIfqP8eI^YbfA>OMo=OlLLDfLG02!=vjJTYnzEpj z!zP#w1k{0&AOnYtIW{ZM1-W2J%E1+h>97@M6}ozO%7Zf;u7Dy+#sZsd=z^?^7cR1G zfshW{V0NIZ0;O9JcDM>Q*%F({=z<*n30Ya0&JM0{hQnr<`RHn)xe-b^Tmsu-h0PXp zLH5?h-u5Qj78vcY1!f1jN@!MwQVy5Fc35Mx16@#XNke=8!j|U6eH}fW9Sb#Wdoe{E zw!`d2*A2<g5Ypiq*j5{CwxSCPWO;b{d1hq!d-}R~W-M_?zz}fQ0kacbFEn@G1lwkd z%{FvF*5-~yw!IM2VJFO73{~KA2U_}E2b*q(&2)4@_P&meMV+?2Fxp`k%m#Fo;8F`r zINSi456=FeOwR}|ewe^*4Tser?H9oEAQIAMfK?I<OyCv{j0>t%K^WS;feC|4ATYte zfK*uqK)nNQ%Ye%!m<Es|Kp58Ufzb?X(y$tgfzbg}mVmXv#Xx!(7#P^w8y2lt+|UQ3 z!IhIkEdv7sx=N@92CxcgSk=bB=m083z-Gh6ph{t8!f3Egu-WJ;nV~u$D&~V~7O00A zSisp1oG-uy2sp2Ut%FsaP`wPCjV+zM?Y7N}7a~}YipBz6DKjTOCkQ)4fNEHfeX!b& zff1Y;IN^M#>0let1qG55vOGMq5p8Y^0dP&m=#b37z<{n991!S&Y%uHe85tO`s(>nB z0GkXZI2aflKwf~=#SBaipgaIhs9<NqC7^l{!GmBy0t#XftTJX`bO2>lPB<T`A8aVP zAcog51i+4kgax{0uusti*<sef!vb9eQ~_#OusYlZWy3`b3=B@NM8v=Vb~6$mtQB50 z!5QEr#=yX63RBAH(2QyjSfdzB8q5RrO+ocJx**(CI0J0F!v#=}&tV6%0D~^N7H~kI z3vxki!RiO541cB!e}_Y$W+{UM$k#4tzDDAM4T4rRPzs#0Kt6^kW3+&=z^WM-7(h7% zgu%Im0b+?Onk7hlur^S&48q{-3Njw1fY}1Z0;@we9vs~0DzIo#;AG}h;B<%t1tPj~ za3G=!GC{2a2O@?fA`ppn08#;>i*6iN2k;T;0B8WaqXjS$A8Zh`Q39pFg(N7LVakLp zU@Wj|tig<~0*e;pU`AIC_9eO?sALD(2o7d+Nu;z24rX+DEDk^pW_0CP9RTVEP}2b< zhrkYy?XV&N-O1=7;DLx`ur>>H0Z_gJVQ}8lMVAGK0J<PEBiJZNk%ulxh69j80NpsO z4zQrI1CY}IQ4aV(iUT~+qX1n1RM3Dhq_9Dk1&08-pa`_I0p|jAN%SZ{m$yI{0L4ED zL(%}cELI2PKwDJQasYA~Aj$#DNpXM|dK91wfP4?akPtwZ1&08-;D2NXpi81h0lK^e zx&SEtK^T$-&}FeY-~tO$HbmD!h69ju0lINm9l#E4OoGz@x(;*)c%w%Fx&X-cAPfls zbXl+i&;{F&9e^%Lh69j80NpsO4p=RS6b0xy$Z!C1E<iU9s{>w1lHdRz^e8|V0ObG> zhU5ZtS+E1p1rNv}IRIS}Jp|C@Ezku(@ejg~G=MIP)d3<3)O7%I8X(F6=ZJIw#F^-% I184#Y0J;2_<p2Nx literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..ef430aba1128d5e25aa6c64076050515b32a144d GIT binary patch literal 1047 zcmWg2k!R*+U|7Jwz`)?}q)MKdqquQRI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+{emygeL}9UYvr91^k;{Pv1pYGo9>B%|^l3LG357#O_VviqS57I-9QEO7C6 z_48T0*ta*!-_g}8Gt09Vq_;OIYr*^tGiJ@43s<lp!_zmxZ2?sb31MJh5CQp!!GV#1 zVFNRRH4}65;)NVu$&OzBj#(KiY*gFxy&_IAF)@PtyH*Y&&z9htmFAfx=geLB|9FBb zOp3+R)6<o2)#`-{9XwoJ68s#zvN9eod(UuqvEl=m8nmEdZ(3~IySTSqy}16EPnkIb z6Wk_;_xsk$L3E)rnPINMkbt@pERIVN#7t`@uxq)S7caDJY+2ONv!ZX=GL;KFsd}ej z3ecR&0*Vg?<+rVSKls==gfTEMFr%q}d4?(3(QHTc{^R@_SzwniFfcp#GcYi~LK_ss zAk4skBFy5GoR9)07#Q?nYSGdX8kd2=fr&wY!C@(@04N3p7#J8GSQ!Ku{)4P!2FWZ2 z$sFVoU~ss|CBOh7nH=hoT*JWRa2_OoflGja(F$fS1EU_C2~Hpk3>X3q4amkoRe?MP z!Vp8Tseq?0ID-M~Xi;<v|Nm!TV1(s4hX3J+#EQ*WSm?uO1_p-|1_p*us4j2<heRzT i%s_zxbu$ClCoq*TL8fF!resHl!=P|u08<X2A^`y8`5*=W literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb new file mode 100644 index 0000000000000000000000000000000000000000..6f6574df47eccbbad904486ee5e0c3cbab5b12b7 GIT binary patch literal 4960 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`T<d)rqu_x3Q{U;q1W|IK+0Q49<W|DnLafq{X+%PqTqRf1opSAy>XkK~L6 zF8;25K8qLo_GbAzx_V`1dG;n`CHVCwWi6P$VaBYPbKwdWWO(`}xGkWHA#4l`41yr< zFgP$WFl=CEVq~ypVs2i%ki#q4(aYa4D`SO?YJ0v{#3_g{TY_s=nrD`rGk4+t;|Z#u z09Y$$&BWsA>FLV1YW2c}4j!&934RV<Ss9O)y=OSQSn&ZfBSe+M`+aNWn2<S0;t(d( zAnxYH3vC-)7IpNj=v%f-<pNKt-f4&euo(;t91i{r3=E7=w}YGu!VC;3!Yn??2`OL# zT>|7-mYFkWPGw+lSjfP@01E_IoWW=Y1_vev0R{#J7Kc0r1_lnOeXOnRjkYadQpBO1 zS%87Tp@mt1fr*Kc!GZy-k`tzK@xn#6Evz6Che|G}O14#A3BC>qAZo6|28Y>5rZO{_ zKuqO^s%3BPZR}{a?S;~^n5r2W1Q;CFa|tjwYyc4(LBu950R{$UhahGFhW`#rSwSQ# zg8;*SkYE6_0E5F~RsjYFW{}QxAf*l<lGULeDWDmc99DyjTEiv4z=$ft=&%-~$%+Z; zMg~SbI1`+_7#J`F92yuH7|>OLQxcc}n}}5nT0%qPGJyRkif-`#{|pQaR?G<Z!I?1k zp$mZRMi&G-4NM^1hpq-W{UWm&z~L^6t{mbrSR!O#)Ppl&E<+apy9`|rlm$Q-5=Wr0 zMOOjO({KiZ11JrMqALWsh!IxMG5qI)2bv-%Hz1W4jGzJooGHNsQYIxK*Q0BN@<CaT zNka%~H&?P}mVah~heL7(lsUs;^A3k7Hdyv^xXr-8U;uL;XM-)Y07kGF7#vQ3k}oJ> zI~)fkS5VppXK{y9ATF4MBy5;D3{2oM1;z!1F9<_R6qqo$lmQbA3`pT-40XE$I6s17 z1f~HRu?(=121YY5I?QKaV1Rj=fzjb4mjDANoDbCpj(>DP4lhrC7uO8`OgIA^I*bm< z$R@%}sKp`(Do{c44*HA?3=Y^-fMh`!Y%-YOU|?Wo5rcXZR7rxW5okI9g*Hev2y-!k z9gU<CB+39TMImKr3#7yX1rvrUPzeetM_a%}8Uv$44+8^(1j1f1BsSP&uyyEy;G!7W zAcqG63=9_N%9uG7I6>GU5>zn8GYc><vPmNB0vnCQ2ipftl}HH`#01A51Ea$n1_lOn z9W2d@7Bzzja7Z#bR536xNI|XPgfYN|g8~*5FzABZ9i7b`ww-+~3tJW;vl$q{ZbVm( z8QN=D1Q-}tAfYJ@wVHu}K@5ovb^zEobU{2`28S!y*XWv9nino?1``geKs62{2Pkx9 z5O#yDLgIt%$72;VbkTKy0~SnxLzjV(O%BZtBtBs~#L!iPorx}p$C(ZZpppudsn9jS zvt0|gFk8gHz@UugR3tvwsi1ZOw7KBxkl+BS;29VgO<~FyIbkfYYFO$)7ZgZN$nx;a zMl{(l1i(!TNLoVIjL)l}<_lP>0s{k!Dw1Dt6%G(9kW_-*jmHWGRyK8nxtvIBuugE~ zpbJ9VOR#oRuLGz_#0W0u(3OG>0R<aK3D_r$42%}&sz5~q2!o3WHVq{AAhE$lf!%{H zh{rwXO5sTa#Xab%KyCqHh<h}V+=IkMxCdPjk9*LSf*MyKgTO%u4<B?@Ah&=p#64O_ z?m=RMj9~zW54s>8_n<2UHK{-bp}Ge{6>720z`&r5a1+=GNPLiS40uu?i<S;lGo*Bf z@xb~(eFjA74l3su*^m@)BC)~h!Iq;7;_*MaQm`Qy=@nfSGbcYM2s=bD3ovNuqBtMM zgPFwAybx45EnL(LE~XjTkQ8ttvBB!W&PNx-<9u|b*poN9Dv;Ab7~*_A6z9WuVCO(W zA5_aMS_pGKk^)X7HdsB_`RIapoR6*)du~8i1#&tFL!7S<cm5Iv1_l@p>>Thw0Rtl& zk{~A%8>|NGWOPA1PDWRXJ;PwALQXIY;Do@yA_eOQGcYhhnrwEU<|3$hf{+0_l7X|a zrL(u)wt4YF1Pjt*hRB23JFvbo$TV<o6>b_r2BI2a27-ld8mvDJG7VHKI)pGXFmNJ7 zAtr%C4_y$W^1~2-6m;PBG`ePRErKoxPuB4EG`b3ia~K%FCW8r35JE+zU>$ytXTSwN x*fR)Gh&r%m&;>Evjv)ZH4bnM4*9`Uyx*$Boz&(Sm0^%GTo`FdsXa~^P8URpr{G0#) literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b1fa40dc4cd47beb345e1bbf246949c22ef537b7 GIT binary patch literal 3968 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`SVI;>91^t}=M<mfFu&o2&93=9nap}@YmdljF8t=8%lJ-m&J7V>uXwY0Y? zDfRFy?rUgiUa`1?r+0C0J5T>&UO8DoVF?+y0^WrkJ-yw$l<H?;U|`?}`GLWKk%3_Y z6B8qYH4}65;)NVu$&OzBj#(KiY*gFxy&_IQgxL~Yv(h}X<ea$+{~u3K1^IfdoHY}R zr>CbY->TIM7dm*jx+M5Hcx7cgUiO~h@M6UW5H$|(_pOy<VBm4^XJBApgxU(S2!t6J zP=r~0k`q$E1iA#s8kU(eXHI2ca9GH|zyNbTG>D-T1A_w-g8%~q1BXK%0|Nsa%r<`8 z7G{215r^5#0t^feEzAN8Apcr0fK{=>R4LfDFe~6v#Q{^LWZS~5gi94COqHT-3$r3F zRa`Jt%C;@c%D7Z<!&GV5wlHhpQpE#R#oFGn(6$9k;_xRgR3#fIO*$k%s0}D#!pvX- zN)7@H4$D~u7#voBh?O8>6^K|3BG!P2wIE_0s{jK7lS2@*0K<O=Rt5ov{~&GvvjBqw zGlKww!!nROm}GINM~Zs}CWpl!{YzK{7#LAy7#)^^G+8l0oyx$d2WNuQDgy(CfI|ZV z0|UA$aIypwU=y*bK})r0Tn4bmM9~fY|DS<@!3vi87#Q{7Oql!71;BQr3xd)<2tz^w z<O6gS@N5WYFn|MD6kQ?6MU1cliQzve^@H;@qoN2b6hU#yuz?Yj=E1oUOd#h@umFO9 z<RV4`m;oG+a?HUI&R}40*awP3P#in#1;rUCg29>3VLylqCLys4GlhW(oY!GokoQ3t zn$uyz;M@-;7#NVeB?tA310yJDfdUMs0UGWM&>{dzF)%PXEMj0_5Qpjm72P0RNPMtH zNG^b8h6D$2nr2`#g(+hM#~zFa*1^EQzziu*TEMwP3|$th7I4OdWX~1{aQ1m1z`)=z z2i1vS3s{->`T3b3q(cWNJ9RP(Fqld}eFAY3j0e^MauTHQY60h2F?3n5lh6h6I0-{3 zlLFXDAQGpOB%uz3I|))!wm5)F5HWOFu#?aQ@i+-XDHFc}IFuClnQ%Hu3f)PNV!6cu zRGx{U%YvPRE{MlT7)qHGz)k{@IGrSo?j%SZ(Bc3pUB%F4!A?RK#N#9krA++%3gEP) zfE-FPP)9)05{w6rI7o%l;s7e^L6xZ#tRe;FDsatU$H>6Ii4cY81UnX8P#`%W%fmAp z(K^5o0M|f_4#`LrHpDyz28UWKf~-(k2Yp5yDxeA&z$SwUQ0)yBm4emxAkTnnIIw3B zq7Zdp&!7upxE(_PY#YQg=$gTvK^MgI47v)4a~N=V2IMhtanHaA&Swr_&mcr0y1|}7 z7sPNoh5(Xh&^3cSgD!~a8FUp8=iu@Ttn~zn8Bh*|cm^Q~(GB(tx*&$zF$9o2gRU9u z8FWER&!DS-I0u(!V2wPGXFz!y;u(Y}L^s$o=z<t-#}GjB47z5pXV3*PJ%g?S;v8I_ zfwe_Jo&l8#5YHe)A-chyK^MeuJB9#~XV5i+J%cWY=^1nt5a;0X46Mlv@(j2t2Im=s zC`32dGw6aCZpRQn@(j9WuxHQ(K@Cihg^1D&T?I%Mguy0*2~e&Ai7+rQNWoh5AkTnW yFAgD~q8T9yQ3v)6x*&$zF$BQ2K}s`p&0x=<3u49$x(bMMaKsEu5<xqF`rZJ!bq%Wk literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..163fa91db73516d0d4a28ae1cab8a14c60f5b80c GIT binary patch literal 6639 zcmWg2k!R*+U|7Jwz`)?}q)MKdV`J)?bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$V8Ew)v#)mpux=gl4Cnar!6K5>X*U|{$U1rAOO3=Cdw+5OI04htPtr)Bys z@JP;B;NtJ<=d*aRZ*P{rqpMeDmS=B5R)Sw|Qr3d`8)nR!ITx;AL58Pqg4+Tr86(5M zz`zdj6oUgJ1H%RuCPoHpCg$eF3pu=!9liV=vocoLsJ7>OMVx{Nvn9A@rFmw_Idd2O zKc1ip3Wl|E)=Vs(o}R9Jt5z>u=-}b%lHljym6h>$*?We=ixnR*GeT53yx+H0jtQBA zBo1Lh4dQNIywJ9>Wl=}ZioRvbR4(wO>YautU?QX+EiTv~A$%kF$<bSSo?pODU|?Wz z@MmCP5QBOh<XaGCU_cRO@kvfd0TbvFAish=%)sEVkb!{#7KVt3N3a+e9GDmcKmqK) z2%?!Cf|vyu{yQvX1(B=_0u28d7?>Rbm<1Rd7PAU4I50B^FgWbt5@3LktPb@^PGw+n z*vTcp;INBJfPoQJhS6a+NRyQ~)K?6QdT=JldIkmt3;~A*1_lOnRbZci39yM+)u6>R z8kYg=M^SWx|Nm!TV6c)vxDU>Rxer|cY&W_f*lAz_;XZUV$O#CU%>WK}QFP@Hm%*Zu zfl&|6gt-h|0PHe!K~N$BVMrW-!WLZxJX66L3=W_)Ad0RK<RV5`dBgBu4jyQV3NR)l z!!j}B$`K@FJ4m(wlVE~CE(5Cp6OhEsqoD+K6w@M4rbROyW;275Zwn|*Ut(ZjFo3z4 z#mytx5lk>JI9viHWKcqPxX2~IprH&iVxcF~LJT7`RAADJJ((6`N~^-8S9mh5z?4>l zNw4x`T7@aC4wGK#$+QwvS_3N0;pye?=<A!{!7+2z{E2htZkU1W)7uOT3>unHrHl(1 z4Ur{Jf+V$|lFY5`jm)j>f)4G7a02Nz*M%uqyrP-e*g}|rfk6i*=5QC3y%`)j85kH~ zV$jsd;9$(iz@UdA3QB?Kg5UxTOn?g!a5_d;11&V66obQBkj)%2`cT)wZ3UT+F38Mp z+XBK48$r>FO$9iOcsg7L87gCd%}jJbW(C_85O&xEGZS3}6DZMmI$Q;tX^72CbU|h% z+ZGUZ*bFlhT?G>;rFlAB1Dk1t%}jJbW<}c;5O&xCGZS3}6DWClI$Q^vX^hQGbU|ii z+ZGUZ*a|ZfT?G>;O?x`r0Gnxo%}jJbW)0gG5O&xGGZS3}6DT8iI@|=CX^PEEbV1hk zj)k@@5Yk~g%v^L;h#UmVN)ETcc9>za16_~})L3#zfKm=SV78#EV_e9%(BU@7Tu9P2 zw}P6^ytuy+gc%@ZC!|RME{LFM+Tk~s00S2bOg)&(z~Jx)T-|~aGfW1mo&lPb8y)U| ztYdWOVPIgef!fBvz`%*b25SN5H*`Vnj?U%|+s?k0g)NJa*$j+Wm800{u!co|fq?~L zvn|4AF(fv^W^_Ru@!{|QRDRE4U|>Mkz{;e+ufPN$9Xdb-d?&L210$Oq!e+3Wk@#TS zaahE_=m4?>T{SBcKR-VcgoKo$jBE~QHX!lw+rWvg66`>9L4o9iEDz6YMC%np0PGM( zF?5Z@8PDP6>F?s2;hza-I7G35+Hjymg>EE{zyj+46ABIqpa`l3^-K`K0uBa7Fv-B+ z(84Cb0CqB%0M)<@3=B-*S_GV|nZWH*ht;4=bOn@n9Y7?cQ3+BF?i4VATf{IfsBHtn z(DpD)7+jlx2?hqFHj69NW#D!%ILu)hpiX2!w2~1l22O|h3=9mg1_c8nxT4^M^Pzgd z=AjE>L>YzvI4l?)l96qInFtC^bQ4)&lKPAc3=Y^-KouYbH3x&k3@qj|In2f)%81uc z3v~A|b1HCxutOv$>tQ!=2^MSq|7XBr7C$F5CqJh{1jsB<US@I#1u?+{C=y^zG6qI) z&B_VqLp=?S1av`+Fvk!;iUf4c;Lt!9#Eb-V6;StJMgqD*NF<<(LLvb}6l$skx&$Z! zKo}7T=qeZ;K#_nh3W@}DF;E16Fz!fzHGCNu!A%iPI3Mafa3r7$VuU${08%8NYX(OG zx*%pGpsRqo2Qw1T6+$8bT@(@t=%P$eQ!UUXKoJ1Kh)6(J0f_{3QBWkHi-95lgmFg# ztb4)02yVM^!ue3=fg=H35F^Yn1dt*DT{Acm&;>Cg0bK>uJ(!Vzt`HIl=%SEFKo@0( znreX|fl(ZxtAIoTx+o|T(8WLz0K&K<0oI9QU<5aIIpKV$^T3gSE{GB47y?L<fUX%F z3Fv~Dk$|oO>K@EUKvxKf1awhIB%q73KuxtkmjLAg5Juz#bQO?DKo<o?0=gI|0zepd zB*41L42<C30VkXfbsjhp&;>EV976yp63{h+BLQ6yGZN5MK;45G3FrzTk$^4=i3D^} zn6(z@5}*hGVMHXLtAIoTx+o|T(8WLz0K&K<0X87PzzFU>al-jfPlF=?T@WM8F$9nz z0bMgV63_)<g|h>^b%d@0>K@EUKv&2JZXIEYg6dIpQBatni-E!mgb`uJ2x-iK+A**} z83smhuaFbYhdK`&T<C%rp@SiS6kO<<!NG+t2unPO;6hgcbq`8#F@W2{u%ROcMsQCP z>|(eWR2yewOJ{GpZS&%V2o_|B$pT#|D5-)lIJq)A+yiw27BMg|SU}tCppFHoXM)5B zTY}Q{WME)4g(+ZkXhzizRxSpU2J?tA)Zu~%NZk%*0R~+R-Jk|Ix*!+SY;cgEOEP8n aGiCTY9AX5Gz&rc}b#tJ~ktqkzR1W|xk$_tO literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..69b58764c532e9f5bdd9489eacb3e82eace5a585 GIT binary patch literal 1127 zcmWg2k!R*+U|7Jwz`)?}q)MKdV`J)?bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexuyxZczZawc_ceJIA=L5bXc91=_?(vUwDo2<-02Xp}@h3fq}uxExRACWPwL= z#sU|AS3jS{i+y{u{2g7rGP68;6S5NgdXusi%-=9$*37wZ1q(7feG}XkP{|li1_lOp zkk1$#7#SEgFf&*)F*h$>$l;aj=;iO2m9fG`wLRY};uJFzBgo%t<skBG39eabo>_9v z+=c&-C#b@tSUf#FUHMk6UbxV~!__6h&%rAz<MFch42KshK469#;P8ImS~-|$U=Bn# z64ROqY!G+z;)S-2EsHvOR`e}frgDKNRqr%Jtu+%`z;iY&wpFmzTD_v@%^l;J%&VS0 zVS>9D$zU*(1c!jtL#zZdnFzTX%~@=aG;kyM$<bSSo?jfo7#J9s(bOQE&H_p~-b{fj z>|X>P2iwQM!0h19z`y{DB~a9WFaraMFpE!eLJF8*V9<xD#gZ1W2r)1?Ffj-)IIQIo z0Hpu{1_nk4Rt5ov{~)WGK{BgBGW$Wqc`gA41_uzy<WP^~Dh4Kpvmp6%TmlS?RxtY+ z81>*xZ~|jszz}d~KsE-d3gkf$h8T)X1w3`a84O@Yi=tcj|33o*BP{PS{P#g5c5KEX zVgkWpU~otQ<yWXqa3+B!2ynQ80tV`C2C#3upekX4;7A1%4hKQu#{i}rKt&7y+Cf9> literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb new file mode 100644 index 0000000000000000000000000000000000000000..9dfbd452486bc1e4e5f809b8c2a70f3107bddbf4 GIT binary patch literal 6268 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+=ugb}U-Fu%)wOQRcMoywj#@F*!srFfjaw0tY7s1_m#;?EVbT<g5%=7tiDc z9?2OCT>M@Ad=@YE?alIcboI*2^6X8>O7QDV%33gg!;D!o=fV{%$nf+{a9cnnV^|p& z7<fURVsKz&VA#OS#K>UH#N51iA%|D8qnE#9R>le&)%JX^h*J<@wglI#G|wzKXYRuP z#}iaR!LU}&nu*2J)6<o2)#`-{9XwoJ68s#zvN9eod(UuqvEl<}Mu;kh_xsk$F(Gr1 z#34+mLEO!Y7uq(qEb8c4(YI`w$_1WOz0(i{U^5sP_#ONi7#J9#ZU;FRgc%r6gjsx& z6H>qgx&+9vEHh`$oXWu9u#ka)0Tu{|m_x7_7#x@w1Q-|?xE=Bs7#KL9cCxm%H`=y< zNfC#3W&s8UhZbf51|}v(1`7tTN=}%{#S0hNwy=Uo94fh>Dp`_K99%)fRO}{lL)Eb^ zYHqM?0h1yQv$5ID169f9nC0l@;0mFpVpj`_eGq0_<l>p^kN}}Jpr~bLFoF1q4`%K{ zh*~g(LoGi{?P7>pFoi=cD15<gSOHNBrf{eggqgbvq83cyP%8veyAq-nOkq*W$RNPr zu%1hR!C?c4*a#vvfr!l@Vhf1a3L>_Fi0vR^2Z-3oCBVSI>=48(!0_K;DJzI%We{Na z4-yPu7GQ8#%qqa(zzi~c9Z0DIh-7uBM@qX4Ob)9-My=rzU|>X*VRTpv(qzR1^#lW> z9-Ilzu?!3t0uBuf3=HV1z?l<FfK9}z1}*QRaT&mV6h$}q|9=Js1}kQS``}EN`_Kiz zcB2b|odzZl?n76DTqGc~8NlH#imn{uGFZN5VAO*%VJ<@#0J{ub5LBvwFeHvZVT-N; zUQEIn3=W_)Ad0RK<RV5`4Z`qW6dq`b;xHzp2xbJ8!QgTZOdyqeBow6RTA_SUNycaZ zvydBJQQ0;ivl$p1&V$k<D78AA1EoPwf&~|Y4i`XNFbPSmFtZq#z-2Ft3koz4hL*fA zVQ^^-CKwoy0>c3s0uJDO2nuGH254+CAj)F|i-CcWMH;FTR7ZpAFKB3ioDb8=#RQI8 zB$Z$paM2AZz*`_$A7n3vDp0WvDZE?2Wdj4FLk|N3gDk>cF(fwFWUzJUg5dHW*&v4p z0t^fm=*pNm6*xiIAre%4#4`&pu(8P@>;fB�T34DY2micY*_`v|?a%n8ConfUc6! zVK!FLC0IoN|7Wm3*U!w!&k4c~5g^xfFoTM$P>>tt(VU3Hhd2>jQ6#WIC<jnk3Uwm7 zN{ACNML|wP7X>*HT@2(r5XNw#0-6(%_z)+8>!$=Z2;~4O>7h<UR|#<<rYOjX=%OGe zqKko?2f`RmR77(k5+CA3aJ87g2B924^$^sF=qe#j#1sWN5nUAIM07Eb^FSEGiArcr zMB+o72(FD2*dUYxsFs8}5nUz3iI}1wC!&jjoQN(4avlg{I8hnRiAa2i6Tyvu1U3le z0ItI!*#%uC#EF=qASa@Wf}Dsh267$<qdSq&p^AZlK?UkgP8b91I#59dDu~bpxjQ<W zJ8V1qS{Ak}LS{2Cg3APS<(Q@08WsTt1{O%^r3$s0fq_8`i4ArD*f?}SP@@#wGIezT zw~fGM7rG))xdp<AvWw9no`HeE7-1XOa3nt1MsPzG(wuE^0JXZ<wM?K|7#-#?FfhP) zVAY`JIuk!XKNBmMbO4t^Y)DGLb|CS=y0Dj#pn%3uiQHs%bpSO0wM<c*1LJ`W20KRq z>>LmYbq<meuyc_3U|rCH5K6(yLy&VYRAO<C8H#gYJg~uF=kP0lLq~z13F;gqC1B?u z@xi*Fg&vfGm3<)RV5r369CH-sz<6MT!Ol?tI|oEUor9zV>>MOMSQoT_gHo_^4&)pR zl~|l(f#Mt(4{R{lIsE(z;IyLv3mqgSVCNw5!MdP@8I*#RX&~odsKnwNOBCn8cwmFU z&QSn62Sh?c2T2LoIY@l4E@;68rC{Y3$T=7)u{g&H?i^4l2IGMZ29HrNFgnD58ud_R zAPKO$Kn!jvSnrpCff3SXvSVam0J{<)15(Mrz}eW++1qa0ym%pk1?km7<QW(kq+mT{ zkZIt47u+<23`8};3<L|^G*~YhWE!}Tf}4hrA>K4tUmIi^s7!JInZ}6_g_s2PI=Y}h zazd7eXEtIW1VaGaxn^`o28}8p1vJP^hgvLxpnexvQXdrD*i?XIK^SZ@m;glxNCXtn zu;BoZXFvrS#4`v{h&r%m&;>Evjv)ZH4dNMe&0x=<3xYaEAPXJfo<Uauk_BO~$zTH6 zGoWz-5C(Y$)Yf$fVPs(71c`t!NFNA;Jp&Q|VUPd_W4Ikd0Bjq?Ga!v14AKa~V9$UA zKo}$d!kC@`$$~IQ78!$01{27hfeomDJOgSKLp*~Jg_r>L47wnO+c5;dwn03Dt{LnZ zbU{qdpsRp5hk*fXGMGU23~a;)<QY)=8R8j)C`32dGw6aCZpRP++XnFrx@NFv&;>C) zgRTPN99*7(4M~AK18QkQJcAI0=mvWRT@b_V7y?M1LDvlS47wnuXV6tZoP*0VurV`` zXFzRoh-VO@5Zz$UpbKKS9YX-gGw7PZo<SGH^bEQRh;wjx1~#Y%@(if;4)F{^6rvmK l8FWDmw_^w(c?MlG*fZ#Yn4Upb0dWov&%h)Rv;%0~0RUHNX&V3l literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb new file mode 100644 index 0000000000000000000000000000000000000000..28311248a60df9d1d4b29f788acc7f53127c4926 GIT binary patch literal 22373 zcmWg2k!R*+U|7Jwz`)?}q)MKdqquQRI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`S*T^y5Lv!0sIk(~B(=RAif1_p-zP+;HOy^61+vtd!oV&29@3wb;HTH0Hc zlzMm;_cgRMuUOo{)4RC0ou_{>ubix)u!Ia;0q??&p5AU=O7*ibFfed}{J`MA$iT3H zgNc#Bnu)o2@j?!-WJfQ5$E=JMHmdFUUJ<7t!fXkyS!teGa?ad^|BolAf_%MJ&YFqE z)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLGW=4oAhxhx|$}u5xki;QOs6pJ#ix=89 zwk+!CS<$y_naTy8RK3#>1<bhglVAzh9T4NJnb4w&!!yg#Hz6z2@$?gh<{#YwpJ;6% z=faLfix;+Zb}Y)A_MLaybS)-Y+sNnT>gSm4keun6mE@b?k)UVJ>XN)<J*}<fS-Q$$ zA<R<I7|zM>&F{>owWaJmEBkGmJK9TIOtqHXsoM>SdIkXpe+C8yMrhIprFIZzU_cRO z@kvfd0TbvFptR01bLPyc3=9qn85kH~c?g=%p%epy0~3P)0|Nt(Lmt#7P)1{IZEv(~ z0h1yQ?aTrU3=S>K0t`${j0_eGV3k}@)vSvbF0yR_lQ>jzLsjxFX=v|X*wSnZHXDV9 zLnjYZCx?GRR#v97gDZ?N6}y{wq3YQdxp*c!BtWPQ4zrQm&&*%~aX%kS?LvrJFoi=c zKTPdnh*~g(LoFyQK_SSt0-_d7;ZQ3GGj|n4EttZgRtTncB}6Tl!l70es+PUEW07sU zZ7-CTMF}-{NQgjHbM|#~Eb0WQhqJKgU}O+raQMh2z~Jx+M0^GjUqHlH5b+H}d<PLf zK*UcF@e4%!1`&U_1Q-~Y9fFtz82&pfWd)I}3<3=QL4pCy0t^m|Sp^szm_ast04a3< zk*p5&NZEja$>A-?sCQff42-BUj1KQXnyi?h{$XI$gEPT(2Ll6!fI|ZV0|UA$a5VuY zz$Ri<gI0^6aT&mV6h$}q|9=Js1}kQS``}EN`_KizcB2b|odzZl?n76DTsa}L8NlH# zimn{uGFTD8z^Dgj!d!+f0CpL=AgF)>VMrW-!WLZxyuyYv7#u)pKonge$VH5>rVGP= zad@C9O2U|s#sC|Yi)V1@J8I^>wQ}H)fHXnCO!8VU5Utd05rNGF6Oigl*1?m3fk7IY zFyPH2ID-L_RG@wl;uc_F-~!iTvQRDHnhtw?X8@@$<X|ds*LP5r@=%p1HCzh{552yF z>QsR0gjI7m>pQ4=MW}i%PjG9=-_^kt!9=ekp?Z{{dRY5fx@=p(Bo5aoLsfElx;TOx zVGaoh<_5I71ZuhpRF6QGzo)Nfa#n_`i)XUGr$Yj|01o}CQ2o#v3s=nrwM`AG8eU)Z z!dd7wmjR?4a}b8ay(qT;gM%1|5C;(wAVLyENP!4x5FrC1WI==+NRLA$QVL^m5CKU* z43mN-A_fLV2e83*j0_Cm@)02ev7WQBrL(u)wt4YF1Pc<75P1d$1}RuV1DOVPA>1^C z3`8};3<L|^G+1H;nFjVM+%$v?@utBND9AK$n88g$$PjNDEct>=0|!3bG=vQCroj?6 z$TV;)!c9ZS5N{eR@q<hQCx;MNoFZh1Hw~68K&FAy72Gt04DqJHG6~2uZ~}#!hL9oN zG*~7AnFdPf4sg>DGDMpOudoeZwKNB0Si!*&&R}400F}Vt#+`#8C?A0eZ%tTUhIcg) zolY#pH#fNW)`n^#Tzu=mR1z${b)hO*l2aU9K?IJ1R1d0-by0JJZ3~zbLFsBRGC+$d zeW*${$1F!L2UiF+6}wtcIR)-M;OoLb%{7FX3+}rluz@M`E&)`n5lk((?~=d<rf{e= zhN%VjT@oNY860X&U~0jAmjpI2g+r|=Of9(YlE4P0Fx7$+n}aE+(B*b82c-`S5Mc=- ztU!b{h_C?>wjja|MA(A}2N2;1(g-fCVM&U?!3-n;F;NPZW<cp3Y&yK0K*)fdz<?-K z5iIob6_&0*rh%OaHw_^}ylJqs2Qm%pU$|)q8RAWYrBIM*;D7^}#)%Mxm;|n<&;<pO z6S6!!vk}8&7y{tr%jl5Iz`%g6*`XGTAgC_{vd}>vRFGm*0g?q_u*qP81H^@3DOe@~ zc?O&Sz@9;fLX?3$gD!~Sb_@ZqZ4l3(YX*A;U62)G8w0{K=qjKJ7{Dfj31rW}ayiH| z;Dih+b2$;B5Zz$UpbKKS9YX+Y8^kl{n!%ny7sT`ox(bMM7;tz7Rt$kW1J00O&mcr0 zy1|}77sPNoh5(Xh&^3cSgD!~a8FUp8=iu@TtegaS23)X!J%bR1=mvWRT@b_V7y?M1 zLDvlS47wnuXV6tZoP*0Vu!0=q8E^p!_6$N4q8scPbU_TaV+bI523<4QGw6bto<Uau zaSkrez-k(hXTSwL*fR)Gh;FcF&;>Evjv;{L8FbBH&!7updIntu#5uS;1FH%_o&i-@ z;6@iGLKLDK>=|@H47XzlAbAE|GuSief|#B`R{?Pj4$r{beBe&F1+<b!8^A~7VrkK_ zf?IS}Q0;_Ubk;DH1Y2}AP?d<@t1E(uqjhHs)dOzV^@2%RaJa$-!kJ;MJ3E+4P=~q~ z+@Z$R`K$yrO;{bOL9LM*5K#*v>Oe$2NDAC)fdwFgLlsB@qDKlAE}#Sh)(@)w!07`a z1BoR>TLr;FZ?(X}4rChGA#l?WGQ^t(3ty0FU?0IvL&y+s8Z6{Nrh$V7oX-)W5R<@O zM;FA%2^a$4D1_9F=$gS<16>fa)q<`9;v5`VLkgBSK%N0bF*u)t-Hwofn1F~Z1Pd+N zP&1k%G~ID8TG6q%VNpYWPj4eK8%q{r2WK&7s7A>2h%1D`(H(JtsRj2fTp?Wy9BN%* zYQcRAS4bBFhgvt7T5uP`71G7Pq1GLy7TmXRg>*4+sP%xV70B{;OwLU3aPUla_D%42 za77oup&!%}1$Dm=!)OTzCgzwGIO-hwL3x+mVInBIP682=LBtdgF%?8i0}<0fioh8h z7I6#?6F?FW-BPev0i_hMNuW%`i4X-_1sPRB7sN>A7y?Mi9$hmy*`o_$)(Ge-AkM*+ z>|x0U<QZ^?fIWi{h3E$719U+Qw_^w(c?MlG*fZ#Yn4Upb0dWp4&%n|($TQ%W1$zb| z3egSr47wnO+c5-?JcF(o>=|@HOwXXJfH()2XJ8o*<QZ@Z1$zb|3egSr47wnO+c5-? zJcF(o>=|@HOwXXJfH()2XJ91*$TQ%a2KEd>6rvmK8FWDmw_^w(c?MlG*fZ#Yn4Upb z0dWp4&%lZ<kY~Up2G}zQQHXA^XV3*P+>RlD<Qa6$V9%fnVtNK$1;jbHJOe97L7oAX ze297vAp<c1QQIL{c<VhMXc3RHR0D;BrRHM+*L;3Zt%Mtwpp_S(dH}qx0sHC(=)hV4 z)J#N+l5pcP5UPj6(={tOA;Td7#=tcgwjWe^us9q9)fb0A#9<I|1SATsQea`i;BWvW z0Z}dm^DZb7z*<2m3Y>rtGDJs$6f8(Urh(l6Hw_^}ylJpt1DOW)4BRw?4DqJH0u*E# zC{)17jT0dXF$wH-bU}=)f+2vEchEJ1^A5ToX5K+p0dWqFyo1`x3xOsT*cu@ijU|n< zfzx;xR0H8O9u8AUumcqVRmqm@<>}|(%C;Fq;pjL;!qjGi6uGkP22nWFf|eM9av7Iz zN|FQE>;$g4TQ)g>&BttQf&CW^H6N@8YzIUS*d9zh;GlN61j;FF4p%^#<0^=_1|qJ5 zh#Mf{CP*HflVIV^;BXlv0nsQ03sg}00viHPtq2*gO+<|u!vY>;8rU^((-1Pmn+A&< zkZE9V!A(QR5N{eR`aq_E!v}5}LWX$LU=a*54II#*z8p9kB4mg+4Hoqv(?Br>&gEd! z5HduY2G3?1@z4~-;hW&$ne5<^0cFhC0jY<;E8iRrFfcG^BtVsNcXT!^26eb>8y6zj zf`-T%Zi6%=LNy33?(67*t)cAeux(t3E`mjK5>zvHM{`Si!>Ya(ux&6l77d`mcu;;~ z^LO=j@W=pDsJ;L@Dg|mTTe6oUNEwKlu>)MpK}I(mz{*mg$^;kpH7r`u+i2ShUg`>Q zJBo;)A%^BOsAg9FMYfF#K_nJMpk>J*_p&O06)7yT#iA$!W|9(EkrGx#nJ`6)U`2{p z6=lH`DT5U$V^x$5Q=|b_q=8jY4pb3awu2ui1a~|5IbaFsT$r+Ch_cPtmBAKXaxZM| zXt!P5-w29lI9t%rA&L#Q0Mp?CC{^V{&E@axSY!)V*tigtkEzkT7^;zLp#y9QF%px3 zfk6kR!r=#~NMvy6WME)`i9zxf1A~JxBLjmThA3#c7rG#LWh$6(0Ik>qXI6AI@Kri+ z27|*|&`LfonNp~G;WmTpKo>-6qqR6}0xb?Cs0y@nkwK;mo9XC+NcCuo!$z3tcvXQ` zM>5EiV>2CH5M@;m>KdUIhs`kCNYVvbk;x!afz5VwL5y`qt`1vZb`qf$wCs~X2DB~) zl!;N|2we~{h=R02$<<*i%r<oO*o(Zzg$}Pk$x@~YoA1yCS)2PhY+E3t!#0>r=&Hbl zB($vb$Z&WKHoqF1`RIaNF8;1r{-8mo1SF=zc9>1*>Jb$Ma;4F@(BTEx&KhiXq6@Nj zEb3jn(6$#wJM4hjhOQF5e!;A98W%de1ltUnjKzpSbV0NgS-lQBVK$?yWP_G=5X#{z z*p51EzC#y;uiiqe<LY(T1+xcTHLUQ3l)(;PK=wci4)bQHZQM$>@Z}`PY*0xDQ{y1R z16s2UF6m%m@U#pr=`ch=<qNtXxR3)Ah>{Ln4Pr$Lg2mvl7gW}9%d|q>47VF(3%Vdm zX><@4GI&)%N~1PxrlSj@l%$7XrsGuwDM{P0nT{?9T1x|7P~+-w7-lxQ8WK`%2R1v= z1;G<Hki|JI4o6_NqN_q~2zg{UyaA;o8BooKkt@&zA!~V{OL|-#j>2p}S4X%F)ws~% zE!f^JZ2m$Qgbdn4cQ_<C9D~`5t`5}jf;7Jx7dpHHo8OJid~`v`KtFUzQG&y9nEB}H z5G}XHg%0n*=J#MTA6*bKIRIUTl;CgzW<I()R(PYYaiPNpu=%~%%tsf5OejE?E+sge zgqe@7juqZsY+UH@5o~@RHuKR1A(If$<xU9>r(ouzt7C;XH5(T?d;*)_kIj5^LCD%D z=n|;}htn|g(bciSTd9o;9X^B1hZHB~lcBZ<EOY>`4TkTe!VmzJg)r3)&WN%QCI&B$ zz-1wZD5#V|7X%lBU;<GVqN_oh5JuxNIGh8OimWnIq5goo0%RY$AWErt2^Lm(RY6L{ zY1m9h7epx)FT+g7s|r#oPRC|Cx**nZLsy3@FniF|lTbp=z-B+XAh?8tOmO!)T!q<+ zt_o`jITM@t=z`!0amciIufsK%`RJ;!wZb4tz<e&$7OsWxG>pUqrCyi{hX_RKg^9t_ z4LJ2;h=NiRx*#~=f(b<GMOT9|-i*RwaJUIdxhyjCq3(ve0Av@sAWF);3kw~*svs#B zw7(alc0w0KNxAo6rsGuwNx7i?f*7Ww3*tz*_hI&+t0&O@U4$*X&;?-w<?s>n1cwJO z`_R=AU*|%i%zPQt1)K`D&>fiw7AT#;<Q=jQ=?o?Yk7aN=!w?0<J-Q$`iGc}3Izv|j z8}5bC3=WS$sf$f!1=Ou@dqFm!3!<d1=df_Xs|u33R$?<9T@WR8y?~jHR~006t-@wH zx*%+<89XfP>hKa~KBhVc@DL12Z(}t!8_)&Wz@x{mP|D#I%mz$#5F3#D88U0I*?=wx z9-{_(AOVT#@ET?lx_XR07HHQbgUniNcA*P`EdcunVi$zz@CIfVx_YdAE${|SND^aU zU|<Cg0)hKS;D!OXd<7?dHt?olht(j%cY}yCAmTcRcnBg)z%3CF3E86w+YG?K1m3(1 z<ASydf-rRJGE5kpC%^;)1JV}2eb8WV0B=DBrFWPHSSEn(X@*h^;tuklF?=Tw(EuW5 zfQX|Y;ueSi6|=CxUj{~p=O8hVv2Zb{xrm8L1Pe0K%isVq12!tmzzFWjg9o|bVnmt& z8z^RA1b528X28XWGy^tX%)kg9CIOoP7bDUP*bp)UBX}qTYzAD6NHbvL%M6U*t`sMn z57iGg6kQNw+6_YhJjM)JyM?Y9JP(I1h`DwPT?N!VsOy@+Q3@OTW?%&O$~fVCs1~pn z&;>DEj3I#J1$50|FQ5xzP9>tNfVu~Z7hogs42<B8A19m-)dKbcx*&#&F$9pjfUX(r z1$047FQBV{x(ACFVDkeEjNrZ{C!7z}0`>yBAcl)E1dzOdt{LnFbU{onpsRqo2a6YA zvlk4E;O;9YoDbCk_5!*fhKn%-ki3Ad8SDjgK};{8tAM%(ix*&XD-4X_9x^AK57h$p z0=giEi!lU{ynwD5>;-f|OfR6TfVu~Z7hp3#42<ATI47JB)dKbcx*&#&F$9pjfUX(r z1$047FQBV{x(ACFVDnN8jNpDgC!7z}0`>yBAcl)E1dzOdt{LnFbU{onpsRqo2a6YA zvt|s8;K5q3i{WBWZHO8c!9uQUVN+@hjNpM~uo-YMBF%u!w=pm}eB%~i;Dqy``oV^x z3vzgQ`n$Mh_-Dcy;AuHXeT1$U9CYY{EHLBYJD|{2Kou~6O$HMj43H)UY{rj)(cwGD z$#6bY3)so%f^e6@8DKLZPDWP@b~3skrjyZCK<!6$GLOSgP+LltM}Wb>1w=%Gh-?r6 z>ZEOeHsnAjRDs%CNPMty_$PlDm`q_B7%gBdus#L`24+qLP7rp8WENl$Lzkt7063)4 z4aOK|b$9@pvBl;&qI;zdouCs@6hs*q#5N)V4D3;`8_)$YhGG$JKvznl8~8v6vVa}3 z37bRE1u@2K5e`9DO148bV{-_)AjaS>!XfBN$#%#VYz{#e#25ueI0RiO84fYs3Uvdc z1&jqwCE)D9&&kZm&*=~WIs%Eo0hIf;Lsi3a9}*v|4Q*-xbgT~p1EVQS86!CH!FXUD zpspf(nFnm10b<z>G|Q0qV6ABL4BtS58w`x5Fl7+SU_7u6kY(`YBCtsZh-Ev`EJNah zwW3WrfQ~5w`3$BEVi}AF)&a5%V+I0Z*)BB8koaJ&XfqI?<B&j>!IVKPgYm#RK$c-l zM?fswjb<4VAFLH^Is$a;638-`GKgg`9#{v+GK{$ih-G`wEJNahwW7^UfR1+pSq4)E zu?)ro>i}7XF;T(5z#|13V+3K)iZ0N|lLLIU8Aud_VfqoR0R#)$E`TYKf*nZ)G7U7+ zgfI;u15u1H1HnQ!4R&l9$TZNJ3WRA08RAWY9c2bG4YY0oVH!e)c++49oq<dPWl-=+ zI8KBp#3XP|MHj?q0b&RsH3`u*gBuCxf|yN0bQKWi;8^b}1v`li<QY%_0Pze$6rvmK z8FWDmw_^w(c?MlG*fZ#Yn4Upb0dWp4&%lm~19=8iz(72M5QXRldj?$)!|fOXNS;C0 z4E7AVAf{)~RY07B%QK*L5s*yC=m09fAf7>pLUe;YgD!~Sb_@X|&!B4tdj?$)(=+HQ zAkM+%8Q5WapqK#_kPy!xL?ODto<SGHa65(ol4sC0gFS;Ti0K)06%gm(@(k=WK#*rZ z1u(=j2vLY`uxHQ(G2D(JfaDo;&0x=<3u1Z(T?NEBxI6<pt`OuI(1<tKGhnwPWFRIW hN^1lQz1)T!X9zM4G@gwx4Ix9cX%L0Tqyy-HT>!IQ5BmTB literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb new file mode 100644 index 0000000000000000000000000000000000000000..122c3bb88af1026be92410e1b3958573c1c46dbd GIT binary patch literal 10348 zcmWg2k!R*+U|7Jwz`)?}q)MKdgD-bYI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+;+s*f!fP)LgWpqq(<nVPC_d#e2iK1?<J9TyThDU|{$U1rA;e3=Cdw+5MRg zUJe;ck~2NM5<D{e9h0*bcqC^maPfEb^I5#uw>Qh*(bX$6%d<BjE5WZfDQm&}4KrrV zoC{a5Aj8u)!EFJRjbmkCU=RR#mcfCMfnftP6C;B)6La(8g&bbVj$ZzbSs5#ARNM2t zB2Gbs*%Dl{(mb=|oVg4CA5TyP1<zVJYbF*?Pfu6ARjU^+bntL>N$_*<%F1}W>^;Nb z#flG@86m11-tSv0$Arv55{EFM25~npUTE9cvZ$kHMc=YzDi?TC^-e<+fX!fFV0Z9m zU|?W`x*g<P5N2RN5oYm8PDlY0=n^2uvdo-0b1DOa!$JlI23R0q#wex;1A_w-IK-G8 z@)#Hx*r9IVNcMF0&GdJ0g)yc&%w`r~U~p(*7GPjvVq~yj0ITPKs%Oh`_0M!jfKVGy z)G{-eK-6+V)w1?=EVk_hld_m985sl^9M*FQFgR=g5gWM#7#Nrxf|vyu{yQvX1(B=_ z0u28_f&t6|3=WG~1sEKdL7LWqlsbS&R)>0|@MK_eSPe324VM4|BdQFe!&;CgD<-JZ z7#Q{7OmHe<V89S?XkcJqKvxA$Fkk{~B33nM=?RU?0QRFOy21bdGcYh%F(cduXTscv zE&#S0T@dUvFoAF%x*FtUip*vJhr1}ca)`@dX^nwV56*<S3|#>1GIT*uN(W&`9D%|X zT?IVr!Wj$>pfn(gt`OuRMp$Xb@ShtNXiSQ{Feao}U<8#5;JgPWkn$o4nHyazln=^H ztQ!1K3%NTMHTCrN*{-l{T!>%`8ahO=!E%$sZ3YGg1DFeWyBilZ*uqL+UTHxi0XUC= z!QnV4A%l{*!!a%a1`SYA2g00-Tl!XjErGExt<VsJx{1TzGs_{<!6O68n6U%hHRj?_ zrL4<Znr-_bBm*Supn(N)2sEA@&Tt7ZaIwJjfVm6|4rjsH4HTg;8K`;&L_i=1O5;L@ z6QIn<=+MK!z#s{A0Rsbr7!n(71UMa`3*t4@;Q}bMYf;Swo5ckt85kTkvkNdVu}LAU z1>1te2P=dI89eMfG8|4r96f`9fdO4Lqr+^hqD!!d{{PQlfv%sKlb;iW9U?#_-6~d4 z`4!46z`!Pr=1e3$*qN|Y(6|u6aySKXC@4nI)#D93W``;U1_l|Zm0~ak*dkCP17sk& zAYKC<;IV<OO$2HvG&W?RcEW5y;)509j15k7mEg!k7vyg4=<94**tf#AcQG=Xfyn`s zRM3@!>SvI(SS3NFBUsXuQ-Fbig-s64=}3H#G6qDvAXv~C5<^!Dc09Tu{t#|}rFV2~ z@btchO@IL$vGP!tioqCQ_rZc3T@b&u@Q6j%266_-Txi59K<$Ltg2V@@V!$1-=qkZs zgD!|1naFHt#G)$))wv*R!4Zoti5{_vXii7sgUrAivFK{Sjz<^7AHwj6Mb`$;I`D{9 zg1S@;#sIqy7UbxH_^pLUEV?!gsG-n^RfgILvjvF{R){-d(N%)O23-(2GLhNPh(%Wp zk2_Fy#wiKSu_|azN8*E>j>xA77A(i2s|7nAT@Zf=!y^`58$9cPB9@6w4b7QIe6TZd zhcLQIup`k0kwX=k4Gm#*<t$)385qD>2VD{#{Lm0qM{_z7A8ZUFToEi-2&1b7J04w- z$Ph-?22aDF5C*w~5!{Ah1ow;_PJ*gUFbU~Az*=eyOyKSrj0<YRf-tmm1``H%*uVq> z15(@64jL2=;O-8n28C$=*$={)T{TP*24;u(3=9mg#wG(JxCO-t=Y!0F<wSHr4lhrC z7uO8`OgIDF3S@LhMm7;<0w@Ean*eHlgXA6b8KDUPT?I%Mguy0*2@VDZu#-WJRuE=j z1V=Ea&II>DL8?I*9K9d~APf=!VYo}-46vCHCxaA%Fi0T?gHt3(0E9sTAdKl`kSqv; zWRWr0WH149GOYQ`zzA;gal-jfZD1#(3&LFrXMoLwI2m0r*vaUE@X84u%IGSf_A`J@ z1``|%3@i?d7#J8tp~(!E2$1+-U3fDL0|TQeOamh)j0M(*%RIO*;S8{Q7{OtKZUSs* z0Y1jy3hDQQx<+7a3JeSm=Rh4EUj_ySZG=}ik=S78fQ?5N<VyB*@yzmfbx6oUVlqJ7 zg02`*;2>Dwl<A-iN}DWLZGen+KnFb%z~dDR3|tQR3=9l9P}ed#%t7LT9m~MLz{$i9 z1`13F7I-9t5u5~InqkUeG!~O}q4vO(a55?I^D8JQfJ}z5kW5Bb4sX(8x>*myWPW~- ziTq$ULs&>Aqbr9eTTGMnv6u{UG{j^G3&~`3<?vbs(_{k-lR@rO;0KuuV<DN0t{h&O zVVZ1+VKO8zKqkXjNG78zhnG8;CL3WjnIG(CkjWqxlF8`G*`P6xC2bgEnhXkDaN6L9 zvXD$hR}LBq1R0LSWD{&AgHkj&ZGag3Oh_i9D+di4;xO41tI3cU1eptBK}`mAqS2NA z|Igs?fDu%lJAldzbA)M}NNkYZ4B#A%E{If6ATgn32D)M#EiL2{16>Pv&=WHB+3Nrv z+GJn_hpz?HrI7Lh#sfQ)aCw2Q9HtG6$(B%iU`hy-7wF1S$_tpa5I0+4n2fi)Kv#}Z zUZ9$6jm2b8@&)HTa6(2&ZRpBT$_rGJZ7@uRl@ic!;fI?HN^R)M5vfhl0d5j_-htKw zj4T>XPz$*i_qEu9NB<fZBG`h44r!2V03KX~xsC@^9m1w-P&f<>4w>8n4B*johYW53 z1`TJZ1zaFK>8@T59vN`vj2+0vYq%gQ1<e{jm4cY4N<qmE+N{pz1`SbwNJz69=0<3< z8pZ`RsX-XptcD4LThw3zrCA*hbqS(b4buR!8H6z#(U>C8W;HB`85kY1Kw*>4z`y_( z1DOTF;9>+_lzmZ0XUF2c78nf<EpWpcT_w1_M;8Q{3&IY0u!c3d3eZ#vSOqkeLA?N2 zgfTEW<iMN@7Xv8<VX$-2MPbf_(Fo_Fs{}h2T@Yk02qT<}t^!`MA~_e9Xc!nBa$(Me zi-C*-VX$-2MPbf_(Fo_Fs{}h2T@Yk02qT<}t^!nhgH=GB%L;Bvdq9&Gtm%uy2dQNM zXAV$`M@sx28DPJG8qzT3jGQnQSQk#ya5P?t(+zKZ!x`ZAG^FW^Za?8>IJ8D%U;qb% zCt5%t@xfj~ltRcQQsY8!CISToOar4Bj0M&Q3kq~WJOS(QK@{5a#Lxsa(qTEI7zA~O zKoi%XrUA?%BtBR**dnx&&LabynLy5lDQ6UevB0|Unua|+I4l4aeV{Hix=HZS*~JiN zgBmeDXyJ{-2Ri_7c!M(#x(+=37LbLYFoM+SFdo<*!tD@r<uGmFb_h%oVzM8?Q(#rl z`WD<a1=qm}3dk);bmb`R5LA;vGwC27fQ^N^8Dt`)wE$s3Tacg_Kv#~^4nZ|J0K?7T z7O4WnWJrqy$z*ipDD4nblLIkK2DuZ|)`f-(q8$QqGrDqk@e1l)pqd<nVKS&s0WlfI zLUJ>@a+H1xs>#7vO$PN=IGI2ugIGu=qbo=0x1gFFf@v}+aKUK<+}uM=8|cbW`Yos? zhhj4s6p7gTE$GTo`Yos?hha4t8qOd`BVrKLl0;VyYDq#W8*slR0%00B10(Ul$r?{d zg;hPyZbu~4B1oo$@xXRKvkoY9K!XV&nx7vfQ=%(}X#;0>m?Xqx&;laVObJfl;3$Q$ zkP-{Ja+K_jYH~Ex2QbS)11caBA(;}wLNXa$IZAd%H8}>0$)I!yF&V-_G8tVtN_Iyz zITpiYkUJrX1;&D!3`#8M$`Of$fmsTa+94s!2pK)IV`O09M2JGd1)M6-1qG55vOGMq z5i6W91fY%pjR>P_1~;wH1>wa7e5?{(1;jZF3}BPN1T0g5ro=&Pm}kJNe?mZ>L5M<h zgFS;Th~ah&0kCb5fmd|RV9%fn!b>Q)XV6tZoP*0Vpf)<pGoY@%1K2YNQHXA^XV3*P h+>RlD<Qa6$V9%fnVtNK$1;jZxJOh(N&<>z=h5+{cfARnT literal 0 HcmV?d00001 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..af41eb4 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,19 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd,1739354905,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,,,automate,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,,,compteur1_49,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd,1739352114,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,compteur_modulo6,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd,1739350194,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,,,compteur_valid,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,diviseur_freq,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,,,led_pwm,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd,,,loto,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd,1739350194,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,modulo4,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd,1739351506,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,mux6_1,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd,1739350194,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,,,registres,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,tirage,,,,,,,, +/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd,1739350194,vhdl,/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,, diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..e8199b2 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.log b/loto/loto.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..e69de29 diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp b/loto/loto.srcs/utils_1/imports/synth_1/loto.dcp new file mode 100755 index 0000000000000000000000000000000000000000..6e95f9a5864d64ccff10336e3a3c05e5ca28664c GIT binary patch literal 43140 zcmWIWW@Zs#U|`^2cpvE#)qhsm?hPXY0~0F)13LpJLrQXiUPW$BXb39<^P!S8>3gSm z_Rn?@Xg&XNeMX@d-{B1vow~P`4JsrzMl?*H6Ci!-v0s6Cmelco-w!_CcFaYxGkD$C zd*4^B)-bHGls7JLT617Zk4k9RlIexn7M2U1g!>sjOsKtX;>)C`Hl<g^RciY_p0igE zZA{94I;)Jqrou&e<>D{9{?>(8?R#~3>f<Bt)fTo%Crq>OZrs4=b%1T@fmZPanGS#L zF6?Gr${(Z6G;7h;e8bZRwuepjNLlldbD7ATb-UIyt-t&2GT-O?LtK*L`-(3$uUhnB zNyX)@-zIC#Yf;db<hpqGTVRK}qz>1dJ3aIE_NHwUU;kFYL?$sTZ<eQ`w|vynAH3-u z1wKNS3y(88KKH)ZcX<l$r&CLLLL#^HXXwr7DXLl>xuan>kEH5y?)y65e`nqQT32`S z@81@ozH{HFITa=zTG8yMAzXS<UE?H=;1PxO+oFS?T{CK~@xQrop2=D@hKs8E^KS5o z_1SkH&Pes*Ki|t8_wGq>DT_((hw3!{_pj&nt^2Q>l4m(zRp!!~$P<@1c*<W}ZSBcg z`avT+{P%yOLpwKak!ODLh@toj&%G+sPd>8}w{4wq&0)&B*%P_*g|3`<r59;zvY|)n zWY7(s8s1v{f8BlBQU4+@v@z$e`L$>N>~qrpJ}j#I`#OfhzJ`l`zWu+v$6>GeQBwwA z(3P8Bj0_B!ObiU%44e!(`6c=B#U-UldS&p`Q9HrW`>=yR>-(Rg#UU$lqn3nLYkj-a z9I>iHK{cmr`N>OX{cg;?^6dWZ*<l_6dsM9RpI5(Eoy=(yeAg;=3eV-^?LY78%su-c zSUUH-@{I_~wyB02y1C`bUR<0T&^y^vzQ>2<ed1ETLtY^t7A;kdc<kx@<-ers^6x>_ z2Ua~3(+cW!{BbSD$+ypOp~)f6Ybg&G9G)uD>(0M#Ys?~k1>u=LSaSJaI5_0B*f{y6 zTTEy^yDfXSsfLY{u8lHFQNo(s1FSU*F1K-gxMI;cea8cZDX%*6#N=9fC-p5cxc;=T zC^xU;W!mJYyv8>_JdDiqkbCSnE7{EVvevx0&jnQ&*55po9Jui5)^A${->y*KyU_7u zVBv~Ks|z~5l}TFUzHVDwDQ+B)6chiW_ieVKUEh_0Is2dazx?Mv`QM?W4FxZ+?tah3 z_iWuuBgfTx`&EB3qK18&T)<;bMh1q(ObiTss9~Rxg2<e;6CAS-L4$wIg%%f==wcyW z)r5^Jrreu$Hcd+W`rQq?H$J;xu9OkFWqafMy_NmqZ3d>L*{NGUPS~@L=WyMf_lKv4 z1j)}+ysp&L8MNUI3*WRC7v62`Y5H*KMy1tb2DPX2vKv+Rcqj6nDbQ!#^<PdiG9oYf z#AY4u=3@^(c7?r`oUMQFlcx8ihYGXKJkJzZ@a@v}1wG~pu?!y)*R-oI;8sX?eZ2Rg z0o%%lZ~XlOBsbO`Xt}jXrLUZSL+L-g$PE(@XSuIUyL7c{`x2wx|C2YK&)F5Hv+|*U z*XPA6%Xs!if94YH(tFrgUUED;^O5vn&QP(9(hs?WUdyuU^uNA%a_tQd|AW1*1^YA) z)Xbm$^j@@Qu~4R|@A0G4M4vgtb0>=w&5iRoH{<G_l&x<L$vVcyu39G_c+IewC){=k zbH21sGn4kp-McbBaHEF)2iFTRx{M4A8jMJxUyxXon2S<0GHNhh{}+){5oT!N>ZAYy zhG}UaO2N?3K-~YPuDa2sPgz#88aFXp=^4c<7koRmGfU-*iIS-2lG|*PWasbCoigR; zY?kHP-LqHUsBgOO!4!G@!8LDDj%M-xxyk?CJiqTVy7o~qXF=2DACAZ35|W;2y_<gK z%H>!0FFI6b>l7#IY|{FyR56j)<99~pm!1&ekgV=o+vmsmbv#^@u{!AL$M0V5dAInA zUF0`1&3h&rWMIk~duinh!P9S^na%usCD!BLo3|A^k~VHxZ(zdvb@KfGqRjI$_Dr3y zZn<E~S;ktyvPEKXtj1!uqN6xdg)i_|@}wnlx$ZW3`eUn`KilTiY!3NHLO~m(&iF3A z9P(nfx#5XN213U>RIHco_{jF_T&yem&UM8<dw0i8c#~j-8p3<S<U2LQ7#J2fGB9vK z)3;u6Mq)uKyl9ylU0o4#wDfvxX87XOQ_D)iH}&VHtiR8+f6MCjR5`QN)3-)k;(B|E zzh}pZOGzxBm_45fUUEw~ATW=Qg-605uYrMc>68ac7+<knTGrw;yN35kw%xuhA5^#0 zPgvG^iM{`vrSbQ7=f0lOZq?s-{^!}5#m~;nnfZJ6#L`gLz>DwWwwmS1%Szv^&Rt?& z?5k4x^TI!$CAAqv8P_kkq?Inoz5nm^G57L#JIT(g?$XL1H*VxuDLnDx8JDy_ug;vf zb?echMT?$3`tO?k=TZL)^->LS(cB%2;<!F07iyMBGJbpMzP=`^j_voQpXWC?z5Q5n zC-1#~&f6DTqpi)tHz=)MV}CE1Ki<w{*L35<TznI(Obl&ICap}cTfN5is`_l_H8-^U zRifXzY}&indf(znQzO(~E@_()Z@S#-*KO+{MX?R1CI^YdMjc*rg=^=oKh|evhz1pj zX`jBea{u8oB4tKvlG8U9tqy8C=j6y}nRfT&+0JcRVTqv@VJ$6@sn@1|%HKF4bft6R zvEnxE+d5&YXXY%_JRu}tS+-_X#En$}6I`Bc&dT<Swz(>n|2`x9@>8>`PfRSGm+wE6 z(<hM;AM+(c_C=0=u3vdB|E8T{$~nbR8VQCUx6c(}NdLTMLDtJ%1q_Wp!vapG1RfRZ zSQ)TbTu%5TS6N$Vo3~q(=xWioSsX0|+X8-EX>biQxV~tTtIlQL{ia96l6hC?Oj<iN zr!(VR;Ph2p3+2S3+fHB2ioY`TO`f`T!s)eHH)p+gb0(8xv*=AP!G+boZd*C!EL~%< zG<waswH&OiH)m$?nJ?FW)uDR((#LlO)~C5rLubwA+Qya6#QQtw_3E2h-RZ|~%6V^l z_hR<xYY~ZSC#l%AuidJ1cE{%61D|hLtv;6Rr&}DkSM*$3nso(lcT}jA-@D{Pd!HQ; z3r^n1HZgm>$K+Lub?@G{Z8wby+nsUwp+NSc$X6RvqdQC|&kM3je|=QR`t8X^lXP7c z4`#+G8+oV2GceCBzIiiDqIJt%VgIGpzozzEzL7aSsbZD&zOBv&L*K*%2Ck}jz0qLx zjmk(Bt%<#1tv_xbdzTV^)a<2)$(eJ<MPF~az3b85y|Q<=MJzYyPXE4KYQ@p0yJugh zU3NQpV{K>2D(j2a4o2=4EfLW<m31w#P(0wSR^)PxJ1+0Tq+E7&<z5pOoV~}}-$j8_ z=3Cz#7rhID6Hkcwq`2oPeU8{3I=Or2wK-oS4zBz5=G&^2z0+pin)u4|The*2X<N>$ z3)vHEGU--(R`s1g{gRM1uMJ)wzhGNd@17q0UCfAc^OUxe#VWnW+g7wSTJ-9lU^_Cg z`K#_R(Uj<=P0c(Ta~Dmzl5td|<wn`+7!N<Dr#bDRvpjCy{=MtS@kdIh-@HH5SE|11 z=Ek@;D=gS1^F}c~?BHAL{blC+HA&m-J~QnUdA`%Q{8@X6%b7oVe_vm}csuS`n{NGg zhh3XD_w-J<a{F-PF|J7|%P;-yG@E!OHLNmv&;I#h8W*FFl|}!1d-C5l+0-{hr|%nY z*t>aYPp?;It>kVeFKMrvQ^N{Z?cY78H*#t2UFIFp7Pp<xeH6ZZYi`eS@0)R}BWe<w zbLJmqk;=U%=gWGtE?jVP{nW)rTu$#;Y{Hd#C@`wU$kWTYM@FVV^x=ancSrW?7F<?4 zt_4N~sqH?;HQ|zQN_^ms53(uOCtteb(YODV)}fE`mR>$3|C3+cyK^J>+`hD_UK^KA z@|AQkShP{>;{Hi@CoJv?-5<DWquQC>lVr~Xy1w=e%I!)D5`B~Ae8l_IKG8Q~D<|rv zxAUxy$$K!bB6|Oi4R^B==6bE2bysagzYc5UH7A|)c=I(4cYMTl-#u|HL|o4M^G(?{ zi~U#cy%u+OYM=W~s6PK4Q`vGwKgZ|MSLWv2Zi@e!@>-^BdSd8F1IrIiW!n?a3P0l% z{COoV^^M$Nt(W2sqSiVb5vx6JhOs7Z%9oh7KXgO$J%ioXH)uUxDZ4lKuk379W$one zo$G3IJNvx8`C3LMnMMWOezx&9?`o@?)3*ulRZ5C-5lR)`YHe*<?cg&t=4(cAb-g#Q zby9@QycZjO?|Lg|z0Y={ka5gmxigD2*`K~qyck)rNi=z7BER&HrB~E7PV`BIy>xg# z?cS4Zaq<#&+q4ew$=Cn9TqLjExpAfZpI`f#YvlHyd~jak$MgS^f9#s$&&Qkm2xeRV zRA0JAdHsX$Qh!vN=X1L<mc4!$zHxK^Mx{6w*NIA+5)&5*T@Ih}onKyCXkp&LfbO5} zhxq@8H}ij9&U^3N`GWz<e@vU@7yth|xzX_dtrMJ~Z(59G99h1fd{7sBp`iJn%T0qN zYXk&$O!SpKa^Uw*X*T~4@1_56Hvd2Iz}~om(?0LReW^duZ2vbN?3bt!{x5WVf^b!* zx_7(_@3Hp^b?G5>GE+rP94qMIJubm&<kr{|s31K*`Au<8phB|92?^Fsnq@uRvS6l> zTVsxw%wr*h!u`o_%Haw*EsilBmtakvxzi=C+VA^(1Ea1ZNprvT&#e07wEUmBvT~qK z_RW*R5jys!73%x%KS-?OeE+ETPbo9^@_GN~9{gR&!2R85-kI=)t29<P^-6}VxG|OS zTmShzO?^k6&6&09`C*3!+aJ#lZ9ci|-H)Slm+si>Q}$`udB0y9*D&d5bS=CQp5C#p z`0O9`#r@J(=X{$ZapcjiM^%fLzNm?xF7f#aZ&ZVM-IuIR9)r^9(hHKNF;2^1%sazd z@M*>DgI6Z&?^(nYa?a>LknRCT-2>+PES~vXbTn_ipu?<lnd#0F)`~xmqeB~#%XdqD zh-8ac$GRgjy1D;;t?mM&imSW<rl%RSw=itaV66N4;{Gaz;O({lc5x+~H9Fv>dq6R_ z%g?5^fAfjp@B7y<wWLcf@Z&C6E%u-~PgJ)0x%lyrhF6hn7Qx&Fv*$HRKe+yVx8#*G zMh7CJ8r<uC6`qr-`Tk+{4mMS#YtlRJu}$$fzjWD?-Is*xCbp_CJ|{5GYszwWQ-A$G ze=PTZ`tszk`82T)AxkQ&d_I4fW4&yp`MiA#C#cVV_OkHoW%u{?t(V*9+5O+H&Q-~k zF|RLs-o1bF$1k6Je%~_eL~!S`m;Obzb8UVd3{0PQPj61_#%C|1XDvT`{7z-n6LbEA z!a3(A$AA9PVz=!3yn<&hA6T<KUm5cG%aUg=@1DO~`K#d7N2hr+p1ssOd%1nx@|E(7 zE2{icXD!dqo_Fu}&e?bO|GB!mHS5k58{4&&R$s0;miz3lS$&~rdiK0|7hk>nn^X2b z)MEF7XD=hqUiQwv*v^*zC%x4+G-KYr#g$cob%7Ty>%JFV^U>?<<?^kSRXqF*FJCUa zzdt_XnDeaV&rSUMPcct8_GPlWH&?}@S<CIO+Q{zwtylh*>-}!6vzI^Lvf0~qcj<Ee zyz0#XWz(L$Ja6K!zVC<h63Z7a10Gg#WzUPduxYog^<#Ce>Q&cl_O9rC`T72?uO%;j z*qZn=?d5;j(|<%+Lu_)z<9e=*El2(z&8ritZ%t~jnUJfwkblt$j=hXWjhy72E~<Qd zIDe1egQqI@`Z6ZuEDu<tF!6$yP<^|iQ_63%t~o~@?-!BY+jXSg{fg2@Zjr-R-o||i z@O@#g9Oe8%(dGGsAJs0;CwY8kNb!DQ<Mf~T=+BmWjd#Tae%(xWvT1giwIa&shgui6 z=O2mPx=PGaek~XD17qH-32B}AyGP|ZcYbHm-mD{4Q+K`oYMZ;aZ->hB8)x-=jXxx* z-JLg0>B3njmKnj%SDz1RnZAYL{BECbH|FLs+}B|~bCqe%1ty#4Y%@*?oqZI^#-MxP z`_{9C7PAiqPIsMp#^`{J?g9QS4Cm9B_q<^HaDLLVv)40j#b*SUl$UkQE6W#djAV;g z!1`n1w1crX8P4y_Zm_O1V?1|(Y0sL}g5}Z=o|NC=xuL^+C5ticB2!I6bpV^iD>jYU zsST}B4dGiEZfaTmoKPKWm@b(xQS5>KR)!LtQ(C)@$2Nb8Wa~&_=CC=?xBuU#<xh{k zDPy*mY+CeN!pr0C%9%TMu`l*+Jn~_a!rKpJ4-R&$@aEy%b7aECYJ)|4gq*c>44>?i z>+#rjWI~O%b;|8Hp3e10CJ3C~ccNjh#HISqhg%(zD)>JnxYVn*ZHc(0$p0<DB~P_& zNqEwUBL9Oi)=Rcrk}rzjcRLc2<Pot{)+mJEZA);Hhx=6fgZ6tRCV6!#-kc(_$y>i| zN??+QYAVl@KDi!_g-0f6cv+{k#qqqHcVq&a7f<DUac3iSLzT)?^Ak$rcvj9jGU3q5 zn!8melRS>7w6XXmoj9>a;*w6M;@?RUpLF@%RJ@Wr)~U4RoN5+yog@*Yp4lFAvRN?m zQ__i!Jrb8R^xJAqbt`WCbnNVN{*}|N^YM$Xmz|`q-==e>Tk+$kU(RvQj()nS82tH{ z^QmV?C+w~?R4I((c{$mf?UL1c*-zH%Wrb|@+wPp}ei|A7s3Fc;r8&+zr8UlaNqd}5 ze6B*`m!uOiduu<RVJ!62Z@UtZ<RQta+_xgp>EjK7$CD+D9B=ZkoZt3y#`$Dt7r!Kr z4=Qaveurz;+(>r*<CApac8ZNkmejN4EdJeQF1uCQN_@UK|67`9x9m0J$z>{SI^Ibh zt4+=vzhYOA+o9sgb8_;jn`iwK?aI1%i{v{M)hF$nz>+EP?}x{BMK5K;C03i{i==r! zZ#3%XH5BbsY@Yac!lsNF{WImHl@x@Wlbj?B1$jSjEb8a|YvGXeBbiB(zg}-aqMg{6 z8yB?qANlY#KIzAu^@(<24>vA6Ufua{@xC|h>wic+OP(qxT{U^S#J;QEJo3*?&m4D( zldj2{E@5}@bmc+wa^BCJMyqdM;NErQLu%}=Q}5VYe@09_-X?cUwT=Io&|&c+-p_`o zlbzq+6*^pAaFbttpXr(7hvcNIj!l!W%Rl|+gKhNTnl-nQo!4I$Ivk#T=*7&a!!>K% zt_v=bH#Br?tpBX`w`1k98Fv*Eo6k*6y7jj1R`b#=#-~=qonG0cm67Xg@;KClKT#^y zH{cVe#=-AT7w8!Lu}qk&uMx?>(h?*yr9{wcsnZ{k83A6aQXYk6Ub<&H@8p}_1>#H2 z2DIsAKdp&+xMXjg(&lRawD&1m#?vI{-Pu`Zkkpd$ZJK>%f4W=1^58R{)ZcvUJlU}^ zV4h!C>9+FUFOK}%xbSt^weEMJp9;2l34dF+vG#Dd8;`f#ZZY8#jVDjmCRuFV^yyR2 zg2D+(59-c}FRT=>zHD~#vZdSHmj<V=OU_>XG%{}{XLZFJFYBoI{p_|uj?X{daQT=~ z{WLRo!rBUtCz(fYu9)iXIPaQ9?^M1+HOW&yJ3O!4mv&XU|9;KcqJ%BCKeZh5jL|rM zsb;R>^94(uB_vt0KHbZCYN-=D6HBP=BfWO6r3!DGycYgwo^;sBBUCHySOd4Jz!Y=6 z6+!DhO0i5cI$X`%GF5U?^op>ny*@HoDclz$XDt4uP~Wz56~9mp!<wQy7AwvK7k*g9 z_IYZPjHH;xb&o#}d7et;PYPIl=EEr_?xp5d_KATmX<gH~I#w+B@wDOSrq;gFh(#iM zv=0P5W#HF{SQho+O5;<ngR7a=6f|-1@o6g;2~GUtFgL(!BfnPqD!~U|+0O+nKK5I6 zO%PXHUcy!>=}jRKi}xsgZQJVQn8*2gi4%+XtJXtJlQueqXnII0s);_Dd0~NwO5cSQ zdlU~ht1VS{+n)B6p;BXwxL0Jrq@avI-4hIn&7a&(-CV_5sW4};$exG;JG~su7@xa7 z*`ui1+IxzzsPe{=GZR=2{5;h&WrvaTFP^ohcy`)tSt%BDE0o(P;Y9#fko=2~dlT#r zrmYm<Y}Q+<klff}r2Mq0BgXPi+F`M)O)m1gre>#HxUksG!E1e%qa3g2(g&=ZzotlP zimwveqvp6j=z|`MW@_6Jp-WjgC$bV9_}W((=7c66-ff@LeM0K!X`7tsTzlmX^mW`x ztJvDuJtey)yJ4<iWYP}_MsaP{c<qD$%^xC6(>2(Clre1UY8Efu5i;vyHw(|o1r>kW zV^^`>S4fE1`aqeXC!u?NTuoQ}{?M9|kC`uy`fHstUi>PY?^auz{`WuA9M{;r&zHRN zqpPbc>*M_8EH`HJ&-<~Y$?mEBpD(IY+OEyeR&zhzUY7pm#jcHKI`;mbW$UykU+HhL zcXi48BTH<v+$%Y4vUN<e_a4`Y>};OJvr~Rsp2_vya>tE#yQ`}m4R~{7SFm5g&CQ<Q zL=>ee82%m1Fu4Barg>39PP}oia{m0Z?fzRDrymjxES~!2@`|G;WfN+*ai<%I7>7T9 zz0ftYV7JLfBZ<zLtMBY-`~LQ({Y*=Pym(s^Gb^Qrko|YJy+3g8<QWTBAxEEzGcykE z*yOlqj||)Lxe2DeHXMI1-&&FRP_*EoR`JghFRm^Ywm5eBm~r??MZVLDT}SWk-R#P@ zS!miCv+2(@8BOlnXL2Vv)hs<}#^Nt&Jk!Ety5&)e_U^l)w!YKnh~_>CEm$f2%p`Qe z+Bt4{2Q%`d-u>E9+0nXar&wmoL%rl_uCrw0dcqIimo{G8I-@6ox&O~Y!P!4#Do$(c z@zC16^TQLKo~s8K=FRY&xazKN?6bzbH)1Bdoj6%{7H9X*4X^qAwoTM;*q&~)SL=b~ zhZjDZ4$PUWll5?tZ`$cEj#k08-&~%pVm@^A#f2HVNy{#MENP#!oo%0h+^l~(Ay3bX zJ7%rjS@cVy;>5eWB}QV(T?a0{j9qoPTfZ&$@~stLeh5iKq+fd)Szqd{^!kmDPHTG4 z&a|tVy)}|=Rv0?Z(`St5yUiUwJ!-n>hup;<9G9FsJyk&PaKLuWUvF6?H}F|4_pRLP z_0#8tw$0_h^kwIp*f$@)k$G<Vn~O4!S0}_j<nZ-tx%y$ljb?2w6}iya1-v@CHr}r| z;<&rhA4q(kY!JtO`qdfL)}F=9d*r0j5^flrSbV{R`7m#@4cqfG2MYcw<TvoP3U{rP z%4~URY!DWFl|?&jRyVgq_ncXYS2N$HG#>j=6=B&tC5o+*dyXyh;bV^b7-w-yca{9O zS@4gCXExhUO9A^_y-NiX=5wd(8jJe{e?RxLR4!+xV@RN#^us-e0$l@hnqGVfl>Jm> zr^02OE%&4_BD$g^N&Q1uhUS|9ooMMR=MN<QRmg9TNOTU|w1@fJT)X(vT_5~j%)58O z^0K+}`7dsK-`_Red)X`VZ$<<6k(GY=F_!IbSTC5qRea4;!o7rhxvZ$`4c^z>{yS#O zlUt$v#z1Y=R&ld)2Lf`Qs^n<NM2h6d&xyR@_hvVDVrioF#6tTunmOuxyG7Q_u4|o> zUn4c?^VwH#cE_GG;ysXNp!>YmaCxG3NeAPO`O6nFPyYRl$9K_;Eyu4+mpy+Z_rujg zCXuz%wjG}9)-8GZ`IjwkR!n@~kj=e*`j1~9yB8Er6BOBegEPL^Q={%+@bZ9n-HA0; z+)tAQ*3IJNKC$T-TOH?{*gU;c=J%G^8t%-uaqi3AvCbeoqhQCOr3FU>Hc!2;>3ieF zy}K!vEZ4=qMZf=Xm-V~!WxldAZei_dhd)d`<d@ucS+L>&$1ELFtC^nh+wBkkRZSE8 z>^ctJuBg_i3L$Pan0h^|gJHKftl$h6(c*=~~-8Dk;<Yd*5Z9?&+P_Z*<Y+|CDtT zf6t7(bhB^&Rc)3vd2w1T?;1;{O!rhg=DkGWkN3if>`N{j>27VvK34l5ZPLoNrA&R2 zBLhQ+BV;-gG-;(*kp~}DX5e)AwjXKC-q0Y`AXPy@LBYh(+`!UkrNA)>raF-w6Zg)@ z*4%aMr>$>`NcJT$VU<Js4o5#yl3XCs@s95d@7wm7?Y%q~O?SF0$yC2ND5vvF?^?Ld z3;Vfg7a6S<lz861`p?h$;3<Xu{>==J-g*?5yg$2O<%0#AkNt{@agknmYe$KKnoRZ- zze<VjKh7dvv9<e7P5(IMX2zvOr$sxDJKeS1z3WnbQbgag9K9r~tJ`XRs($^v-jqcp zL^7S*y*v8Hay`AN>o-3Bu=3K=so_sVRbwQW^}klJSu8bte^ULmW%O=Q_W)ZP?%5g} zH)|GfHJJx9IO`Sjv$m?LuX@70%l~J2o`|5Lb^dLGWUi~K(@NB*sGjLrdt8elGPv@N z{PlzXCtQCX!T&3l-CX7HCV3CfPaeOnOtF0C#vdrSG3?l+yMgalOsKOwdpBq5{_QK5 zf41|qH;K+)&v;aNlEi(*ln;Tj@3-7zj}7Eum_EC^u>FC@zLgyLEKAqwdW!uQJ@I;- z_H%={Nd`Y&yZ`zA_q)ZE%j?>6|5u1Mt>--Z|Hzda7XM!-9QHnDq~o?r#{TT9CWc9? z?ww-@_!aW^%B!tCim$o+zUtX5YhJ4EkSQR^_0uu%c|GsV;Cl;qzEVCN^ySo(OUe;( z>rV;0Eek!NdhSbBh1heiMLc(+ndWNS#wq?k;q`sp+-nx6L#+DeH@s}Ml~{OK?5XtR zP5<22*jPWAs?a>k$ZLAz$JX|@Y%34{-L1IH^sOQHeBFiY*Q(35>CY%t?$JAS&O=?z zcS^|TcdQA2J6diO>s)QV$#7aV>cq!7l?_3GEGn0cnyxN+V6;8SkZZbbi|adi(;GKi z71l?neO7)g@UoP30kh5n##wV_uV&e?_Dc5e$kOP(n3amoYwR6nGE5f#*;IW*+3e$k zjNdJcKjyjrh?w$O<k1nih-)^@A+3v7$@8>qXmZLaJh(D0Y^MK}`w@~0#Q)5mx3ctK zh)F<#ZS9h{i(cQ3_c+X2*zu)7E2`)9+UA)$k@Ldx-Yi+5dq!4?X{w|A&ak}0Ui)hF zUaA;=t`=lUt*Utb)N<L=&?LW|{<o^13NOi96}*k3@UB{*x#}F1Cwyz=OJs!&-tUr? z`S1R8dEBau-{n8s9{P0|rfjd3nl-)n_8KL5#tFBsTzyc^6t{Yg`_sTzx&<wBG;-v& zf9`r3^&-63wmV+@MxFMpgzxTQj4%9E9?yO6v+`rkQjzELEVKl&+qBs}OyDd3d!Oyl z?L-fq4I9p%z2`bXrzV=y@wDd64B2VSlfSRZ-~0InlVOO%62sd2OCNbvFMM%so#TR- z`j;X*j8xmy9{bLH_v+@W_1BIz_BgnESi1EFJ0JWRP_kjZT=+kU#?xkN>=#w9C{h=E zQFY*3;neEn{)St}?`Q5<yYaoUjeD_!ppeS>Jv~q6u^QdDX2`RVD^NJ?@g1%?N$YPJ zaTUhjjXTnRN`88>S914}z#ms1A78oV=%V8Xuf1pJtDWJzTe5HZ5wqhn9Q2l~@mjw* zL-ffE+hV`GW4&KZT3V+*o;RH(@w#v3ABDrRcQe!#=a)=%3*fU~;x;RwdV_l$)2YbI z2hOUeDj($Taa($1@ztda>V<s4Cm(rTitD(QbaKvB)?W;{YgLbK+v(kNU!~vg#bb32 zQK8w(9%;56_LB@q+qb>z^c4GPQQsc*)*nw<daHkJ+~KFbp`OzX7e4cRzwm#}eWxYo z`JJcTQHl_1h~1g-Dfn&a?ZsPuow}k}@#TGCx8IKy{wF_uQ`@zMn=`NI)q%=;`;UG! z)Bb;lx%>1V$JD*2I8W!;C*R$p{?wo4dA{ZrSJ@a<zSmb@{&UFlTC#D)v3+MW`lFnr zLhhJO{iJ=MZ1Iw*wW@b#ml>P9o9r2IGku<N*snFxqARNeOgt1XPnuIG_iyqil@`_d zi1u}t%364`UKMjFbk{HEKa#R+k^Fp(l4aKZ+2%$rv7$?VeTiRIJME5}1E=iKMz$TT zVmjWlFHAG<H1%;^d0+FwRL<uWSr>{$4>jwE^)2DL`d4W$gT*h;4a;^q#qNES65}w% zk4x?Bq(@FXFa2xGZXUUE+Q%U>;LW=^x0sh`_PKFcez3f_hvUFj=Cx<aruBY3ykrH# z>Pc=4rnMD`TVpQjK7M>b(f+MVh{UsX+45K3vM%?0Q+@PPuiu_b%a0GAZr}avc6-#c zt&fu3UVZ&}&*nw2ShVS+ufHWY|Nnp2-Qyg(p@OkFVUx`7Q|zZq#Y61oF8o#B@ghEH zUDuZ>%y%9c_i{TdvScW{_J!xv=e&uN4@o{RndWXcp?><!C4aB5{7e>qcQ;<Z;P=9$ zLbbqbJ1*a;YNF?|wBDHBw-6SbK3%!7&#UFc{>6FGmJ{M9=kIcQ&&uM|e0*~nTfOsR zCbegQF>idjIMe5Djhb{lt!MYgZ@CW1H?BRXn&4M%b}j#*&_OqOy$@^&m$!TU^-6C_ z+x#kSniI$Bx*xuGjOQme>u7CZSGeK-<M)A`e7tj(+-_Lk>3wq6HO|EBb%|%rR4-)h z*D;Qpe~BkFL{egplx6n+6?d*U?|AqxF7uXk<CZd=1&q<hJiQ;OPVW*}!u3hU$nLVi z%7e3Ix;`AdylmEjzuncFWM3LwO8%|s`r%;W7TNMBy~o~#z1ssiqce9;P<T_e{&}|I zx%bKGuD!*f3Yylle%9#x-Yn6OvV7$&%Uz9uhbE?l1+9rYw##*SDeL6(k5zYtuQ|T* zs~eYl#i1utWz3#GKRo~HN-yC_c1=IOvN3naFo&;|7v|5~xbo0%x2sMSZVVB2AEGxO zXH(hoTd~{NWt+5R$BHPP>zo%f?(c3BIeGcWGm#$Kpto`A2fMvQEGIi3-N3%@uy5Dp zfP;_w7JXQ9+E??zGyQKfM5bTeSv29O>52Zv4dIdgw^9U~f~xN4ZPCn||E+i9mK*MJ zaaY#OwT?M_aoe>&-`$_TD_x`&=yG`P?g(j*>w!knvyIqiYgV~#UKXtV?{!)4$(+@p z$*WTS_jDhcrZzD^p!a3&`OEEZ<+5jQH7&Uot--y1f%Y>+&5aXpN?+9BSsM72YvyFl zOQMSfOE=x_diUz`vn@Uod(ZlmEB?IUd{z7Rl}qOD@BDiCzk}QN%ImPTmo}{Nzr1^k zhnoA=!VgK2->)(KG}`&~c6Ien>6m)I-jw-4yge_ZMb>c?Jv_E>eZUHZA1>vtEjuJC z&fj^=|IRX6=FGBbTVy}9^LWhpr1`K*y8Y(<uc~U=;f_(7Yi}FfOpkn|ae4Lue(_)F zX%=%P*0`$wVY>Ti&6()PjP>e=w@T+Qe+g!8ni6Wf^xDFFg^%-9YNBm7R>i1Im~}KF z?AD93a(qc`R{pQ_Hv3PtGGL6d>udRDtjMC)&BJ?jgA+&SwfF3o-AmJ7y6pT^nwxw{ z_>jl1>d$&kch^k1J&VPr?ZS>A*E{069jv`IKGqlJ_AuwU=$h}@zwp+{ut|wOF8rOn z=tsqU-J166TgqA=SslCgP4?mQ1MaJ|&J~@Se#gxyc#E&jnS*<RjMrHmOJg>f(y#kr ziW{?_*TaC;yw$!cy`KvCSBm_OTEMyEP~}@8lSw|4+&}y{xZmejt>UDr3xDH-74k~- zFO*(mw5&`hzQlbk;Za)6S&nO(3fz*t0UtJhFZJtoKAzwjGG*q=gp41C-#MeQ?cXKW z$d*L+);3Q4B&D*V!+OVS|5FTI)`5p~d)7p)TRVey)wHUa74v`IRahMOb*pEe?B)x# z><*q=rd{Uw+Ph6oa7Ep^^Sb}0Bv$5pzAR;H9J(P?wg2j=eZN?4RGf8R^F`v-rc~F2 zQwx1GT0&c6u6dU%Zn&u?V_=rY`_=NgsH&dS%rBgt40%g7Tdpt<zxz}pE!tCCJ0|?^ zq7Qbz<MNflQmaKn_}g{mgA08RCcK_1wRYz0q*H4fL{n#Q?tZQ5A80;J!|cu{uCz?u z#6%X)dp8PX{U2@ldXD9r2fO-43%*yG1_uvwCO++cAM;}gSB)pH)V(u(&ADE_DjH?8 zWF)uF{PJ_JhTE>`Y5OitmV7l^?9M88flV{LcfWWx<IbD(B+vUFyS6>4=&5*GJ!jjp zb7e_=Z~i7u<?LwqAeFcM%GB5hw@Vzpi}reb^{%vg!J9GR&|jlTIvQ*8oa7cVi%jRq zRh-1hXXuq7+>u&-#k1sErn-61ljqOZOn<(3p52=}S3>t1F1x?x^*j+vHn%Kejuf@e z`{G&*ZBng8Ke(;BmHAsDY1{QFWu}rp6UD1L(vuIR9=&(mB9~*^3*!d{v(2a8Pruc` z9#E&D-pes3&2{nr<*Ot&q|99S^y$t2ch^l)n>?+Y_tu?+{-6U#oiEN&c|QNknKw7e z-zhm9OcWD1VD;_8g5`?8_2V`&@@}s=*|IXe_U6%q`fwMur@Phbxp)8CG4=S?|Jx_M z>e?v$KG|)`?jo)~^S=Z>Sid!lq0sxoKBa3$)*TO4=3n?~KUI7Ocf+Lo$xDx}nBcY8 zu)KT?Yxty&vc$7DynfnQ9lb35KlSJNgKg@pyVfM__U3l}zjYgz<MF2HM!n&RzAh_w zX!jYszrnbBsZAPt#OjAXX0=G9@0VP%@4;H$SurIO4#$7Bs^7#Rxx6e?R?@TYc)-Wt zXKQkc1w^72<e9B3HSjvJ=t}a&&qCHLhVLrhIh~7QNlTsA)h74GWUt5RnukwHEjE=# z$xb-r{ehD)zwYbeV;8QbmS~pFI&xfI_KCi;@p<`g`z$B5n9cnl7<le{$YH(u?{~|~ zA9j4pY%x*%zbnDbTPkqruO`!?(}!XdpF29<tk$}Ebw+^R`<$g;EW>8Kk8I@Z=~SOr zYI{0|`OV*-znwqX=mvj&c;n%s_p-0m|8Cfx_&n$BcD)CAXZBki<Jj~1`KN}ms$9Y7 zxp&X^+<mWB@rX^Mg;8kFBIBudLN>C6SGM>ZyC<|Q);;aidJh5d4?ns?idnwAZ5Bu? zHQRDIQEFbpj|1KnpAH7S`lx?ONjGJ-Z@AmRyGH|G@q4~BS=+(+<ilDI?i~SFYxqQ4 zt{+JF^?8?EmY75GoWuotFZ=)X7xA<zu~aPEY<@5M=ke~BOL=Uzx-{<X&FRpzsuf+f zp1<q+(<aYPGpfIS5jR#odHS1F#rtJh$>EWE9TsK0$uqs~dM1NK^Ty6)0W2S*s+c#O zx})jy_WiC+scXAs=4du1M#PjYt;^cZ(CsU`NZ#b`e^2w1DX|(}VL8DMJX*cCZSCH} zb0GLq@UI8Q53yKOzg0gc|9t)bpO=y*Gi4oh<yrECU$@7m?{d!R=xG;4H~uv|`;OCM zTcC2cp=;7=1xwq%Mk$;xYVW4p*xagW)+Wd;SbcMk!lS6Sihfr^9?0tRrL+}Hjk0K* zo0{Q1!!G!F!*qprzbZ2x+CN>!e(*--L=g?a3eCRcH+$lGPG90$v*_jB9UC5bu1OB! zGygpC*R_ZjCp^<j6#1Ae(j=-D%9SSjoAssZd^FfM$6V-Wl+^>{>F3wp_IfCND)H>a zHLH7SpI!(_ki6EpmR-qf@wqL58McesJAUr%n7=fk!tT58`d?9n2RyEv?hdyUa{YGi z>X`;>({E+1T0JLtBPIr^uN0fw6q7T>%v-RyTW#m@o(_4Hh94%LHStq+{cPXg`&i`W z?8L8MpNhW~2wu9V{Y;5g`5LLUZ;ypNxSQUSrIvH~RNvmhnRjA)Z7+PSz9?UO<#1=| zf2MUsvwkg2NKbq4;rS1j%*Lpn`&NFq{V3BzTHNaR#!%lm+h(5PJmY*JbAjpvk2U&_ zRQ)V(J*?lb?Y+#gtOo|$6i?rt{#i>VO8q^v!>Q%n^TT7^s`oB`GrM1~|BE@>HKAz| z!MC#iaV?yaD&JlH;@%>rjeO_+w&c&bQ^)rC;|dAi>z7}=G_-s<`C{Reo=}PU^>coE zY_8oWD&xDvUN%m3LCTYq^AUT6%g$ZDCH;=|kDcwMtxHs|UvXJ;DkQ^hYif)0Keh?~ zmuY;stuo21&!yUV%f-n3HVnA|-7j==WGq{=%W95ZSbghSz|&@-Q(==fG=zG6_o`N0 zc58)b?auIq2_m(j1&1E^E_vj?<`b*iu3sy*>zOPwJ3W_8a^>2r{auyaTiqo(c2B?X zz$fos6`#rNhU!Zv`>*^>exCJg^OeO@8(vm%fBzTuD)!rz@U*4kE|WGkPx@hfZy$Rw zSJdi=|C)iW^=@qsre$7KF)XvcJAH~3|D^H>zQ4sC7oL;It#jV{?PO=n5s^EN3;OwL z{6f!5Nv~Qx-RkMCI}QuQ99lCbIj(E$VNh8zfBDicx{=3U9ey{_{(O+n9xH{^(8d$` zuMf^#lDc&oo3mqK-;KNVy0P~>mvT%sX$cnEb~LFr;nxbwI&Ig7Ellr@eYf;J&z8vB zz_IS-wZ*6IhQH^CxxH!E@9zooYV|H;TiTX=P?)>WEX?alqv?dBwx<_1u}z6<WKXSD zytB5xqW#ix*Bk4m8Q5)z3s02%r<%rf^=aMuHTGgVXRf!pyxo6O67!trk)QN9x{oT@ z3!BUjoXfWPbXih^)b`o!YYfkGzlg3}6uR?Y^HYw*`_gy5dwn_{@#5Ku=ldIM-up}G zpEy{%ti4-gdik~ODOR6j0=Dlf=?;~ebFSt`ap<mV{d-^UTpZChSIGF3!JFz2A<e>@ z7EQ5^n|op9wN#GFJ(bpXKNN+@C_OmEf6aXJjfJz$y!;isIZ-2R#lDa@!4p?J4oR(& z>bNHxd4O;Ei)oJi++5#hd06tAz5RIV(s%x<i#JqL_G~a(^x5*yr?XOZBCWsIc`m*A z=j{FM2Y0EnnlBM@OX{1~uI>Ll<>kyk4qd4q^G=@Is4DY9@mwp*nQ-|zDLoD>1&I>; z-M8!OH+W2sK3&Y+!=Emc^1DKHVj+Xp7x!&`Gi>I5X4?I*V|jPUUB}h3DH<IwswaBv z?%es{?dLaD%Wo=gK6P5v>Jziade3}`eR^?Il2WAvr7j(jNf4Ob@ycw+;U6Z9mv5<L zx%IDEDJ~J;u5ee`(O1DR>y@a$l-1Fkf8U)=mGU)rF>I0SZ^~9}@|*wcPJGL*Z7Ys* z)OfL)a@<_L-}5trhUiC*Q?edwl@gebiT&`(?#r2~e`WH8y6vB~Iy5N0-NTx6VT;gw zLyfxX?cOiSrE0C?7j9j_q9?vEq$%s#MxkG~XBS3zJ=i}fwdmo&n@^RMW2LVz(rqk0 zR>!vBkXvl`?Nu`^mn6<lPFNGrJn@?6Z<CpvY8Q@O*e+?guE{Q1euCMFt(6?}zA>6+ zJ#^hN&-p~)_rCR~T^zP->Ad={psDkuV%Y8w2g!aNW&e8#hOWYH(^gKqV|F#gD@o_u z*YEpp`@em?WzmC$lVsLRTDtoBygAQ~xSZ+tJpSk3mi!i>;-2L2HL7aOFa9mu`qj{! z@5rC#Z($$KZ<|`!u;us7Dc1kv1^(}8k!E7!Xbm*H&$pp}<JpBfS1H;h)!b$~nQ8P+ zXmfLMAXD?!IVT;ynEHxE-S@qnI&o?G?0}q^IzfeIGfZE%CGS4#x6F%8$9CSep4Z23 zn1Az&G(4Ur?8RHEymr-+2j`AH-`o)WetN<xrqheFh0lG9RGGDV;?+Xkmkdk3D_ws6 zK;QaZLsaI~%km~0K7}sUw!K)>mT~=6N4)d8Eb*8%$?GgL3dGEt1N-?FYsq9tCHgom zny_Hzk~Q~D*WJ!8QN9+st08@j*K+13-APdiuM+0v*-jCC*LFQpQ2J~^w}#BG$CIkP z<6JK&T%L1d>k%!Vmpk7qp44$oG9;>gb^zbUz!jSw+6%=yzIt}~=`G1_Z4vG{S+0|A zXf`c4`g-0Twdr@u3TiS=a(>n9`*2R`H`o8p`Kq~}{dZ-B2nT#R`K@0{{b3fX%tiCV zt6Fy7Woyg+Be7z|QnkC~qLGel&u)6m+7o~6<UED;)P)@DbIo2%o8Z3p9q+yC!F(() zQl2jSvuiakPm>f|-IL<Xjb+n+e4kt=-QBln3Ev4m^Vv}^3a>o)6VIr;ZCmu0f3Z7j zxi5L&+kSqs(%gtM`!a2GFFf;-5Y6KHTw*-ox|~DRv>0~5>&mtQ`*W-eo_n|D9*nO} z-7z!rkHGiK3k)+q{Yv{>e5}^@wDE$-#StGSv~I5HntGDA;QMlcj(_J{WCeCxY%h>V zP26G{8LM<~LxXtg5*yq3x;yO`WUsUMoEXvja{G&=#mAWU``GdrDlUBWOrtPSu+IOF zpH)-qnPBA=(`zTDT=NjtnxnAIYqx@+a3-fEqXw&S({W~_f=gKn!eV_F`D0vT3%33$ zcy{OQ&O^aT%*z(;Y2<$3x^@$L?T+%DmRFWuxsd<fc;)6!89DY}p~>o}CR`7B^)=qE z{_8qxqY1`Gr#)_cY`EQ!JEh?1!Do?L%??NP-eztVSQ(y~doy1(=r5mQu!`X1ubURI zevJI-;IG}XH|fMYmgSYkZ_aLE*=dv0GWnXq@#oejSt_(AOb+i~VVZM&M}OwR75Ccm zXP!&HWZd*o?6=+0!yB@*ECpWt%lmMosW>yB)YR(+Lri1@hti=<tL=A*edk|#{7An6 zhu2TxRM+S~5B9yfq4iYJI5z&Oda453SBpQPTYfD4=baNFcr;eUb%&(SyoSxI9@M-E zH(fUM7)O_6l2*7!kCXpNu3hWpMcxOr2rB#%lF<=X{&#CidbHS2Cs8kD^CfeHwsCIX zWqa)@kJiU{oA;BLG!I-|{HrK^$-H-8&T}j&vE(Y6mgZT^+qac3w9oU7=QO423yN|4 zUqoy-I&Ueh+sgByXn|*s8Q+N|_Zg}h?G}9vd~{!D>mHA;W($Gpop-;7Gpp?^s}O86 z?BdplK4jP?GhO@o^rFlgIk%guqC5{RuzmG5p3QczLFTW*wA<pR6S`LXoZS6S$z$gE zjcYozK5sZ{^X$rsb1M~-)RV-zmYvgLOxSth*3R<YnNJP3F}D~Tllty-;_5$vt#_F3 zmsiW|sr$M6<KtOI-%s9J`bes(H74K1{<wsHBjfHk<2Nc?(^%^~w(3q@X?RkyZ`X=* zTdd{ZF<pMSdi~#q6TO1}UZ@5CsQFs4{6^&8n2KLZw0^0Ey~_13^}e)XLtC`d8lNW@ zw%oM5bM6AyvnH8e{^wS%_?#ap{<I{w;)Z(4@5~ibe%?5rEZcL+O5OX(6APBQ(j(_K z6_m;`J&K>4&LLu?H;-%YO5Updcb0B?SysF2_Paa#_C;yU%yn~i(d^L??JoU#by~;F zEMDj5ebs9^1Vz<qCY=AHYgl>z!h^t_)}MYK+_SoUVTJYOUh$220v(p^@jko_T(&x9 ze%JPtmi*N8UG2WJ@1)>_*|A@xRXQJqS}*+KoSm{Y%);0tD)ipt6{Zr`?zbLY!E^C& z8n01<@QY(2!eU&jnYX6s&$j$(w*4HN#iO<RJM&uY&c6`M`M7Sw^=H$sW$&3*C~&by zpe(pdBeLk+`lAy?ITm=Gd}VU6X@zI(oX79emKpc<J6yK#+@Q6v=|}7W{pWWC(n_OK z*H2atf9+@aC8O}(`)EJs3ag+wCQ9X8bEjH*ef@s&^Kl3Np1Xm6QYB5Ud0gLrZPkg3 zXN+H2`YH?GFVhZ4J`#82=dSRKv;IwI9=y#+{N~JU*5%65*4H8+RhC+E!aKhF1@Av? z#ShtrlNp{=u;pH!vGLXulc)TTf~>EpocsFsSxbgS#b&X}`t=LF75x66n;30f%XWSV z!{xc(4cv0IMY5xGP1JRNPwBp2c5RkT-qOtO{j)9n81HACUb)@DzP72oP{K^(|Gel+ zlU$EKH($(jQqy>$^g2t41ivd+8&{`CIGqvn3aL&gyJ)ENpi8iES(Ag^hfP93x#r=g zPTukgzPZ+8X7rlomoCfe-AXd||C_!2;l48lOCPM?Wz9Qf!t9INoO_JV_P%fqcrs~z z?4)xA%TKSeTDSZAlk@A>_XaU2Kl?xXaHP%JpFiTi*38qLX?ED~iJ8#fdn!%417~00 za{qgE!j%rkcF!p_pZjm5eedStQxIYQGgtq-UwTRt?^G`*XZB+{3X}f7VqPqBW9eG8 zih90UYZ>#mb)Lq@PHJwG^AlUMy=^sX^uuD&OX5$RdYfL>x0w6*9S>mrr1Z-(A$9tM z)-5x3IDDCF({WQyvgq+UH3|Q$-u73Y-qy`?wY;`}|4EKl&W;niV<hLM2`e>ev^`tz zcSG)Fubt4Fi`UqatQoF5U$>J!(lY-<jD>~RG~G@0?=Q{yedBg?ZJrV5qrbCSA|myr zX8zr0;n{n<YyZ?~#WRi_t>3-M|AnQ?*@rQHXVfERd0X~zU6I!KpSNs@@~VYb{0eRb z?$nNDUzt?!ze{eh-^rPa;$J@~S@B7O+4giZ^W0;L&Q1L#T2kM#!v62QCGq=Tehm(1 z>XrPf`~L`Y{$qccgneON`ONYrDZj1eg`7Tau>K6Ut%Bm|=2eX=rMA3$_xHm+meNJD zla3~_&aKW@VhO3-mh7Wp7HXPTZtdGNdDm&bl?N}o$$ydEc>2cySC&rQn8nVylI#w> z$ql({0zd5YFrRrf%1DpB;nKv$Gv^-tdARCgW^&w<8|$7wT=L<LCf{>w@Bcc%7f;RN zRApQDUtf9As^jdo{(gB0rSHu2Jc8>seOgx}-S*<&!wOCH!Wk>`qPw#qB`>;Z>}y%= z6XazT%Hm}5bb0bEnFn%zn(x@>udj?tKda)L#Hlck_0f6VsPEnvwa!i4x$Ea6esizJ zUmj<#*N3#N@BY!Kc;M(I?N5jN?&*DKH#r*j+4(A`u6L?;#QVt~iVi*03;eDSu-7i_ zZGWX^+vPu>riY|XU+dI5akfqM48DVjM>GqqWtvaSis09%jV#I0xA>By5b#OFPi0Gf z{$sAB-ObH^j_Pd*S|l|g`mdvU!E|T&=eh0f_qMJ*u$yh#29uw2{(NgVI4vr+Oi{Ii zSEMoJ_IK+AIZ=rr(Wk6xb@zPN-O%={Q1y?7Xa{$U%g=8b%k(`?PGHQp4p{J9{jKKW zIh8yUn~qM?yZkYH&esk0)7&;SOD2_HT@WK@GBc*eUZrO0;}`ee+Pkh)nZCd6ddqyx z=m!PfS#7&cYdHU5igdoR?CRfDMvMN6J;~brWqL4kt8sYh^xI}xZ|Aq1D~mX7#@fcR z#Uq#hTk+0^zhnD1>NNd(bm>e>((N-$Ul}d03FQA@@Z({UNPp2Q$4d3>;hloY$xY0S zoWITHY@Z&lZxf;*D_+0dJ;>vBqNj-26%Tf$wa<PZ^0k}Q()lO8PuqA&zpmiNQinZE z9l9pmC8zFQTAB4bL-xeF+N0qaH*V%;pI-W8-Kot>!XGW=)wSHev|QxBy<N>g<<qGv zcv9<5-Lo$`U_0Z_mgqeO?#Z6fS4z@7bEoL?NzGmVd-bB$lYy2yeUEAdwBE6d?My6G znq_s<S<ke8f8n%Qiht6=znto_w=ZcvnQ$*4>C56vucRjZ-Rr+NV)KuZU%Cll(xxlg zx-<k2suicaj}}W?zM}eq_WCnPC#2$6OyEd4`{}5QuRw^i(7W&pkI%J>Mc+_=ueK^V z{{Ppe6&85`H~V-`AMUkH+ppq!pYLpXb4ir%)sqK5{@cKrr+ld)-bnqR%3-(a+osRH zPZa)pIG4d7Kd*ID*};pc<*NSaO~1@rzCW`3QTIy3BJmB2)C1+SjLet+Z0NNAmtbK# zQ}c7m@t3n2C)rfbURJuZYODE@;?Ij;W-;yCS`pXvjki*Bv%S^n$u7QE&;L;B>zo)9 zdw|(jn&*#L?|a^NDTm7@KiU@cNyQ^9KH~EBCEB%-eBWLrUAWh9SH;WCMe6d?Zk|nk zzO7l^^3J!jCuT_QUeH;1=DOTWmfDnnyZ7b4afcl&pItTStzp3Bg;Kv*&X$N<<W4`e z=~?}}`&yG!&PgO}u-zBi<eHv!Ed5m0wRy3c)jy6syyeg^)0Z>Skj3kcl5OuV;ehA^ zzYiOk)%2`bb!*?>&?$?HeYcCmZi`INV{821?AG&*%hrlRU*q=YTa$Jj_?UfQ{oh3| zBYrR96P<4#bz#<)AN_wF*@Q$B6@Pe^_{Vs~%!^vU`SsTKD4$F>n`c%%9U_h%$8>^@ z{0`|C&AGQp^Kr0o_1hhvP9|Mejk>7g{7=K6|3;{oDVspELu*Lpfjdl|#RiiuZ~563 z`J!QCcH`}R%hSV6j=o{qa`SD6Pw~4$=5Hn0mYiC=_{G7boQCiPDnUJW|15P;JhVkp zXdj>2n;+dqlbN%HZmF(!I<a#WPfX#H+yCdCPCZipeq+r&1^=aQlg`iFs`0ZS<Qwa) znk9$6PRU%h=;<F(PA~PnPdcQ%?sQ&Zn!Z-~#mghhYmLM|&Q5&w^SN?i@FH$ayXR|e zuSlEPeVBdXN1pi#4^Of8pZZx|9wU^TuG=#;<3RDNZKA7oKlo8s@pN(J%Bzd7oZS^2 zv-4z1H1Eumr@>nsMUNl1Jn?9~=ZEg+x2BqZ$~fI4+xPwbXA6(d5g)(i3cVEl*LQe! zv6%R+UGXdphWZCo=g+*eTUm(Z<UZeb9~hQAS>_s6^{j>Apo3J9r^LpHzpfgopZ=}4 zIDcE}>WkawgbwL!__<=stF1}f6$R}U?)`B5^wqnUCUWY3&-V-#Pm?+yTPBvD7}Y&X zXtH~3*fLGUyR%}q8oZdySv<4X!k)(>GHF)+wsubGJ4efI3MKq`e>Nb)B)T<y#S$Af z^RKIH*L_TOke6h!zO?e&d>P+c5s@#&V-mDI6Mn9ZUHOvz-0lOC6B1Tli}<^BQ9#3D z=QZDWu3W$NJjJgjYDIXtpYCO*xMfFGV}8Al&unM=l=1ZPbY2&K#YC}kk=coxS1#QB zBumcd{)X+pS6J9Jem!I>z4E=1*}nVt7`j{DD*stv(%>Jt=**HTj(~f{b2inVT*{qU zv@<|v_q5o?sn0H`aP87x^o;ZF(l0O0R22!|ud>#%n<BAjz57CS&rkEp<A2m`K5rDY zP@J*jgKccO)2{<@es8DBZno98n^C|L7rIT<`{a%P6E1!U$vTnzcZvY(PMQDr+q0x3 z8M`wL?z!zacvCe*GF~lTV`7F@VqvFY`o*219o2SC63Zw33_HsHv8cLH*m~h#3%T=; z?EWg31Z_QF-6V791y4}%@{ZVmw+qtvj&L(sO8;D>lf3rsWO?a-#kLhE)-$Gk_|8?i zHtKIgmf4y)OC@GMXzTj-%*R`xdg}&-yt0rM<MQsp35vQ~ZgsIbIRDk3ALa90<Lixw zPu^{Ld9T1@Qh8vLoz%v_IZ_Y8YsGEcOiuP)-t&`b-N`q<tL4^BJj2(xJa0<Go{y2s z9F{rWWWTg2%jx_tmcMiItLCqkQt9?Rm2&oZ{;MgPMstL=H6MBR!E(0ppXl@7ehD*8 zj$FBPbFqr{{_@oDk~7Bc<u5}mdwK&NHGKNZ5q5Lsiq4F3UA7+zd#saWvJ^K~F5bwy zCVomU?}DNQ1p>R-*XabCyfB%$|Fp4=`@IEw+%J99>o@-A(!Drw?q-E$?Hy+(tUuiF zZT1ZZnc04d3$G@$ozPc(TA?ww<gl^Ny*0+l@1A~~b6k4yWi6i9nP!WnwEB~(y*H}w zdp>*q@?M|Zvm5H;YYqP`&XiR5ai28jv-I?7+wvbTURuPK(j*nu{lkQ1;+Mmf=XdWm zo4Snu#<t8(<t=y2wFMuZY8IRrvYIP+rF-HRO{0(1s`FJgx|;26a*%j`H#@89)oPwl ztqi+KcIuOCX4l=&xhR;Jv{YWy`TUF>va{cQldR6HjXT(1zQIZ0Pu9cte}x=>oIc;g zxy!*=Z1?KQza|?Mj@lR2KWY8BaIHD(feA&t?v3eZr`P;BYkPao^{ZdhEOJ<GD17{1 zdGpiBkV>2IZO>fqudiFws^Di(b)MIh%YS3?U+L9MmsZE!7V}gL59<^w|93CZ_UZCY z=~w0Nj(khGIK|Z9!ckS74JWR}vqkOtx$4x1@YSN~9&sX9CAhDI9#|fG^xIFBf60%G ziZ#A-35XQ`>zI=Mantttb<2-U(>~R+OJJ?IO`h@n2^ErjZY4hicG|u1`)|3ICnX?c zy45z`kBVZxUM#6xO|#eje6THgwM}$5%cZA(d#sj*wr{Rke=9<4<NZB)rAJm*X&t&M z_GdN|V{UAW$dh}VE@~O>UJJdtEco}u2wc5(@wI!ox|mXSrJ&okTTeK@N!DNb>alG1 z`o>Bx#`O}rQnT`&U8q~UUZC%*L*n+v<Q;8On?KvmV`!Cfd~;Q(EAdk2o1@xOUr1Ni z-g>z3_Y9F_nZO4PU-w$F{MkQI_S5p0pKF_ZqOJDYvAeB0pO<UiGU<z;knzWlck^!P zhn(K5x3j_Kq@5*ys(u!`x$7lm{<RXDIl>=?i9c?Ce4=w-?*Cl{ljdq2x*)&4=)r9H zrajBkT4jDndfN%_`}Y6u{{9t-S=XWrwddSlCBOf2;jSl(E_8(!EvoQfPP@9=>AI2d z$*qk4w%-4_TG46B?z%X?P0iOcbd$W<9GEITw!6H^yjVLyGDRgQ`IX|-!aZjnxK=;m z={BoOn)*=QNjRVB*_W#4Povk*Kh+ZSxN1S!?L!AYFzoG1VSTV~m3er#>!shHeohhi z_P3+*#Ok~5Yc8C$dGMp(Kxfr0jfKMDf3I_;teO5#{Dh9;vZ56=i|3Xsw%%XKd5Jf) z?b-Q4*Y5j<E(H>gQyzxpZJd<2++&CD)dJ1G=QuhY!U79!2kbu<DDkN<<ep2A{gS6= zdG_~KcO)q0H$3=r$f=;+>c~8o`5V2GEicA=xqfQX)cG8`ijx`{%)@;YlrrjWE6-T@ zq)nmJe~;z&2f@!?W*tAV*6G94cax0dl0;S~RZZ6Be3@~`-{;V>bFHg>O?@~;?^;QE z)e)~<@=50cRG7scKFd2gTlhDBW{&i+Cma6>Ex)n0`jw=F)t%BU$9Yz#&SL5g*t=p2 zpHz#@^D|}f)qi%qe-Yz2vnYO2;^}j;pB28Gc57hbSa|78jYoN$Y~9<9+U5Qqr)J%I zo!4snbjz~I;!?+JF4bsV6W#Ll>m<i@vp+7qpE_^K4bR90*^6akWq-@|on$uNr7E&& z#tVb}SJqsTTK2S}`O??e^w$M*93#w6I3Bd??Jn%vuHn$E|LG}L+_}#&vyZNN^Ld}k z_LyJB>T+Hu1;6u0n5?vk^8Fm6Gh^)y6UOZ?S4#xi#@XAl)lUsl^e=SCI-$dKkgrbf zcDwwums%_APr8N9?l{xn_{L8AgxF7&vj*2^o2^^^LA~^E(Z2t=|3!~aSa{^&Ax%cj zn2`9{m-A0Jb<VO5C^_#mIYBh;tU?5Dhe*uZ{8@U16Pj*)d$nuJ%`*q0ewg<C6Xs#r zcK`Cl-|GvXIci*uID1X%ZfM8xFn@#3itJ@(5x&V8tpA;Be0@q{KdLjXnSFAH^Q`v~ zQ@1rKm-h5JDTZdxOw9j$yMIyah8Go2&CA=?f8pIDmYz`3{WFwJ^3X@)8&PX+*vCG$ z4lP~vYk{tY@$vI#CqKWkryz62+5Nl!zu+j9{1qGiLs0IH&svsk9$o?=zvQm*i3x~3 zsSYSl{#yU;J(u6MS7L4v6|d5DeCOXf*LD5E&;PcU-B0gvv%IKqVbeyJ`<!0>##63p zJL|rBalG98*^2v5&xaK~y7b+AX2k3EV`&ThOkK>^eLjCB`c5sw_t*AI_HLW1zW%#_ zv5>B&<uA#d5~l0^&#rKucrNmpLcj)ow^wQ}<&VDF7T5K`UA{Qd%l`1OrtIe}9(_f( z?*^)ux#ah~5ACt>i92QDC9(BR)&aw;gG+zpbn1yL6nqfHV>8E}o1MX$Au{B%`KR^E zCNUK}54bpG?;P)yPj{`nn{v)}_wG<D-(&CeJ<_W!{|gGsw_HwVU%jj+MyE8U>&Az4 zP3uz^j+k!DO)p;j>9d@1+P%c1a_I}a9kRbB-V5doV|)E$m%p!GN}^WM=2tHT&%QG4 z7w{?yKk)kfB%c-fpLfYHSe#)<whG>|YHRcR-7Rx#d)}(ASh%Dw_)hKbPxB;xHz)m+ z*+0+z$y43TO{<Gr-~WB7{YoR?AIIF7^^;%7D1E8py}2&d@X|`>&Zf%-R?@nMI%J;q zzRH;{@xc0njnVvrzdiSuIDe8-JI=><BkO4%zlG*0iP$-8DN0kX-EP%xJhHY>{ZK&C zR{gveT6vp3FrAi><Pmihu=&v%qULfbVF9zqhP7N)OHM3cjF@;;UHPJf@$Ii2EM7Yz z=X_mjxOw`PBS|(M!MvY2em`(ye&D0BS};!fNt{>n1N(JZjAAD@Ge%!L!#G2XwbfDf z?lKwE-eTsR*CQ5t-BI;!-0Qf(w<P**TFL~!(riv)#=FJ0&5}#E*Mv)+bIVu~Igg>7 z`}l76aQVLs>^n2Vq!-?~eJFQt`HY8mH2xY2scz#mZ9TA*NA!;K^@NA<kH6aZM&>&F z*0v9O-|DJ-D(qqSs@Xfm6dJ;c_SgM&K6d|dUG3ZJHS65<Qq*nzi^W<h&OFTA^sa{g z=dG4tC*Sn1swq=1zU<reH}%%PeLv2etvNC28q1o)Ry7vgi^@OjdbXwLiht)liyLv? zw+%dE)AJUHEIe_h`(pN;KH<R2=F=ZPzvQTPp?B*U*6-|Z3ra42ci7-CuiD<zg0)~# z!em_omL860GZvR|$sX8!{{AgVxoE3L(oLOxTc)t_r~3t7u9tHQ*w`-|>!|dAZRLrS zFP81bOC;vzCi#c_bG#)`Zt3v3f4A7-r>Zwk-s18Iv5+rtcxC^gru~Th-J(_7AJn=_ zt!OoSSg_n&^z(wmjH}xxZ#yu9S!wBu&!O$c-*-nbuy1%4De%cl>V(MKy6Z>ulkTKe z2ChhZa&Bhy-JR2Gv^(MtO!jQ8b38uHf95hr#Sax(|NhUiHQFru^88eW^Gec2YyZ~u zUk;9IJ<Tp~+FL2xI5F<YW5JcVAO9Pk72v$I|J;-H_p6Uyom|f3BJZ>#u7clDZj(gD zw#Nl;|7u1(5ZX4`{-2V8i0jFkoi{oT+V!qDp09BBPI++NGKnndT&|*<#&7(O*dMx^ zr}1F!-inZF*UxQVC*7VAmYF$`>0a*DYqfDabv|d?J3{^}n%U$u`$Tt%<M%HU-=EP- z4R_bd4BIv7vd5*`iqDSB2loZM$gyFYn7N|QN+-B&)ggl>mM<CS=CoEsW~;v|W6m+O zJH(powTo}E?wzc|uNX~i1K*W@dy(p#Ej;0ld*d#yHT>BQn`ZVjF7BHbZEoOm{6hH5 zXK&vcq#Cs7Pqq_j(XMF?;*tq6yew;aamU9c;XeZScq={UsTLZ0$F_IbXf{vLF#Qqu zdzJU*JC<je9xv1LxvTQr;@I7JPDQVnV?PIR<u-4cI4Lt~+g-tP-~RrLuHW>~U+KJ= zNVK5b(v8QJ6I$o)&Jw=4_5V$=>pPB$RPsFCs%V?l_Tl1wMYi&9A66*yA97e(VJo^c zqGm^YsqMeMIN4)YCO+9H85w4L<@{&k{hYD<4}J!w%6(W9@tJj9Ls;^@Nk4k7e-!z# z|E`RVu57H&gf(WCk!h>nzx0X@x5}>i8UJAE(Me?v-rKUOe;-jSp8RIk^W?0(M;i6F z=-rsYzv8oM>NkDw6>fElWtplz<QzG6{(Y+DzwW&Bt@Tz8AG^!%hBIos$ZtOtlXbGo zXV#TdEJF7u8~xYpXOP`oDRC{or^xq5*c*)o5lPbz+zd6mEZe#_@o&!kRC$#7s_=;` z{`+@H?Fue^(oo4N8yhf3E?QdeOugn`VUA|ogy{2|PNhFGyD4yTSHWe4<$pUicXB?v z5xwk*@d4(9oVi<OTbJl*SxI~s-n>H~YOjXY(nPguozGK5E;utgirk2>7GkZv`$qJ5 zEH85ibHs~R0&{!9%iC-Vw=qd3C?;Cu+f@7BH&}e`5=TA*C);Vi<3FeVnRj=d^|vo) zPR$Sdy?<Z31taf6s}Gt#l{Xc+YtNqSugFky`HxLZvdqUs-pbBd`n%Uo`g66`>dCdQ z9<1xuw=49@D2Z!SC>wUZe|$6O@a<A%-i7*)PT%NTqs(C&Ir-=e9gWHRE2KTw&cB|) zpZMUNQL&g2?<Mi$OJpxgRs1{oOWb9lhyRK0rp3?IT$|VDFa}#P>8#^gX86HL^rvA> z{c@&+U7>%!^8DQM_LDRB<ijsE-PPNh;?@7Cy+P%v&bL43l{614tz2t-XSLharuT&| zS?A20?3-`;uby~#XY|LCk7{8YPoAb75cs{R)~>#5+r3+XFD+eWcXpgTS@LZEnV6IR zR-Exa^v|wChW~Ljqu0Fn+i{DJaVefuHd7DS9QDzjd(!_MOT?a?@Qt|dw_>61BoEF- zUN2rAh<UEP`u)5*=LJ(P-V}8y-NnDh-L&G%iipYQUb!^y$(_d=K3`<#4r#&f0n5@^ z6r+UCJ(<eLq1=`1^Kqh_%!MXt_c-TpiztOz-SvOkuGGtYmgnxivNqal(Fe;Z(_=hx zM0drWym;lH*NY;{n~$UnAKoxnbfw{$M1?fN^Uo3gu0K7=$Y<kR+WOqTwD+s0Y|HQF zB|6#Ov+VDdudmT9*)7u2R=@hU-}Ru&S=Y^dY}gqaS3lVrq5iqKdADrjCi}Q{tp<gn zE6;m+>!<YVn~3XOThME9T(W%g)XX-4=dmi6XQr-=-?li!++tejl%PrL=Wv+U#XFh& zWOy@k|0jpQk_vCj;5HN6Ev*XNPT?=4dS^yQivBcL>tSV!Sw7Etb5)UHpxcyWg_-Ma zYU^=r{<DX5g<i-dy~;@yQ?g!)%)az-s-F7Kk6T_pIAc)o>hm^EixczgYIw4^SU>MG z*t=@y%M&}e)R;|AB;Clre4$`dkWvZLicAqzb@{Su4W`q&^|{sOZ7*V*C2y>vCmzDJ zux;-%J10M(bq5s|9M)<q`u;7INB>rcW6l4pQ&SCoxdg5-?5*1Ep6T^}OSR?sQa9Eq zEJ^`uJ}eIxsz{2^xw(b4$awkHUBZv7W{NF*ma<Oh<ndjNOQH|CugT(6%n^%Ixz{nV z+2)<?bj96b9|BHB7V95l?7q2XO48$BO-H?@&p!8X)??f2F(Iji=ZMGc5F7K$=beJ@ z+Ou|RO8Tu|nEULxfO4_Y@2*cfW-#qp#;L<;JgM)_(K(i_-ON>~|2eDrgjbg}>K8Bh zGtugMV95;UYidvRRWDxae4#6wZ))Ol)uZ7gW0br6IUBk8Vd_6V&T3!?+G;32U-tIi zPDOR)RmnwC=Qw69RXF)tMI$EbtA+7I9rr_jxwo4)wY*jrDNb4N!eVoBt7XZH45>8v z1C}BNX-*8f2i?^jmHO-N*U5<(-J2V3_C{f@{vGk=rr5|W(x0wAx%Z=e=k-5I`#<>y zbU%Cb`;^M$5H>c&i{GO5FAM5bZn^ll<nggv`c|S>a*uti)t|k&DR)gr8UMPD6K{&L zoG!SzKU~NqoPPe{>g{Te#Y1g`PAZ>ufA+O6WSfb`AGa^by!Ndgk8aGVsODkXc*R@X zqUW~x*((jJe+dfhQjFiRcWnS?jH_lE@9rx3H9CUl#gxT8Uprc>{`?r7Y#^DkZUtx2 zYYhi2%O%<eS9rge%(?NbVs`zOMW=2hE&kSj&NE7(aQXqRpPL><TB&^hetvSQtHmCp z$IkyMS8MumR784Qc<EbED)Gj?o2}-L;avNM#8auN4`%TnxxbvrMnb7<!Gy-hGxvYx zgr1!FZ;4yL#%*yw%D7KX3`>u1_;)UF)|nko?+gE5ubJnPwsQZPxrYj?u5?v28g35X z9b%eOUljW?L3eZ0pTD)*d`IpwUY1|e;=NT~kL%p&b+gVsG5@#d@85!5xy8GlbhPGN z+*bJcdEnZ8W`5In9^cfTGhc3-lbv~Kq~f0<{@J24MN+P6<-HRQ?%#dEfd5NKC)YQo z_=K+-Y`Nu(*RIX730c*WG3Che{rrE5t!}oNo&H$h{9{UxX&B2xy?<XOJa}&L@`;qQ z=dSa8{sps_?>!xu9scX}mf5Y^kJ+wYv(nF-q2g-ksQuv0hqp7g+$-@&%up-ZkX_Zh z`Y@{_e~8M>oNa>d1n(%#nkT>G(DjAaEj*oGJv?hZNwF{1=^kfY>iy(TY^}+hs*X=< zy6^R=RGz=nw)y)$CinRBQ(2arsnq?;5clH#i(rq|Z0~K0pGEY1FkC5;_m^kO-mj}m zgSjuYsjfSJljY=6&s87qurKrRT&*d#xlO-i^P43KY0Li=WH#*CQ1<rvE{QshQxh-u zciffivb?Zn-VAQjB5RvphP%&YnVm2R`tj?$(#qI*b3a|&VKLQCa7jawXN#NDpSCaM zcMla6FmLka*!*e6-)i~Yhj^5@U!R&IZ&Z=l%6$28%iC2OZ_K{8=ZR$g87ArQ;9}3; z_8pw2&m*lj&AH|twOV75k)*6qo8oh~6&pTYdcCb=W_QZc%-n5;nGg7Xl(&h9?#)O& z9Qf=8gHcLeojP0AM(z}j*NP=ST{fvLv~i8&m$K;CuI=>W?^6pF=Pb31cQYsa<70R? z{k*G~N9K(aTSYW19xRL7{v<E4hW&Mf^SQvF8H~HS<#fFIv*+<>Ei2cyN^!o<y5+d& z#r}v%*}b*qM%s6#rOahLD89D!sO0BAPA^PZ{Cl_87~QdaC2S(l=4$>sbB>rLms6&p z`sHgA%9NC{zPHbNw<M}VGs}Vbg7D+DD!unE$*#9OlJa<OVb71fr;Oa+OI~$ip07UV zHlt!(xNkD+4UV*oMAf%`U!7g2aHJ(q-Oh3QW%gcnZ<g{^>m4SrO}d!L_RF_h{Py*t z;18R<S2S5gw^eSe@L2PC%h4TcZRczbugcNN-RK`S>-nc9C;5A>```F~I59oWaPPMD z6;2aEq&-XQ=AUeu+BW&V7QbUq^yTvKO~-ev40F<Onm*fW$wXiO{YI*4;%O`vxd;2- z+h%R3YV>P)+QN0$`lxSQ_wpanN@hztWQu3LV@d1Y%#}aG=(WHq_cPhyuf)EHR$O47 z$eEs_WBkqXjAQ7L_q#$*Uurq$^WjN>8E?yn^Sh%z+RTouo%J*8f}{J2x`__8Ny=)v zeV??_^;s8Xm}SgvJuImo>TyH<f9rwo(^jZ$a@?QrW(!9`Z^w>%XY7uK9^W-%hPkOW z7w4)U%N)J8J3h3{4E>ZV`!wOgo2Q)BVMQO5<_BklA6l^U;SXKaZk}^BsuFb-mv~Mp zm%n(m<l$lc9S@~K@9)!L(EEEWSgP~k&g05_Q{`7LyYl|?nJi(o)qNan$5*{P8PBW! z@_R_`%H_*L-aJg4^_b~bO=?QUf?u&mr-{}`PPOmJn!h#FV^iwAlO>#H6P%*`H?zNZ zB7U{o#OLg{JnPC6OqX>|$s9f=-YOKjxscQEcg6nO*}q&F92N+Q{99NpU@fP%&U@#C zjtOCt6{lAI$<O#wnNrQ1`MKE3(4ebpmePD%+sDe^bb4P1>s@!Z{;>HyW1P|Xb$i`Q zd1mbTqf@o~L+#3#1|K7L&E}t9UQRqX^?uXD-5sKDO0^C*-h6eyY>Bu?p09U^F56A^ zc*CBgxj%PUh~K}jzGNnI*ntOkuE@`;X1^4E<b-AJL%9!SvtL#%ci%AcL&Iy+IV_hp zvt+O3(BQxHYTCA-SS{Y)AFJiR?=W5VLrc-XWrp>fb5o}uowCNCiRHG!y2~|cj~nJ6 zJdtU4O(JWBxk6TtjBTjUo~1c2C+)kZus1G>i*N0kZ%l5nWqX}wC$CN53sE|@e(KxQ z<oku;icGz`l`AE#-!EnTe<Q5BuGfv{!i{hF6?FxMEE`Yo=<i&jR;p2Q)K03mcR{|@ z;wI5!4Oc>JBCPCkO8@V4WQczJGC}J~<EjVTY<yQ2-acE;d_y=&tV!r-ROyp5l`))F zUhA%N%yw&ch+j74n5nh#V^xoP7nOs|#0;KHKW?~jUu=jOuTHM@s~0QViy~Qi?*7@l z$MLS{R<`0wNpnO0>2t0#9P-bT4`wTii8J05@8tf;tT}A<=f<KJQJSC1^$vb*WeApw zQJg;4dL@V8BiDt(oc9mMuUK^;!BIQB_r(SOynVv4&JJtc_;tdXZs%`*;=EK=x9;O3 z#yY_p>K4DdmwB6M2bbN;dBWi&Xt}IOW&Wn7%*NHe``kC|Wo!yFb3CE6x<At5S5v~d zPol!Rmg~x<teL&$t6q8_qvWsSLH7<{PV0M>Q_#Kke!(1dzWdGjo-1pne^Yt6FMW0Q z#Iof=f5NpyO1~L-D_P4Xaik0R-e`9TH0*IZ6cVNBC4POr>K>f~A<O@4Uml*gaJn*= z<iFj#_otub*4nyjafU>r!xJ{4MN-Ly5%0s-ba=em^ejK{@Z`-qObT@QO>VqMOs-Y@ z-t#Z8{6pPpYpDafHMCACUgz1seMJ6IVX8aVvB`ZW??i0Z2zgqgIOmiHx5St55P?jS z+h=TCExt<UopOA-RyB;TVQ*6QTs!p`8JQFHVm~&9sY$u~O3c>R^muYWPxQ<2Gl%j$ z0+&uSS32~a=djvpCga~zUPUe69;2b4)|nB$vsJIUlChq#;or@ZnS0Am_PFR=y27yJ zX>-c~0ntFE9c8^HS9^cGKCO3t(_9^9?!QM>Hhz=rn0xbA%T=A@?01wGi7KZ!PD=Vx z;~02(%K4(bn<6@2x?Q{M!?n0j=jo1Xy*0&)5`ujhn`0~+wXb~ApP#ft)%}ad+kZRP zg)f>KnR~kT?0TEXH6Op#L~Zu9t4)|-By!~Z@!v1%<IQ_!O=7kGdQV_BTkDR#KMEgT zUb*8|n6SdQAo(XFOYSB~UGt7onZ>tC7icMO@X?Jwu)z7(M)eK9*8XKSe*R&Z_`9RJ zd;Tw2*2`}d<9)NJepT(E%J-Ko87c)Wl;$~!lrQxN@;usU)~v?mR?tx7=kJ#NMKsEt z+oFT_gqM(W){UbZEJ7Mq@h-5v>sxY%_42=upPF~G3JUGpE>;j|-r}wo8s5Xatj^@t z&AhM8h6|lzH-$u{UC}O0*k~ta!(wuGvl?grA^kMTp!dHww>C`dvi)b%7$Iz%)GL+s zllh?Z%sp&24I7fu7B|oE{UxsXxjk(^>|kI92GDWzf3oGCcvvtnfG`K_VBpl0H247y z8e8@ME{OGKW>67fytV3%w84d}HOrW%Tr-^6k}Q0>_`!QQ(`5n1{L05zZfv+M$8*ai zPc!&ci!jHZ@K=dnY7Xi!8Zb>`>}A}>dPvn^(LuY=%Pqm~&W4r&a{Z^7Pc70gn%X^i zrA$w8>I&_}3kzg6d{B~6;5B>rgrU&y<C#TT9~NCq5Sx1Ng2j!+f(JzmJ;au=NQenF zfQ~GD=@TH{%j(9g^LW#Wv$BPyCcI^(CcF_+Je(}eM;1CdUr|undbOtd)m(l)F%4d~ zi7rw;LX{H?JVYvZ%_=pjO;ijNmDScCRO=6%;nlWDMrUzSq-eX=g9i+ig6*x>1@?Bd z2-{mkL<l5CTzFvHagym|BU7`>rw0oTHaBy!riO~K&)#tQQjSbdpvY8qvC>p6_GEsM zlZTv-6cnyH?V2jV78+~Q>3l>$H{puf<4H0a9ygvk1zOw)O<lzva%7R3!Q7ta)Q}9l zSv{<*rIr%OI*jeRR;=R+j-0)6Q^W?I)k(e~+?;Y}7*AClSgEJgeVe^`<4m0^sfu&& z=v-Y|WEd&?>Q;h@$f<>Ex`RUl!s0}Gp1lfLH_Q9@qJsgVqN{i1Y}_H?z}R|J@WH7N zE$`=xSOgM^H4|p+@i^k(=(=o|$%kZ-0*#(yPL9o?DO#@|SxC5X3w7EC?9}KvT(r_k zm|tN-PbXWGor{D4hp?IOoSWy3w0LH;Ix#e+n!MQ+BHPNg+en0M@xlrdn+Cc21smFq z1?^m+AjK2BQOo3-TBPyjn`ish$euTwamsa}!PTh?*R9@UAfv;$yf{>^Px;{EO)C?0 zrm-nEXFYI=4dCWaIdRTtR#$SMc#rCZm|5J_0g)Q+;YX(N^75YFc2W29?F%0=G7g;i z^8dEqw~F;kuV&w_d#~uXGTc<E_x)b+o7?1ybp^hB>#q2b67?|r#0e(H|IrF7s;+iV z`r;wRk^Oh=s!cnsroXIO@X#QkqF_V9fiDWa8WW-wc1+7X#rg43ijmfI-sN34%93|! ztl)NCxxnJQNoK}|w&SK#4}4c+=koq=V0WzS*{c^#B%aJ`y|dZ(bDf2QiUFgtRF9<t zpW_7Y=Q4KZAK$Ib_6-hQyK>cxng9O(|0&-uz;e^_`}0b(^6RU9ojLPAW4Geu^Pgjr zvoo&xOh5Pc-MO3R&M8`ztUUjD+v{B3P~*@0bB|nk{_~x;@85Ut>~_B{6TfvA1dbj( zYk$7@`mI?YC2x;B-&y|mn$oJ7Kkt8glId4)$|rxC!@I~6wzo~*ALomxc<*TP<G*~- zd9$;(C-zR^INZeA(UEccU;UoTwJNQJJMPyxM2cwzXG&-^Fm!fwPrex7!w?|J&SLre z&gVDVmi@Ay|G)nJ|Bn?MMei!h%A<qN?y0}MZF!bcjESGZj;2ljLd2`&*Z#`6b;rc` z^QxJZf3Eso{<%BxwE5n<v!3<(e)j#G=WF`;__n+Yx0)|ShjOrNn)Pz4L&4A2)z!Ig za<-q%_<OhR@z;I5E4SymaH`)~@vG(^r<{cCq8Duq88duB=Kg!TIPUBJ-1`;DRr1p> zJXSd86d67}d#{hM@1J1D$O)%U=Br0&a2qxrUZ|mAHRt6jEs0|+r!K|FsE060%$=}M zV|CJ|6b%!#h^f4449aRDDXY4jJ6$H8Ozx1I?yu#cWf~fK<;<DC^Vv0Dy<*<V?&!fX zaS8jxB^;Z~(oC*jojF70BJ-0*Bg=qg-b;5Gg&b$>GB#Y`@vc*;<E4>pSGCcVFB+Bm z+kb8~d;Zra_p;#fBb@RbFYmnn{r~>|`x`v>U4Qmx?#!Qm-{#Cbe|u;5`M(!$oBV&C zbn9(@#gBsPt5=7;{%il=*347*wo*#tg6S%p%HFeQuRiyBsz6{@j~K_U?r&OFE+y-> zuJpa3;<0(}cR8&^tcNx~{k;GE9H|8tV${@5uG8|{y?eIMyg&c9={C-+U-XuD)y(~G zkMjy|Hobc0ejdNo#~;^1jO(SV7Pu~s4nF%Q_xoZM+m&0d2?;Ld5OP%V*s_~zx1dKh z*V@Ya#lBy!vpJr#J^!w1uZHbQMVF?Ey`A~j-R}5)kK1>Ky>Y>z|NrDzL`D966Wi;N zm6x~Hb;*?wb<aytYkyprHS4^vEyt-dB^|Fz>^wskf42$PcVEMEUw!)Reea6P^qd6x zI|R0zd;3{KW5J<ytCp;~RR(fR_@rxY9D+r~&MqOPR`t=rm;Wt4vqkma?Xv7unt~Sv zjJ2hFKR#TuDl6^T|J&0JoNc+hGw0ug3oN}E24|y<ueMxPGc}%H^=ED6?OF9oEzf#q znLG6dUp*rqwe_~$Py6j>HwK9Bz8ibmPi@=VJy*BY-mW+MJ2&Ic+cWz$7xn)95?Gtl zA3g2V7mdk(>Tl=G+&};OU-|jJMfFX$8p?jzJ}vC(ng1)c35sfKoYtGaJ^z2$@{E;t zqOw=5nmO}-{_V-^W=F2A(e2e=v1Edkq>C|g0|Nsi2ZOUXLxO^W&;<7?3D>LaA`LwJ z+#I430+PHOf^3|uoSdx8?EGB3{9HXpm>LsA_&S>(9>_=yS!J{Q>w=J~7iH~EZN3c> z<_5(<VV4)0T4{*z`T9HE=9%`Q+o<`EmU2=+h>J#d<0=1zCwn?NJxvQ1PQA@*!qn{M z>JXXpVoy-9)F0V}vuw`nd#Sj|X!a?Af{WEB9)Go1vSOj$b%9R{!)zN{c|+5r4_RFC zeDsLT;vZY1gX3qL&d%jGlYhOhKR#_?F{k8Pt+o5FUf-VE$Fy=r`NKnM4U-n^c3j}; zy1*gwVdq2TSH8DQg+K3FYhSbJbyRM+-gf1P56&tY9cNl!v~7I2K{;Sf`w#zIuWi4M zMoXR6?vh#efbrtXY>jtr3BRxX&YLpnZ^IO0-V+f;thIi}1bMP<y}oXCezogzV|8Bs zrlfyo?UlMWpIj(qy_|86=7Fn4%JZ47J_vT?xyCDO6g?&CHs7qJx>CDLSQ=iY^y*u$ zyL3r{<;Vi<tzoCnBuWIZ^RaB)T5-^7*9VuKi5+*RtP(tOfV(Fox_rq8?%%2`TyL4; z0_J2kR(yI=6Z9{Af22v)gsZ>V`DFd$lzLA*ULbevZRi{4um2@}byTiopWJ`*&{pn4 zY15<q=bo?Z@40e2*?f-dGF9#C9O842@H=L#ot+!ic_dfprI5d~Z{#eGCGKmMdnvcg z>xzGQI3V`(j?j0DX0)6NRC-tMn7BetIXI7tr>0gQ-eM1zjr?kLd52f$7tS%=e#fmi z-ahuq>eH(4)Ku$zCR;CiB0n$g$OEBIj@yn|={Ke9d7zZNZPmi=W0KJ>Y16K2&52ud z^WOI0{Ps^18ui!m&uKLN6y0nSRn`*q-tV#KpDU(!roKzwz!cOKG*c~#@6}mej!)P6 zTe<`E^X&zg!x!g?pAd4D_`uh@wLHZnif6g|@#Pxl#E<!&w*0p&g*kP1!D+K(xu#>r z>WevdHcqv;GvP^2z=g(hVGEfSD{#!+)~Mm$?dO=}^kKm)xAMD7HA<#D2^W0gQyhAs zh0namd}3wxGj)$E6R$1bTwL>S&xJO&o%TC6bc<c9an0WBbhmEF{7kP3jzHOEuN%a) z@@iE!YS_IE$#;Bw@8=Scjy<tl8zx@JyRb~|j?yz;#TAjc9}O-^+I-^P*f7ae;bM-( z%T?zl$Nu5_|2pGw#QD_;Oh4*RhPz&P^|xaJzi*j!(_Xgq##_&LKCznMuy)=muS@3B z1YQRgPd@q7Hqf9|h~d~5*<CAd877^3@A9}*X#4xOQ7Uy=4^>zzlpFSKQCc3zzgf&R zWwYc&zZ>xn%lu|s4f%Luc9D_UuC$X8$Lw#{OgOUDJ4LB1bH>YwTc;&`x4jhq)RI|q zE#HpxmtRHJSbS1${xa>(<6leo5(7l;9pb#B^WZ<TPDB*r%O6#2tVO#R<0YLwcla_S zxb8gMsnzI|ED|fuZg|j?d(LsUxRtZ6-I5l%er;y@;>OB<K4(oor}&hw^jdGUdUgKs zW=5~;eQP523p>Oxd9eyPGH!}cnpfs0ZnbjR36rjE|H64<j+OcxJ0+rYJIdzy(iRuN zb>|{~rpvEy`7lZIdv@@HYt~AQnd?3*a(^M9wLwu_dV9p-KHislwFelETo&5#sXBVX zh0|B_k1`dlu;n&Z`uaM)-%M=%ryo^cOoHScKDeE&{}RPAZT`!NHk&dYb-TTJ#PN02 z6J^eb^tsc`$_^(!4%%@vaG~mhjtQJc<FCtnI6v8Xw_R}58K!F&uY{{@-r=xdt=zvo ztNu4FHFr0+`sDe+^iHGuJdK7rr;eZ-m!Ej6-*ITQzhx~wYxO0;S>GSeu*&+dDpKW6 z;LPyL-&XHy%6h8Amalw_YkPQH%jvp~8oA2HZ0)Tja+8+6IuvrF@7KJ^f$L@)crEBw zv(LXhsUnQ)K=_2OQ!eM9zbafK;~~`5Rki7n^Z%Ef_fM-FTzYBp=Wi~49&D|KGZ!&+ z@t-JUo+;=TB-XqA&z(tcj@&Iyk+;@dUn{yKV?7tc$NNum4!K@WJhM^Ws*|zP*&$0X z+@^F{k4xLx+G6h18SOWmgA;=TCi7LX)jP1aGvuyqx0|rDJzBC_h2ysW)0>;F-xchb z-00616n*67iNxE}L}%r<{daOM&cE%m=+tD#!esgHdIpnkZF#P1%9Xag{VbzN+BPYF zev#(tPM2`zds~erv@a=tZehFc;)SBsmopm0e%PfatoDg-Khq*|IPBxv7JC7%>F?IA zQ$AL!(Ys@=@Ut_o4P?Bh3r64gvbFYq<z44AJ;8l<{iM9)P0uGqhD>u?%#w9FXYO*P z^7J;_GX=*k?pV-q(o@^>5})`oySzgzTkLg9Ym_&v@eYt-4?51Qo0QLD(cUogoV9gx z_NwgFBAOag6W(b1C_Fm#PDf{1NN~q-r(&=DtA+oTmS5<6GRwh)Q8}4YtKmXz;i;?1 zi?8h#)ZLTH{-xgj+}WQ|GdSELcH0T?x2au=`D8C6r~WyBu`J!<wEGVGnvUgytvjxJ zn*=yJ9dr5L!hP>q^o6-=&p$Y|ZjLdN-D#a4ma~j!Gv{w&du>?IUwWL~WAoS7qRe&8 zrq2H&?@O+E*714P{{7pII&zlZdUr9oMVIBa_l83Z$@7$!%-l99jn$w^a-GhlCCwTE zJSMv)vXoBn(X;ZMeR@slv&_<fT!VJkfc?B5S_M}!UzqglZ`(wN?z>y^Htxt&C}B9W zQ(=#x>*taa|I^l{rZ`OrGHj~X4cnt!Tikz^t-j&m<_Vh%=D7aTTXgP7;nJ^8&XaRn zyPx-~JoMZ&d+|Y5*V~M@UzjCqVtk%_Md@FF`KFB(9WI?awYhIj*)dsq0>}UB9kmPI zvj1yb?JT4E!6>4&<dj37ZC3+#z?nZyqPz|#>$#5cL^4Up)budV<^5I^>d|AV`~Cg8 zn=j6s|5+jR>#~Z-#ozNZ<;!!PoHlhVivBO0qNsW+@Xpb-2AR9;*PUWpwTdljquq}p z!;gzumRAO!+<Al9ZU!gwjtc&389Sw|4MM!7&1NYt)u{gd{o?+8Wz$a;M!9vSR7}s! zc)VcY^<R>D#x>!WMVKG0Vp^l!u=3*4v+C8YQ7Kd0qIcXbE!-G!V9TPzB1(;uw95b0 zJ-C~&<nIH?S8O@Av?^Y&bzXA1qf$yw?|^#f)IHl$88QVkzi<EFS)~3UFQZiU(mx@E zsKvAF*Ed!!N|t1?zb<w3q`(r!jp2%u`dBMx2Tbw&*Vd!Sch>vpdYSlwZI9w#@2I+$ zx$BqTp;ztFn|hC4eO54IhfjLy|5KLh*_iGh@hm;}_~QjWLAOs=RUd44((kzUYY3PB zEw0DQwjFGY-rI5g#Nhxh=j}2|+eJ1!a83PU+Bqkr()@B6_lnZUkhA?#r%La5^-FAO zneAaO8gkgrS)t>*no6AiBz`lK?qL1k6-yT9sML3=e^FU={C9-d>X=J~4|tz`XBV6N zQ}i_7?0DXM)&Dx&N6(nQigJ{`|KRh4e}5lOou8C!U~-Gc;>E9Ds})^D`X41J3KYfE zPN<bEbF~l&yz1@mT##D8dAj;^<GdRgH+oMVu?cG2c5=%zwIhs$H$~cS@6P<{!lQ3- zz?1pWiFVKYnJ>KNUwy!!YaCPP=6POm%Ugjff8~vy9}^R9*ES6^-t)4XpMTERA9GIM zcoaJS!HS7tx4HIN9MQhD#_z!`MT6?**)jHu=82}&>z2y*mlo__Wml0qdDf?h4@}OS z-^|Y>EHc&3n|0^|-@@)+uRmVyJXGLaDOmF4&^Eqlb~E12j0|6QIsJK;aZ%iFv;Ipi zJ8PY_ta$PsR2&ZKIey_^wBkLtS5KcmV2V%+`gfE?yGhFTwx@~fdD(FH{i~b<P2cXz zJ-{~WmWRZoDm%T5me;=n=bsmCST%c2gH-k^<7?~Z#TapL*VsrV*qxkRr@Cuv;jyCi ztQVimZaC)tN8OO6b=s7NPL<!S_bE<S<7uA|dS$YmXtw*4Ptiqh@>M^$8!k6E|3#jo zLruo|E`OHbjr{AOp`s__mmRFyzeQ&!-^U%c341$>4(gRKl&vgc(^YQhP@8)yt1kc2 zK}FkXelPEG)xKsaINg-FWJ1^?9yPw~3pT9Z%2`swV-HlVi|y0>E3iqJP2q6TyoD00 zG@GW?vLy9&POyA=-(&J&?{j>O;!h?B7783Vt>||5>`yOyhE=s?aYq-Wg+KpmT6r&E zwVlxn_UIEn3%X1Tmiw;!m}QpjD!7Da$48SczNKG3x866~$rXOb(Pc?{ti9;hEjDun zJ!B*|wt376mV4z^;Kkfr&i1&XIRD5eg@{$bc{NX+KT6)$dG{!LmFBv-=w}OFi$o<F z?A~G%fBoFX-@gr~)y<lJNNQr!^hHL~b5>_ApCD)%o%+9c>G!I0iHn)%+MJktEN|Mm z`>Urf;I_XesW$zkY>2{_E3X4@vPQ+IoG>`=&Cju!wOk=~k+|JQy~`>pEOS`m7qVSE zY}3xaSI1rdx0=R=unm{{4>1{9Xd29q_b3TFvSgW~@3ueY#m9AmuT9x{{P_Z_zpRI) z%YFS``=(x;l7DbRmN#S2>+FWb|J$~tSk0-^3C^FeY`0ZLZ{(-G2`A*Gxx26L%~{-k znO*DQb-h2|E7HW>TkO=6X1sGfwk$q*&vDjj7v*F__f_A^xzh709SwGU%wxD*G@Dia z%hMMRUFVovoIG{AC2c}m;q!yLoLAZU`DzAQNAF47S$}hbtij*NfS`vC7dHtz9NKwo zt=hF7gT%E~GXv8C*f%s5%WMjAmM~XJH()a2T^M6icK3Z@YU-JNl`WeqE%PQEygH@o z+5A&KW}U29C6c-3*)d(aAU!V4eFwFf{!N=?CB|^yly$zLEl15Fhc%m93b)UgV*PKO z9Pd5e#V60WM~WIqonQ3WU}b;Og|BiC)@iO-a`2~gi2PAU|5a`(%>8y8qQdN_X3jF| zt2ETG)Gs)9c-1Nw)|R=~bP}E%Vm{Q*b@b}$^}99qJ$cAv!Y3ZFWZPnwkcFw{Ok34c z-hKamvtY`HT&{e@=E)nLg!$fYuu)0+t6<nwY_4=cVrR>qPd6_6tz7OhUw5U+rTynD z=2g5?YCOJ!ZMV|1o^2LxXA%-^^}iQnM>I)Hvy`)AKhsifV9#kG@aD>iLdTuA&SyJK zxN_A*uVT)m_Od%-{tvf3@mn_WVtDf#<GGz4@tbPDn{}UdPud#d82@yE*Ei?Looh<! z|K;u4?<k?t(3g4gTwKtry!ZSIgI?JAO#Jw3<?~3!*7<24^RHE&yL)YmVvjRB-;7CW z)utw^UKBnFKU=2lH&aYe^-i7Na`w<KAI|@*io8&2J}FT|%KhQTm@gjtrIs&HXLIwM znYZedSU_WPOSor?CuhIghL4r&v^MC8O)*#hJ3F!HTFz6M3vZH|meeq>I=aipcly8d zT~m#ic4qA2l#`9J-P;&>t7xHbH(!+ZO;<DC<$<3wvzV`!3&{rQG`+oX`Muow2e+jP zmxkAd9<1@_+xDrzY2I#Qzjy4K`<%NOoVvrinx0>{{r5Om+x7{!Pcq8YT+s8l+WNSQ zC0W@(^>yQ^?AcjjANKv-k}1p7=zY9*-<3cOohgfAR=(ws^?Y;YykuwT1?ST5uQr%j zpL=A`RQ&u^&BhM_jr@mhEUvFHdmZuq`sOy>cRV~EhA{_k#dvv5(*L2g`hN7fH#gJQ zY~Sg>>e8oE(SI&Ks<L#NJZJqjvtO~ZUVUV@yq5pWT|#loFMdPoKM!qETvj<x|Fd23 zoNL(TnnwqpzYa4!b>zyF6<aMd=N&k#ePioWk+Qvt61Lksk`~#fEG?8)@bFpWpKQ1B zx15XF0RcDOD-Y!AubB0(yC<J?c1qs!-zv90xTbe)^L@*5Hlii{xtkRW^KBk&^%K$0 zE;jkyE}9{2oL*8^{QbG%6@z0QU%dHURF9qg&!%nn_V_s!-jLYFoYw+ZKDcJ}nA-<O zD=y+=7I99R(d=coak}5exWo|cRd0`lKM?jib*K2h%3+O;=EUFEr(ONhl>F!T!GuZw zoikp}+qzMAt=xtC+LyOl`W#qX{II_`m_zUJls(Itg(q^KXuMQ-c44pjxhLkDoWIxR zNHhe-6y0)CQAl*)$~-+qFH7L3LG<JcZyI=0&NE3&mpJg&VA|6|zZ1DnnC`PRO}uOQ z$N77U$0y5%3%y6A5*qKTGL}1RQ8oy+(^a04B=GQ4uy$JQzs&1e{drl6$2KfgjDHZK z_wR3;s}b96^FKdys#SMbFIT%A$@1dArFZ|t+4?U|aTyA*{=3j5VqmkIRh#Xa`Sq`h zo9rHLZkm|7aQU64Io?7xC;0C4{h1h6^|9<2gZKZsS%UA4U!P6d(4eT5A0Xl>GUZ9g z&4#oyB3c%5E^fL?%IqvhERJQmF5?VmNtAag4tl-#Z*2c0&h|O4PK0-{#Qd4Tv~yAC z^Zjuh+I${63|6qT)z3+=D-~LD(x>CQ%PyVyd^64Jf`!f~#ybYjSg64q{w<2>jD@DI z%JJPIlFE;_ck*&5|Nk@Tpl!@S4#zXB=4BFo$(?Kly%T5Ztmz0iyS>vWO7c&aan-tO z>OOBfTAU|7KecJ{&6kgv)8^g%zx+!2zb@w>-S#&IqD$YfzvNqWxLBorhQrNgZ(lL& zZCK^HJ}G7PMC+Y*=cZnNxvliG#by5jy@pNFyuaIz{hE7xwv*z-;Dk3fH{`7DJ%7Q~ z+<99_b$`ZN<_TIcLI>{NbbtM2_gN!*MgHw-{L@!I7WC@z-eCHnbBQ{eN}{iVy2sxo zzk~N~5q~Rjkf(O{<?u+W8|G8DthSw}zR*!(cT$b(%)G!;e=kp!(|qUN<C&VgNvpZ- z6W2q%Jc}FM8)pAq&Za&~>dteAowMTe7dm+;Tx=A3#hkZB-u;o{?3NwJI&vclPAp~2 zaB15({rw!J3qgzSY;7>8_>{h>{<@y~L*B*<F<aac1-~12Z@KWq;G|ZrER*nAdx3+y z-wC{F$+#b@_?&;!g1jFY4BK2}-1mfSc3?W*v}eWCzxGSEDxCX#XU3$$kAW%iamrJU zRmE!TsZQ{cJd!Npc1Ypglb9oOIF`5=UCYf0Y&f=_Vc91`Nsn7TLfbcQ-aqBv*?(-R zDzg_gXa|<COlmsG*`*T|uqmuDDF3PSl^4=1&$4?jd$q(@w*HemX&UfXxcHU9r=1sm zhI~Kp?`Z4PH<AutO(t{gmQrb$J?C`sl-$g1^BE#;F(vdW>Yd)dSI1aCe|=h_x9Vc+ zWg0W1PdA&&Tbc8?`W8NW;mIe`eNW=_Cb=!yQyWe{b^B!TIx}<oB9F(*rskU0Y<Oj| zbK5sXn~tSjvujyWH2Q15J=%5YXqQp<YH9T+{Qtv0M!s3ONwmXF@Zzb5T9?Z$w~1)R z^66EtI-<pK&iL}{i0$gH??pbp`|PB$rM*XV$h$!0V|-gGb^c24>)&-mXWkO4lk*dN zl{%KaTKQLJRqxh$o;DNwmACq`2S}aU_B3X0{N|O9{VO*ce9nC7f1&oP`ajNHGFwZ; z<}Z;t*xwsfkej;wP=<O_JC})-n(ujb8@7%29qN?V|5{nLkICiIrQM6Bc29Yuk$r1% zn*8yoZ{MxY9ZJisVe5Vv)b-h*;D1zBPk$$8*QR^Cp>~4nQoe~E`>1}(g_rwXN1`~# zPi@~M!7~Q>7vgrk>EGHk|9RQFwT`TIM)|k<pFc@p3t7)S#pF`^l(`F%kG6Bo$hFd8 z{H(q2|Ldy)M_%N1TNRfdF6Pac(O`P1RloJ!k}S_s*Fy(bD`sao%Cws;)a*TC6zBP9 zxsJE$)oG6E?cGOD^gF%#=56q71<QnFt;Gtn4sCOu5c+rO_TLUqJF>UmuW;D1$?RNN zZh+ZygLSW-G4S6gaLsd5@IL8(l686ZcIGdRFLQ1Rao@UoJ5_pv=FWujw`Lx8Pfi6z zCtavzwv&n4RK{g4J>9@GY<bC>okt$b_$%rZ<Jx(|QN`!?v^6_s*<V?~T3(UkoANPd zg4>bAPl4N0AG|&j^ifV>;qNmp^FD2>Q#=>%`#!9HLsNaYQMKte)rL({TMN%``~Fhu z*lg7uz8nEhP2_FE!s0HP#tIj2WiMY<rTc5kN#3_L0_8pN)20PATKrWNvC|1^EdKaC z;6bC!tJ4?5m<mpPx$^R#{^9RizAc%>G?9_{#9Pmc$D;pV$y7<WrCRIfnD|+E-7IOX zncUM=Ue#XwULJHjA=o$m>e8|;vs=H`tQ28C@iV^twV4n9g?l>oeW$u*{;S1Y<^F40 zw8>%$+pYhbN_kSW^8Nlv2ON!2ca&I|<DDCGu5|fX>Eh&#$y$cn+Sex=FL9ryyWynY zqBU>q7Ycam_-snN_TXk|82jCYSKZvM#rpGT$8$Lvp8I<0gHUA8k{8cz*#CO7vZ>wR zwv$rO51kWR*|I&=1azMLJS#2zeVf6{7ym8SsPwA~Mx9z1>bd2>qR`AOP43--nTnI; zI6hrCJ?Yg-H=RVEmPzG(l{X(Qw7%=Gz&f4j{q=uG@3?IHw@Fj#NoQg!qjg-D)3uy$ zyf4fHO7os3`#m>%QQ8so{)RhKn@LCD&S;g=o?k2mDa~`%_gwdEiWl=0Qdsimo{>U) zt5Wq5#^=e5rZfL+OHAtXP+ZOasMCF`hC@!GMSplyc9VkzV>C~8y4;%(>tKaCR(ac- zj(a-2g|4i&n*V_FLFG1X(<S<S72IkU&L4Q)_^(?!-JL-*Qhn2t3)NEhxI?B`ZE^Fx zv*cIEx@V5Twk5o`nRD&*B1D^Pe-&>0)vn19*;JL~wL&KJIJ1OS+Jqd@&J%~FL+)G0 zzj}E!{?+fbmO2}(n9D*}O#fu_Y8#)H$4)Ev^{<pyg{dWm%CKzb3fu80^k8-A|AoIC z84v0#o^<Ng_3uerr%2ePgqEKwermI$yy_2Y{d{RX?^44>F?Qvh%(*Y7X+KNj)~q)= zrfs42>Q2F<n2h2aZc(>uS3fQI_U{PS)(<KccOHEH>9$D0MUU^|Bj#;??n^J_t-W-# zm+j=M_8Z?Kdz`&a7gQ+J|NQpC*iA03^uX?F@Bbd3{j$<!W5j&tZE?ReNkI3#;l{<5 zPt2CwV>L<Dd~#^+ZASK;;ypiauBzW-UU_nd!SN;2$}Vs}RM`E0)}Q?I_t<3o`i`-) zyqcEbKFOhB!}tB>0qk0HYlPoM9)1?rZ5)2Tdb5y|)$a>FJ$ok{$rKNMq;U6`<wTE* zyn>lRIg=;fsNWv-+g9^yaMFeguAY87ZfhU6zREnm({~e3y&{8f^JJ5k`8s<eeKeTt z)pOQ0Z0PRfO*9WaCF(xWv4Vx8?5py#rOm2bl{ZR{IyqJ9RQNut`XY9bcl}H~{sj;H zXLSaD+P$;sYTt#*sfXT+ZCkQMUN>XnhgnkE2bp)AXmS<0ee#~xFV*OE3$=2OsmG-? zI;+2WP+r&AcK6Mh%lT&irZ0b2YB4QrM&qiPw@x1|S9(#rhjB;U(wa^3<|;jb>I|{J z_Pt^|CY#GMIZXWGVvRuGa%tCnKXvTn?_EAz8vl-=?3u$?o}1@<_$?=Vypr`j!S|<3 zqiE4X`GiR(^*qh?$K8C^9r;p!@W0r?L+3m9{$qMBIQPTP{vZ9_(@(L!Z|}?a!@PDa z!>rH;T#5{(lVmz?{aLzdyAbDUg-MCi+8lFw%~Vx$0|K=F{$F9gW&%SW!z6~MTORKC zwtP<2yG=`f&3tlV=Vr6nlB%9TlY-c0R?a+s=8FB>hj)WsYx>@wzrSK%U2OQa?AuRr zZW~|EYnt+~?c{{WnUS}*uFajStQoq${`R(e>x6Ee?cch@?pc}DByG<LN*;-tGNyAa z>(9Sik$SRl_KMwe>ZVVAU-T;0Z_nw>@|{fk?@o$dzIEOIGVi?987DrS=TI@9G$r@+ zpa1{opN`m;dwb6D%-q}Cw&qS&@tmb%zy7gVu5|MIcSX1Dl<$V+uQu<yv)y;Q@1!Ni zCjOV(?W#L@a<#edqGNY_osBpD-*R`G+Rxk`OR38hAN0znUw&WoDb{cA>CSS?w|eSN z{Er>Jz4Y8{AE6_MckepsclNx%k`J~otIUrt4LNlt-A?Xrbyn6a)?Clqj?I%~{`~)M zzGUWG^|#x$p4@MvB`5bbZD&!x?+k;7|IKHgP1}4k$4uz(|Lt$L>HfU&rDlqn=gk#j zSCiM?D2?4drB`?7l-;kkzrB01^Z)<<`~SuNuit&L{@?%q|2OB}zWt?gTguMFC1uB# zg;&?*<~Qu!mb-i1>xuW5CU0NyRJdrZ*H&H6Nh+F~RP2>4C*AQ_7ca!y*~YM0QctI4 zLfFCx3Bf~)m^wI_`&s(fdFA-|1R@j~4>mU@GaYJX7M9~<<(3eYG>Zv{;b3SGeAMLR z+{VixAtA#mAtI$@VCS-6!-4}29~~As3d&o>*l2|@9$xHp>DrDD8VrvA|No!VqPkV~ zWM`*asMpOiAL?^=zx6z4)+W=tXy(oOTY1rw|ENjLn)!G6+ij*N*DF?Q$@z9nIa}6y z_ne+3?<OTaSskC41se){ES}9^OWn6oVCBSD#^s-8G6{Ii{Q36WpEB>fJ^^vDer}J2 z3f%mc<YcTOG%oGGnZn$;eTB+|IunL26$WLA6Al(ClQ)}qJDvI_(!j#c!=d4%XCoyd zCnP2yz{AHQrX<ECz{SIQ_~8Nz4TW|mmnS=)l<@!0()(iA$5B~Owd98H`?jT7f6FEu z_V3%aqr_sVEVHh_<;){<t<%KvRjx9n$f-5VynL^D)(q#K%dhX;pYo;ZNB6xw_x`Vc z8GHKKd&zt?o{-Ah2PZNpH`yyW&ABpvM&P!rB}cVFH$M0<yQ+D98>1WJ4Vj(ALX*X6 z+ntZtDZRht;dJrOoA%TVpU*9yufOy*<F`*!FPyx{WMsdTTP=xIN#KsdE13&HIV{|> zr#yVXaXm%)(HX@H<(s0sj8}-R%c_<3I{#@un^2#LNP+C6^?yIi2xLDnG3bz#@Y4-) zQhw*VdFFT3R2}V_{+IRf;)k0rZh0Ja<|#u+UXjS{=GYG93H58MzNQuw&#c`swcBK^ z{EH783a#h-%bF)}(%5R#jPR(;f3b5_w*Gy$WoGs}=^5tX0X7f$<Bu&h-Dk3Gi%@G< z`teK7iiuGQyKKT<v1o2h|9WuY*M*Xo8YN{5vo|f#m-_8lDk6SR#eCYOslptMHi{>w z<{Zl1?fr0h<+;<QH6rO}c^<}>r~hBm^5D$D)2cBQ7ebXD>*gn)itnivJX(Fsj`6AW zGpmkAyvgw_ANzLdGyOKNelY9ZN%6Wf4c!SJR`z~pjb`amX54wbDu%1#Y{kEi_SGyA z|EEif+qRg=sHR2y{<>y{u1u8rtu@cJljc`!@!PUCdG!;!!ivXdj;W}=JIH-}vSHAi zjqk;M=I`YE;8XX{M$&n<=i+n9hvl~}nKPU9Lykxd+Z)qQN4CpY>h;c8e2igf<B48Q z?G?tl*EcXku9~4>kyB$U(7C#fnY(07tI+lIWz`<hdWC`OXGUE3a#8Qm*_x-WchdSz z8{M8nG!}7A)YWlOJNM~g-fuZAwW}NSJ-UQ@7A?G;$Nu^9eIX`m3(1K8Hy%t0whpTD zP%Ahob;RqT;h*fj3k!BU3J_B}Sg=5MN?FVNP4%<Se>Rc%f1t;M(ctMNBPW9+j{iBj zQ(81Me_gvSG}k7x%PIYwYH%$}oO<h(n&kU)4p&@x_K(T-;J)X7U-=i!Inib^OVTk) zk>y_xXX*Uj&gh;9naV8>7@E62Prfbk?y-~NGoRb)6aPOu7X3umXyVM>ezjk<Lro?x z^JrfZlHyxCG4O%Q!cg6w0FjVIDJIR0y6n96Y%WHR1N@biAIX2Yd{#?uw@1H*&AaT4 z@qXVdgD)RwiB;dW-e|hP47qQ|_!g$VJ~X}NU$y1R3H~nZIRZ=GrTt95V%VO{^l78W z8lFi_pVGdp|GU(y^ih-cu0Wstb?1NjuQ+P&tFvvE{9P~Z-O~ftulx7AmUDLHWdCKn z|3Vu)-Bs_Lf0?m(<JLo66J%%BuL;<`z$qem_s(4PTPxztf9hraJv8rzkGQnk%l<`w zL=Jzo$(kLT(EaG$iMfmk$0i7LSZiMWlDSy&?{4lzTOz`y`WY;IIQfMA-mYoK@3Qf& znVxpn#-TEb@r&&;$sJxy%O-0@JFk;_<;IqC{_$t2MZZmJtd6!B6|4+uEj3r?+WKD1 z^SR5LuYV*<E93RWm>qZXeOnkEk;WHum*;<J%}U#?i&^#T*pe%ZIsb-rJpCVIxMYED zPk^3pajWgCs(Jdibmw`qe@uRKy?0fH;Hic8^{!qFE!6a4R98-H&(52BCE&{Gs}ofv zRB}>%r*1mNA2r#1{ldNdv+uoj`F6?p(ACJ!;Q4VA9Xr0wwOhYomMMP`%R&Jir6v#U z%t{;eY4##52_Ao5r|P*Z2v0Z|x5VD6|M%SaJtjr*zA5KErn^}2KQ+Ckw9J6_uhQAr zdDHS5mrdVR(x-jrjh^4pxQIs#_UuwdE3Ur#=5n0PoZa{S5yL&~x#13?HEud5Hk6)w z6SZL7vTHFDO@C>c=ADT8d&Tsf>m$C<PpvVUKP9)_z9lVFk>logIzRi{-+OPJ3#Rr& z)Gy4m%C<EMn0{Y$zHFB5UE4Zw29*bVg>jLFUuQS3Vx6!u>d_Uo=ez4m^ZmWlO7FhE z>N5X7TW?Izb?bw(ZY)Z!JEOYg)$g}$+rC_VbgJ{)!Q>4emacDj6{e)OEw#{kd-~zv zoV%?S+99D+l==046!E#1$ty>6&r=HUcx4uTwmzzK!aLX2V~TcJSAK_?#GRG;<G4WS z^gmAhb*no!mMuFtqr&Qpo`afJ*QR~_)A$xjvZhHso|Kg+`0h&c>Yp=9#ibn?>l_#P zy=i};)%{EA?7pMbp<7H{|LpiH^3goYP{i`?GrQ78&W=ly=Owl{2bQc&Ienx>@WHj^ z@gF}vJF3a{f61Xc>osOC3YMFEHbPx-)?=OK!wy@6wz!HtaJqR+RO!HHS4|(Wqq%uo zcKv&+abS)VBX8B~Pq)6Ubk1)%S-YdX@4@Xw5!LT^Tv6Qi%SG{Kmib3Jhcm$?ms>te zuYQ}qG$dp8#=SS{XE5D7yv`^vy+y{jWk<p@Y2F1qPg)&{n61nAZYeO5tNpR$pNoLN z*>BwYw@FQ^5#+UVk-h$R<(q(3<1bZW;+y6t9r{0^S?mPY!7tbC9+f`$?AoY)rt!7t zXPf;mb=DW(@z`9mym`l-<NGfs{q$k`nzOcEJ$qfT-^$?aOZ;l*=zm@I?2q#Hetq?C z&jt20MQ>GKy*)hW*$UB_Z1ZF8&0n`%^~}BauhY)huF+xipV)9ARPkZ<{TN9D?j5@> zF?*|8Z9b``%a#x-UlGt4?Y!xD)-jVk>r{GYGJR{<H~GuAdmLBq-fukSU9{`Hl8t7O z-!6f4F^SG4`U}lYvB+?r<2LI(?IJa4*0rKC1}k>q%CtkOmIZf}B@Y?>JR&k#<0WhD z3H@IdU1y&eoRe~>YLjdGt}#0?=k0`fGuJCyTRt|HPmbLD*<*QI@+2YEJKLA7p8I{C zpkA8Uf#1U0p6#33_4@_$OlN=7v!;wy`(jHvOLX1|&-i@9{l$TA8clOtZ&|W!ys}b5 zSVuL(Uw21bh1P~Xuh+c3?g8`PPHet1NxMOK#gfm5AB9%l7w_ZsXlqio4%(hzBRhAp z*V5UcUuG)KI5p=X!_s5S!uu;dXQr{LhTJcj5On$Ugqb$Wt*a9^R2{DB*St}?^c2eu zyK^hAF&TvK?R;|9JLIa^e-+;+V$Si=>JziqgnqivB`@|!?myF^-wW@G1pK&dT>Wc7 zeflP=9g@d>HUI8jcIK40qSuU_mv7zra&C)f+=|Z<e>K{6_b-_}Rk&u?;o?KRydk+^ z?SBHS_LoPU=Sf_!!%<HA)9Jh})(7Dh6PK%96D>XYoU89Zq1yhdp`Raiw}!j=8|E&s zSlVLg*u|Ca(=#Xg>46TrripI8&o{3&c4_*ge0=*1n;@G<yiwPt8n4|})R6nxaKpJe zk-p73YvwNBntA#AujXw<FN~WEgkH2w*-@XD?&^5u^3s6m@%zKVB^i%gO;XTExotR8 z@$MS&(~DCsywF{_?eQz_-z)g|s}#ICr?5R^TN?PmKP~V`*uE2owae$J+Wq@^yE{48 zx_dRp8Bb0L)9%-=G6Mc(PG7$J&aLLOwdUFGIXjZVE{GoSoXfi-jJ+(ZWbZ3`?`e7S zugrNaR(5jd5*C9i0VzH^cj-$yxZmB?Q=VJASLIPv>6u05Vt<ZHYWZGoP|-Euln>Ty z>dBG3@WJ|bVC8hlu7ocOO$4sz-kIhS>1DsDOu+e+<HqS*s_)Lw?@OD)Zs1}R{`k(M zkjcqQ7IX7%;P2^N&n;@RMfKH$6PFhyE}y?jxbTEqfySAA=h_^FW=E|3Dsk=SZ=oYE zA}mYREo0=^_2l;^A?2kDlyy{F97TUV6aLiJ>KF9h!tloPpNrVC3ic*0ef@;{#*6y` z`!hWRW8eK-cI;xyM*BU#+XW)_G;?fE_V_#NucS2ppT4)pD>^F`1P&godDihqVbgD! zhkBc?b!AAt<XC+_EcmAOt^>0@&2rCb$eEtV;h3iB!N9fb@WdB4Kb<#e?~7*;?yM`C zww}A<dv?u}Ke4l-&R3rOuXI2or@(K$|EslcRu&rMY|p>Pnfr%twu<h|M-yt|D*T#) z4jC^<SCrvd&~#vVIgd)}VL^6_#QEPPLm!*)w6E#Qa_{f%-@YS!i@HqxR=w@j>w2PR zK4d=hNPd&-QPn52JEt#tR_yEir0$l~#m-6F1(Ib>PG`Jfcyz&WFGd!b?+o@6ruCZo z@84JHGIRMprP3LPO;`jiXDnyQ`TXhgl*>~awc?xE*0XC!hwI*&bdk^4LS_3;kN(eG z$^Y^b-WMoK{`kI9NN?&N*=Kt%mS)WUaAbwURYvngg?h6tK6JgU-|Ws<%J@;AbwL={ zse)D9r&_*mkNI7`<9pWK52asDsq}HS@qR!1sjF*o_404K3*OG}sy_2x{?A**i;DM+ zKOUb{FBfgGUhLCh#n0VyAMNwEs+)bWWzoqEMw6^>s-8<;^!{7%jfc#EYtNmMTwjqE z*}Eoh!I>xJjxmpBsA)Vk|2utqOZ&DNyG1`#b9S9b@-yDScwmQP*V;E5E?jxk9s1W# z_ytF7j_3rT6X7g(&F`Auoqg`Mr{=?lSEs$cH@{h?;Kd4GE(=L*jfxj>i=r7=`vPXK zxP5Z>`x##+&Pr6^zhi45^{v%B#^Q>{Rg;$@+duxjR{DNx4BMGZ!{0%dGUjV|MR6@= z_{x#e{q>gRnyg(PFPKgK62>aD!Z1QuzTBYWhf;=EmCoPK9&NGnYCae_3pJ&+P4{^7 z!E<Ja*Mrr%{j2Kwre3UEaX^CqzHr*2miAe3Ez_fU)YsqZsa7~G#@eC6D6gyh_rNBG z!@Qj=TR1)n3U7U2<?Vbr<^CNx`M*!|CZ5>1i@)Yx$Kt%;$Zf}&D$e!khAJsnKVVp8 z@N{KpyWhVn7sMp*9X>Jdihj0!q)fBPfqVnUQ@pQM?Y-DOZ5oH39&6wO1An>27o;y+ zm*l#KeqC+!$4%K;>SNukNo&<-PyJKqwInEX?MuZqeVboOA7Qiat$DOsWTx^To0IXm zmnZm|HkNC}GrpW9^SHa~$GOsk!`G+2Vsd2IBm9=xmrv!He4+3jPZ__)hL;Iy8WW;q z9*Ivr7!$;>(c;Pa%{Q4JCFWa+$|s*Vt$y;Cd&Qa{kE*3U@`{Xgj{W&e6XbvEu<kr- z`hm+^x$Far*X)Oh0e@`I>gX-ak@~k!Q6lberg<6PC%elZqJ=XmTsy?)2`=Vcd8Bhn z%~=Witb7K^Rt{jRoO-2b)V%=3^d3zWG^^WNROGf74Ku5Q_bf=0Hr`TP?6GY&ld zb)~U`fj1=QK-&sMh7Pgr0_7_U3>a8eYw;TMg)}~@QhFZ$q>%5@Gv?*>IzsclhV<5p z_$F2Kor+M>RQ^)ccIC~U_w5&0gR{=WY@1Yk;_7Q=H}~{~i>C2CWa@ofb0Xozdfmp{ z$fGiMZzrpK;rr9a8?8TCg*!OoQqH|r9+P@m#=w*I6Q<iPaO_lDx~=S^+v%63U;oK& z5URSF$il=Z@Z9pG)@Mf6Bg`E<%x_n}&q?90d%@@?bSmvp%!-)ll4h^#L-gD)GufB+ z@7$!rYZNG^v!C@<Pbcs6G>;1(o^grlyf`2iB(mkg&vldXR3)8K553b*em%u-JG<fj zGM$w?c8X!<3cS~}9)w=o>Js+LWo=;V{&PwaS0y*UJ(0LzzkaZ9W}h6psKSR|GfEcP z*R_3GSpO&K?vAQCcmGSKh|PZ~xY@hEx<e#ruAFwg=Cp<LAGo+A*zBFMxTj@@P~wDZ zD)Sb-6q5*ahz@*Zlo)8?B6aNMBrj%%S1K1pCUki`J~G9=N7zk5v@zvwg6x?zxeH>p z9tZ2TB-U#ibY129Bw6oqvZdwJ*UiPhUFF?dKg)I;y?<dNC)ZXB!K5h%3k*$OuF;z$ z#b~2#)286J<x#|;{~x~yZJn;!wEB(H_q)G4_5b{Sr}05kYtH4)qx<j2afqb$rzR?1 z5%XD=`LJo0NSxDLF6Qj_GG~+KuL);f)@Q)F@9-|iSgkKi>c@;{F3+i&$nxl3`^`_U z4&84(vbX>KhaU~wGdPx>OLDPzJYOfLTZ8BD!>g4Sndj%<n_I?U$gpJpwZ4)U8$?6) z#@44Al!Rt{J!e&W@4}hQ?`r=s91i)MaFN$VEkf~l;90p<911UZWNt@ZVc2-h)}V0C zp9^eK24<m$Pes(|?COx)l6jl!v3<FM+~c+v-%4IKs5ZC>irVFK94u)*(C{|?@MWF< ze={Vuy4!0^)A8z&5(&H`U8wV>So{C|nd>(l4~xFuvy0ia^mV}jwf{;jEFUMS?mQIw z$Z>g1`Lt=Tj~!@>Equ@ZN#}QMkLc#FSF;X&UHMQci06!1MJ<O`nZO<XF#VQ?XCKtF z{F$PV#df&ycih4^`n$Gd`gDHU#wO7t<sBuuEk*ObvRbpzeQuSYmEV5O@JRdp$b72p zoyLRp4Cm%t3!Bn9yF#P$Z@{yC>eIw?@Bc6jt!(~ubH|e9+bX_`YUCT6n(s8z6OFX4 z-zAW;t*FlGmw8UnFSdUyl?DIUyrNbt(`a>DyZfnT^)5}%*UOv&w+2WVn7lH-a<z-) zo9pyH3fj8L7YfB+oaK4D%pow-VUl5TfK$(<yCFLx-S}bK4mrTv4g-&yE)Hg3VCZ9D zVBmypJIu^WE(#4{Wney3vL>DT<e9T)y!*>Nbv%2@PwRJmKjW?Eb=LQ+w&$6`(<k-y zy>*SQ7@HdfY53`$@;P&IjhD{JGv_yN^3w4-cS6TI)W9U%Ai#h*N#PJ%Z(xPQrHl#O z3@|4^c0SrOuB!jS#=ro=@STrEDT#0wfObA6d=m>bXYh(RB541iXfE@U#*;2)6Lp{W zExCT$E9_HeNW$*<idzCxw1o|sCjR~MKm2OdU)xBw5@A81bElZ!pWX2)YxM@V4Z2pR z7`YfZ82K5ew^vwM6<3;jt2j=0zvHyKpNjB_mad4$8}C?Np51D^!r5M1@6e)Eg54F> zyMj4fJ?Fny-lBb}TI*k;?X(h?g_iy4X_M2cbT+3QDZlqL!Bh9@oR~Q8rm8iS<v-T$ z{_~~m>ZKVwBwL?-%DQvNZ1eH1+@1Mqhi^rmWZ`O@V05+Pu+VL_v|n9Mw1jQjch`K; z?S08y>tXW6u=fS~B(14493*ER)Oxh>%z-t=qLPnlq9gBKO$)x9d&}+CtwU-vy^h>W zn|Sm4-LjwU*TdH=l}@^t)vxh|VfqKA#ra&7K}>5Nvde}>+z;p!?B}as;@Nq!;P7V2 z86URgb!<E#d%(1Jdj4w`$u7Hv{b@liuh%V9R29sP%Tt<{5LkVf&)j^s(_V9Z_K#&( zFPi7~hML#!Y2Q8PaJ6vzR?$z=?}{$9b?rGmw{CN6UQ+Sa<h!%q6>VT)+B$RPrQ+L9 zHLrcK+H)+n&Z|&SS^Yx3=<^MQ>+XbJ?b&|q(hGw<3cRmpyq7BsFBF{k{LE?J*<JN1 z5m7;B4y-Y^xgM+c%B(nO_f~U#_eKZ#AdN4Qw#y%>+`qKMMO9wn-s&A}A?bB5&Rkj1 zGUe^+Q>FLkWC~{UJ^39VByhR>^QTGjj5FO9TwJc=;;SSW_{#G3Q^kAhJnCjouig+H zEbv@=nsVp!lIe@*1l+xql$LvM$?PdXS3YdsE%U_oz0m1PNB_UmnzVb({O3DL`@{E0 z%D9@m`%v$#zt54`c~Os&<`Z|$4Q=Y;@(qn2qK|K0xgqg`TzZwT*)3oGX+ozbHU(&I zU|yel=h)_r7A?KUjSl9U<oTU@Bv`lnfI!Xh*IRhg3*YSRyS;4Lu9>qo^`5HeUw-+b zS$BArjoZRyocljkL``GL{xG}!^32PRME<1}WmkW$$Pc?1z{<8#bM}@)kGA)|wz~Xi zs#ug~(~I^~YI{G#F5jGc`O&>(ErZmVC6b#qS!QzaRP(vdzO!XVt9q?nl~b$5olQ*5 zN<WP>H<e%anRi9tNkeo(!k2Z@PwNh5+<tn$eA{Vnzb*YjAsSyKfBGHu-#@Wp`5oOy z)_0$l7D?`Vt;b&L9b9;^dfRFL#Z~P~_sP3XtYO;2oSe_4_BQ%cfzOP<#0Xc}1DsQy zM7U~BEotJ>|F`7)@<Zw}_ZX8zEpLV2*FW<sw$an&l=ym!Ka0X|C-Kj`V;Vp0<r0_3 z-y6$W-)Uv(*cEVArGHAv-<RAOG0mpt;N7R3=c?;H=D%l=Y%5(Vyo34LUgg!jwl9RW zOpLF$p9qqew#awdx^)ZMljE8#-fIW(?@vlF@m+jZ=Kya_cx82IXJ&MM+)}-`1u2ai zX1+A@TY7M9=H{XUB0RTuZZeV(yCr%yLHW&54T(KEzUG!)2O1LFQ(bq8?N)Z%X%<}g zF>31Tw(x`)!S@^gSp50K@Ne4(w)|se4ds6#j-NT!{Bxd7-0B*MhMy}ORgF$)m>DZ+ zYItz>G8QNaDy@3OCe&aQ*_9%}lhk9_BcQ6#!oeXZ(5T4N<dTvi#xCDnUU=ocg1CH3 zdG4E13B|(oxorw{<#`h(uk*KN7dm07aPyLG)1f(6CNj8BUZf>);D1g=<P()dw=<HN zzZPDK`<GagAD(EWv2Ax`Q1lJ^Z$8~ci}jB>U0t)Y!bfS6&+#WsUIvMa)O!!!((5yy zcS%l-NBzqw233tFt%5s;R<D`xOR?egp~ee(>Ju+tWZ3m*<KeHVQ(s-@J^V{6pRakR zc++|b^LpdQ+rBjCd%5{9ZcJ~nQ@toL;ZMJ-P@7~^gyZ~cNlo{Y{@j~Y5Tjb;pV_bH z&A`hL=-!kQbmCM~<?F~M|23X6)gnsKjK(p}td?^h`E-?L>9D9h&-AmJqLE+JHNPYx z^X?i8k(b+Z*3}s&ef!w)WO203V+EVF&F}anmulVp-IQ@H(5C&4_tx(d9^|DPJ;+$o z-H`K|zpv<U=#B+^o(5Cj{!L@qvUsnIo8WO}F)c|!x1(1$MN%3zEK<3zF|BRC#yOL; zv<>Bb!Mtmf77GRJn9#=g_KW7D-x;3u8~#cDG7VQ;y)kIT5joTS4=juR^kyxewNpKd z`}$X=m)cX5ofOQavg=ldu_UZk%u?TJqw~$xVY@fy<;C9?wZ7GVc1cY7sa^d_ql$CI z2cKwc<@7qnt9mNLIr*o}522i}BMEO>TlX*O3tDft@7*0Q#SoTb212t$4qb_eKj+E) zVbYbQf!_MYcMP4EF}ZitetJ8<`Jd**q=#QK6HZOGU#ouW_3F005~?r!XRlnWcu94> z>`o;o%~`K!Z9LMmKs=@Q!cNJYg<P;I5>iXwGx}|j#Kgc*z{<eD3$3N&i&7HxvWoNb z;Pqthlz9K!1|moA{}c(Is@B-@dHI&sXT5=DYL0@wZt996J2&30vM5WpZfyGTZnNyR zX?X#kF08WKU;Xam*2~LfH~43}hzCjjP+Jx>^@f3F6sJw)+1Kht8$)u;%*!gjGC%qk z$iH=QnRK1Oxg&@7m1;0qJd<(byI`<r)~Z>P7{Wr=9SALbFyl&u$dm)D+EZE>*G4*i zwbonvH*}eg7vJ@emuk7MXI-7)v4S~W_Q-~8;jdQJA9g0qaWJ=f^Yyo_#O}NbPP5{g zDKcLst>71LKGXVY&2Ih;3(sBs82^GL>GW~WJHC8M$IGtYG+y~PVVTTK)>#@?tSwkV zIS(G;sggBGWZUPjm8iAhq>}hM8}I9~ekqYjj&nLcxla^cb<^ibM8du+KFmr!k2#lH z9%S?1x##@KpdiLys-f$&qt{K#o-jY9u7B$JhPo_Gg*m4uz1ono{r#Jaw1-DuK6p|j zaqC2kROgD79ET4r*xwNSO}_DN58v0I8I^MFjka$#%rtvd(!Z;A%f62jM7mFeKka&O zptR03e82wo!1g_1F=@+fMA|L-ls@OnE_wDeCP@6)I@_R2pRN=$|7TqPgyT}0j@bUl zyQ%!C5%&)YOux74X1MW(t<&W8#O#>vXw4&NmD<$w_mHT$XOZ%flV6*}a!zUNWDPmb zd47AqY+g2>i-$JM`Xx8})rmN%uuFF*aqfNIkTF?;|MFe&+~8C9rX5Sq_quue(u-F= z>QBe-oRg3jUr>GdT&Axj&qYnIixtvy4E^l)vd_1EmAp^8WOcSx`TtV+&9TYbfAOs@ zauPkEIb-Ld89#*Inry#pdw#q1`TdNjsWN)iL#tOz3=AIH3=HswTRGB^6?Y@w=G`{n z`LlodMwjj_U(MF$GXCDoB)#GJec8Ec&J&YXD;$|Pk*y==Ro`;{NkR4b_Vu;(zb76x z5B1*laB|7Xf5m1OBd>?#Y+2!Gz9p2qZoO2_k==`;qjC;RW?B9J-DJ~45-pKQa%_KW zr^R0A_;JQ4ZKJYHfb2upQ${CC1orbj;dkF4?Q^_&$Mf2^sXl)h-*l@=E5<yr_|`E| ze3JYTefH1APh~g1E~|UDEBV^LbLY3#^VC<~uYNdTMRwN=ZC@q3d7ZuSN%^xrXZ?sy z-zz15>)Bo}{#mK}r2dudT;H}g_1o%)`7{5&`Wc;ipo%~0DTqit2x5VV)I(DIx1Js1 z;<rn4-s8t7!r5XmSBC8)mu|y@-7}nLKUpuaoYjT(&g%UO|1#a~y(!Icc(;2)uXY3X z^R|YWJ3iPrTy1(;HF5fvuDYXBxeo7`6#CKkK<s?4PX2FC)HsvRTE2}v|3)GGqVko7 zb9>ht-#0WV7H;O8@1yb}M)K~Vtk*HDyVu=a%~9bX9=W${?U6?JCo?=WmihkqH23oM zb$3NB^qd#o)o)h5uH&nK(iUk^hI21uZm``wqqHi$w7`Jz7)OOR_wFzej#qul_Ht`H zn-e$xUNM93^rV}oGoDVi)$1so=W3f*{J-PyxqY{8^nN#p)h@pLHpIGvcm3ww=iOM{ zTRv@<>%V1F=ePI0#@CF6>ML3^ju^j+Ok<1vb}R2ibi3cF>wDL)%f9+7ZF)5G@)?pF zdw-h#%017S^nL%8dFj(vy4zh3&Uo@+({+hoxAyMZ{rSdjt=;!l|2nfWFm~4!-!n}A zmgV=Bi1e%P5R)<cw)S{qzPaf0HA~fYu~y6xz1X|<wB&uy44bcOFT5}_E!($Sf}Qc4 z#ihR|FYdV1^>Lkyz`k2Iejbh#a+<-;;C6erb+7K9XAL%!_u2Z@EPFNW>N|}|>>7sq zcuTlt9rwm2MV~S{;>&i?qQK|xr>Bkg-Y{>oo?^fA?5=<NE2_0E8A>MzF8;}mnlTuz z*78>}FfeRlU|`^a7T0>km3bu@@UoiwLt2_(VnV`$gbLx8!e2{1-N_Adn8zZ(ZBSs8 z@=R5nHT>Dj=TD|TdA;=c(w4RjMh5RSBPXw`y`+5QaPapz=YKvBwn~&zKi{4`=d*3` zCq-fB26N@f9L9Rem68q(f*sOQjygWv7K&mG1r6rf(k_<D&E^yMShCp|0=yZSM3_Yw zKw0*Eq)$};S!KI7j0_A+tPBk747>~=z{tSB(9j5Cr6d>VRpjP`hHyeUgP;x}x(R$i zS8jSSGB9K^F)(nWm>|W(z>t$)k{@4OQktY!hS!ufxq!!>j0_BmnHU)OP)wP|jABYg z3V!E&aJ>+t%gDf>!H6&j<dud-5mu-{1&Kw8xdi>SH%z`$LyUo8fg>muAt3`XsEiF_ zkX~^{VnHfiZ`rn#sZVlbVCZl}1TVyp^D<CFD)Mlc0O=n8$wodH2-z9^aZnS$#{}Vt zA=FMj#0W?nvrUH@0qOE%H3Q-d)LuHo42VZMVP=5)>{yM!){21`0ddBfc@SsBBib~0 z%t5Vpkj-&g2r)+wTnphb0yT$1jDYwidI{7BXnsX8gq01Xh=+lP!J3(YfpsYZgC2vc xNm)c#v39U=iAPmxN^V4!nOkvsWNCnBh)+gtRH$E3NRd%UMzUd6UV5m3Edcam&)EO~ literal 0 HcmV?d00001 diff --git a/loto/loto.xpr b/loto/loto.xpr new file mode 100644 index 0000000..9d7d945 --- /dev/null +++ b/loto/loto.xpr @@ -0,0 +1,330 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="be2dd9e2a8cb4c8bb474c2474542e438"/> + <Option Name="Part" Val="xc7a100tcsg324-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val="digilentinc.com:nexys4_ddr:part0:1.1"/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="DSABoardId" Val="nexys4_ddr"/> + <Option Name="WTXSimLaunchSim" Val="19"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/loto_tb.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto_tb_ar_cfg"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/loto.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/loto.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board> + <Jumpers/> + </Board> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/automate.vhd b/src/automate.vhd index 1ccb931..6dda5e1 100644 --- a/src/automate.vhd +++ b/src/automate.vhd @@ -36,23 +36,80 @@ begin process (I_clk, I_rst) begin if(I_rst = '1')then - __BLANK_TO_FILL__ + SR_STATE <= st_wait_success; + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; elsif rising_edge(I_clk)then case SR_STATE is - case SR_STATE is when st_wait_success => O_l_green <= '1'; - O_l_red <= '0'; + O_l_red <= '0'; O_counting <= '0'; - O_store <= '0'; + O_store <= '0'; if I_button = '1' then SR_STATE <= st_counting; end if; - when __BLANK_TO_FILL__ + when st_counting => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '1'; + O_store <= '0'; + if I_button = '0' then + SR_STATE <= st_compar; + end if; + + when st_compar => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_invalide = '0' then + SR_STATE <= st_store; + else + SR_STATE <= st_wait_failed; + end if; + + when st_store => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '1'; + if I_end = '1' then + SR_STATE <= st_end_red; + else + SR_STATE <= st_wait_success; + end if; + + when st_end_red => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '1' then + SR_STATE <= st_end_green; + end if; + + when st_end_green => + O_l_green <= '1'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '0' then + SR_STATE <= st_end_red; + end if; - __BLANK_TO_FILL__ + when others => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_button = '1' then + SR_STATE <= st_counting; + end if; end case; end if; diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd index 7962a90..e393461 100644 --- a/src/compteur_modulo6.vhd +++ b/src/compteur_modulo6.vhd @@ -16,19 +16,25 @@ end compteur_modulo6; architecture modulo6_a of compteur_modulo6 is - signal SR_Counter : unsigned(2 downto 0); + signal SR_Counter : integer range 0 to 5; begin - process (_BLANK_) + process (I_clk, I_rst) begin if I_rst = '1' then - _BLANK_ + SR_Counter <= 0; elsif rising_edge(I_clk) then - _BLANK_ + if I_block='1' then + SR_Counter <= SR_Counter; + elsif SR_Counter = 5 then + SR_Counter <= 0; + else + SR_Counter <= SR_Counter +1; + end if; end if; end process; - O_CounterMod6 <= std_logic_vector(SR_Counter); + O_CounterMod6 <= std_logic_vector(to_unsigned(SR_Counter,3)); end modulo6_a; diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd index a689bef..0673037 100644 --- a/src/mux6_1.vhd +++ b/src/mux6_1.vhd @@ -20,8 +20,11 @@ end mux6_1; architecture a_mux6_1 of mux6_1 is begin -__BLANK_TO_FILL__ - - +O_mux6 <= I_0 when (I_sel = "000") + else I_1 when (I_sel = "001") + else I_2 when (I_sel = "010") + else I_3 when (I_sel = "011") + else I_4 when (I_sel = "100") + else I_5; end a_mux6_1; diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..afd8945 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,120 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 09:58:51 2025 +# Process ID: 13301 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling +# Command line: vivado +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.log +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3300.355 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :18672 MB +#----------------------------------------------------------- +start_gui +open_project /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr +update_compile_order -fileset sources_1 +set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd] +set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd] +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source mux6_1_tb_arch_cfg.tcl +close_sim +launch_simulation +source mux6_1_tb_arch_cfg.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +launch_simulation +launch_simulation +launch_simulation +source compteur_modulo6_tb_arch_cfg.tcl +close_sim +launch_simulation +source compteur_modulo6_tb_arch_cfg.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sim_1 +launch_simulation +launch_simulation +launch_simulation +launch_simulation +source loto_tb_ar_cfg.tcl +current_wave_config {Untitled 5} +log_wave {/loto_tb} +current_wave_config {Untitled 5} +add_wave {{/loto_tb}} +close_sim +close_sim +close_sim +launch_simulation +source loto_tb_ar_cfg.tcl +current_wave_config {Untitled 6} +add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +relaunch_sim +current_wave_config {Untitled 6} +add_wave {{/loto_tb/DUT/transcod_1}} +relaunch_sim +run all +launch_runs impl_1 -to_step write_bitstream -jobs 4 +wait_on_run impl_1 +open_hw_manager +connect_hw_server -allow_non_jtag +open_hw_target +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +current_hw_device [get_hw_devices xc7a100t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +synth_design -rtl -rtl_skip_mlo -name rtl_1 +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +update_files -from_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc -to_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc -filesets [get_filesets *] +reset_run synth_1 +launch_runs impl_1 -to_step write_bitstream -jobs 4 +wait_on_run impl_1 +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +refresh_design +open_run impl_1 +report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 +close_sim +launch_simulation +source loto_tb_ar_cfg.tcl +current_wave_config {Untitled 7} +add_wave {{/loto_tb/DUT/tirage_1/automate_1}} +current_wave_config {Untitled 7} +add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +relaunch_sim diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..200ffb1 --- /dev/null +++ b/vivado.log @@ -0,0 +1,1896 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 09:58:51 2025 +# Process ID: 13301 +# Current directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling +# Command line: vivado +# Log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.log +# Journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3300.355 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16647 MB +# Swap memory :4294 MB +# Total Virtual :20942 MB +# Available Virtual :18672 MB +#----------------------------------------------------------- +start_gui +open_project /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Project 1-313] Project file moved from '/homes/m22kling/loto' since last save. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.gen/sources_1'. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_u.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_u.vhd' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc' instead. +WARNING: [Project 1-312] File not found as '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd'; using path '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd' instead. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +open_project: Time (s): cpu = 00:00:29 ; elapsed = 00:00:19 . Memory (MB): peak = 8272.281 ; gain = 655.664 ; free physical = 8354 ; free virtual = 16422 +update_compile_order -fileset sources_1 +set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd] +set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 8677.988 ; gain = 82.109 ; free physical = 7907 ; free virtual = 16263 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 8685.992 ; gain = 8.004 ; free physical = 7854 ; free virtual = 16263 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +ERROR: [VRFC 10-8491] illegal identifier '_SR_Counter' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:26] +ERROR: [VRFC 10-2989] '_sr_counter' is not declared [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:26] +ERROR: [VRFC 10-3760] type 'unsigned' does not match with the integer literal [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:29] +ERROR: [VRFC 10-2989] 'clk' is not declared [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:23] +ERROR: [VRFC 10-9458] unit 'modulo6_a' is ignored due to previous errors [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [VRFC 10-8704] VHDL file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [Common 17-41] Interrupt caught. Command should exit soon. +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +ERROR: [VRFC 10-8491] illegal identifier '_SR_Counter' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:26] +ERROR: [VRFC 10-2989] '_sr_counter' is not declared [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:26] +ERROR: [VRFC 10-3760] type 'unsigned' does not match with the integer literal [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:29] +ERROR: [VRFC 10-9458] unit 'modulo6_a' is ignored due to previous errors [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [VRFC 10-8704] VHDL file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd' is ignored due to errors +INFO: [Common 17-344] 'execute_script' was cancelled +INFO: [Vivado 12-5357] 'compile' step aborted +INFO: [Common 17-344] 'launch_simulation' was cancelled +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +ERROR: [VRFC 10-3167] cannot convert type 'integer' to type 'unsigned' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:36] +ERROR: [VRFC 10-2942] 'unsigned' is illegal in an expression [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:36] +ERROR: [VRFC 10-9434] type conversion expression type for 'std_logic_vector' cannot be uniquely determined [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:36] +ERROR: [VRFC 10-9458] unit 'modulo6_a' is ignored due to previous errors [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [VRFC 10-8704] VHDL file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source compteur_modulo6_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8973.656 ; gain = 64.613 ; free physical = 7610 ; free virtual = 16156 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source compteur_modulo6_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8979.664 ; gain = 6.008 ; free physical = 7626 ; free virtual = 16194 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +update_compile_order -fileset sim_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +ERROR: [VRFC 10-4982] syntax error near 'case' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:42] +ERROR: [VRFC 10-9458] unit 'a_automate' is ignored due to previous errors [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [VRFC 10-8704] VHDL file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur1_49' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_valid' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'led_pwm' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'registres' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'modulo4' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-3219] choice 'st_end_red' is already covered [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:92] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-3219] choice 'st_end_red' is already covered [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:92] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default] +Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default] +Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default] +Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default] +Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default] +Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default] +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...] +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default] +Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default] +Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\] +Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb] +Built simulation snapshot loto_tb_ar_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source loto_tb_ar_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 9255.504 ; gain = 57.801 ; free physical = 7613 ; free virtual = 16165 +current_wave_config {Untitled 5} +Untitled 5 +log_wave {/loto_tb} +current_wave_config {Untitled 5} +Untitled 5 +add_wave {{/loto_tb}} +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source loto_tb_ar_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7639 ; free virtual = 16194 +current_wave_config {Untitled 6} +Untitled 6 +add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7678 ; free virtual = 16158 +current_wave_config {Untitled 6} +Untitled 6 +add_wave {{/loto_tb/DUT/transcod_1}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7742 ; free virtual = 16216 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +run all +INFO: [Common 17-41] Interrupt caught. Command should exit soon. +run: Time (s): cpu = 00:04:46 ; elapsed = 00:04:57 . Memory (MB): peak = 9301.906 ; gain = 26.402 ; free physical = 866 ; free virtual = 15698 +INFO: [Common 17-344] 'run' was cancelled +launch_runs impl_1 -to_step write_bitstream -jobs 4 +[Wed Feb 12 11:14:31 2025] Launched synth_1... +Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/runme.log +[Wed Feb 12 11:14:31 2025] Launched impl_1... +Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/runme.log +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +open_hw_target +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210274675171A +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +current_hw_device [get_hw_devices xc7a100t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1435] Device xc7a100t (JTAG device index = 0) is not programmed (DONE status = 0). +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +synth_design -rtl -rtl_skip_mlo -name rtl_1 +Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 +Starting synth_design +Using part: xc7a100tcsg324-1 +Top: loto +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 48722 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 10184.988 ; gain = 399.773 ; free physical = 1399 ; free virtual = 14895 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 10268.832 ; gain = 483.617 ; free physical = 1281 ; free virtual = 14778 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 10283.676 ; gain = 498.461 ; free physical = 1279 ; free virtual = 14776 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 10283.676 ; gain = 498.461 ; free physical = 1279 ; free virtual = 14776 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10283.684 ; gain = 0.000 ; free physical = 1287 ; free virtual = 14784 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10402.457 ; gain = 0.000 ; free physical = 1560 ; free virtual = 15059 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +RTL Elaboration Complete: : Time (s): cpu = 00:00:16 ; elapsed = 00:00:11 . Memory (MB): peak = 10435.301 ; gain = 650.086 ; free physical = 1480 ; free virtual = 15012 +31 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:16 . Memory (MB): peak = 10435.301 ; gain = 1006.000 ; free physical = 1480 ; free virtual = 15012 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 3071.865; main = 3061.524; forked = 10.341 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 10542.855; main = 10435.305; forked = 107.551 +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +update_files -from_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc -to_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc -filesets [get_filesets *] +INFO: [filemgmt 20-762] Replacing file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc' with file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc'. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur1_49.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo4.vhd:] +INFO: [Common 17-14] Message 'filemgmt 56-199' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +reset_run synth_1 +INFO: [Project 1-1160] Copying file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.dcp to /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1 and adding it to utils fileset +launch_runs impl_1 -to_step write_bitstream -jobs 4 +[Wed Feb 12 11:22:49 2025] Launched synth_1... +Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/runme.log +[Wed Feb 12 11:22:50 2025] Launched impl_1... +Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/runme.log +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +refresh_design +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] +Completed Processing XDC Constraints + +ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210274675171A +open_run impl_1 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10459.199 ; gain = 0.000 ; free physical = 2354 ; free virtual = 14805 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10459.199 ; gain = 0.000 ; free physical = 2428 ; free virtual = 14817 +INFO: [Timing 38-478] Restoring timing data from binary archive. +INFO: [Timing 38-479] Binary timing data restore complete. +INFO: [Project 1-856] Restoring constraints from binary archive. +INFO: [Project 1-853] Binary constraint restore complete. +INFO: [Designutils 20-5722] Start Reading Physical Databases. +Reading placement. +Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +Reading placer database... +Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +Reading routing. +Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 +Read Physdb Files: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 +Restored from archive | CPU: 0.030000 secs | Memory: 0.246185 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1926 ; free virtual = 14288 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +open_run: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 10970.902 ; gain = 511.703 ; free physical = 1894 ; free virtual = 14277 +report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +close_sim +INFO: xsimkernel Simulation Memory Usage: 110128 KB (Peak: 159460 KB), Simulation CPU Usage: 165610 ms +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default] +Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default] +Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default] +Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default] +Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default] +Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default] +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...] +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default] +Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default] +Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\] +Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb] +Built simulation snapshot loto_tb_ar_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source loto_tb_ar_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:08 . Memory (MB): peak = 11096.234 ; gain = 0.000 ; free physical = 4684 ; free virtual = 13201 +current_wave_config {Untitled 7} +Untitled 7 +add_wave {{/loto_tb/DUT/tirage_1/automate_1}} +current_wave_config {Untitled 7} +Untitled 7 +add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 11096.234 ; gain = 0.000 ; free physical = 4728 ; free virtual = 13171 diff --git a/vivado_pid13301.str b/vivado_pid13301.str new file mode 100644 index 0000000..1fb3b22 --- /dev/null +++ b/vivado_pid13301.str @@ -0,0 +1,4488 @@ +/* + +AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1] +SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 + +Process ID (PID): 13301 +License: Customer +Mode: GUI Mode + +Current time: Wed Feb 12 09:59:05 CET 2025 +Time zone: Central European Standard Time (Europe/Paris) + +OS: Ubuntu +OS Version: 6.8.0-51-generic +OS Architecture: amd64 +Available processors (cores): 4 +LSB Release Description: DISTRIB_ID=Ubuntu + +Display: 0 +Screen size: 2560x1440 +Local screen bounds: x = 66, y = 32, width = 2494, height = 1408 +Screen resolution (DPI): 100 +Available screens: 2 +Default font: family=Dialog,name=Dialog,style=plain,size=12 +Scale size: 12 +OS font scaling: 100% +Anti-Alias Enabled: false + +Java version: 21.0.1 64-bit +JavaFX version: 21.0.1 +Java home: /opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12 +Java executable: /opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java +Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs] +Java initial memory (-Xms): 512 MB +Java maximum memory (-Xmx): 3 GB + +User name: m22kling +User home directory: /homes/m22kling +User working directory: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling +User country: US +User language: en +User locale: en_US + +RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado +HDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1 +RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data +RDI_BINDIR: /opt/img/Vivado2024.1/Vivado/2024.1/bin + +Vivado preferences file: /homes/m22kling/.Xilinx/Vivado/2024.1/vivado.xml +Vivado preferences directory: /homes/m22kling/.Xilinx/Vivado/2024.1/ +Vivado layouts directory: /homes/m22kling/.Xilinx/Vivado/2024.1/data/layouts +PlanAhead jar file: /opt/img/Vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar +Vivado log file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.log +Vivado journal file: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/vivado.jou +Engine tmp dir: ./.Xil/Vivado-13301-fl-tp-br-637 +Non-Default Parameters: [] + +Xilinx & AMD Environment Variables +-------------------------------------------------------------------------------------------- +GNOME_SHELL_SESSION_MODE: ubuntu +RDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1 +RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado +RDI_BINROOT: /opt/img/Vivado2024.1/Vivado/2024.1/bin +RDI_BUILD: yes +RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data +RDI_INSTALLROOT: /opt/img/Vivado2024.1 +RDI_INSTALLVER: 2024.1 +RDI_JAVA_PLATFORM: +RDI_JAVA_VERSION: 21.0.1_12 +RDI_LIBDIR: /opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o +RDI_OPT_EXT: .o +RDI_PATCHROOT: +RDI_PLATFORM: lnx64 +RDI_PREPEND_PATH: /opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64 +RDI_PROG: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado +RDI_SESSION_INFO: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling:fl-tp-br-637_1739350729_13232 +RDI_SHARED_DATA: /opt/img/Vivado2024.1/SharedData/2024.1/data +RDI_TPS_ROOT: /opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64 +RDI_USE_JDK21: True +SHELL: /bin/bash +XILINX: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr +XILINX_DSP: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINX_HLS: /opt/img/Vivado2024.1/Vitis_HLS/2024.1 +XILINX_PLANAHEAD: /opt/img/Vivado2024.1/Vivado/2024.1 +XILINX_SDK: /opt/img/Vivado2024.1/Vitis/2024.1 +XILINX_VITIS: /opt/img/Vivado2024.1/Vitis/2024.1 +XILINX_VIVADO: /opt/img/Vivado2024.1/Vivado/2024.1 +XILINX_VIVADO_HLS: /opt/img/Vivado2024.1/Vivado/2024.1 + + +GUI allocated memory: 512 MB +GUI max memory: 4,072 MB +Engine allocated memory: 1,362 MB + +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File) +selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project) +selectMenuItem(PAResourceCommand.PACommandNames_OPEN_PROJECT, "Open..."); // ap (PAResourceCommand.PACommandNames_OPEN_PROJECT, open_project_menu) +dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File) +// Run Command: PAResourceCommand.PACommandNames_OPEN_PROJECT +// HMemoryUtils.trashcanNow. Engine heap size: 1,425 MB. GUI used memory: 82 MB. Current time: 2/12/25, 9:59:06 AM CET +setFileChooser("/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr"); +// Opening Vivado Project: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr. Version: Vivado v2024.1 +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: FLOW_ADDED +// Tcl Message: open_project /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr +// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +// TclEventType: MSGMGR_MOVEMSG +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_DASHBOARD_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_NEW +// HMemoryUtils.trashcanNow. Engine heap size: 2,016 MB. GUI used memory: 78 MB. Current time: 2/12/25, 9:59:26 AM CET +// [GUI Memory]: 109 MB (+112128kb) [00:00:31] +// [Engine Memory]: 2,041 MB (+1988270kb) [00:00:31] +// [GUI Memory]: 147 MB (+34089kb) [00:00:32] +// WARNING: HEventQueue.dispatchEvent() is taking 1744 ms. +// Tcl Message: open_project /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.xpr +// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. INFO: [Project 1-313] Project file moved from '/homes/m22kling/loto' since last save. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.gen/sources_1'. +// Tcl Message: Scanning sources... Finished scanning sources +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +// [Engine Memory]: 2,144 MB (+1874kb) [00:00:33] +// Project name: loto; location: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto; part: xc7a100tcsg324-1 +// Tcl Message: open_project: Time (s): cpu = 00:00:29 ; elapsed = 00:00:19 . Memory (MB): peak = 8272.281 ; gain = 655.664 ; free physical = 8354 ; free virtual = 16422 +// Elapsed time: 19 seconds +dismissDialog("Open Project"); // bj (Open Project Progress) +// Tcl Message: update_compile_order -fileset sources_1 +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// HMemoryUtils.trashcanNow. Engine heap size: 2,181 MB. GUI used memory: 83 MB. Current time: 2/12/25, 9:59:41 AM CET +// Elapsed time: 12 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, mux6_1.vhd]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, mux6_1.vhd]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +selectCodeEditor("mux6_1.vhd", 177, 341); // ac (mux6_1.vhd) +// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 2643 ms. Increasing delay to 7929 ms. +// Elapsed time: 28 seconds +selectCodeEditor("mux6_1.vhd", 129, 340); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 129, 340, false, false, false, false, true); // ac (mux6_1.vhd) - Double Click +// Elapsed time: 137 seconds +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +// Elapsed time: 17 seconds +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +// [Engine Memory]: 2,252 MB (+612kb) [00:04:11] +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 03m:46s +// [GUI Memory]: 157 MB (+2404kb) [00:04:19] +// HMemoryUtils.trashcanNow. Engine heap size: 2,289 MB. GUI used memory: 86 MB. Current time: 2/12/25, 10:03:16 AM CET +// Elapsed Time for: 'L.f': 03m:50s +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 04m:04s +// Elapsed time: 35 seconds +selectCodeEditor("mux6_1.vhd", 48, 506); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 48, 506, false, false, false, false, true); // ac (mux6_1.vhd) - Double Click +// Elapsed Time for: 'L.f': 04m:08s +selectCodeEditor("mux6_1.vhd", 164, 353); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 11, 535); // ac (mux6_1.vhd) +// Elapsed time: 30 seconds +selectCodeEditor("mux6_1.vhd", 45, 334); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 79, 334); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 62, 351); // ac (mux6_1.vhd) +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectCodeEditor("mux6_1.vhd", 79, 539); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +// Elapsed time: 27 seconds +selectCodeEditor("mux6_1.vhd", 111, 352); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 111, 352, false, false, false, false, true); // ac (mux6_1.vhd) - Double Click +selectCodeEditor("mux6_1.vhd", 137, 381); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 135, 417); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 141, 441); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 141, 477); // ac (mux6_1.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 06m:26s +// HMemoryUtils.trashcanNow. Engine heap size: 2,327 MB. GUI used memory: 87 MB. Current time: 2/12/25, 10:05:56 AM CET +// Elapsed Time for: 'L.f': 06m:30s +// Elapsed time: 21 seconds +selectCodeEditor("mux6_1.vhd", 104, 547); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("mux6_1.vhd", 405, 555); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 87, 547); // ac (mux6_1.vhd) +// Elapsed time: 46 seconds +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey(null, null, 'z'); +typeControlKey((HResource) null, "mux6_1.vhd", 'c'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +typeControlKey((HResource) null, "mux6_1.vhd", 'v'); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 80, 628); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 211, 581); // ac (mux6_1.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("mux6_1.vhd", 273, 626); // ac (mux6_1.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 08m:14s +selectCodeEditor("mux6_1.vhd", 67, 542); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 72, 530); // ac (mux6_1.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 08m:18s +// HMemoryUtils.trashcanNow. Engine heap size: 2,373 MB. GUI used memory: 88 MB. Current time: 2/12/25, 10:07:46 AM CET +// [Engine Memory]: 2,373 MB (+8233kb) [00:08:51] +// Elapsed Time for: 'L.f': 08m:22s +// Elapsed time: 11 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectCheckBox(PAResourceQtoS.SrcFilePropPanels_SYNTHESIS, "Synthesis", false); // f (PAResourceQtoS.SrcFilePropPanels_SYNTHESIS): FALSE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd] +// Elapsed Time for: 'L.f': 08m:42s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +// Elapsed Time for: 'L.f': 08m:46s +selectCodeEditor("mux6_1.vhd", 291, 617); // ac (mux6_1.vhd) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +// HMemoryUtils.trashcanNow. Engine heap size: 2,415 MB. GUI used memory: 89 MB. Current time: 2/12/25, 10:08:36 AM CET +// Elapsed time: 13 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 18, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectCheckBox(PAResourceQtoS.SrcFilePropPanels_SYNTHESIS, "Synthesis", false); // f (PAResourceQtoS.SrcFilePropPanels_SYNTHESIS): FALSE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: set_property used_in_synthesis false [get_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd] +// Elapsed Time for: 'L.f': 09m:32s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 18, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 18, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +// HMemoryUtils.trashcanNow. Engine heap size: 2,465 MB. GUI used memory: 90 MB. Current time: 2/12/25, 10:09:01 AM CET +// Elapsed Time for: 'L.f': 09m:36s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 17, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 09m:46s +// Elapsed Time for: 'L.f': 09m:48s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'mux6_1' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// TclEventType: LOAD_FEATURE +// Tcl Message: Built simulation snapshot mux6_1_tb_arch_cfg_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// [Engine Memory]: 2,497 MB (+5426kb) [00:10:29] +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source mux6_1_tb_arch_cfg.tcl +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,558 MB. GUI used memory: 104 MB. Current time: 2/12/25, 10:09:26 AM CET +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,558 MB. GUI used memory: 102 MB. Current time: 2/12/25, 10:09:27 AM CET +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 8677.988 ; gain = 82.109 ; free physical = 7907 ; free virtual = 16263 +// 'd' command handler elapsed time: 8 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 11 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,539 MB. GUI used memory: 102 MB. Current time: 2/12/25, 10:09:39 AM CET +// Elapsed time: 14 seconds +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, S[5:0]]", 7); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_CLOSE_WCFG +// Elapsed time: 22 seconds +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 1"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +// Elapsed time: 30 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "mux6_1.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("mux6_1.vhd", 262, 396); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 99, 402); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 108, 415); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 108, 415, false, false, false, false, true); // ac (mux6_1.vhd) - Double Click +selectCodeEditor("mux6_1.vhd", 108, 415); // ac (mux6_1.vhd) +selectCodeEditor("mux6_1.vhd", 277, 396); // ac (mux6_1.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 11m:28s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Elapsed Time for: 'L.f': 11m:32s +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// [GUI Memory]: 177 MB (+12943kb) [00:12:13] +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'mux6_1' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/mux6_1_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 105 MB. Current time: 2/12/25, 10:11:17 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source mux6_1_tb_arch_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 8685.992 ; gain = 8.004 ; free physical = 7854 ; free virtual = 16263 +// 'd' command handler elapsed time: 8 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, S[5:0]]", 7); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 105 MB. Current time: 2/12/25, 10:11:21 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_CLOSE_WCFG +// Elapsed time: 12 seconds +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 2"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "mux6_1.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +typeControlKey(null, null, 'z'); +selectCodeEditor("mux6_1.vhd", 313, 363, false, true, false, false, false); // ac (mux6_1.vhd) - Control Key +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 12m:20s +// Elapsed Time for: 'L.f': 12m:24s +// Elapsed time: 26 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "mux6_1_tb.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 105 seconds +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, compteur_modulo6.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, compteur_modulo6.vhd]", 3, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// HMemoryUtils.trashcanNow. Engine heap size: 2,605 MB. GUI used memory: 99 MB. Current time: 2/12/25, 10:14:01 AM CET +selectCodeEditor("compteur_modulo6.vhd", 143, 344); // ac (compteur_modulo6.vhd) +// Elapsed time: 14 seconds +selectCodeEditor("compteur_modulo6.vhd", 304, 392); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 158, 379); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 149, 280); // ac (compteur_modulo6.vhd) +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectCodeEditor("compteur_modulo6.vhd", 153, 277); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'c'); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 125, 382); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 174, 405); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +// Elapsed time: 31 seconds +selectCodeEditor("compteur_modulo6.vhd", 335, 421); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 313, 413); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 113, 433); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 272, 421); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 269, 409); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +// Elapsed time: 16 seconds +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 475, 590); // ac (compteur_modulo6.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// [Engine Memory]: 2,625 MB (+4164kb) [00:17:19] +// Elapsed Time for: 'L.f': 16m:48s +// HMemoryUtils.trashcanNow. Engine heap size: 2,651 MB. GUI used memory: 99 MB. Current time: 2/12/25, 10:16:16 AM CET +// Elapsed Time for: 'L.f': 16m:52s +// Elapsed time: 13 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 17, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 17, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 17m:02s +// Elapsed Time for: 'L.f': 17m:04s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 17 seconds +// Elapsed time: 17 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK) +dismissDialog("Critical Messages"); // a (dialog1) +selectCodeEditor("compteur_modulo6.vhd", 95, 333); // ac (compteur_modulo6.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// [Engine Memory]: 2,758 MB (+1080kb) [00:18:07] +// Elapsed Time for: 'L.f': 17m:36s +// HMemoryUtils.trashcanNow. Engine heap size: 2,785 MB. GUI used memory: 100 MB. Current time: 2/12/25, 10:17:06 AM CET +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Elapsed Time for: 'L.f': 17m:40s +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +selectButton(RDIResource.ProgressDialog_CANCEL, "Cancel", "Run Simulation"); // a (RDIResource.ProgressDialog_CANCEL) +// Tcl Message: INFO: [Common 17-41] Interrupt caught. Command should exit soon. +// CommandFailedException: ERROR: [Common 17-69] Command failed: +// Tcl Message: INFO: [Common 17-344] 'execute_script' was cancelled INFO: [Vivado 12-5357] 'compile' step aborted INFO: [Common 17-344] 'launch_simulation' was cancelled +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// Elapsed time: 12 seconds +selectCodeEditor("compteur_modulo6.vhd", 92, 390); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 144, 462); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 93, 390); // ac (compteur_modulo6.vhd) +typeControlKey(null, null, 'z'); +selectCodeEditor("compteur_modulo6.vhd", 115, 429); // ac (compteur_modulo6.vhd) +// Elapsed time: 15 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, compteur_modulo4(modulo4_a) (compteur_modulo4.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, compteur_modulo4(modulo4_a) (compteur_modulo4.vhd)]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("compteur_modulo6.vhd", 190, 381); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 205, 278); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 205, 278, false, false, false, false, true); // ac (compteur_modulo6.vhd) - Double Click +// Elapsed time: 15 seconds +selectCodeEditor("compteur_modulo6.vhd", 299, 279); // ac (compteur_modulo6.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 19m:12s +// Elapsed Time for: 'L.f': 19m:16s +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 19m:42s +// Elapsed time: 52 seconds +selectCodeEditor("compteur_modulo6.vhd", 263, 530); // ac (compteur_modulo6.vhd) +// Elapsed Time for: 'L.f': 19m:46s +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 19m:54s +selectCodeEditor("compteur_modulo6.vhd", 99, 528); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 99, 528, false, false, false, false, true); // ac (compteur_modulo6.vhd) - Double Click +// Elapsed Time for: 'L.f': 19m:58s +// Elapsed time: 10 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 4 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK) +dismissDialog("Critical Messages"); // a (dialog2) +selectCodeEditor("compteur_modulo6.vhd", 359, 635); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 323, 159); // ac (compteur_modulo6.vhd) +// Elapsed time: 25 seconds +selectCodeEditor("compteur_modulo6.vhd", 267, 534); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 417, 528); // ac (compteur_modulo6.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("compteur_modulo6.vhd", 629, 285); // ac (compteur_modulo6.vhd) +// Elapsed Time for: 'L.f': 20m:50s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,851 MB. GUI used memory: 113 MB. Current time: 2/12/25, 10:20:27 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source compteur_modulo6_tb_arch_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8973.656 ; gain = 64.613 ; free physical = 7610 ; free virtual = 16156 +// 'd' command handler elapsed time: 6 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// Elapsed Time for: 'L.f': 21m:00s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,851 MB. GUI used memory: 112 MB. Current time: 2/12/25, 10:20:30 AM CET +// Elapsed time: 14 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 15 seconds +selectCodeEditor("compteur_modulo6.vhd", 342, 392); // ac (compteur_modulo6.vhd) +// Elapsed time: 27 seconds +selectCodeEditor("compteur_modulo6.vhd", 273, 408); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 87, 430); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 245, 409); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 313, 492); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'c'); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 175, 433); // ac (compteur_modulo6.vhd) +typeControlKey((HResource) null, "compteur_modulo6.vhd", 'v'); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 180, 416); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 85, 426); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 314, 428); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 375, 429); // ac (compteur_modulo6.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 22m:28s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button) +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Tcl Message: close_sim +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/compteur_modulo6_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background", "Run Simulation"); // a (RDIResource.ProgressDialog_BACKGROUND) +// 'd' command handler elapsed time: 6 seconds +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo4.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source compteur_modulo6_tb_arch_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8979.664 ; gain = 6.008 ; free physical = 7626 ; free virtual = 16194 +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// Elapsed Time for: 'L.f': 22m:38s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 35 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 2,856 MB. GUI used memory: 115 MB. Current time: 2/12/25, 10:22:43 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 39 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo4.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("compteur_modulo4.vhd", 270, 859); // ac (compteur_modulo4.vhd) +selectCodeEditor("compteur_modulo4.vhd", 285, 730); // ac (compteur_modulo4.vhd) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 57 seconds +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// [GUI Memory]: 189 MB (+3201kb) [00:25:34] +// HMemoryUtils.trashcanNow. Engine heap size: 2,886 MB. GUI used memory: 116 MB. Current time: 2/12/25, 10:24:31 AM CET +// Elapsed time: 32 seconds +selectCodeEditor("automate.vhd", 195, 763); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 142, 784); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +typeControlKey(null, null, 'z'); +selectCodeEditor("automate.vhd", 202, 702); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 292, 737); // ac (automate.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("automate.vhd", 251, 776); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 292, 789); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 292, 789, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 292, 790); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 200, 805); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 227, 816); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +typeControlKey(null, null, 'z'); +selectCodeEditor("automate.vhd", 206, 765); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 122, 802); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 251, 534); // ac (automate.vhd) +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectCodeEditor("automate.vhd", 250, 665); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 295, 682); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 296, 720); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 301, 719); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 207, 743); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 220, 741); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 310, 760); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 335, 759); // ac (automate.vhd) +// Elapsed time: 28 seconds +selectCodeEditor("automate.vhd", 371, 751); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 205, 777); // ac (automate.vhd) +// Elapsed time: 23 seconds +selectCodeEditor("automate.vhd", 171, 756); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 305, 780); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 276, 794); // ac (automate.vhd) +// Elapsed time: 21 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 288, 770); // ac (automate.vhd) +// Elapsed time: 17 seconds +selectCodeEditor("automate.vhd", 230, 743); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// [Engine Memory]: 2,897 MB (+1101kb) [00:29:31] +// Elapsed Time for: 'L.f': 29m:00s +selectCodeEditor("automate.vhd", 266, 815); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 269, 807); // ac (automate.vhd) +// HMemoryUtils.trashcanNow. Engine heap size: 2,923 MB. GUI used memory: 117 MB. Current time: 2/12/25, 10:28:31 AM CET +selectCodeEditor("automate.vhd", 225, 806); // ac (automate.vhd) +// Elapsed Time for: 'L.f': 29m:04s +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 148, 825); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 208, 776); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 208, 776, false, false, false, false, true); // ac (automate.vhd) - Double Click +// Elapsed time: 11 seconds +selectCodeEditor("automate.vhd", 231, 832); // ac (automate.vhd) +// Elapsed time: 17 seconds +selectCodeEditor("automate.vhd", 225, 760); // ac (automate.vhd) +// Elapsed time: 12 seconds +selectCodeEditor("automate.vhd", 307, 773); // ac (automate.vhd) +// Elapsed time: 36 seconds +selectCodeEditor("automate.vhd", 310, 806); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("automate.vhd", 226, 814); // ac (automate.vhd) +// Elapsed Time for: 'L.f': 30m:42s +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 23, 833); // ac (automate.vhd) +// HMemoryUtils.trashcanNow. Engine heap size: 2,949 MB. GUI used memory: 117 MB. Current time: 2/12/25, 10:30:11 AM CET +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +// Elapsed Time for: 'L.f': 30m:46s +selectCodeEditor("automate.vhd", 203, 683); // ac (automate.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("automate.vhd", 246, 383); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 199, 411); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 198, 269); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 244, 240); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 247, 109); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 199, 142); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 244, 550); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 200, 579); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 239, 713); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 195, 742); // ac (automate.vhd) +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 66 seconds +selectCodeEditor("compteur_modulo6.vhd", 317, 431); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 317, 431, false, false, false, false, true); // ac (compteur_modulo6.vhd) - Double Click +selectCodeEditor("compteur_modulo6.vhd", 317, 431); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 317, 431, false, false, false, false, true); // ac (compteur_modulo6.vhd) - Double Click +selectCodeEditor("compteur_modulo6.vhd", 317, 431); // ac (compteur_modulo6.vhd) +selectCodeEditor("compteur_modulo6.vhd", 317, 431); // ac (compteur_modulo6.vhd) +// Elapsed time: 24 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 201, 761); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 198, 761); // ac (automate.vhd) +// Elapsed time: 13 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 324, 774); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 403, 808); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 219, 792); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 296, 785); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 293, 568); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 299, 690); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 288, 740); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 333, 759); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 34m:32s +// Elapsed Time for: 'L.f': 34m:36s +// Elapsed time: 11 seconds +selectCodeEditor("automate.vhd", 344, 779); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 1, 791); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 213, 801); // ac (automate.vhd) +// Elapsed time: 18 seconds +selectCodeEditor("automate.vhd", 327, 728); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 296, 687); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 250, 743); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 340, 758); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 35m:30s +selectCodeEditor("automate.vhd", 204, 536); // ac (automate.vhd) +// HMemoryUtils.trashcanNow. Engine heap size: 2,975 MB. GUI used memory: 117 MB. Current time: 2/12/25, 10:35:01 AM CET +// Elapsed Time for: 'L.f': 35m:34s +selectCodeEditor("automate.vhd", 354, 699); // ac (automate.vhd) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 14 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 129, 185); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1350, 436, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,957 MB. GUI used memory: 118 MB. Current time: 2/12/25, 10:35:25 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 25 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6_tb.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "mux6_1.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// [GUI Memory]: 205 MB (+7209kb) [00:37:03] +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 234, 570); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 242, 538); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 221, 539); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 221, 539, false, false, false, false, true); // ac (automate.vhd) - Double Click +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +typeControlKey(null, null, 'z'); +selectCodeEditor("automate.vhd", 334, 697); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 334, 697, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 334, 697); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 201, 533); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 201, 533, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 201, 533); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 172, 531); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 249, 532); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 37m:02s +// Elapsed Time for: 'L.f': 37m:06s +// Elapsed time: 39 seconds +selectCodeEditor("automate.vhd", 259, 785); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 259, 785, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 259, 785); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 37m:38s +// Elapsed Time for: 'L.f': 37m:42s +// HMemoryUtils.trashcanNow. Engine heap size: 3,002 MB. GUI used memory: 119 MB. Current time: 2/12/25, 10:37:11 AM CET +// Elapsed time: 45 seconds +selectCodeEditor("automate.vhd", 28, 169); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 135, 693); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 142, 702); // ac (automate.vhd) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 234 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 17, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 17, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top loto_tb_ar_cfg [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 42m:28s +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 42m:30s +// Tcl Message: update_compile_order -fileset sim_1 +// Elapsed Time for: 'L.f': 42m:32s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 118 MB. Current time: 2/12/25, 10:42:01 AM CET +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'automate' ERROR: [VRFC 10-4982] syntax error near 'case' [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:42] ERROR: [VRFC 10-9458] unit 'a_automate' is ignored due to previous errors [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:21] INFO: [VRFC 10-8704] VHDL file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd' is ignored due to errors +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' +// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 4 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +// Elapsed Time for: 'L.f': 42m:40s +selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK) +dismissDialog("Critical Messages"); // a (dialog3) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Syntax Error Files]", 13); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Syntax Error Files, automate.vhd]", 14, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Syntax Error Files, automate.vhd]", 14, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 18 seconds +selectCodeEditor("automate.vhd", 232, 225); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 232, 225, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 232, 225); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 43m:04s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// [Engine Memory]: 3,046 MB (+4772kb) [00:43:39] +// Elapsed Time for: 'L.f': 43m:08s +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration ERROR: [VRFC 10-3219] choice 'st_end_red' is already covered [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:92] ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' +// Tcl Message: ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 6 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK) +dismissDialog("Critical Messages"); // a (dialog4) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration ERROR: [VRFC 10-3219] choice 'st_end_red' is already covered [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:92] ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' +// Tcl Message: ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim/elaborate.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 5 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +selectButton(PAResourceAtoD.CmdMsgDialog_OPEN_MESSAGES_VIEW, "Open Messages View", "Critical Messages"); // a (PAResourceAtoD.CmdMsgDialog_OPEN_MESSAGES_VIEW) +// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW +dismissDialog("Critical Messages"); // a (dialog5) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 11 seconds +selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Simulation, sim_1, [VRFC 10-3219] choice 'st_end_red' is already covered [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd:92]. ]", 6, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) +messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/automate.vhd;-;;-;16;-;line;-;92;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) +// Elapsed time: 61 seconds +selectCodeEditor("automate.vhd", 215, 399); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 45m:00s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// HMemoryUtils.trashcanNow. Engine heap size: 3,088 MB. GUI used memory: 120 MB. Current time: 2/12/25, 10:44:31 AM CET +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 3,128 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:44:37 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source loto_tb_ar_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 9255.504 ; gain = 57.801 ; free physical = 7613 ; free virtual = 16165 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// Elapsed Time for: 'L.f': 45m:10s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 61 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// Elapsed time: 21 seconds +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "an[7:0] ; fd ; Array", 7, "an[7:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +// Elapsed time: 40 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 37, 153); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 37, 153, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 43, 143); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 43, 143, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectCodeEditor("automate.vhd", 43, 143); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 193, 176); // ac (automate.vhd) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, s_aff[6:0]]", 4); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:47:23 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, s_aff[6:0]]", 4); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// Elapsed time: 14 seconds +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// Elapsed time: 10 seconds +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 22, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 22, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +// HMemoryUtils.trashcanNow. Engine heap size: 3,129 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:48:01 AM CET +// Elapsed time: 23 seconds +selectTab((HResource) null, (HResource) null, "Protocol Instances", 1); // aa +selectTab((HResource) null, (HResource) null, "Objects", 0); // aa +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// Elapsed time: 23 seconds +selectTab((HResource) null, (HResource) null, "Scope", 0); // aa +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb(ar)", 1, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenu("Log to Wave Database"); // am (Log to Wave Database) +selectMenuItem((HResource) null, "Objects in Scope"); // ap (Objects in Scope) +// Tcl Command: 'current_wave_config {Untitled 5}' +// Tcl Message: current_wave_config {Untitled 5} +// Tcl Message: Untitled 5 +// Tcl Message: log_wave {/loto_tb} +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb(ar)", 1, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenuItem((HResource) null, "Add to Wave Window"); // ap (Add to Wave Window) +// Tcl Command: 'current_wave_config {Untitled 5}' +// Tcl Message: current_wave_config {Untitled 5} +// Tcl Message: Untitled 5 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: add_wave {{/loto_tb}} +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// HMemoryUtils.trashcanNow. Engine heap size: 3,130 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:49:18 AM CET +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,130 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:49:20 AM CET +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 14 seconds +selectButton((HResource) null, "Objects_settings"); // v (Objects_settings): TRUE +selectCheckBox(PAResourceQtoS.SimulationObjectsView_CHECK_ALL, "Check All", false); // f (PAResourceQtoS.SimulationObjectsView_CHECK_ALL): FALSE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectCheckBox((HResource) null, "Input", false); // f: FALSE +selectCheckBox((HResource) null, "Output", false); // f: FALSE +selectCheckBox((HResource) null, "Inout", false); // f: FALSE +selectCheckBox((HResource) null, "Internal Signal", false); // f: FALSE +selectCheckBox((HResource) null, "Constant", false); // f: FALSE +selectCheckBox((HResource) null, "Variable", false); // f: FALSE +selectCheckBox((HResource) null, "Internal Signal", true); // f: TRUE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectButton((HResource) null, "Objects_settings"); // v (Objects_settings): TRUE +// HMemoryUtils.trashcanNow. Engine heap size: 3,150 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:49:41 AM CET +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("automate.vhd", 205, 402); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 205, 403, false, false, false, false, true); // ac (automate.vhd) - Double Click +selectTab((HResource) null, (HResource) null, "Scope", 0); // aa +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// Elapsed time: 12 seconds +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "loto_tb ; loto_tb(ar) ; VHDL Entity", 0, "loto_tb(ar)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "s_aff[6:0] ; 40 ; Array", 4, "s_aff[6:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 22 seconds +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 13 seconds +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 25); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 26); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// Elapsed time: 22 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5*", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 3,150 MB. GUI used memory: 128 MB. Current time: 2/12/25, 10:51:41 AM CET +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +selectTab(PAResourceOtoP.PlanAheadTab_TABBED_PANE, (HResource) null, "Behavioral Simulation - Functional - sim_1 - loto_tb_ar_cfg", 2); // z (PAResourceOtoP.PlanAheadTab_TABBED_PANE) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog6) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog7) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - compteur_modulo6_tb_arch_cfg", "DesignTask.SIMULATION"); +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog8) +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 3,056 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:52:06 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source loto_tb_ar_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7639 ; free virtual = 16194 +// 'd' command handler elapsed time: 6 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:52:17 AM CET +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, s_aff[6:0]]", 4); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, s_aff[6:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, s_aff[6:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Binary"); // ad (Binary) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:52:36 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 39, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1266, 433, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,161 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:52:44 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// Elapsed time: 58 seconds +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "an[7:0] ; fd ; Array", 7, "an[7:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 14 seconds +selectCodeEditor("loto_tb.vhd", 143, 465); // ac (loto_tb.vhd) +selectCodeEditor("loto_tb.vhd", 138, 462); // ac (loto_tb.vhd) +selectCodeEditor("loto_tb.vhd", 138, 462, false, false, false, false, true); // ac (loto_tb.vhd) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, an[7:0]]", 14); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:54:11 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 106, 582); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1335, 815, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 200, 557); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1429, 790, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 267, 531); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1496, 764, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:54:13 AM CET +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 343, 601); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1572, 834, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 421, 583); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1650, 816, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 494, 562); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1723, 795, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:54:15 AM CET +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 601, 530); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1830, 763, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 673, 599); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** startDrag (1907, 834); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +/********** endDrag (1924, 834); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 4,099 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:54:16 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:54:19 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,055 MB. GUI used memory: 129 MB. Current time: 2/12/25, 10:54:20 AM CET +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 20 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd)]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd)]", 1, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 13 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 11 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto.vhd", 8); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 251, 157); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1474, 398, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,076 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:55:39 AM CET +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 251, 157, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1474, 398, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Hexadecimal"); // ad (Hexadecimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 262, 159, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1485, 400, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 228, 159); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1452, 400, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 169, 160, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1394, 401, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 19, 318); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1248, 555, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 4,120 MB. GUI used memory: 130 MB. Current time: 2/12/25, 10:56:00 AM CET +// Elapsed time: 156 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +// Elapsed time: 55 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 128 seconds +selectTab((HResource) null, (HResource) null, "Scope", 0); // aa +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "registres_2 ; registres(a_registres) ; VHDL Entity", 4, "registres_2", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "registres_2 ; registres(a_registres) ; VHDL Entity", 4, "registres_2", 0, false, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenuItem((HResource) null, "Add to Wave Window"); // ap (Add to Wave Window) +// Tcl Command: 'current_wave_config {Untitled 6}' +// Tcl Message: current_wave_config {Untitled 6} +// Tcl Message: Untitled 6 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 12 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// HMemoryUtils.trashcanNow. Engine heap size: 3,133 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:02:13 AM CET +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7678 ; free virtual = 16158 +// 'a' command handler elapsed time: 5 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,133 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:02:17 AM CET +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Elapsed time: 16 seconds +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenu("Log to Wave Database"); // am (Log to Wave Database) +selectMenuItem((HResource) null, "Add to Wave Window"); // ap (Add to Wave Window) +// Tcl Command: 'current_wave_config {Untitled 6}' +// Tcl Message: current_wave_config {Untitled 6} +// Tcl Message: Untitled 6 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: add_wave {{/loto_tb/DUT/transcod_1}} +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectMenu("Log to Wave Database"); // am (Log to Wave Database) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:02:48 AM CET +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9275.504 ; gain = 0.000 ; free physical = 7742 ; free virtual = 16216 +// 'a' command handler elapsed time: 5 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:02:51 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,179 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 4,179 MB (+1028111kb) [01:04:06] +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,051 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,526 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 14 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 399, 836); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1628, 1070, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:04 AM CET +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg5[5:0]]", 31, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg4[5:0]]", 30, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg3[5:0]]", 29, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,179 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:07 AM CET +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg2[5:0]]", 28, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg1[5:0]]", 27, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, O_reg0[5:0]]", 26, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:08 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 229, 724); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1458, 958, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 482, 714); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1711, 948, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 640, 718); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1869, 952, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:13 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:14 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,179 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:14 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,179 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:14 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,399 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:14 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,135 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:15 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,179 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:15 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,136 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:15 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,136 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:15 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,136 MB. GUI used memory: 131 MB. Current time: 2/12/25, 11:03:25 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 18 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 74, 69); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1303, 302, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 75, 69); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,136 MB. GUI used memory: 132 MB. Current time: 2/12/25, 11:03:32 AM CET +/********** leftMouseClick (1304, 302, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 23 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 21 seconds +selectCodeEditor("automate.vhd", 138, 582); // ac (automate.vhd) +// Elapsed time: 32 seconds +selectCodeEditor("automate.vhd", 316, 596); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 176, 563, false, false, false, true, false); // ac (automate.vhd) - Popup Trigger +selectMenuItem(RDIResourceCommand.RDICommands_COPY, "Copy"); // ap (RDIResourceCommand.RDICommands_COPY) +selectCodeEditor("automate.vhd", 141, 478); // ac (automate.vhd) +typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 135, 532); // ac (automate.vhd) +// Elapsed time: 15 seconds +selectCodeEditor("automate.vhd", 239, 523); // ac (automate.vhd) +// Elapsed time: 24 seconds +selectCodeEditor("automate.vhd", 271, 400); // ac (automate.vhd) +// Elapsed time: 118 seconds +selectCodeEditor("automate.vhd", 202, 686); // ac (automate.vhd) +selectCodeEditor("automate.vhd", 225, 684); // ac (automate.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:08m:58s +// Elapsed Time for: 'L.f': 01h:09m:02s +// Elapsed time: 50 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:08:42 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:08:48 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 21 seconds +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, I_data[5:0]]", 26, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:08:53 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 297, 708); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1526, 942, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 115 MB. Current time: 2/12/25, 11:09:01 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:01 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,927 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:01 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,058 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:01 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:01 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,060 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 115 MB. Current time: 2/12/25, 11:09:02 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:03 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:03 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:03 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 115 MB. Current time: 2/12/25, 11:09:03 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,060 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:04 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:05 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:05 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 21 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, simulation_live_run_all) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:19 AM CET +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:25 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 16 seconds +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 643, 768); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1872, 1002, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:43 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 520, 70); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1750, 302, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 280, 70); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1509, 303, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:48 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 519, 74); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1748, 307, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:09:56 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 543, 788); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1772, 1022, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 523, 71); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1752, 304, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 549, 118); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1778, 351, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:10:11 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 950, 792); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2180, 1026, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 986, 777); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2216, 1011, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 983, 787); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:10:16 AM CET +/********** leftMouseClick (2212, 1022, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 978, 789); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2208, 1023, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:10:18 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 997, 74); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2227, 307, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 115 MB. Current time: 2/12/25, 11:10:25 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// WARNING: HEventQueue.dispatchEvent() is taking 5747 ms. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// WARNING: HTimer (HSTRUtils Dump STR Log Timer) is taking 2894ms to process. Increasing delay to 5000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 2899 ms. +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7894 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8297 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7692 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8296 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8298 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8296 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7897 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8297 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8296 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8297 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7897 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8296 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7897 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8439 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7897 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8296 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 7686 ms to process. Increasing delay to 2000 ms. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 21 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 1015, 127); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2245, 360, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:10:49 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:11:07 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,144 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:11:07 AM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 26 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 1162, 823); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +/********** leftMouseClick (2371, 1050, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 4,188 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:11:13 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 880, 774); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (2094, 1001, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3393 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1392 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3393 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1393 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1392 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3391 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3393 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1393 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3392 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3394 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 3393 ms to process. Increasing delay to 3000 ms. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 16 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto.vhd", 8); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto_tb.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto.vhd", 8); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tirage.vhd", 9); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("tirage.vhd", 101, 697); // ac (tirage.vhd) +selectCodeEditor("tirage.vhd", 101, 697, false, false, false, false, true); // ac (tirage.vhd) - Double Click +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("tirage.vhd", 101, 697); // ac (tirage.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 26 seconds +selectCodeEditor("tirage.vhd", 187, 615); // ac (tirage.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("tirage.vhd", 181, 638); // ac (tirage.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("tirage.vhd", 183, 628); // ac (tirage.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// WARNING: HTimer (HSTRUtils Dump STR Log Timer) is taking 4572ms to process. Increasing delay to 6000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 4572 ms. +selectCodeEditor("tirage.vhd", 179, 452); // ac (tirage.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6479 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6478 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6478 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6477 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6476 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6478 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6475 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6478 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6477 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6476 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6477 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6478 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6476 ms to process. Increasing delay to 4000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 6474 ms to process. Increasing delay to 4000 ms. +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 14 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd), compteur_1 : compteur1_49(compteur_a) (compteur1_49.vhd)]", 6, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd), compteur_1 : compteur1_49(compteur_a) (compteur1_49.vhd)]", 6, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("compteur1_49.vhd", 189, 453); // ac (compteur1_49.vhd) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectCodeEditor("compteur1_49.vhd", 91, 564); // ac (compteur1_49.vhd) +selectCodeEditor("compteur1_49.vhd", 73, 164); // ac (compteur1_49.vhd) +selectCodeEditor("compteur1_49.vhd", 95, 145); // ac (compteur1_49.vhd) +// WARNING: HTimer (HSTRUtils Dump STR Log Timer) is taking 3103ms to process. Increasing delay to 7000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 3103 ms. +// HMemoryUtils.trashcanNow. Engine heap size: 3,194 MB. GUI used memory: 115 MB. Current time: 2/12/25, 11:13:18 AM CET +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8650 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8345 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8639 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8344 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8345 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8344 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8345 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8346 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8345 ms to process. Increasing delay to 5000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 8346 ms to process. Increasing delay to 5000 ms. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 11 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6*", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,194 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:13:48 AM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 42 seconds +selectButton("HStatusBar_ProgressStatusItem_Cancel", "Cancel"); // NullButton (HStatusBar_ProgressStatusItem_Cancel) +// Tcl Message: INFO: [Common 17-41] Interrupt caught. Command should exit soon. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// WARNING: HEventQueue.dispatchEvent() is taking 6010 ms. +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,194 MB. GUI used memory: 114 MB. Current time: 2/12/25, 11:14:09 AM CET +// TclEventType: SIMULATION_STOPPED +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 2689 ms to process. Increasing delay to 3000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 1613 ms. +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// CommandFailedException: ERROR: [Common 17-69] Command failed: +// Tcl Message: run: Time (s): cpu = 00:04:46 ; elapsed = 00:04:57 . Memory (MB): peak = 9301.906 ; gain = 26.402 ; free physical = 866 ; free virtual = 15698 +// Tcl Message: INFO: [Common 17-344] 'run' was cancelled +// Elapsed time: 27 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN +selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES) +dismissDialog("No Implementation Results Available"); // t (dialog9) +dismissDialog("Resetting Runs"); // bj (Resetting Runs Progress) +// TclEventType: RUN_LAUNCH +// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4 +// TclEventType: RUN_MODIFY +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_MODIFY +// Tcl Message: [Wed Feb 12 11:14:31 2025] Launched synth_1... Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/runme.log [Wed Feb 12 11:14:31 2025] Launched impl_1... Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/runme.log +dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress) +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// Elapsed time: 77 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 19); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4DDR-Master.xdc]", 21, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4DDR-Master.xdc]", 21, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// TclEventType: RUN_STEP_COMPLETED +// Elapsed time: 20 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto.vhd", 8); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("loto.vhd", 72, 151); // ac (loto.vhd) +selectCodeEditor("loto.vhd", 72, 151, false, false, false, false, true); // ac (loto.vhd) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur1_49.vhd", 10); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Nexys4DDR-Master.xdc", 11); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// Elapsed time: 36 seconds +selectRadioButton(PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER, "Open Hardware Manager"); // a (PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER) +selectButton(RDIResource.BaseDialog_OK, "OK", "Bitstream Generation Completed"); // a (RDIResource.BaseDialog_OK) +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl (Dont Echo) Command: 'rdi::info_commands get_hw_probes' +// Tcl (Dont Echo) Command: 'load_features labtools' +// TclEventType: LOAD_FEATURE +// TclEventType: HW_SESSION_OPEN +// Tcl Message: open_hw_manager +dismissDialog("Open Hardware Manager"); // bj (Open Hardware Manager Progress) +selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // g (PAResourceOtoP.ProgramDebugTab_OPEN_TARGET) +selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ap (PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, auto_connect_target_menu) +// Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET +// Tcl Message: connect_hw_server -allow_non_jtag +// Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-2222] Launching hw_server... +// TclEventType: HW_SERVER_UPDATE +// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2024.1 **** Build date : May 22 2024 at 19:19:01 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 INFO: [Labtools 27-3417] Launching cs_server... +// TclEventType: HW_SERVER_UPDATE +// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2024.1.0 ****** Build date : Apr 27 2024-03:40:49 **** Build number : 2024.1.1714182049 ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. +// TclEventType: HW_TARGET_CHANGE +// TclEventType: HW_TARGET_CLOSE +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_ADD +// TclEventType: HW_TARGET_UPDATE +// Tcl Message: open_hw_target +// Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210274675171A +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +// Tcl Message: current_hw_device [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +// Tcl Message: INFO: [Labtools 27-1435] Device xc7a100t (JTAG device index = 0) is not programmed (DONE status = 0). +dismissDialog("Auto Connect"); // bj (Auto Connect Progress) +selectButton(PAResourceOtoP.ProgramDebugTab_PROGRAM_DEVICE, "Program device"); // g (PAResourceOtoP.ProgramDebugTab_PROGRAM_DEVICE) +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program", "Program Device"); // a (PAResourceOtoP.ProgramFpgaDialog_PROGRAM, RDIResource.BaseDialog_OK) +dismissDialog("Program Device"); // aP (dialog11) +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +// Tcl Message: set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +// Tcl Message: program_hw_devices [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +// Tcl Message: INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +// 'D' command handler elapsed time: 4 seconds +dismissDialog("Program Device"); // bj (Program Device Progress) +// Elapsed time: 23 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Nexys4DDR-Master.xdc", 10); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 99 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_RTL_DESIGN +selectButton(RDIResource.BaseDialog_OK, "OK", "Elaborate Design"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Elaborate Design"); // t (dialog12) +// Tcl Message: synth_design -rtl -rtl_skip_mlo -name rtl_1 +// Tcl Message: Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 Starting synth_design Using part: xc7a100tcsg324-1 Top: loto +// TclEventType: ELABORATE_START +// TclEventType: MSGMGR_REFRESH_MSG +// HMemoryUtils.trashcanNow. Engine heap size: 4,109 MB. GUI used memory: 120 MB. Current time: 2/12/25, 11:19:36 AM CET +// TclEventType: ELABORATE_FINISH +// TclEventType: READ_XDC_FILE_START +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: POWER_CNS_STALE +// TclEventType: POWER_REPORT_STALE +// TclEventType: SDC_CONSTRAINT_ADD +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: READ_XDC_FILE_END +// TclEventType: FLOORPLAN_MODIFY +// TclEventType: DESIGN_NEW +// HMemoryUtils.trashcanNow. Engine heap size: 4,311 MB. GUI used memory: 119 MB. Current time: 2/12/25, 11:19:40 AM CET +// TclEventType: DESIGN_NEW +// WARNING: HEventQueue.dispatchEvent() is taking 2188 ms. +// Tcl Message: INFO: [Device 21-403] Loading part xc7a100tcsg324-1 INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes INFO: [Synth 8-7075] Helper process launched with PID 48722 +// TclEventType: CURR_DESIGN_SET +// Tcl Message: --------------------------------------------------------------------------------- +// Tcl Message: Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 10184.988 ; gain = 399.773 ; free physical = 1399 ; free virtual = 14895 +// Tcl Message: --------------------------------------------------------------------------------- +// Tcl Message: Parameter n_fast bound to: 15 - type: integer Parameter n_slow bound to: 25 - type: integer +// Tcl Message: --------------------------------------------------------------------------------- +// Tcl Message: Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 10268.832 ; gain = 483.617 ; free physical = 1281 ; free virtual = 14778 +// Tcl Message: --------------------------------------------------------------------------------- +// Tcl Message: --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- +// Tcl Message: Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 10283.676 ; gain = 498.461 ; free physical = 1279 ; free virtual = 14776 +// Tcl Message: --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- +// Tcl Message: Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 10283.676 ; gain = 498.461 ; free physical = 1279 ; free virtual = 14776 +// Tcl Message: --------------------------------------------------------------------------------- +// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10283.684 ; gain = 0.000 ; free physical = 1287 ; free virtual = 14784 +// Tcl Message: INFO: [Project 1-570] Preparing netlist for logic optimization +// Tcl Message: Processing XDC Constraints Initializing timing engine Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc] Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc] Completed Processing XDC Constraints +// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10402.457 ; gain = 0.000 ; free physical = 1560 ; free virtual = 15059 +// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. +// TclEventType: CURR_DESIGN_SET +// Xgd.load filename: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/./.Xil/Vivado-13301-fl-tp-br-637/xc7a100t_detail.xgd_5B7F094 elapsed time: 1.5s +// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 3s +// Tcl Message: RTL Elaboration Complete: : Time (s): cpu = 00:00:16 ; elapsed = 00:00:11 . Memory (MB): peak = 10435.301 ; gain = 650.086 ; free physical = 1480 ; free virtual = 15012 +// Tcl Message: 31 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully +// Tcl Message: synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:16 . Memory (MB): peak = 10435.301 ; gain = 1006.000 ; free physical = 1480 ; free virtual = 15012 +// Tcl Message: INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 3071.865; main = 3061.524; forked = 10.341 INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 10542.855; main = 10435.305; forked = 107.551 +// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED +// 'dQ' command handler elapsed time: 17 seconds +// Elapsed time: 16 seconds +dismissDialog("Open Elaborated Design"); // bj (Open Elaborated Design Progress) +// Elapsed time: 14 seconds +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "select_objects [get_ports O_green]"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "unselect_objects"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +// [Engine Memory]: 4,419 MB (+32934kb) [01:21:04] +selectView(PAResourceOtoP.PAViews_SCHEMATIC, "Schematic", 1633, 302, 1784, 991, false, false, false, true, false); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) - Popup Trigger +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "unselect_objects"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +// HMemoryUtils.trashcanNow. Engine heap size: 4,420 MB. GUI used memory: 153 MB. Current time: 2/12/25, 11:20:01 AM CET +// Elapsed time: 16 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 28, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +// [GUI Memory]: 225 MB (+9839kb) [01:21:23] +selectMenuItem((HResource) null, "xc7a100t_0"); // ap (xc7a100t_0) +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl (Dont Echo) Command: 'rdi::info_commands get_hw_probes' +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +selectMoreButton(PAResourceOtoP.ProgramFpgaDialog_SPECIFY_BITSTREAM_FILE, (String) null, "Program Device"); // r (PAResourceOtoP.ProgramFpgaDialog_SPECIFY_BITSTREAM_FILE) +setFileChooser("/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit"); +selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program", "Program Device"); // a (PAResourceOtoP.ProgramFpgaDialog_PROGRAM, RDIResource.BaseDialog_OK) +dismissDialog("Program Device"); // aP (dialog13) +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +// Tcl Message: set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +// Tcl Message: program_hw_devices [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +// Tcl Message: INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +// 'D' command handler elapsed time: 10 seconds +dismissDialog("Program Device"); // bj (Program Device Progress) +// Elapsed time: 60 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager]", 0, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_PROJECT_MANAGER +// Elapsed time: 26 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur1_49.vhd", 10); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Nexys4DDR-Master.xdc", 11); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 34 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 20, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4DDR-Master.xdc]", 21, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4DDR-Master.xdc]", 21, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenuItem(PAResourceCommand.PACommandNames_SRC_REPLACE_FILE, "Replace File..."); // ap (PAResourceCommand.PACommandNames_SRC_REPLACE_FILE, src_replace_menu) +// Run Command: PAResourceCommand.PACommandNames_SRC_REPLACE_FILE +// [GUI Memory]: 240 MB (+4068kb) [01:23:41] +setFileChooser("/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc"); +// 'dJ' command handler elapsed time: 7 seconds +// TclEventType: DESIGN_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: update_files -from_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc -to_files /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc -filesets [get_filesets *] +// Tcl Message: INFO: [filemgmt 20-762] Replacing file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4DDR-Master.xdc' with file '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc'. +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 24, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN +selectButton(RDIResource.BaseDialog_YES, "Yes", "Synthesis is Out-of-date"); // a (RDIResource.BaseDialog_YES) +// TclEventType: RUN_MODIFY +dismissDialog("Synthesis is Out-of-date"); // t (dialog16) +// TclEventType: RUN_RESET +// TclEventType: RUN_STATUS_CHANGE +// Tcl Message: reset_run synth_1 +// TclEventType: RUN_RESET +// TclEventType: FILE_SET_CHANGE +// TclEventType: RUN_RESET +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_RESET +// TclEventType: RUN_MODIFY +// Tcl Message: INFO: [Project 1-1160] Copying file /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/loto.dcp to /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.srcs/utils_1/imports/synth_1 and adding it to utils fileset +dismissDialog("Resetting Runs"); // bj (Resetting Runs Progress) +// TclEventType: RUN_LAUNCH +// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4 +// TclEventType: RUN_LAUNCH +// TclEventType: RUN_MODIFY +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_MODIFY +// Tcl Message: [Wed Feb 12 11:22:49 2025] Launched synth_1... Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/synth_1/runme.log [Wed Feb 12 11:22:50 2025] Launched impl_1... Run output will be captured here: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/runme.log +dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4_Master.xdc]", 21, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Nexys4_Master.xdc]", 21, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +// Elapsed time: 102 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 6); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// Elapsed time: 49 seconds +selectButton(RDIResource.BaseDialog_OK, "OK", "Bitstream Generation Completed"); // a (RDIResource.BaseDialog_OK) +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl (Dont Echo) Command: 'rdi::info_commands get_hw_probes' +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +dismissDialog("Bitstream Generation Completed"); // Q.a (dialog17) +selectButton(PAResourceOtoP.ProgramDebugTab_PROGRAM_DEVICE, "Program device"); // g (PAResourceOtoP.ProgramDebugTab_PROGRAM_DEVICE) +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program", "Program Device"); // a (PAResourceOtoP.ProgramFpgaDialog_PROGRAM, RDIResource.BaseDialog_OK) +dismissDialog("Program Device"); // aP (dialog18) +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +// Tcl Message: set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +// Tcl Message: program_hw_devices [get_hw_devices xc7a100t_0] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +// Tcl Message: INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +// 'D' command handler elapsed time: 5 seconds +dismissDialog("Program Device"); // bj (Program Device Progress) +// Elapsed time: 58 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_RTL_DESIGN +// Elapsed time: 68 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Nexys4DDR-Master.xdc", 12); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// Run Command: RDIResourceCommand.RDICommands_FIND +dismissDialog("Find"); // f (dialog19) +selectCodeEditor("Nexys4_Master.xdc", 733, 432); // ac (Nexys4_Master.xdc) +// Elapsed time: 116 seconds +setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "I_blo"); // d.c (RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tirage.vhd", 10); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur1_49.vhd", 11); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_RTL_DESIGN +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true, false, false, false, false, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +selectButton(PAResourceOtoP.ProjectTab_RELOAD, "Reload"); // g (PAResourceOtoP.ProjectTab_RELOAD) +// TclEventType: DESIGN_REFRESH +// HMemoryUtils.trashcanNow. Engine heap size: 4,351 MB. GUI used memory: 172 MB. Current time: 2/12/25, 11:30:00 AM CET +// Engine heap size: 4,351 MB. GUI used memory: 173 MB. Current time: 2/12/25, 11:30:00 AM CET +// Tcl Message: refresh_design +selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background", "Reloading"); // a (RDIResource.ProgressDialog_BACKGROUND) +// TclEventType: READ_XDC_FILE_START +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: POWER_CNS_STALE +// TclEventType: POWER_REPORT_STALE +// TclEventType: SDC_CONSTRAINT_ADD +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: LOC_CONSTRAINT_ADD +// TclEventType: SIGNAL_MODIFY +// TclEventType: READ_XDC_FILE_END +// TclEventType: FLOORPLAN_MODIFY +// TclEventType: DESIGN_REFRESH +// HMemoryUtils.trashcanNow. Engine heap size: 4,351 MB. GUI used memory: 151 MB. Current time: 2/12/25, 11:30:02 AM CET +// WARNING: HEventQueue.dispatchEvent() is taking 1201 ms. +// Tcl Message: INFO: [Project 1-570] Preparing netlist for logic optimization +// Tcl Message: Processing XDC Constraints Initializing timing engine Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] Finished Parsing XDC File [/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/src/Nexys4_Master.xdc] Completed Processing XDC Constraints +dismissDialog("Reloading"); // bj (Reloading Progress) +// Xgd.load filename: /homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/./.Xil/Vivado-13301-fl-tp-br-637/xc7a100t_detail.xgd_39E2B85D elapsed time: 1.2s +// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 2.6s +// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_RTL_DESIGN +// [GUI Memory]: 256 MB (+4280kb) [01:31:11] +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +// Run Command: PAResourceCommand.PACommandNames_GOTO_RTL_DESIGN +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true, false, false, false, false, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node +expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "loto.vhd", 9); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tirage.vhd", 10); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_modulo6.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Schematic", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 46 seconds +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "select_objects [get_nets SC_numTranscode]"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +// Elapsed time: 73 seconds +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "select_objects [get_cells mux6_1_1]"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +runTclCommand (PAResourceOtoP.PAViews_SCHEMATIC, "unselect_objects"); // j (PAResourceOtoP.PAViews_SCHEMATIC, PlanAheadTabSchematic) +// TclEventType: HW_TARGET_NEEDS_CLOSE +// TclEventType: HW_TARGET_CLOSE +// TclEventType: HW_SYSMON_DELETE +// TclEventType: HW_TARGET_UPDATE +// TclEventType: DEBUG_PROBE_DELETE +// Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210274675171A +// TclEventType: HW_TARGET_NEEDS_CLOSE +// TclEventType: HW_SERVER_UPDATE +// Elapsed time: 63 seconds +selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Close Hardware Target"); // f (PAResourceAtoD.CmdMsgDialog_OK) +dismissDialog("Close Hardware Target"); // j.a (dialog20) +// Elapsed time: 34 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab(PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_PANEL_TABBED, PAResourceOtoP.ProjectSummaryUtilizationPanel_TABLE, "Table", 1); // e (PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_PANEL_TABBED) +// Elapsed time: 23 seconds +collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 23); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 23); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design, Report Timing Summary]", 27, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Tcl Message: open_run impl_1 +// TclEventType: SDC_CONSTRAINT_ADD +// TclEventType: POWER_CNS_STALE +// TclEventType: FLOORPLAN_MODIFY +// TclEventType: DESIGN_NEW +// HMemoryUtils.trashcanNow. Engine heap size: 4,851 MB. GUI used memory: 177 MB. Current time: 2/12/25, 11:34:38 AM CET +// [Engine Memory]: 4,851 MB (+221195kb) [01:35:43] +// TclEventType: DESIGN_NEW +// DeviceView Instantiated +// TclEventType: CURR_DESIGN_SET +// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10459.199 ; gain = 0.000 ; free physical = 2354 ; free virtual = 14805 +// Tcl Message: INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2024.1 INFO: [Project 1-570] Preparing netlist for logic optimization +// Tcl Message: Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10459.199 ; gain = 0.000 ; free physical = 2428 ; free virtual = 14817 +// Tcl Message: INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases. +// Tcl Message: Reading placement. +// Tcl Message: Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +// Tcl Message: Reading placer database... +// Tcl Message: Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1930 ; free virtual = 14292 +// Tcl Message: Reading routing. +// Tcl Message: Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 Read Physdb Files: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 +// Tcl Message: Restored from archive | CPU: 0.030000 secs | Memory: 0.246185 MB | +// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.05 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1928 ; free virtual = 14290 Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 10932.355 ; gain = 0.000 ; free physical = 1926 ; free virtual = 14288 +// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. +// TclEventType: CURR_DESIGN_SET +// Device view-level: 0.0 +// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED +// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1.6s +// RouteApi: Init Delay Mediator Swing Worker Finished +// TclEventType: DRC_ADDED +// Device view-level: 0.0 +// Tcl Message: open_run: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 10970.902 ; gain = 511.703 ; free physical = 1894 ; free virtual = 14277 +// TclEventType: DRC_ADDED +// TclEventType: METHODOLOGY_ADDED +// TclEventType: POWER_UPDATED +// TclEventType: TIMING_SUMMARY_UPDATED +// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY +// Elapsed time: 12 seconds +selectButton(RDIResource.BaseDialog_OK, "OK", "Report Timing Summary"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Report Timing Summary"); // ag (dialog21) +// TclEventType: TIMING_RESULTS_STALE +// TclEventType: TIMING_SUMMARY_UPDATED +// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 +// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +dismissDialog("Report Timing Summary"); // bj (Report Timing Summary Progress) +selectButton(RDIResource.BaseDialog_OK, "OK", "Methodology Violations"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Methodology Violations"); // aH (dialog22) +// [GUI Memory]: 279 MB (+10200kb) [01:35:49] +// [Engine Memory]: 5,116 MB (+23129kb) [01:35:49] +// Device view-level: 0.3 +// HMemoryUtils.trashcanNow. Engine heap size: 5,116 MB. GUI used memory: 207 MB. Current time: 2/12/25, 11:34:46 AM CET +// Device view-level: 0.9 +// Device view-level: 1.2 +// Device view-level: 1.5 +// Device view-level: 1.8 +// Device view-level: 2.1 +// HMemoryUtils.trashcanNow. Engine heap size: 5,117 MB. GUI used memory: 207 MB. Current time: 2/12/25, 11:34:47 AM CET +// Device view-level: 2.4 +// Device view-level: 2.1 +// Device view-level: 1.8 +// [GUI Memory]: 296 MB (+3472kb) [01:35:52] +// Device view-level: 2.1 +// Device view-level: 1.8 +// HMemoryUtils.trashcanNow. Engine heap size: 5,109 MB. GUI used memory: 208 MB. Current time: 2/12/25, 11:34:49 AM CET +// Elapsed time: 13 seconds +selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Clock Summary]", 3, false); // a (PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE) +selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a (PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE) +selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "6.012 ns"); // g (PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK) +// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.3s +// Device view-level: 1.5 +// Device view-level: 1.2 +// Device view-level: 0.9 +// Device view-level: 0.6 +// HMemoryUtils.trashcanNow. Engine heap size: 5,110 MB. GUI used memory: 211 MB. Current time: 2/12/25, 11:35:04 AM CET +// Device view-level: 0.9 +// Device view-level: 1.2 +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 365475, 276119); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +// Device view-level: 1.5 +// HMemoryUtils.trashcanNow. Engine heap size: 6,468 MB. GUI used memory: 210 MB. Current time: 2/12/25, 11:35:12 AM CET +// Device view-level: 2.1 +// [Engine Memory]: 6,468 MB (+1149179kb) [01:36:16] +// Device view-level: 2.4 +// Device view-level: 2.1 +// Device view-level: 1.5 +// Device view-level: 1.2 +// HMemoryUtils.trashcanNow. Engine heap size: 5,159 MB. GUI used memory: 209 MB. Current time: 2/12/25, 11:35:13 AM CET +// Device view-level: 0.9 +// Device view-level: 0.6 +// Device view-level: 0.3 +// Device view-level: 0.0 +// Device view-level: 0.6 +// HMemoryUtils.trashcanNow. Engine heap size: 5,159 MB. GUI used memory: 209 MB. Current time: 2/12/25, 11:35:14 AM CET +// Device view-level: 1.2 +// Device view-level: 1.5 +// Device view-level: 1.8 +// Device view-level: 2.1 +// HMemoryUtils.trashcanNow. Engine heap size: 5,159 MB. GUI used memory: 209 MB. Current time: 2/12/25, 11:35:15 AM CET +// Device view-level: 2.4 +// Device view-level: 2.7 +// Device view-level: 2.9 +// Device view-level: 3.2 +// [GUI Memory]: 311 MB (+286kb) [01:36:26] +// HMemoryUtils.trashcanNow. Engine heap size: 6,529 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:35:21 AM CET +// Device view-level: 3.5 +// Device view-level: 3.2 +// Device view-level: 2.9 +// Device view-level: 2.7 +// Device view-level: 2.1 +// HMemoryUtils.trashcanNow. Engine heap size: 5,179 MB. GUI used memory: 211 MB. Current time: 2/12/25, 11:35:23 AM CET +// Device view-level: 1.8 +// Device view-level: 1.5 +// Device view-level: 1.2 +// Device view-level: 0.9 +// Device view-level: 0.6 +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 210 MB. Current time: 2/12/25, 11:35:24 AM CET +// Elapsed time: 15 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 106 seconds +selectTable(PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE, "LUT ; 72 ; 63400 ; 0.11356467", 0, "72", 1); // o (PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE) +selectTable(PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE, "FF ; 89 ; 126800 ; 0.070189275", 1, "89", 1); // o (PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE) +selectTable(PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE, "FF ; 89 ; 126800 ; 0.070189275", 1, "89", 1, false, false, false, false, true); // o (PAResourceOtoP.ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE) - Double Click +// Elapsed time: 148 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Device", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 211 MB. Current time: 2/12/25, 11:39:45 AM CET +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 231319, 366602); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +/********** leftMouseClick (1662, 717, true); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) **********/ +// Device select: 'Tile - CLBLM_L_X30Y97' +selectView(PAResourceOtoP.PAViews_DEVICE, "Device", 948, 1012, 1833, 915); // G (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice) +// PAPropertyPanels.initPanels (Tile: CLBLM_L_X30Y97) elapsed time: 0.2s +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 212 MB. Current time: 2/12/25, 11:39:47 AM CET +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 237115, 360806); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +/********** leftMouseClick (1678, 437, true); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) **********/ +// Device select: 'Cell - I_clk_100m_IBUF_BUFG_inst' +selectView(PAResourceOtoP.PAViews_DEVICE, "Device", 964, 996, 1833, 915); // G (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice) +// HMemoryUtils.trashcanNow. Engine heap size: 6,533 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:39:49 AM CET +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 225523, 340882); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +/********** leftMouseClick (1646, 646, true); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) **********/ +// Device select: 'Tile - CLBLL_R_X29Y105' +selectView(PAResourceOtoP.PAViews_DEVICE, "Device", 932, 941, 1833, 915); // G (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice) +// HMemoryUtils.trashcanNow. Engine heap size: 5,173 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:39:51 AM CET +// Device view-level: 0.9 +// Device view-level: 1.2 +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:39:55 AM CET +// Device view-level: 1.5 +// Device view-level: 1.8 +// Device view-level: 2.1 +// Device view-level: 2.4 +// Device view-level: 2.7 +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 217 MB. Current time: 2/12/25, 11:39:57 AM CET +// Device view-level: 2.9 +// [GUI Memory]: 328 MB (+506kb) [01:41:04] +// HMemoryUtils.trashcanNow. Engine heap size: 6,534 MB. GUI used memory: 216 MB. Current time: 2/12/25, 11:39:59 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,180 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:40:01 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,165 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:01 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,166 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:40:03 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 6,534 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:40:04 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:04 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,166 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:05 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,166 MB. GUI used memory: 212 MB. Current time: 2/12/25, 11:40:05 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 6,534 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:06 AM CET +// Device view-level: 2.7 +// Device view-level: 2.4 +// Device view-level: 2.1 +// Device view-level: 1.8 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:06 AM CET +// Device view-level: 1.5 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 212 MB. Current time: 2/12/25, 11:40:08 AM CET +// Device view-level: 1.8 +// HMemoryUtils.trashcanNow. Engine heap size: 5,166 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:40:09 AM CET +// Elapsed time: 28 seconds +selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 6.012 ; 5 ; 2 ; 61 ; diviseur_freq_1/SR_counter_reg[15]/C ; diviseur_freq_1/SR_counter_reg[25]/D ; 3.9909675 ; 1.639 ; 2.351967 ; 10.0 ; sys_clk_pin ; sys_clk_pin ; ; 0.03535534", 0, "6.012", 1); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) +// Device view-level: 2.1 +// Device view-level: 2.4 +// Device view-level: 2.9 +// HMemoryUtils.trashcanNow. Engine heap size: 6,534 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:41:16 AM CET +// Device view-level: 3.2 +// Device view-level: 3.5 +// Device view-level: 3.8 +// Device view-level: 4.1 +// Device view-level: 3.8 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:41:20 AM CET +// Device view-level: 3.5 +// Device view-level: 3.2 +// Device view-level: 2.9 +// Device view-level: 2.7 +// Device view-level: 2.1 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:41:21 AM CET +// Device view-level: 1.8 +// Device view-level: 2.1 +// Device view-level: 2.4 +// Device view-level: 2.7 +// Device view-level: 2.9 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 216 MB. Current time: 2/12/25, 11:41:22 AM CET +// Device view-level: 3.2 +// Device view-level: 3.5 +// Device view-level: 3.8 +// Elapsed time: 63 seconds +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 235305, 358831); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +// HMemoryUtils.trashcanNow. Engine heap size: 6,538 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:41:26 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,180 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:41:27 AM CET +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:41:27 AM CET +// Elapsed time: 20 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Nexys4_Master.xdc", 11); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Device", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 213 MB. Current time: 2/12/25, 11:41:47 AM CET +// Device view-level: 3.5 +// HMemoryUtils.trashcanNow. Engine heap size: 6,538 MB. GUI used memory: 214 MB. Current time: 2/12/25, 11:41:50 AM CET +// Device view-level: 3.2 +// Device view-level: 2.9 +// Device view-level: 2.7 +// Device view-level: 2.4 +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 215 MB. Current time: 2/12/25, 11:42:04 AM CET +// Device view-level: 2.1 +// Elapsed time: 17 seconds +selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 236755, 360691); // t (PAResourceOtoP.PAViews_DEVICE, PlanAheadTabDevice_editor) +// Elapsed time: 378 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "mux6_1.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 598 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 7); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 667 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: xsimkernel Simulation Memory Usage: 110128 KB (Peak: 159460 KB), Simulation CPU Usage: 165610 ms +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 5,186 MB. GUI used memory: 228 MB. Current time: 2/12/25, 12:09:45 PM CET +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// Tcl Message: source loto_tb_ar_cfg.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:08 . Memory (MB): peak = 11096.234 ; gain = 0.000 ; free physical = 4684 ; free virtual = 13201 +// 'd' command handler elapsed time: 13 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "diviseur_freq_1 ; diviseur_freq(Behavioral) ; VHDL Entity", 4, "diviseur_freq_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "modulo6_1 ; compteur_modulo6(modulo6_a) ; VHDL Entity", 3, "modulo6_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenu("Log to Wave Database"); // am (Log to Wave Database) +selectMenuItem((HResource) null, "Add to Wave Window"); // ap (Add to Wave Window) +// Tcl Command: 'current_wave_config {Untitled 7}' +// Tcl Message: current_wave_config {Untitled 7} +// Tcl Message: Untitled 7 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 5,166 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:00 PM CET +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: add_wave {{/loto_tb/DUT/tirage_1/automate_1}} +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "registres_2 ; registres(a_registres) ; VHDL Entity", 4, "registres_2", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_STATE]", 20, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "registres_2 ; registres(a_registres) ; VHDL Entity", 4, "registres_2", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "registres_2 ; registres(a_registres) ; VHDL Entity", 4, "registres_2", 0, false, false, false, false, true, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Popup Trigger +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectMenu("Log to Wave Database"); // am (Log to Wave Database) +selectMenuItem((HResource) null, "Add to Wave Window"); // ap (Add to Wave Window) +// Tcl Command: 'current_wave_config {Untitled 7}' +// Tcl Message: current_wave_config {Untitled 7} +// Tcl Message: Untitled 7 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: add_wave {{/loto_tb/DUT/tirage_1/registres_2}} +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m22kling/MEDCON/tp-loto-etudiant-m22kling/loto/loto.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:28 PM CET +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 11096.234 ; gain = 0.000 ; free physical = 4728 ; free virtual = 13171 +// 'a' command handler elapsed time: 5 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 5,174 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:38 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 5,311 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:39 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 5,207 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:39 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 5,207 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:40 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 6,558 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:40 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 6,167 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:40 PM CET +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 23 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 670, 553); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** startDrag (1896, 786); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +/********** endDrag (1892, 784); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 5,215 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:10:55 PM CET +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 791, 551); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1972, 779, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 5,215 MB. GUI used memory: 226 MB. Current time: 2/12/25, 12:11:00 PM CET +// Elapsed time: 11 seconds +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, I_clk]", 21, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS -- GitLab