From 410509e677a3d0e7802c23adedecffb9d87b7173 Mon Sep 17 00:00:00 2001 From: melyssamariana <melyssa.mariana32@gmail.com> Date: Wed, 12 Feb 2025 12:26:07 +0100 Subject: [PATCH] Melyssa and Emanuelle implementations --- docs/compte-rendu.md | 14 +++++--- docs/q2.png | Bin 0 -> 45764 bytes docs/q6.png | Bin 0 -> 46769 bytes src/automate.vhd | 67 +++++++++++++++++++++++++++++++----- src/compteur_modulo4_tb.vhd | 62 +++++++++++++++++++++++++++++++++ src/compteur_modulo6.vhd | 14 ++++++-- src/mux6_1.vhd | 21 +++++++++-- 7 files changed, 161 insertions(+), 17 deletions(-) create mode 100644 docs/q2.png create mode 100644 docs/q6.png create mode 100644 src/compteur_modulo4_tb.vhd diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index bcc655d..6099e5f 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -4,29 +4,35 @@ ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? +Considering that an sensitivity list is a list of input signals, the signals should be the six inputs {I_0, I_1, I_2, I_3, I_4, I_5} ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? +The aswer its gonna be just '0', Yes but its cover by the code with an 'when others'. ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + -## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? +Since we can see by the simulation that all the numbers from 0 to 5 were printed, it proves that our code is working as expected, so the simulation is important to validate the module. +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? +In this sequential process the signals 0_CounterMod6(0), 0_CounterMod6(1), 0_CounterMod6(2), 0_CounterMod6(3), 0_CounterMod6(4) and 0_CounterMod6(5) should enter in the sensitivity list, two more than compared to the module 4. So, like that all the 6 signals would be covered. ## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? - +In this case the test is incomplete, because all values different from the combinations of 3 bits especified would return to the last signal, 0_CounterMod6(5) ## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. - + ## Question Loto 7 : Combien de processus avez-vous décris ? - +9 process ## Question Loto 8 : De quel(s) type(s) sont-ils ## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? +Yes, tirar green e red ## Question Loto 10 : Ce test est-il concluant ? Justifiez. diff --git a/docs/q2.png b/docs/q2.png new file mode 100644 index 0000000000000000000000000000000000000000..661964629175f591e0eea67bbd0819d2c289f12b GIT binary patch literal 45764 zcmeAS@N?(olHy`uVBq!ia0y~yU{_*bVEWI&#=yX!<;*aXfq{XsILO_JVcj{ImkbOH zEa{HEjtmSN`?>!lvNA9*a29w(7BevL9R^{><M}I67#KE1db&7<RK&gcn>|4#y;k9m zoo-5GGjBz%fJa7!cuL93tO+KQWV;<s7*}mP6y<Fp6TJ3A?pC&MN2Cjr%*~vB{N3bI zQYvHiXpQ0Sdt0yHXs{P(IJ`>e$J7mx)yh|x#hfB;JknVwrBWj-B;I`Fs8f=P$t}B| zDXHgDuBU{iho*;yhySm8<e!>qH+|I^_3Tx@@B2Ty)cd|7!FbA#$^Xw!y(z>11_vtI z)RgM~|F5mv#sU^#FqpS_lRJdjaLdDm3BueuxdSSnW&TBOqI~`Hg*luI&(1$fduboP zTt`<o)f=R6L&Ub<cO}kn8!It_xD4Nx{5bx@=}bO0bJPVn!D<+;_$fhMvtq7`3Rvs_ zQ&iL`v1KaT=DUY(US-_r`D<qA+`V2<bqS7Vlum|T`&U+bZq+#zwU_B(rQfVNJ-|8} zZ1c>8qGr!tzIavS)tN_B{+?NNE>2YtV$}wRWiua~nmqULFAeWDklwVfx71c{=1_q- zcgKter;^QmF1=s#$|p&G-~1V8&Ln@R+?O}y;HRTAra&FXpfh39lZPu>9{Ek`T@3Tt z0XcJv-9~o$ZYElemJxRAHwz0*1UrafgTpHiB{yqd4=-q7zVS44Hqj|cy<H}>vvAu} zw(I@3rb)-_zq_qa+5FtLI}d&Z-Y#l>z5TXX-jicDuZgF-@g19)dYl{TL53U4&CJa& zYi!=KWy-N7aF<W8u{6;l&dj@F$x}9c3Uz{pCIdXw83L?fmMkcPIp5(e%#Mazo=_h! zASDNU(Kyl#^fur3xbbXGW%qXZpMKj|HkixU)mTJpmA|>MF{jgY!`+W@QBkL+fs@G% zUS;8l_CF`v&$MNpk^lXEef?6GCFi#u4R~7N4GHx(dehTDr40iELzcOfpyYEeF2y5p z9ji{g>e?#~Hsj3RiJd2W|NlH+|L?Z)|IpAikN5BWEf1FZe12&pBuh5j>YXRJQ?o^& zT6vY$YVB`vV0nZ8+hz(%*5B4{5vW!~7JnZzOBAevVZ~hU&0LCNO31SR&%ld?=W-LB zI#@fAont*cP1H%pB_uQ~{LNmlAqoF>nrMSr3<0|@cAnUjso}(7*dG`g8N2a2xTtB^ zY5wcd8Yhm8?u%A!+LiPk;$vjz?=)F0kYtD~TYP?LWUBzTVCdAVqSg9f<7d?Codp%> z3=9lc@@9h^enbw%ZF_U|&c3n-g;n+A{j0i4|7<V+&kWL<@bB!)rIGJ>@_%lW=e3`9 zJo??4Yy3Mthx<?exiQ{1i}B2C_QUm6wGTh%+&;gm@zY_u|5}=L)8d5xU2eXa1u}qP zM&m_eCG&FqZ96`PACCSv|Ly6ix#CW5`d6%4^RDFarGn3Ar>E_8FK@GMubH>>W2^Py z*w&VZv-9hUD|dEnbUpcPuN2srGy8MXT#nuU_AV@^^!e_8`yU?6J(Y7;t>s31U})ss zm&cZx-<RoHd7@qJm(!>JPgCXhygv72v)=b-1)pc1J{{V>Xw%zjQ?NlZ$`=byOx^!` zZYWRHhwF!TKN96~pQ@QB?DS?m$VposPcm<RwB&@z&4{qP&j$;_3$31<&FA|x!5Jw+ zcFQ!st9c!Lsd{y<R`8u|l5#xd|9(Xul3w?B<A+DnMIPO{-oE`#>9aqltnXLtIurfQ z>zZvvdWCn;x01a}{<DAn6nXpSw&^Ol|9;KPefF{S;I>Jh@+)4~Yi&_)x#1ZS8dm<R zFmuPtWx6v@d@8p8Ip@Z#_L|QQGe6p0{&XTY^8bT+t(v;IKX2}me6Jt*Liw+cjK=2K z^RvO8JG0-mIP=;*>%+V2_EvZvc0BrP_QQ4C_u1|F8e87R&b|MJzpD15ZPWLB{qH$X z<n^3yN3HJ*#TC7cJ`{WO6#qTRd$ZR4cKY!(d-Jx<z9)|rT;ClhzwYj*U%x&+Sg-z? z{kGRB`-<!9)!(YVy|Z=e9)YAupaA=l{Q7C6JdgbD)lW7*TDO~PzGgmO{=UlB-#*%3 zP7>jbmKWVVb?VJsx!>)tgPk~|y!!d2H8a`of8F-s^~dk`QlEBxeP5GZ@jA9J_0gpN z)^|Sl9zUGydFOL>YourS-t3I%kC!^1CK-L=eHh!^*>I-$eO364PrtuEDUGpSQ=p|L zaBsucQVzvq{i{yBI;W(!)ACM<=cgH-ll!7i@x)F0`fP(LzvapFs2<1LYxUg%3;){G ztOI9#gYVD2U0UO6zW@LC!)I?6{bBvS`(9~!=FX(Q*4&*(X4k*{&-d~B|H8kj=J)65 zeYcg6tIEjUyU4rl?f1*^-|8Pr&*$17=Ap1eud=w}zir`d2PFj?5jmTy&zc`zzbqY{ zw&{uEn$Nw}lfIX%I=lA0Cx>AjC}PbDGk5H)SbU=W9ap)ief_5AJ7?$LtBSm4Wp{be zqiv7A9sGJUcjv!fKYzqPb6;+8=C#U?&pu>Fr~Tbyx<_}uq{)%9@6Xp6&O7qkKi$xI z$CF8i^xwMgc=Dg`{r|1z>8b2O9G$c4-+s}*b6SXTrEX`d_u=(>_s_TSIO>vi>7S<O zQYSv92#4nRqDLhs@ZT*Be`x&RY@yQ=G3$RmtGmxbvWV8LXP4H@-YpXOe`m^F)%^PV z(;_>|_rA7oZBM!x-1W8lW9x?tbIaSx_rBGAcq=<z=A8BWwTa$*n<KVq{43hO;q9bu z{c~n6=IyidwXW>0P!OE3zW&3(L;4TpdER^d)<1HaYjc;J*QsY;TLd1vho+XMi;CY5 znPDhVTveX-{IB>n6;=Jp-?tB+Z7%gcDltFv<o|6qHm%)Jm{2Qqb6L#3x;Q&%LaMHQ zbZJfCo;43|-;bNY_T|FvL$~W5ci)`6Z*8uPMBU%}G1BL%Uv7PPtN!z~59=&$XPme) zCu#4ZN52ZUxgNg8|NZD8)!0^n$8I1Oh)-{uou?Hy?`!hIa~1da&lv4_`OKUB)zKNx z(xZMpKffUHb^Gjl&mkqz=lt1{6L(8AKiW0B&VJAPW&bX}SlI4&Ucqbbs{aWU*QM9< z?hl-iD5AI1<j$XO@!ao!r+loNJTv&~rS#gPrANQ+)VjTWhvlC4^77pA@>1Vh;=3p7 zvELST3h4$VU<19KFPG^~PyZY7$@1%kSb1yP(;p_yK6Ey{M(oOmnPQ^X^S9@oK6Cs` z!rZ%mplRe?ZgKyKP51wu)9UhD-@m`&ev#xA-AzUOcSY^Oe(?S}`~J7vk6-HlZT9G| ztp2Qe`1ZY3JL(FeO|q)D<(*cIo_zhw#-vI`m%X53RPvardHd|H>FIxO>^_uVv!)>O z+U!TQD(tU({>%)!W0q5EvO~91pYPs%!)SYRSdp`P=U$WSo;%aNPX7~C@&5L9PuIMk ztiR4aTsE8QtNg8Br@scjzMLK{y1jSv-z&QsTMozmf0q05src{P@?7tCz1#EQ()84i z`R}Y^{W%QFKzU?aq35TWA!l5~^iSWqU*<NK)Bf@&4Xyb90Y7^0SA=)Gys+cn!T!D4 zdkla2Xjz5k`@xb!ef8r@YnX5A?R<OP=^@wJ<xf-N_Ld$ym%m5*x9-p8^49v#|EsP~ zi@!C0m2h%E;q3?Cyl(4p=I>uyaq-BK|F6$+>sLqiWFO9-Q>7TWGpX9C<0Gh2HSSwD zKhf}Hc$wy9Pf&R#dOg0zvm<uyr;X*UuP>cn_W4lh|Fi{XUxeJX{0WPXw>!Nze|x;_ z!@lqH3d6U!Z2LQDd;50r_o_eNWgk9$&R&1do8|YmJ^j>nQT+06pJ#8yp1wY{&2{lM z@oV?L?E7%?HrM5Lub86SzYpEMd|I1JQ4L(MS><t=Pft<O|9P|G|A|STgzJ|b)zDfe z|IKpN&L@+8>~C_qyAxJs+&lYrX(apHz-P<0w;#OUEWZ8!o9h2Id%kb0SikkM-p(h_ zKHT&FQ~4w6;q>?Xe?P`9*K?OxyyC_^i`$DHZR@`O@A*UF_qEkKGGFI^-F*G?*AJcB zr!SLh5lD6kO)V`~uKTv^pvnW)le-?yXTAKx=k}hLwKvm)KdDTw@w;0Rz3HFudv5#L zYfp*3e)kQYU0xn5w+Z-VeHYa1+wta-+c%zseYFn{##z+-DEPMK&c@{9HS2Ucw2oJ2 zW@<)*YuN<zoZ`$daCO1Z@G-YIGYr%;`FC3ytr?g9rRT(-v=}E2!}lnSrtN2YJQQ1Q zNJ9jZWM<Evoyl<JU^-WT`g~1?xi!leN<YnHx{^9ua^gw$UIC{!tt(coS$Cs4aPgn+ zuUq!V_+L7|<`u(Njd}Ht=QP85T(URxkJ;KE)0OuPN*9{^#dx*VhWR4rC%!#5RV$SZ zA@-u{`B97K$9Ftk?D@(kX;NKUDEqfv7dt%|A_7w5e=YmyS^ySXaePB@?(X6ZIloQd z$|e_{YxO+09HDP=;kourn{Hp7zXaN`OR$$H<Lj|9KXq>Irc&3*S0G(FJ{>OPw&jY; zmo8meIb#{9n<$%5bW~od`+59FnLF>;Pj9liJribDL($|p{s<#>1>TQzo2wmqif0x~ zMM5C&Z=I7rZ>CpIzS6k&9H?2@4Q*DIY5Y&JdE<S*EPl@FSxe?eUQJxRqyLS1*`hap zq|5x=CePUpGI>qhZI#jl`<w4%G-o|8E(lwnJIQK8yvXtY8;X}?%sYQDa_=_JR|o2h zD)M-&!xvTBv8RK2pv>JzmPRrv7{B38ybJEmwsppLeU_-1w_ZARLycj><+9%~@<-FJ zUu)QVAie9nh(_P~x%2pU*Yfolt-c=i{I}#E9u2$m*L#g3_DO6I|Kam-=AnNNFRgLv zXoYqU-mKfCzi{!s8NV)_QtGz1;QRgX;*I(GcDrwQfBG2yTz~nB>E}hh&H7O(bUe{; zhJ5Pr<ST|ne{SghET4SPaEAT&yQy|Rd=l@0lBi<K4P{6oYnmzjCs7I1<9*frF5%}M zKAlyMekSaBKkr~|{2!iE+kd`cS|#;|N8@+Rhna`=NST?PzGf`lJfAUjKEGY?4ELWA zr|q4)k|*6Y-tqtQ`BodH`I>L0<?5Z4Vqb_zo(J<*y*|A{f7|D^KbTb?YrZp2uBrR+ z=2om-@T$|_Cl{LCuUYm+Z@%W_lgDQln%BRwlIwe$QnPGB21Gc$C^Jm)h!ZFuAHB!@ zZQdX62*U(H(c|0XZ+zQ#@ci0GA6qj|9G`K%|ES52%1QG1Gg>#DpIS3_;|_D)x_K6T zXT0pLJxceGn`pRWyQsbQr-ofSkh>n$ZXeqxIqti$DWmIg<&7Qb`5$f`%{zVk=7S39 znsfIa7_+XJd|sq1*lr)&@q~YOe%!9ES!TekcUG$<VgfYp^+(puoV8?r<kgu||8(aX zCQN+t{Qi!|)$`7OjoiB}a_@Xk5&O-@Y`1NYu37fOr_t)C&&QcerJrU#GH(5w{bgz7 z<j+nVir<_d-K!fb6XTb4#dEmVTK3%jq#+fvJ=Xr`x1_zRN*C?9X8|H~d~RJ|^V&D- z+WO*2Rg=G&uJrtUTl?#&CvSVK#MytZD!RvaZFSW;{%fb7zCOLp_2;%#f1k8%73W=T zd}@VN;q4Xw+$5LB-8;YJuWr#^&)>7IEcyF(YE|>29s1od`)qE6Z}bU_)60{u=?#75 z()_jP=dVq<f&12G+3!qz>w5TAkI^c>J7%l)2Oe8F{kw5yeRoX$rG09qnf296<7PhE zX_FBlAA0Wg!RrxA{Y)bCr?1z)E%x;Gs&A8}uf>_HIj6Ds)sfuAzob*=R8`tf{<i(Z zSGBj<L6g624}aBbbR+KL&j;JIp6ABsU0WTbF12R*>Fd*TyFcZI{yxc@tuGapJ|(d9 z(YC<9y+(d}_ssYF9sLC6d+x8>W(smLbnE^<UOcJ3;<%xw{pMA{t{e9r+~%Hp`smkY z!QRiTvd;qTrY$~bIPZAbG0oYtVI{>XiK}six6A%MTd%ACd~<iCX5a1=J8XR7mV4d2 zqf)8{G3w}wZ(X;yy*97Ut+br4dB&^o&C|#E){m$4tF45NX=%A_4ZpK3cK^@p)2Dy> zB+lx3oL%rH)Nr-c<QbrZu`(&7`e^R$ue0}W+cW39$g2mSObzQ~TZ`}a;H{gf6}>$e zTsZH}ofj{C=8($sw-5(RzS60-a`WtGKeuk&KXtD4?YYph&nHNI){=91vz}i+_Grcv z8;#YQW354Dm&#vc840s<ZoT_A6)(?;y@+Anc5qHm1hp5E-n-8Iq+k2EW@pqEt5A{K z(mA_tJb$q5PQuK@rwQvdrEfQHoLhM6*_D-PA+wL#v7hEyCmqJOY^}w&RecAE7hh@d z?wDl#<7xe<=9DEts#<MuRf}ze<=lRQ-13u<;lE|c8A_iXzqgs9D=7Q(Zr-*dYjXd@ zYu^;=*%o^!^Miinoa@!6AEY~8eXI)^FWg|QqNLr$$<4X(=H~m7?JiG}W}67^+_-U~ zot$NnN^OhLG0sp)?U2Md0aG_RiK25nT*6cow^_d_KW3PzbV*`%{^7pKE-Ix)ek)Ez zEaZCJvZ_aPl>r*ZNokW3=f=B<`;GZJPaF-YRw^oibWmPx5IGciQwh>QaL83rV!Sc` zQH!Tyi+~dcjQHx77YrZM^H!A9g!qC1JiyxUH`%yaCr%D*Lc_`l9kAhC#Q2^1ZY|jO zD`epATl=4X6TlkI966+-q`y0-GGouLtK#WPw{^Wfed;;m0j5)Wkf1$Ka#lyM^1*?| zg1=v{r=NfIy?)=z<%fOue_8!-S$y4T$-=|?_h`!09M#@5srF-KOnjK#HsRag9v=gP zn(<_p6d$L1Ww&z|-ppx>&fjYq9lbw%@4vQ!i(Ad-39_%ROSUNbd+kV4jokI=Q~TfY zT<2K(F73u08Ns({VcS*+&U%~oLhMxO?zb0mQf9N8+;07rbTe#W!s4w<=N`NfR2F?T zE`0ITyO)lqZJ&Cj<!{2C;_p6x&-Z*h2OhF!xbb*e+LR8P!bdI@UoN^|etLcHyV77^ zw`o5oHrva^eR=fx(9!8Jv+Vl&KUT)<SKb@<Y5$}XX7jJ{yv;M;GQ0PJ$F_2-xfeXS zOr`#QJ^WdC?}mN5q{1dg^otgqJ{>8vVaa=yzU8-9t@yXAYVOxBrEAu$Tb`OwKjX`t zx7jAqnLAA_J-`9{ZQ;z=Sznpue>m)Tzwh^@r!&=+r%yYiUH55M!QF?-59bu0^OS%5 zc}HE*+zq=n35A_X+B$ja%j|8xH!rVxt(~ZObDr9luxXo$dN-8)-f*+^xU;^R-KHnU z7u@@$yY%n+ZL3nbj#tI*eN(CuXnT>f`u36Md5a~Z3dK^Zb9-h^_PIRqNwNJ?XjB^; zZ+1!9GW+BS@jYJ>Dh_tXi`^?Z-1{=Q#!k35ETTI+>+Rb1Xf~mi!f6kyVy;HKo<4JF zWo@j1zM8H3thXC(=BmF=X4@<rc76N5L~zTN;f=VluZ!F6sXaCy-yT229sg-l!9~~g zUh<DWM`oDxFEd_NRbJwyck>qaS{+Zp_wyq|7jK+nC-;8t&7{!F6|oyU%1ZjaSS8k) zo?8@Cs+kN4t+g}Nl@>>;DbKh481m!N{QsUmZl(9PitE`*>+St<^`ZN`?=e4K{ViYq z`ti5Ss5rl1$%lQ3H#;65|LxhgBu2-Qw>Qi@Coiup?_pcn=MTFQ=K0q2m4sbpN%3X_ z&qOrT=7kGQyr?s2qPoA0sNBCJu@4`G$4S&Zd~v?@_4;b(iodVdbGEPFtM(`9^Yg>5 z?C1Ia7rZ&Q<xYu-Q~jq$9}P-AC2dN)Q?2)mbJF9fT4qk4GwgjYS=>4!q;|P7c7w$n zWw0+c1n-{K(X(uB&!1b&^SRb%>a=TzPdj|+QqZ<-`gZ$&bzNU#tdhL*qp5RsvGLr@ z9j3b;emQdZsYT?fSx-v8>F<aNRbErd{kLrQ!n>!0dtLWl*tvMVr)6#D$;^Fv5iI8> zx)we8F!A4^YxQgl3<-K7^~(*`dA?#`IH2=3OUdZhBk}z+bXyBPJUEz>`F!91yYE{j z``4<+>??}=^=D@KJkI$)j;K5D{yg!+%4&OAzj-z@*V&i+%sym%|DRh_T@EN09Gr1f zX5|fk^%5(?M@5@fUF0~swd_TnXUn&*QQDc0N|&1EWPygI4ZKrN9@pYLx#vvTQwD|v zxi?u#n=H6LosR!kRPlZH{keMnuWr7#oq44Ea>XNU{`Tqn|1<>~E56_VfAhoq|L@)( z7WTIhl&kr$@IyF%jb3d1x0~m=pT`$CM%k^GzI`)Jea*TxEmMt?Ont2HUypqIee*6U zWj%e>_r<Df${t;Fi`cz4)W}#UVgBc8DF%jT0e|+o$}RS=V`A7K{x(W!lMZL)qa&SP zeyZ%7e&z3L`+v<Jep=hlI2LaAf98k7?B~vIYx{8H@%=N?e#zDU`Iw{G@_c@^AK2o~ zw{P!!EZCD3v}yX3vtsI(y@jW|e);Ca;)Rw*!j`A6vsO<mPMMe^bNj?J28IN?C!9wU zPac0O)OTA|mysbM?v0nyrgthSUw*&;z4ybt?|;6nwAfZyZuQ%+{9&v9`DwrOEdKw= z(QG*`S8bykzefJ{>hnc=in4a5I9Jv0e7yI~zh3K!TPCKtOfu8HyCpAdJ@+@Q=Nt?S z2G)y(dVa3)^z>k0_%>;#y3+dn|9;(?JRw!C_KEkyhwbuw=WV~o{QC21HDBv$zF0}U z9S@p52#3duuG{hGQ_0`U{`Ru_ey+`5`t)_UqT!63j>^7!A0yALoOS&=>*qt3ki2Yd zdf6q#$H8p&*^<gxo+n<MRG-fi|Myk+;lK0$p8oPPGJUS-x;>w|3Lc-oBcQkc&(@dm z0>`}P-uXUrKS#gaFOR4?DXY0(j`c3T_kF>?UvWDY2OpoaD(zBS&70P@Mar9NV>f)a zfXqE6q^C{m=<z&J;dw3Nmgk8(^0i--7v6r`R{j2ONyWFD>6^FKs?V#ls`)hedrP}q zl}62*b=I4=249GLe}DVNn|yB@rOnMQm7a78S{7<K{UXoWC6{J~cjvT(ZJ(Rt%d_Qr zM(O1x+1o=`g#Lcr#QIn!(r0o0R&Z_P(3>`?!=~VYgGI%Mgk3hPRZ_nEeP3_?=id8$ z>UXN&?|pc<{zLo2cf0#rtKa<$`El9)_xy!-_bJ!>y8ONE`~LTPGeP-v_ibO{x#@1x z1bn_ay`J{<$^T@##nNVz-@Yxg*4!+)%W(3=*DY7C{yVdJ)wJ23e`iGa{OZg-dVS;0 zo4nbE;g_QMwWDukw>-O8C$zM1%ZvkS{+Aw1D(usl)SD~~ownU<1gbHujy-u?`~9x@ zW|b7Zb1i>9wA+i^`)s{m*6zzf{$<mn|9oh-6RCT?|9{wyzu)X_l9xS+km`L>pE@!3 z{MV?&)Kt?o$Gt7oq#vuwO83USC=I*(_3=`pzV>rf>R&D`d$crSvd67AU#${rOI3B& zZn<>iWG1MD*}tsZJxD+H)RkYm5*RI^ag_v~=eopwwf4{4_D!mbl=t7}mHvO->s!vR zS#Qr?$SXVa>e3!n>qn{yyAyTSv`;mjH1F%|uXXA9-P0B1RPRY|)971&LITu43cmVy z@5YPsc`KiMnE1soN0yC&!Jzo)`%TUE%b(n4yUxEVijRSz%=gpO(zNg37ED6Lr+^|s zh6Yz<LCfpYoR#CMO!xlD+LpEb$@E6wB+F>l4eE3MJuYToxKV2ObH;IvqeVwI9lplQ zaKL6l$DHim4cGG8%Y?Fb&*{uDxqj*T#k}=z>w-iQg#N9NVQ8=vO1I@ZSECcn&cIMT z)8)yWS8Kl2|2UDn)b#Cc!@g_TYjY}Xy^VL+gQl!?c0D?OYq7}bUM>cP8S3!4)7nkD z*<dro44_HVg#Rc1NwI^9|Av<nJ6IC_7o3&>^JY#2`v)|+r!L5O;Gc;8d94<t#@(WS z9n&WLzx$(){nPU38nkfkiQIT!^7yl~m&b2vdV5E&KP`D&y!tq}DZ3ZmT($YyuK==& z;j*$|<lL5{?<RIE(ds;LG%Q+4$V);!By~+dYoSx<F|JT_j-nu^PEVom#5cJ@6R(`K zSg4iUs?{UB$|!8bAv6vbBvzol0NciJ<=oQ9#@2_I*8DzwWO|xxEH6m7!Ab|z^#U;& zd>SPu9yny6TvUJaV~@vS@La`$y4Lu+vjicHONJ|p4LDukO<PbS^>_Q}P2eKwOb={U z9%=I0?q=vqlv(Qy_D{aIgIl}_YSZ#+jx#UaKkM%gYyMR4>cgqib<@4mttvmI{FuG} zXVSMfTf+DMdQ|Z3W_tShb6;BZWzJ7)*qcyXT(T@PQ*$==Ij0p>d(6$uE*)2H?_bjY zQx)u9h8c^_N~$UG%UB4k+xcu(!S}o6>DjNo=KsIDpP&6)b>*M4#oL~3d2jn|Yr%7O z>xUQJ<xXB}Dfl3|o+JO?&$Nnv-sjF=6UoVI6Tbh$>&M#v-)7(BO3<IsaVH+?jb}HW z_2`|Inml3q-LmKmv)K=?MdzQrcI|!W`u&PAC2xDvj~rZmU!pJO|K-S)`+nH0)7O^2 zExArG>h9Su;t!k`-#v4R?{fa)+gT~+&tJ*O&n@=8>84%6eVZ*gWOsU&N^R-(E!W>} zb1q)c>9%_J(*Cn~+ABGKJ8msrvf^TndMelR(`iBWKVu5cf#YjKzRp<<K~T;4;l<+q zWvACy-+jGoR*%kSW%hcTJui+vZ|&CKX;Lc(Zm_yn?R`?OIx$!8a>h2N-M4wOry2>t z+Q{!kqGIFD2}!Best5jU+I05IR&ay*RjJinf!&!Vzg~C$JQJ60TPwSI_3KQ@8}h5> zE7uxk?!4)5rFOe&V@=S)>1+HaPoDjDi7VJ$H{{bMb@bSMXykAC{cg8@?7T{&qD;*_ zkJQ(5UzfELI%oNO&KE;F{oOs;rAV!4%?uM~?Q^TL*7wfw+<Nt+&cuuUbv&=u-VeI< zKWlZ=vJE%ioqzRe?fRCb5&CLU;nOx<zt54qT|CY9+otOiTddPJ#rKr<Et%%LS@QJy zwy94(r>gqiQ-A(6D9HS8L`K`UZN|%HvQ19~&tlKmJ5yC@@m(LceGithALfqxv+2V! z={z6#$De0rZabW|UH00g9yj$h>!zz{rLVo{73cryMl}C+9u>XEZ;pT6v1;Qredga6 zTb_HUtI0*rZJc>=Z_3}S7tfVur@4Z2!Up{_CW4Z0!;C&1*)GqmUvu*GAy@IeTD3jr z(^p;Re7(9b?CPsN{oGp_I?MZyS5G#-k|F1tUi9^ygwG6@y%$bRTKaL{ybbccWqn`t zk4C+#C_0zc4Gz`~{AX+gCC?g3{(RH9{Lu7&fBX+!x2x9KQ}FU;!ONrT`RqUKd44GT z{eJ6M`R)F(>88F8+sbA&&Iwq2m3Q{+*$bn0EWG=vXjT$uIZw6k@&50V^*wi9-kR`# z#ebQ|K38z&Nw|Mws!NJVcgmBK!v3x8_J!d)eoPf_i?{ey{P5T7djfI)e`Ozfn7y8J z|BXLC3oah~eAr%Qx3TW_=}$oI>%40>IL<Eq`^NoohOzey6Q}y1rymVUf>Jjf+^cYN z|FVKU6Dh@9qkGw=v0%#>x^u4!O}w~e^2GS+2f+_bd!H6OJyjGD6?N*`x1-O)<3G+S zX-m;D-<Ot=pSR4Ice|Uph?<;VAda@SVOpB%@AdVH5jXr_*||$CEd3o-Raa(pX~O0* zwAOck>&BX^RtyXqqRUJLC2K!+$Df(z1Zrh$+fsc0|GV--CztON){Eb%Q~U3X@%a}2 znn#lt-u>zE<I3LoeCq!5e6Gvy`eXWVW`4c0uKl(+{h#*}&LqhtzEQu|y{_JV*OJ>M z+d_-i%I;F_ybzbI>bqy%mNwtFOv}_Q&2Qh`Fm2BCy<ewp(BkK0U{E<F)VX+4_u*~5 ztC$%WcISo*PdpkqA^zW|>4$gU|NE|_GU$BW`;#jBW*-xdue8|n=c)LdS)7&s|IX*F z|6N`$Kd<6Z=Z6Q){H^<|9u&X)wfTHqoW=hp?n|F4@7_4~%_^}pRdvlA!PSYke(pP$ zwSWHAs}hBknw9e_n-&XR%gdj7riUeM-9?_a7STIz`aAtfZiszWZp^@NX5o+OZksku zHL32W-K8qZj0`i}cTelk@phVLQK(dF*ZE$x^#8B^{|Yt#&han%IQ4wJ+Mf@N#hceE z+I;(AzIo}s<MQ=3(OeIIKA$&v+WFVV%95(9z4d(q)-B(x+~4->gwGRDYu#=MsI{K> z|He<tOBvgox8L?Vcl6&*P0pRwW_`IS-V6)|@}HJG-0<Y_h9yanKNE8q7!KHgQs!OH z6ED8s|6cuY@B5!`g9~#WzbpBc{O%BU{5;*Su?7Er-VEaCxBXTTwJ*Yc+xG8YlKfOI za-5!;_hyI8`WI1pHz$}C+GHBXGXB1p^4w$bRo=U0#wJy-U!Hk+wQn;A1H+AZOOzNG zy3?L@o_M`}|GsZ3DKp*We+E_jc-Vfp_`L0OyZygbIX_(G9NxyQw?pC2k*ndY+Us`M z#Qy(s*}px%?satL&%cYE4jJ7P_^iM8@iXU5^1gL_OFU0(b$d}7cKDQ+_6bKO1_o<U z;VF;L&0}F`s5Bt44KM!VN9x~w=l{5Z#z!uFs*X3lx&Pxv@olH&%dB4Vs`|_JJO2H; zx1e2z>-QCx=N^ki^Ww9QNbhW$dev`}C<8-6RhOs7W=&9(8hg7OQ%TvQl5O%<CFNbe z-LHuk-j*HS`@PP}=J%V;H*f6|_P3X{`+2hZkTbunh+WzBxi@d^T_73taZ>-y&6lMX zioSOj7q@=Bu)=zmg|qg*f=jugS*od*etVkTUT9n3e6k{c_mXboTaC4;O~p$t+RU0a zyHaSf<&*xSj0_P1lO`}QykRx=bdj?tP>`{yFo>NODrEHQ-}n9Ub??jX3%}d@{oaR% z_CF36e7}4AknQ&xtv@g4|2=;p@4lej-<Q>gf8YOpZ_Aw@vliZcyR7q^v9dRZ-^rrQ zvbzj7&iHaUPkrK-ojY&x7Al3kSi9VKqaRWO{!QyO-PbRB)}~pnoWDiz_N%hVWyx(z zuXC=BzSa0Q?N4z^<<9!Hqo1D6=Z#zv>64cE_=X#3F=q9A6%_`C>SLf)o11ke`EEVd z$jok*zxV64FB@}yZ+U#D`21a)k{7GazFzlx<=N}|zJ2;q8M*WA;*B?7sm1?v&&oIR zew}tPXZg}yYu$c+Q~Bk3>Q-isS@hep?+#?YD7!s-ZCYh@?;F<X->zrmZM${!*sj@k z%ZfJ}M!XA2dB6X*RG?eO-OjgDuHG~|X5qdmxVqZ&@4;P3e{T52<s~O8_dbdIzu!q3 zGWht$?a8sbVvASg?1nU0KZ|%rUi!!bUL@Li_d-s7TiIseu<2okYtDN2OmBGGwsnJC zSXk7%#^W(B|I66T*)I~O6Wag%m|MNslZl7jFC9;iU|`sAy6b)_d%fSu?Nk5$G0Ku= zU^p}P$?Ml=-hms12R^tg0tHXEn^Ms_-FCOllCuo`?%ydaH`#Q3;*p|lTnYZK{{LfR zV0h-_WAMz-r!acsp9BVm1A8XoZT1&Hn*C;c=khR{{abgJC%@Smwr$TmRd8dnL00?U z8e5-@XI3yUG*l{s-33~2roOwl0i{v;ZT_3}h2Xk$hFqry!#DozXTep`3`xXtOK2DB z3Zx6wus5-|_{&$b>C=4Lqg6m*bIk2m#gh{gzg$d(tm8{dIN1XkI%G)TI@7@-)+Rae z_vs_XN()=CH{v19d(kw=VnPORZv^ZnhAefs)6dx|HMTyK1DW)_LuRz8J}^!7dDk+w zIhT9y&3mEtRO;q8$FpS{-=w|R#-%E~_JVGXbdPT8_NyMhyyCn!)@_%a#q4Vmbyv4G zr!3kz-dbl`*7Fk{Cx6~wKl{q*FmNh6;1oS8Q0dc=PT_|a-Q|6!$A8~--Pcqy@|io| zzlw^B-RHT*<BKwX+1MR>Uii1~OV!E$UP`y`h)<T7{dY;S^2$puTm00gWqz4FSy>o9 zy6yjJ&Za$cR2kWSon7>cHFfsFyKmddj4O3L_xjc3dYbpT)z=!%zxjI2^7YB3rM9}v zzn#Apr|fXcSnFvIX{-J}qar9-`+!-V<NMw6_+2)iQ=VK3syON&C%(?QMrBU%Im?&H zHF0H<*Iz-J6jP37scQ@Cwx=1l-20Uk;rT1tb8@BU%%xK==wIV`J4td%?eWb=Qtf{l zuGn7Zo%w(D+N_y}(stXgUcG&v(6pqzKW>FR%I?u$BX})O|4h}J)b+}o<>ylNOT3nm zT((o}nwF~0Of`b7^vIV-jwG)(HVED{eN8;?-<Xu*Z_~4Lwm4aNuHG(}C+QJ$>}LP# z!qDWk@oe7~N1dDahM)J-CvX<q(2uieu6#~OW?G|#T%Z4hIT<F?E+2oq_oT6O@3V^~ zYT@tu4zgrk;a>ao-<oq3@%}gZUs<?clAP*!^XnQNu(@y4js0ERHv72QRX<w!VX6K9 z$se|!m*dutsWOYH`ndXVzs>udAFlp>?|1$9+uiAwI_Dou>O~s*KAm}dZ^7{mDlb1i z{`<r`D348F@Y%V(^AppokDQ$FQRtND`e{61d$?1{8V+BVVd7-9-SA$uVQir9JO#_R zmubDd7i@0%Pd4c@+uY)*{6#%fj^9~5R89I0_oTns%b$Ccd0v}zdKWm29H`rv-FYJ8 z*2xp=Z9lC1FzZ;+j-pgc&{l)&-;X}8USHvB<!m%fI^KATx%uUpZPAORxrF)MGg_{_ z&CX2PaCzpFO})JvuIYZc{kE-4+uua&tJCwoI;AIHRMn2p=$!dx|G(CRMEjd3Eho*n zefQ0zHQTprD(<^mg4Sv`=<|HdNX0Sp6-NCVK=V}**+)2owG&I<*rdEszgNAf{{P7( zx0jq;a&~Lki)kuO)oZ7keB70kCgu}cmscJZ9y3Xlfk9%GPG^MaMvb2w3<u&iuI@bX zNauup{m;`6@4l}q-(|BhegF3qq5Hm%JJ;LD#Qpok?RU0i&;Nht+xGw6y`SIT=A%o+ zhlA~h;%z_dEctsg-CloA{Xy2upL_Ch(yJl;;d32VFP?07Gv4y}&CxHbdOd$~8i^Vn z6#N|-+bcMI`lRnO)lH-m-+l`_dB!8*)}y}>pNp&i*c&9g`m>IWp}|t5K7S%R1B1@2 zSZ|kUs*3(|EGF8`Kk|LjuKK^n>jmuUpR-^7aq79faNUmsJ8xbSkgNVP`)1Jn$Nl#6 zbX!0CDL(Ic`gv)x^~SxsOlQ5l&^tXR=gY5?N1~Q{f4v>k?`zhlR{Q1pG;6uO@VC1l zt@s%aWICUh*U#Gg+v(g)=-B9)_8Pax8=gE~A=!WXDl0=n<tFXU6T2o)xcGkm`|pRU z?|;6%{LzhLcVE6aey3G@pH1|u-4FhJ-n>*muKLZ#Ej1hKa@PA5E(_Uym#6n$mDS{; zt;W4BuKLehgnBo`ygb%-`eWC+r;~Uyg^y1*yDYjvKGfD-YT?#vKH=@hqF&_9+ZXO_ z|7&`-ZEgO|Ro^GEGBA7-KIzK9Aa?eQ@Wku!^|fz3PZ;&veOmhA!(smR=ku!dYU{p+ zwjT;@U&kXJQ{eccbM<<z@R-8NUH@J#pU-#y&$aEBK3$%qrg&Xy;q^#fZSA!NQ)Qk+ zXsPH-<~8)cWSwWH^7|soa}OVnd4=b1TrTwbb*GY*fuZMo4rl^k)=V{}WTs6w-<+44 zl_q3V_35Pg;qd*xt`_`H|8G=VcP%o#ReSv&r8_^2&09|E*XRCPYFpR1|Gja&pj_>j ziCg}Jm_$ANW+AGjrWkG=JTLZ!&$oQgDE+7QxqkoI%6@*7xDtP#yZlYvHIcHT70*5N zw_N(Ym9IPc*K}XI()^pb?^L-VB}YP9=ZP6DJ=0Tqm(6WC^Y@~=z0j_^c{{$}^KVa| zUuzb9yZq71<%iYnEBz~8ENnk?{M|0&=;-}!pEInowfwW*#29aRq#Cz5eYvTV_Pu%| zy|QcDRV*L%oS9MbR%|`%%qgD2%4f?m`0oT5-8*gc@=;G&LVRJL&a#KwZ!t17>`XHQ zwV*Hh2u)<?li^_Jm-CsPKDDK1-~Yew+wcFr`@N<5{a*8$AN>Ce?$mzYSa9BUK3Dy( z^#8_NZkM<C*Z;cr;otYY=DMJ<fb!j$i$0$@A=K3Q^l2T_;+uNf;T<Nc1J4$1o_nuZ z?;EK7f5pC(f7iV#tIwadxMj$_-QRZXViMD4@u=-<)c@bF&z<cly>V@xd8YZkX>san z@-o@KNk2cKbkkznL|sOP1NW3UIT;SjD}8J&cSEN;C3tP&g9D9cK~v$iI=AiKT717# zY|bycE9>*F*L7K+x31r7QyUkS_d6qJ+pd%S49Z*0XGi`_vyR?6x2)LP{%_Ut+m^Du zXVX^iy(wG4dpECo^VJ-lDR14Cs}J4{JNeD6c=J^~vuk(XsI6a$t-WvOeoE>89S!T{ zN0)4zyXVfaV{TF>H~*_|HG>RD-{?INdN(X|V;{7&FMzYPzq1R`+JBj2I79sJ{N3%J zuOIGS`s(Ao8!yhc*%bZo_$9%^%)n3<`EmD~2j?|^ZhQ3W?Y`g7{+wZEI1uBx@7TFF zauY#GiN{}JnyTXBAA59)n$Lq~(L7wvZGCzn!_3+E*vr}0@A}-nSxt&K7smL;^Xk7D zG7Jo9N^0_-S7dGYv*RlxLqeE}((@?gHCt~loO|S!Ub>R>*{xqnx7^O&Jzs=%MvJ`w zJ3~U>l(R`Dn*|}03CpckFW28Hl)Myct3Nh$qn{xIL&81y;QrT>?_?C<?PxzGp@zTe zzq7$(`wYw6LEOW+#UOt$q`ARIvhRYn<SqEZR0{4YaVF&7xFb`$_v}*D%MZmoK~o_c zp-W9lBEf4z8zdu)l)*F93=A`vjFlQ&6WyNtGy2%$p@Cx&3S@aot0XuG85po{<|^*@ zNJ!k_4l>DB$vqrA5w?KO8{Sn2PH<D%U~s16PJEe-@}&Z>FIL1q4Brk{@m0fz32g%7 z;iffUg~o`EkssXS{$-)C4SNjWt!8idfBsbjH&<tLE|tFj(c$I#H2Z(&oNr#bS8y}+ z^vz2%{pQ(7{(F|cZ-%Y)+pFvMS<I>ZcJt<D<JyPZ$2Y4c=-;>_GdD9T>eREQ%>t|B z-uL$Qe))Lfq4LY*I!~}O7?x!ew{@OiX6I|kUbj>2PVM)*H)mD-y<PwP{o%&XadP#a z=d87STisu)d*|mxzltvt-8Y#kf)=bCnq9w7a^C+*pEsMb&Yu17i1|LDy4U;vrfp-o z!L6e7T^!PdYp~pAx!8O2F_kB|+wY3aI-9oR>$T|3TfbIceVxxC9$Wobvhc$z^ETz{ z|4YJF>D%?cK7HzVSzC5XSh?|E)*lx#%r|eE>1Mp;*4+!b(_WT2@4k70YtG7>dQ*HC z-PW3tyJg!pQ-SDHd8;RW+4^;B>F&1%KQ2kFeK*a{Z1>tFYH!%Do|~xab?#^0Zqr?S zVBhLYS}Lik<Uhv(RGMEb`B}QY`fkYNiK%r@9`v`1@BOi-;G^pFW#=C!*T`Le@3DUR zpY<x6&eop2@NV1Ts51^<tQKDORMHfd`upUVq~~efs>Iq-(=}=v?`dw?1#0zs|MCO1 z`X6V%R(Fy1-1{%PTYv5TeKXF#DZO_2`o>+m=1pt-yYQD~k=GyR#y4}$zgFR2crivg z4m|C}Aa=n=XyQe7yAO>8_iMi|J#Fmorl;E){^v>Q!(E5n4_Q8+GuiIg^VI2uYu5>f zg@+yTid<8+$*A_Y_3A{`m)WUH=ZExsoi^#|mXOV|ZO@hZ+v9v{Qcm_e?)@5l?u~Wl z)v&ETiJR}vzj`&gf4%z3>HD@lDP6XHKcwA%X7UQp9mQ(Dk48NASS1*>ef`qcU8P}e z*Am5Irip^WE#Vtz*161B@@La@IUfCrkEajK&f8%K8bV(z9JP9GW8|dHIQ2E_zhBog zFH_XLn9;g*1_NY0%=E%<$-gd1W&btWAn#k$XEN(yhCR3CqH}@MGr)uY3Gp*Bm5gq! zO?lFpZ!Z@2W7722ZoR6|UlQ}*hxQ&T`c+{Q_rB1n_u0jNYt~wOO<Hnt*0rkou=G9a z)!(>(ov*z2g7I7lkP{nfQ=WC6$e4EWM7qC?=)Nz{>xK4xyP5p3)%$##w|-sekBQH1 z#rIYHzx(pnj@s=y`pYx>3XV_7-*qFS^zgH1X)na`{I=)bM;eEp@j~Wh=^@#|)MU#q z|6>-cko;wNdda;BYT(S6F!7C-lF=+zqfbvdmmfMje}{I=pHtk2^=1C<EcjcR&#}J# zuj#`NTd%jo=lrYL@#4YHhw^=QXHJ{F)NQe3)Z4tO{1X-Pk9Tb^u~GG(AhX_N_bS0z zFSja9Ub^_KPU5AG%1K8$T0Ax#e4_hpz32IfHOo?rx9tA=+k4p~OZoZg-E*(L`L_Y& zXNC<A%5()KeXS*bZe_O<jk_Gd7rsuXed*GrIl1vQbzeo-d!~CFD|(#W_U&1k)#ZZh z66eH6iOU3|?p{vab+^ny>K(^wZSTa?J33D^dpCq-6mP!G`!;M|hR&;2KPy-5NUPG$ zlTR%=SI@dMGj_v^vVy*nu)~*LRlP7xGBUEazn!@DBKPan+KHiJMbG+V>@LOM_;sFv zf#FPRu>E!QK-Z0bEWR=@B$&UU(y|(j`R)>v8|M1;M5y^qh~j=b!^XxmHA`k=@7lMm zZptRtZ!L_T#PN1(*rg+{pLqH&*yN^Nay$E_Jgdn0%PGzg+4ti<wR15r9H<MK=5j}G zqeaf`6`)y%*=44Jk#ksoKGm<c-1GbHd%x-GU*CM6=ksWHrp?Ex?T1gt*Bx9rbHexg z|8IWSUjP03!%p>i9Q<}a0)DJ!x0}As{`Z^bt)KOGDCo|=-upIZJveKglH3?owDM}) zi!EV?UuE?~q-pA?KDFBGma%Pj^t-zeuIjnT3-&IpSr)3i=I%YMnUb77u|7^^$wHgN zuRZR0eyDQRyw4y1yqR`(R+`$MJXjavxW>_x<Ve|*9t;f6F0NBmIz4HEy5Ag+`Tmcp zJ@4-S_t>6e{{GL+nKe&8&*!wSd2lBuo1@?M-`Sj%?>`=wKR?Z>;@{5aOP+q-wQ=r^ zdm&$~62DIS`Xy*(@7Aqf?iE^t7wTj-yZhgtz3$4#f<3REoQMlDi*EUwys3D}%sHn& zUeVtBJ=^B_<^5tAEnBzFo&Papdtr`pG!sL^&k5?h?W*$bs-o<#d9s-q7@i$mr=s-Q z&&h57|9$tl-`AecpWl@%UA}y?e6e`kk54%(-#2|e4|33(jmIy4I{Itl-j%OQo&JC8 zJ^k_2D$^H7=Xfj<oTh#EMxo38lWki%AA8Pz$#tXun5Dba$Fhl?aXXaviez_I%)Itv z$DAp?;S3B6bJSLHFdSGkvr_5Puh;9>+j2%eyXd}8M6T|~!w+|g&o4c{e&4Hr9j`7- zeb~gU$6;UiHS}SZwx0iW`@df<Kb(F4S6Ect{}mH9_?Rs*-rYBw|76`08Ab+%XWsLc z$js5*dH0PM1H%npV_%nJEGe6B?pJweGI_$q$^Led`+i+r-}c-7_w<*aH=nc8j;VYq z`taENor*DaKeI3Ezxa6iSMB_t-JrSPB~R1WUF31U>~l;u@dnGPk0z7<O3PO#?!M!( zeDb-|R;4y<U$6JixXH?$_ow(t$)`^*He@TSmtMMd#)OT5K`p???U|sb6BC2MdQdS; z>f)cT*(T8oL#Iv2FmZnU?M+=#dC4&kFO{#i&ThFE)_dXAw%?w8=YCE5ec3eX?w9t- zd8-4oH7DQm-vnALbp5)=byaTWw+Hqa9kiUJt}mUveSYOV^QeWh!>nTaVh#R;S%b@= zD>;zm#@RRf_kRx9wxt-<tpLr)@Az<tJAGQqnZF14|2Ra%?p2-h{nGT-X3zi6=X_>< zxbl6ie$C(4_c`K!ep>u+vHaf?lAfn7CLLNYeJ!bPp?OZ&<&PWoZJKqDTThK~_uoUF zA0NN;Ir{YJvz5QkeYIMhnELh15|_<;C2yVei->)-y*SEvi}`QP<8kXR&;Pk&kIby) zQZfA6+G`KJ*4lTkG1t)W(pz=s$JH-YdgoT<dfr}rv-*Zswm#1{=H2@LvmYcL`=|Yo z^W4PC&uc`^|Ne2qqW0YS^(~^3|Dr-{?@3EbzkCcHfqwv+Gr5tvIL)YUcWy`}c>RoE zq}a)2QI8KaGSA+AuPXaj#J1X7h3|Gg&y%w%x%#a1`rj+hvg4~Of9+Xw=i9{_Ii-`= z{{(eRDu22qZ@&31uWb93&hwLvPHr>4{oZ}E>}k<kmZF~3Yri>Edv<$PLuVt(qg8K9 zpFJD)>6f2(T=edpHzjn+wKjdfpWCaUeB|AcvQw{eq?6|>pR}BQC6j;7lG}4X<DQbZ zISq8Gf*E9abeVCQk@BREyz>Qj-agZjQunp~o!q&24*hAXj^73iq(Ae8^*>g<UL1Iv zfg$0#N_=p7SwDD?y-l5GwTei$=b4NTmJAGMggZ~{+$JM+HZ9}WiG0iL(NZ^Gdq5T& z{j}v}U?^5m6Z$N%bjBQ=)yZZm3=E$=l#14w9*Wv6d&_gp+ak417h}BR-FNTZ_S-YC z!Slyn9<UZZ_Q~z3ue;iEr9;^n7|JFiEjYs72Z7f-$ej=+0-cbzqn!|F2WrJ=Cj_$- zqHJ<I@@JWb_T8NP+|9SMQlf==!(NDO<2^QQ({0!~A+`0HDC>lL|NpuFPiA#l9JtAN z;7}Cl(+xH;%3H2!sc280b}c;oaF)>PxlXH3LzmC5iVxT(dFyUohPm{@iC12iSSglW z&iHa&yjXYdxvF1QVd2*=8*R96GHdOZJrTFfW=8zo10FtZa5bCklJcdIzeeFs`Tg3L zpUzC4=<WSb)V?xy$GZ;`3(i?SU()}v@@8tx>enq-r%v4vB({3)nll1tw{o5Ac~SA= zsEXUP6B1XV_b=V@AJ(f_cr`kn(|co_kI6cnR9LS9Hk%Ni30g>$m$N=})<uhJl}FF) z&;cKHap28a9YM*rX-1z|^=&2MK0KP<YJRsM8PxLcOUpR!y}j((;a_>@bU-r+nw#M> z2`{s=*L*#FpiWeO;hkLd=Z<G|$_~ByWmcc^0P4Tsv{@ZJW!jRJk8bz3itl@p`fyQq z+*G?`&vz@%)fLs>xhvKwxy3EnvexF_Y@5k9L92aIzrK4hCB|!;c+}0;-QT~q)UE|D z3@Us4YTI;GSo~!YKc`@PIj7x1(kL!y>!Yi|*%y(z7<=X{^FAbd*hu?$|94?c@QR=L zFV`&NJP~$Oda>1daBccvORT4h+hz~9c{U$IDt-u;w|2)@CjMw$Y%jiU|2y7?U)S$f zliBxe)`#x1^ZCVPZS4OX-e`Tf=<@W~Nn4*x>V14_vg~o~yK6QI&T{{)*?;U}+O$6z zE&rCBdYt%T$*Gj)YR5wsb3pAT28Mtwq|YQ6_g;9FHa%tU(yQ|Yw<crGB=j%W7vCwP zo)S74JeAORaZdQ>S0^4S|7~6SpNE0rKuo9o?eNlH<pNh<GcX*;yK&Ve<;zk1I>TtL zhYt=m-@J6L_W$ntoa*y``R%BAy6MYL<MTGcb{{+a+sd9RKMej}XEn#B(kQn6#i#2n z>HGfnZuxTqw34p5@LA3BwB6Fzq&{l(Yn#SSGW~X$bFN>{jyY?xOq@^_0G;_%1?oK= z@OZ2ya{5$Xusj1p8jV)_L{IAd_^;=$_NH|$SN*mXwI?|le}k>WH_iw3zQHShZajxH z^EY08lK3aqU5<gl;Cz{`VC1wG(C(XGHA45jU;X<k|KIV)pSkirkG1Fj_xbUV`FUEj zbH$sF`Dxy@{r3MVwuv12eBM?a+z&bOt!&LrzPlEpo7#Rm<*lEtrg8o9&57>L#VKl^ zDlFo@KK(Lj(@iCVuFqL<xzNS<|3ZA#o^_s1e0sKvg&{%iEs0C<Z_jkgSZliZ=++Bo z|K0jn@<so8)vvh8so$r`gzG;u2hS6*m7vZOIGCQ(W?)FzVwG-k?IIfkgU@LS<^#5N zpKLRJr&wv_=Q;m;QC-NT`(>P`Q=HE%{n9skJL^>oNX}Z2v8?mNjD{Su-<w&M&ur<L zSM%xQhpX%BOz-@^x!-60{L*WY4^L^Y=P>_whWFv8)A6(a9Zi@sv*LdGKP8)AFO)NX zR(*BS-dq28!;Yo(l2?Pj&$?5UfAS=(HE-j-{PO<9)H+aW{`(<W+0WY+XgvpypD@fZ z+YH*ub<BH{+hsqeXa8O-mgl^iXMU&p{qn<`&)dyj`_}s7m&*?)&)=hN^W}o`!{qY2 zGuPhbp0)65-m=ZBwq-#U=$BmEu3{>^Hg6VakpJ(jDW1X$A%pyzCa&`qW4pz;?cttT z^?9?w1C<8G)Lzmv_g=9Pctrn8<*Rv<_kP{5>DK(ISFeK>8Mb&l&M<7e$-OrE+T{QJ zmXnv%U2@A=ecSg|@6Ab&QT-e95Q&X}fnmnfnJP-jmkib9-|v3EZ>FkZaiQ9icKbgI zKg_%T^X-R){Iv#qOCGh`e_8m!`v2eUhu&`g|4`Cc_4uU>mH*n^Iln_>_~(B0+p{+1 zlK&o&Y$4C~y>aSm)~{<>IyGz-i{)BztMV_`zr6E!wbXlNoc3OoH&uIlQb10+_@Xr~ z-85D|mPxn(QZGAXf%`613wMG0E=Te*wwXKczR9`T`}4FN9k6lwa~@TP-gQBi<xe{r z__ZQG=S#Y?oMnwlEl(RG14FUe|2*qg>C+y4zkjeO^c6G1fitRzX0n>G&Pff>M25}e zjyc(<7Ygd{ofTJS8of38)9C|h8|SQLx-r@BzoI1r!<()dHac#3H-0&Wo&qhi!@nBe zL588hR_|Pu%$%PQ+xQq5p0z?7#}iH@V-C=F=KTCw<-!xZl!t-g409*A0nfm&!0u)& z3v@L#Lj&k2vkm`K>U+Uy=)e~b7p4vMJ6ge`rw7i!8`N8)cYT!QpAxs=9eCR);~U=D zvlp-5zH>{8)g7gkuyx?>eM|cFPJ@q|GGN9wY_2v>kW&@=ih1Z-dIQ+7{tD3Qc5wBG zw4S}}ogk+U&-acy@oM|rpS*w^Gj$~q+X|q*bQdYGFBraR|9N!{)U^Sbwm`1cQvtp$ z;Vo$Ys6A}ZGYutFK&lxSzMfp?g;*8@p3YF*58mm(z+k+w<gvA!#^0-Nj^D4;h`uGQ zw>!r&+UoMj$?Avi|2ZqZIeq!wUsuK3H=nn&t}a`qU-PhH8_OHk*|QfL`+9rdj42Rv zy80NpFQG=I_KZGcmHz>e+;awyJ)~z%uea=ezt1{4czylv_y1}w3hteLoIh`~>GIn5 z8`rm5+u!2sxBKL=O|7MQeT8eq=kw-=KgSo<ZCjR5Y&_@3vG7Crf4AR16UI>8=<?*B z5@c4!;J8_ON#?Z~EqmT>yY003CJ(3&yKP(D`l`RY4;N+U+bHwJ{XJIj<k$RjUSE$s zFRYFHdE;C`?}b-+%l0$KOTA4CGrOG8nisiKXV+S{un$|(s$FJs-jZH7@ype_m*TeH z<~!S{CIugp*V*T`dF~wH){XmT&e1<<X;<|p+!|6gCnV~UzIxs+wbIf%YRVMfq#vva z)rjGEtHSDL(1OIavdw~0o_qb43n!UlEWYX1R}(h>?Ror3%!{L+l_me|O{twV=T44# zdce$A&~jU6(@Yhm#r!o7m>=%@{my%O`n;Z)D6aKCPQE@=+TPz%e9lt6w&(n5y~k0p zkR5lMBHpH?ZUZfokE+{hs<XM=#=Gu|uw?VG{QT8vyX~E!>uzRb#6+Hot5;rgH*Ut) z8>i#kh2G6M`EP^Ftg{t$Yucth*_@>6dqn;Dji91+OO{s{Z(&cjS3hR8eugB$#W=NV zFLGrYwqL?nAs+%+9v^uQwmd#M-4)j0oHA2QY4Kezw|PIlB|mJ{uZ-OBVo~_g{*OP` zm`I&|`S|0#Ye$YOd6lvLqRp&xr(WGPoRQL5wQ%db`Bx?1zvlcMJcrTdjg0lYIrTS_ z8b5=xJA>csN+qLNsYah}3j4R}|NS|?Wqs|ph>C}oHb1=79WPt=r1E_0`g`@|yX^Af zOM1i1A-hhWm7G4Exl84aq0YskKNa>9Crv4q^pw~l<KJrxt-;A{8&6SJ@4H@7eDot^ z2id8`g<EE-+Dk38U-_v*!fK__%?EK43|tlqUJBN@XKorRXbDM_3o=Mw6E9|RC~AAa zI`B}s#2lBa->McCyW49Q{VJOHbn{Lx$g=na>%sFZ&!d(XTk*c!Gb`SmPyVHT&fjzf z28Ob`E9bx5ydrB7Xnb0lTC3uxoGtHq{rK;*U*G3=cePq9UbXWY-`$0srvr~=ufHDU zDZE~LZQ|uE4Ox>uuer;cebVw;bUf$kqc$oG3=Xb`Cw1Ib6+gW#KO8gyb9tSbQuk(s z{ePb7x0mn#efQ<3CG-B?o9tO*-mAXvQ$fYg)A|;s!k_;AwwM0*?fpMHn~z6?A0A|v z=c@mD;Q6Jm>GS{YDfq`K4jGJoTYBi#DX$1i<*>sE`_^y16~7;{Q+2(zf0D_eTW{A+ zn%Q&s>Rq`2$HkJj3ZE5jp5HR%#{FhUgE_!Q>e&5A5#{Z%NgLPjF)*B2OyL@vm#>y` z9{pNk_W0t3JB7~*=6vgGe;it@v3E(%Hjdw+i*NGX&9k;#|Lb{VrO>5H)Ag|B@%E=C zbu1R@t}H5wkld^UTG73GQpXx=PRYOje%I;m`EI+vR;w@f&fIf#XJRWJp5DB4ANM(X z`)IC*8;{HRpB8_8{M)ki;-3XO-6GaKZ7Y1dZ9Ccm`C!EAc%2tl&y}UOfU?;PS+HH{ z#{MpG^?$!^RaZQ1^XtU)Lx=h8W#(1C+xg|^)o@v^aM@i(F$D)%AF8k0rxjCp^y$lA z%jefw)qIY=?{)gUyr|H$lWjA+U&@;2cmLJ)by{cYw0^TxSXfkI3Ew5qx|tk(HNLZ1 zEDQ<zK@)Xq3bW6q?Xo$mlJe!rWdFAHbzfIM{CECe>aRa*HlO1PkE?Xu@i9G*V|x6* zTVK5E|1{O#hqs+?W~=>Hw)^H}TiN;QZ7K2!`QzI*?@w?vje7WPtJ@yU?1;#>D(Q#6 zf%>QimUMY~Y?fhUh!7!XjeKTCTiBj8$=}{=amzSbeeUSdb5$C9pT?~Gc)NS^u1EYP zcf%Hj1*z?wpR!r@7PI-3p6`dfcS(FMJ(!ef(!cC^<h+<QM=DMK|7o;jU?}zw5@Kj@ z-3qDVx4wZ?@jIE>`C4x0?beN{c-U&}?ewhf0ehXonsxVt&Q-rWedyql|HbEiHh#GB zeV_Qge_!)k*4O;J_~BxI-IE@brx}J1uk#iz3VFf1`}}RtK)2MUoq-GY-t-svRyFq@ zXrTMTnS7<|(f;~BEpGV1#<@@3KG}Oa?&DX!oa`-5+jn2{&r*B8bh62|J$K&Rzps~B z{q;(<#;IG}PuEMAT`rq$DD|BE*FQ^%>61R!Tye0UH-~$5t8wb0&t0CVquSrC7#Pk> zT;+LUPfX-Y@F;KiQJ0iRmDyRn%<OzQ_o`m6eVKgoTjued&*$x~d~qRk_Vw8B!L!%b zzJ2=g^QNNP?#Y|4`tEzwf8}0|*eP?+T6%}MdE58i%rfJ8o7bCWJxy!d<l{fynr;%m zlRGsh-^4unZBp{xvw7GCvU$4ySw=V(?VA%=|K_uokI?Otr*m_1mQ1vH{XaaznFBOz z-Ep^P(WbXYPxS8gh4E*e=}4(LobK|@%vfn={9o{TnuFa-Uwt%F7Gh|qoKio3?XP05 zkH6n1%q_gl#LzI)W8X5^5*nXr7BXRBp!ls+69jFSU3`=0ti(&+=VgJ))v}&C>8lyO zExBCp!N<U096sl!#Ps%~8lk5d84l!un)A3f%aYbS-<bRJW|d1%&{i1+hBs!zqIoVf zk>QR0$7_>Hj~k?>zV1job?Tbn;VDz^X7U7wZR?X*wkAi`&?oZd++!%xYn9tHwRc~J zOIsz)*tE&I7p5Dlbm<X;)YNjR!&9b$rK8<>g2T#|gA51j#wHDRz#G5h8JjkNrNQod zXVRvr4c3iSni%J!`_4*95z^9z9XOM@vh74_{axdb$h)3O+dKX~eRF!Q`?-B<)QkG= zo>NYbe`izl?ddt?=QrL$7+{fKw=17O)ZGCws+3TrcVC$AqQc;_>PP=89i5{3Z>hC! zPFGo$ech=4IywH@@r|qdYa``<Z?gLZV|+{9E3^C8jp-m2o4fy_oM4^s|AfA+LHB-! zS*h_CmA<FPUpu~U&Gh7OkOALPYvKA9?}r+Y9DnUJ+=}3L{_yoopibKxmw(fpe#Z0p z-X__o-B&=q0{6VYy}oblk$;!^o1EUa$o1X%eQWw_BlVB3vjcnfmj%qT?)4x)fqaeZ z=(G#>58*hfN8izad-EIZs3-s4oCbNQ=*=sL?tZwJzrg&C9IEMEe|3G7KK-w`?B71S z?q&F4-~E5489!>PuMD>M(G{Me`uox69sAbQ<fw0hEb(FRNn5CQ_SdTG_XYHJeLH6S z=)u)|9`|+kXGQd!fBb9Hr;T%8NiDpX(^|G&+RWUX`y%h%GHny-$c;k1pe0bAI}cXf zEepzbIlAP0*!Pm3*H$b_&uA;V7ruMu+S9(P?;4xl4m~XvAG|4k(^hBGsK0+LKkc0> zdH2bWt<j77ub1U6F}(18<@cGc<q6BpX1!hVK53>!-Yif5$5|@1xw77W3#a6sGl^n; zyyf<+1?&GV-IsjC>Gc1kjIil`5l{Y=z1%BnS+iunLGJH_c)hB6V@d74*jlm5IW7>s zU2vAc>3_uXi|T(rlACB>^x^p7?zoz`9X}>{Uw;14(60Y=xsq?d63@=9I)yj5_r~>< zWN)g~-IA~G{l(-L=ZQS)HKvn`PR^>^8(~;^FXNl=?5UGndJ9TQzU6egX;-ej`6=Ua zmU&iNTAW3s#PYc6+ecDaZ|<`bJ-N!Q=+CU|lOJE@E#rKWd;e#GbY;ry?XOzDUcI_u z){!c8eL>;&jqB4cl?N@l%sDqDT0io>e7NYOb<4jT_qOg^`oy#(DcaNgr-jxtyK4Ah zMG{NTC4+a)GyFauA`Dtcdt%zL?UQ$<M__gkAOG?^Iy3ceWZ>Qlk@39Rp3&ak+KH*E zZZUCQa^cR;E5aU5u~@xswwi+Tdf{u~;hQ%7-BnnrdChxsa`wV#Mai2HtLMe!$lYDH z_42Z7dDFJ^bne#mJUKCGk$z>yw!`O&Chpx(=Uq2v(~17OS?X_<)h1lN*%H}nTzV*L zW1Zn7vvVaj-br7DTWU|g{QWL9IbGG4PwngE>lgDERbAS8^WFKHH*3`&t5@=7E;5vC z{(JorNA}T_3ZrM2u1`E7={%Y7tE_U_{gwOA&H9tPf7hS&ooN@tx19KU_Q&3{C39T3 z_vnl7wV$tPIxDrl+s1DZ>%HmS_vW9UB6W7tepfm5i%joNyS_i4?$w)i^S)C5<c!Ae zPZxcEZoJfO^PBvM$33?k_+1qEdv=tPk(yBc?zh_tUa#Grmc6RGH0iF@Ui97Iokwp5 zWE*b1?C-I5o7KaRx|IvAdv9C6ZCg(F^z%Nezg=_o3E6gP+0vs`8}FL*ZxPFruj;*G z@mu#=e%n^9>w&rJmew9$5^?jjZEn<Cx5`VKgs<iARMx#8v)bshaf|i47wSJR9f{r* zvOMn7ukgz$kE&_{3(X!*nd~!n#ucmNH!8pDN=<KCcWP~Rm0r6+j9c~b%W2mfyyt#> zvsdM~`@S5dtuo5sg6hWdWz#zL{QLF#aI1KnMBMjX*L$b-^rR-wjo9v|zVB~D#Y68n zF}+<cLP1AI&9rg6%KiH1nWL*dEeV`;;LfZCHkV(fxF^5;w(xtl&ApfEpQYTl?@o_Z z-g4=jb>iPMnX}SogzYvwc>TQdv73!^3*7fl&V6@w#>+MHW_5;XI$BMP%Q*U2N_=*9 z@;&upnZmF~8w3k%WaMov{6H<*<IBt4BZVv~^<TfV<~*Bq+3)6}d9XBm^@`BM`aj3( zW!~+6zprFxmXVRalUwE8xmDke1V8ky|EK;id%mq$+>S3fJH9*$Z<nvUSNw4G_xp3# z_1``|>3qYhHSLX@8Mm#bnncCFSKqZwR9Nw2;gP>m{o9>pW#7uX7HyVo=*!UdG<Q;W zr{>n#@0%+!FPPlCb>1axpM2`nCvVP$-gqCpRc4y^uHNa)_wL-`xqC=D-jDm+A=$%1 zX`s2XDV{s;R;YKc{v)yR{MlENICqj>1KhbE@?P*~BY(|;BBLS$PRZBru8_2^K0MTJ z^P2e{(&x8%E*7e^?|u68%SNB{T3cVU-d#sB|CfG0RAuJ8uItxr+uF!$HQ&ER{ay0r zo3VcJ$D-|-y=%YQt%;oa*Uq%p&7;~k?(oaKRln@!EdL&=Tv_|^W5xe}GgBw=zGJmy zU3#1YoQ^bRWhoi0n$$DLO~~jM>Ool7MDh`<`>sCeoF6wQ!)zIs=VGJKv@N;iotOVi z+VJ??qcywl>TXsqjtnZA^IJmfU9H8Re>1J8y#F3__QJh8KI!Uty?MNwO{Bql^Ve)w z+x_|G;**zFp5D-BsI4zq^YX)Dw!?jbpR?{rr-`3`-Rb)w+;#Jd^*`<{yL&$&UiiED zvwd^Q>W_Xqos=Uiv%9l*r~cx|FX1)$yD#29QroxDe)-+-jej>u+}61tZQ@pcQRkP| zzV&xs<Ug`YuY9xZ<?0)Ckv+N7-s?&&-hXGyZ|!~SBlm1S_)qO!@tIo7v;Vqsrysp> ztRmm~mAd(}eLc(W#(%6m`)DukH}k^z$?r~Qeh<&tuXD`o;J-OHX8bmq<ywFAyV=`+ zY`<si*l+m#>6YKx+v3HNXFs^%n{%dC^6aAhkE(C?f(-BZYx#Cx*W2kwZ>0Uax5)5U zciP49kF{^z>V>~so=)EG8xQu+=9>JA5`Ui_dz=5N^F&67QBjoViTCy2tsg!KzRx** zeatkw`e!rK52@RIJbB?=jY`d{d;aZ{)%};f=8ye1>%*Dt`zKCYpLZtZ(Uqs)nQ~gT zPRfZZ&b_q6bMITe*}k*v*VoA(eo}h(()_iScfGgtP2g#`C%N(R&i395IpvpAa`S6d zcgsJ^+<VPymP5Sc_gM$7WPCA9%GdtwUVZ%kgnZ@v`dPIuhkwm*yTAC>n%(OiFXz>p zPkDQOQ)%g`+w;@pFK)0~cJ=#;vgH@!^Je9~U$*IQ<hFHsmA5Zv*_*HF&-`k;>Tc$j z>9f;r?_QZxKW**m;Cugceo2_Dt#jXM-TSX=+1-D68n@@CzJ4`jyM4DEoA2uTfp7g5 z?_ZR;eZTanZ|OI8Sv`I0zqvmnLjLlL>X6x`-%T@ay?x&Xw#KjW_vJUrQrC`e`6YMt zoyo7$zMJ3P4cTUY`r1|1{OYK;&)dEluH6T=sOWM|wfR(-vzPRPhFLeIq}=s9LH=&7 zEl(>_kKMGocRKAL@5J*$IqPzL&ph2MR(<lD=OneQTW|CHwR!yV^rm@})_8=gvaVXD z<h))q@1=jYj_)MDaLDkjaZ>4<-)-|QWwe!Tm%eo}x|37y<T1-95^rlo|M&L%&wFCH zG56Q1zugBrmUaCVxgY)UZ|~nIEs^=D@mp8jxc}hy>Es;YFXkI_f8FALY&5I1e(Q`6 zd!HI^GrV`Z@7KxR7w31(@k15My?lSov1Nspja+|u_ieX1W_GOlcA)*v++W6e#|_dx z%Fh1q_o?AF&R?@{nAM)migDX*YL<R8e67|u^B?~{N!(`n>zgxs-?zg%deZL3>#Eh{ zSN7!geBZXXu1NWpvEK5_sA9Ljgde{#CsN5s%E#^6%$7g*I)xvu|Nn#k(DV0y5~SjP z{z*T?FK=OfTk249f4y<^tLi@=k8fToFt7fdC3rua`nK|AaW_6L*<Lt##%a%=IfYyP zT$<Zku%$rus@vy`sQsJoNzFYWvuatHzpCVEz3f|dTi1SCa>8YOsrNLM+~p@1My3AV zYyQu);`lX>xccq0-!!{zS|5Jgvp}~0=gX`~jj!V-PM-Zo!jK<4takY6{~3J0-K&@X zztOj8u|8L_#_M#c+T&*FSHmxs9WT%~(t9m>dv0p|MWw#e8QYINTl4L)&T-G2@@aov zkEQ-i+o+#z>6f&7^Beu`9m$t>tnmlQJncDMbvDcXT-KT+Aaf=bMy`*1dpxpl>Wz0g zzq`c>A>q4K9;Veu@3r~MeRDFEjI`907h6ptVZYp&k0zftn`(ypRyyTdAJ$8cT6R6k zKV8i1+BHAbZ`XdAr`i;YJ(75p@%>6_xVL_$)Z+a+e?5&{e0h3C?zYb<X||uP7uwV( z24Bi3b%t)cJ6*KZ*t1@F((RLN|JHg=uAQ?kA?B-$^0!r)wSk>)pD{i*(wiB6S;G35 zs<r9bjmbHigpa9yKCvT3PICI0)tNoAk0;HYb?wH=<eYOhf|5^9p4c^M0?9|<t$tDZ z&O_L0`Q)Ojz47Hop89x~9;&MEN{Gldby|G&{qpVi{8jw&+TV)lJ+6B8)aP@=+wG<m zoHyRi+2xXLyyf*Qv6<&L%=uEZO>`;ex~*<TdSR2l-{*S#e0$YRp1W>y4n*d)8C#2A zJl8My`_z|zH&(<P{pVI2DDhf<N!gEo%Whup`+q3+(08%lx^Ah$-^?dQeiIFSlOK01 z)nx6zPi4KQ71M6UAKlk;noF%F|7XRS#hW;P&%Pn^ZM*!j)G6C-Pj8&rf80iE_JZ}Y zGY|jkIo+jJQ~z@YMAZqM-(6bY%=MFtXVpH<m7cDeb~8L=<Niv?=@+;BI(^$zuYE>p zz36WvJ#A2ier(u(O6^U4lJUFzaG{BTJ`R4`oRYRbpG<zZI{t6gj{lqMXWH4nUbFeo z)bKdLygxIg4?Uf}-%PflPyun`-@Zw4+TMOge;?g?NyR_)=&r)H&|O6{+%k@OJF0m< zRuS@7weDZnx^?l!cE5=A4~-^SXPWQt$$sDGcgt<3%}uL+IhUjKFW$;ny7~U3u*1J} zC&!)J*0f~)?Z;~_&3F(cyEWeJbowd2*`BvRyAe+;=v}`ondS4UAE_CS^iywZ{C;G* zc3;}sAM=V*UrU@{bIi+5Fa7HMHB)*IZYu3b4|}X4KY8=3{IFBU5^h=@GhSUdssCi; zS99&u<PABpk7r)BIC=cZnqRY*ZYqq}*7xyD(wZm9MPjvQqi#y*L?5q6GY$JxXu0}d z+R_}JX={^hHcP4hoG~Y~esho++v#g>LFd=ZX|@0L!rf{6ZQk$qe!u+iX7l;9?B9Mi ze@lKmQGd_LZ~G<S$Bo$XwCvTL-#fg8<9oM$*;lw$v2(NAX3<-3*Pc9e?p0QO*wvNQ z=e}Ogzu4h<F3)3q-K}W;?LCt{&P<-`DV=$HuHRFYXCA`aZ*!bADco6Wv}W_Y{b#Zs zzbNy(<+%64sbzX**RLI0Gdojd{pP#AeV+U4(!WkKID4C6-mc?mTUV}IX<@BjS5Vp= z>}LJCRprgKa=G`}GoFP^Hp<Ji*yq_NSbad}|J(UrzxNpaTK%;9-kdk5|Lnc;t99$4 z>h8ay%H|iv&sg4^9&G4VU!51@=KRZ8Pu<}3zhf^>@3`aE`aMi$^3i?0r-ej+M=vRq ze0%JMPT$F-{l`)}yZ%Z}7Y(VXKUx_1ruyCSXF9*Tj=jh~-+NZDR&shWXfQ_N@7tY) zlDsd^@0fEy=eP7?{l`VpqW7W;eZ+p7>51D2{v`EK#xG9Usj6#^ABkEldF@tY(+X+f zNW)(@|KwHcnw;N}lBT}*e2o1>N#VYYIdSXnpG%vz;EX=IWgefV|BIv7cg|CN@yZ}@ z_sQxv&lTU___t}=UcVRj-9BejHkD0DiC-I5<=MNT?DxyRwN>-WFT2DkSMt8r_P%|G zeZzbWy={e7tM0BYs@(aX?f1#^f`wUK>GLl1?p{7)h3-9d<4S$cxn<UBJ0Jh>ex>$4 zee12h!~;K$cT{czZ916MD7F7_h*4VoQGI*iT1Dx_r~3BS<X#THcg^MfVwb6fMnCF` zg!i^p#g&<Eexoh5d4FWzX$||nqx+6Y&R%@X>+a)Cr>doE^mlf~Is5AG-W2)GJT2Z@ zcKYLgw<pUVPl|usb2{+-`5iKsdwxr&uX$4v)A#${r^gX*R<A3RJX@8+w{Q9#m%rD) zOj<qb<@cKzJ>Sz~@)kQ8H@{amKbbw_WyH!%@ldn0`pq)4e;Vq1Gd}-#(<uwd8hem! zw)(qgMSc_Qy?I~fc<L00U1tN6m%b~Ee7ctxWbXQf%cjTP)cGyFdHvkJ(}n-vp6pNF zxW4e%(-q&-WBM}P{_2(}8Fd*e+W&dT-}?Q}x%_ti+850)-z?*=f8qSF{NL~V*4z32 z4;!a>g<a=fd-dO5-ef1Ev-3@*B2}WR_g;vUpXSlid1mQ`O}pf*Ja@*OczyJU`=>pd z<-#t%6^`RGn!h*VyUz~JTWiZAuf=RS|7+%njr!-MmTval{B)w9?D9u;?_0h;snAnB zzWGwMbjIV7l-t_c*^31tS6=?TEG1s;xT<`+=h81lYkJ*k{0skt-cj!fD2nNtIJIeS z!t!{X@}yaRkA4sBx$~g-S@1`RvsE!}mtPsn*Ec^d_+a^YWkpYq_cPaz61tam^n5b3 zun=RPZ(QGX{P>QPc_RH6*BoC~c+%i#@)nQzGk^Y&mT7N3Khytca!QPmF64-qz5hOz z%bl9m;Awh$_QJbwTCOgw^yWBLJ)!JyRK)y@Yj5}5dM7{e?vLYBUV_)B{HmW?3OY=4 z;~V|ju3X-}$u+l6e4HG9zMIGHzS~l}F0~i=Z$RXFpS!;|Rorn~c`5$i_3FjPPL?hE z`H$`Pn%(P-rvB2HwP63o-ykya*v<L3d#64slun&>d|ifA^3or2?6>E-)w@o;r9W%I z`i;LePTiWn{rr?ihSI4~$8#^39P|8W%eT$C_a9s6tHm1G`(H&!?*6+-cHj0zyS}G> z+|g@vHhKE&V-}kG_8%-e{xbj4`6*ttBE0+NAAHVyEN_3tnSE|6f8P-8zpYXFb^F|1 z$GtxO<=qzfeV<P7%Kk;1zod7ANbTV7`*h^C2d?^Jo_{}h(WBqeIh)_@I~DS3aYoa> zWA8v@n`C-@o_Sc;@!Tnya=RC0_Dp?LEt69&^;a}BYkx-5zGLqeYh>5ovkUGzo--v= zcDv(c3H77jEpEIs`6V5)vVYN%ABWC&ur$2o`Q2Xprat2Nl{NR=->AROzOdn|wcvgK z$R}*`-(Shwv)`yPzI5OAy9TGf8=g*{-oN|c30}MVD<k)9zq8@`{O{q<f6v|;xvxD^ zZg<TcUbnmtKmE>r+WWL^@4r3!t?u7nbM}+%nO`@aCg&RzHJ>j}y7pdI>f5c)|6Wz) z?@pZdKJnUdJ^AwHiPAOuf_H!Xcj`&))t~pu4zIa)JWpo(Ca^_!E9z4}?R!-NR-Zf# zp?-5s{?5ep_V3r9`);1SxrTqUP1(;K(mi(`e4ab&Y4vH|>c5rsrTg~p@^CqH1$3U$ z%s8XcChc?AR!`j9r*dvbt>zo?+coSb_B&kpl$z)veAKS%FHdxQr03MYx8li4&Z~N} z>L2Mli^e@lh;KfB+-OnMy*$IB%T@KMwZ1tR%9U63zDmAzT+jSRjZX2mdy6*xQwzJ~ zvhi<{{NZciW=q!JN%(zq#)*!s#lH;nyhAExrv61T<fg;az+CZUC9ol45JQ|-J<SzU zPLJU;3`h;R4b^+&^<$$`w{_j}ZvB@N`915#1huYOk>8Tr_HCM^wfL6)F_*Rw>8tw+ zEsvP#Z(g&$lQ(nG4fl=n6JKj<uQXcqH_0c(Wouxq&+i*g+2;4Bp1T(va_#;RuUQ(~ z;@>3S_;sr7%T(!{{Sv~Gfw>{_$5K1F^f#~Bk1*skC?Ij{`Ixaa_utw6crLd65>wW$ zPC4{mTzK~6f7@<{SJ%epnaeHv`0v!OJMT()OdY4n&RqO&+wJ(`{r1}{^B2ec-*PWH za{ukU(-x|&Fxm(zV_)R&uH8EQ`_r=I#Hjac*W7;_cRD~g<mSZ4Z{~~Ezx{SK?%wp< zy{(yhw{NQ3Uajlw8<6UrcJqG6J{-1D*BO%2T~vGm)3?@tdcN_}t-HGozeQ<B$DO~# zbXQ*JRgjJQvZXhUuUO$6xjQv*`lfB4XKdSKmwaXIntqAPCf#*iwLM){Q?^Enm1<?% z_h)Lo)_1#m>Q{$h?&Wu@Z~TL5TfXVr=NZd3{Y!#qGwa?5(l%Esd$Z1~kZW~vm&2~5 z_gGEcDxZ8S?PB=G{=kjFskzf!=bpNCKKa(EUp;}_H`yimT-N!e`OQ%4Rlv2p$SX_N zytP@jcFlQ-Qtj8u$4t&Hnx7=UcoARfTd1~Kr+#%qwB6qFTWgy=RNKlme{GhnT(cjd zZOhq3>yzXcCy8`=Fx=?;bBg)$|GbOaPyD?Jo``yS<LYtnw2nM{TIa=j=={!&>0jX! zGw1cbqAkKx|NnY^<cw5urmJ50gH9nx4x299k#-X_v`wO0ru;_S0jv%=qS!RR-R1V* zs{xUFJ(Z)b{8Re9X<o;h)4!C8-n{BOv2Tq!SU_fXmC`2t*ARifSA{3mM*6#aOSKie zIsL2alN(3^Z=LE<mng<RivN2>_V8ew>?C5Y7HujQJlk4^f36l?`gKQ(iwby{6mla6 zm<c{G0n7p)=g{zTv*m4zq8}boskfI&y|LVF7M3RY#y0hM;l-xC%imb&MQ!*#MfNyr zSMdGd8_`~UPfe1q?>oQg`I}o2GgHA*uHCFE@TuE8@G{|9$$!DeBQsPVe)jBzy<}um z)TwC^Ebx=)I`;G23;uMty84?%YCFHYpM1Zp^)x%bySg_Q_b=U>ek{3oS<mTPXa3xm zdt7ur>cxA_W2w0{^VeQf>OXyA|I_Np^J`~>|I=O0WdHk0M&t3NE-D)gz=tWEU=9D1 z^z-4rM<#!d|NkL>`S|}2^8cKFS%mJN(js5=ZJlKQ*_noV*;`lJ_ef8#KDE!&MQXbB zqu)8bVx=)||8(!~{`2PWo=^FfqCajfSL3$Ri$&aCOE4h8K?+(K{BBZx*^4~|7jK81 z>zFQQIb*7Y?mBI4>BVboz)C=?7EZ)|a$aBISaEWzzP)l>#moLQRln}nr%oNy(B_9I z+U=`UG~MRwiSonA-)o+R*nGaxnRB{e;~9&m;B8V23EMqf-c8E4|IquP{QdiRUF!e; z&EKSZ_^8q2Dd$aZ&rU4<Tv8I<o8ui1UX8WU$GqhB*$rDmg4SKto#MIZw$)-Doq29W ze5%h~65o{XetW^>2iV*MmCh5ji^XBvsN%NisYRIm_B`$epYxlqrfz<F;moN$Rdc^? z`S<EomBm#Soh@k@<+~T&^*PrWr*COLsaQqwcduLhUk5+P^}!kwI_{YFrFR~yQ(BsD zX);^!%eC;sr!ECWcuwxoKKaQj;_VU8LGR*06ZfvE6FR;*bi;{RZ~vV+e(#r8M3m>b z?ux!OM~YSFDfDhA+Z}lj96$y=E>G;PcXl2RQ_q?E;D-0L^=)-}n|7v#sl1T}T{P0X zf4f{>Chr`@xnDQPg_~acl?v9@VC>=YF1xVo@imsyna2-*Oq`t{pJCF!Y_n{2Igi?_ z#c>&Hm#_SFq33cJI5@z{1?|7=Wq$Z)>GZbneV;-fvg%hjZY!HL?eL~-zfJwR%het9 z?-=St*8i!ncbqw;coo>512a{Wp5IBGuG@at{zKb^AD6TbhuT+F{<t}RujZfC(e<W( zp4<#?dv5=?IdY|DhRLC#nx5}1KH&%IE^+jJVX=^_I-JI)E`3KEvM-`-a>tzH{F=w5 z4?j$NeRzM(W!{Ht_kUP_c)#7R(+_j=tGz33N7tLiR3Clq^f+IS%YN6F^P4V}W`WK! zd#SVD&s}QL-;5r~gT0{jd0^+wQ4_RWuDAEgrVqDHujknQZ*@H1|344@Ph9)f8Z;pD zdfhMahs*0<{VMp%A0x*nV=*CjrL(fh?YkSUaV*@vPblmu<ggWn84WH^=Ir{`o6lo@ z=fkpscRQaiJ1_tLnfc-Le~<os*m?f%|3jdC8|$i%9&CO%b^V{`4_~dWSE}8Y+zUxC zZ`2jTqYkh0<OI8lVX>#nxrLw4S?kMKeE4H>QKI&B^nE`0-#6yB<ZT!K_p0%HtNqU( z^V`mzJzH{ns`h%`>ALU#9GcLZW}UfTc2;lPi#1+Oo9@f<A74F>3A`2&Y%0jF?QuU2 ziMNXHdC<N&UGdN1!;k~Cs>KdH?zflw_j3L}&Dgq&-EksyAJ~6yR#U$UA5M&j?VO%G zwWVd>(+d)1>rbRO|59f-UVTs!yzZYNeNx99XLbL1JPYj~8h&*?UthQFiuilMnfJv% z)&GD0U-n#7l-G3iiAZN~DX?<}>id=Wm>jymdHiJCjKyzc&E<u_?mXq;a&D%4-PP_x zpYMN3ez;Qj{%ds=Ve$AsuL?dqXnpzV^StkS3eLX%=lbKI{GWqge%k+geEsm|=QiU1 zZZ7<M>3MSImP2o|dj*n&inb<)iHnq2&Hb|RX3nzy=3lR?AM)L-23w+`DrlKr_d%8Y z5cmBL$q#?)TS->^)r@?}b3(fAm+!;rbsxnKt^e~$zs*~JuS%@^d*Pou|9{<o$Ug4J z+7F--Yge8O=x{-|@OcG{Tvo3WZJ$ZZetRJ=Z?9eUp5<BA&lD#c<t>M-ZGNhv^!$i0 zf1S2X+4*q4qs#aGnQ3zJ$5MUUNmDr<9%w8+d^3IiT)pEePnN&`?NjmQ<nhDL|Np9Q zT`u=CZ&#hn>C=Cocx}GRd-q+3hq2y<`(Hp;VU#Q@uyHq=T)gmZp8E46Y5(WEC_VIQ zm5LqMYdp$=mg@WVea!f=`+K?n(dYNSi$CnQD{{Ya!$R!c&ga1oU&sG<s{qYN1^e5I z{(C4d$5&qa#{ZJa!{2qE%Mah*_kaE2?eag|u3b`*T6j@HFjDhIdDYqtn>I;Zd;Bzf za<O7u-`0ySQoo19@?M$%w$WgY%M+RE9}n9PzyJ5{eM|E3zSjRAm)DEg{b8?{uqv-H zsr=XZA-(>W{h{i*=lk2!=T%y1+wME}Dkvgql|WkU#`#~Z7H-`YWT75*Cm52^wI+1T zDfYMjTk_+C`#X;RPwoG^{aC;M=ltLO|G(~Useb=Aq^dFuY&XLUccF>Dj}>K@%zn94 zb@t@A?5z{8`h#|nL!?txl)m@6MPzSxw(i`@X*{v_Sya^iyK%E^W<v7Xfqe>sJNbF; zaThH$y?OU-)!Ik3pC(0Q?(~OT@x`zd%dU>CC@naMJy#H?GTj9oN0ne4eeV%sx64xb z^yQ#47rk|wP93i<F?@FS?ajwiWbJA!YHiBiMEtTS-Ja6_Ce~PLwsC6AyISZ_yzZkN zJw0dlo;`1OM*IIX<Nlu0wysJ-5fVZZe|Nh)vHN)LP2s7!r{Q&~wSOM4+t0A`yKBA4 z{o6Xp-m^1}^uF6Yo>Z9<W7H3ts5tiQ-m`T1XH)A>&vZZbbe<AuBTVOszu*JJZg|M| z%UDjbbCP`Ag6%dn$USRXcku765t_)bA^hm=6ul~NV~Dj2bg#ofx!@w5<&w*fd7j+1 zlCApOy56agY}NiZ3uCq<yw|ku>lOpIuo@p-`7ZKp+N5O5vp2TB-~2`s(SC(oGx75= zc()bH2J@V}zJJ9tKxfu;x(0l$$j`}H((m4<^C14?)7=lgy(ye{XOiu?7h7Uq6dGlB z+vqKp?Dx$wdR$of<HN%*A8%|-e);%{<n-$4^`}=RJi8y<bK3UwdAsT<^J~B9+5a}^ z|MTXs%p}k$z~BupGd1dV{wb(0RQwYpR3~wNH>h#6+rVt)$)4j+>;LrsH>~}`|No)= zlK$Y-n0LAVbeA{9`npI>ukM;(`%S_A_nX|hZ;5h0Z?;=Lxdb`H3&+7>*ppMy^go-O zpSO13+g9YZ=gWzMYmQ_V#zx+hNQJk2JYC*RlCL_-eaL%V?N7;%hrH`ev<stR!o$qm zA}b-u@<y)E#NAI;y6=;g+x=X7`J<V~{ZgXax>Jv7!rE7^N=4IaemCZ~?60o>9%A$J z`~NAql6uo5m)ESjd5>rI?B$^AE}~DFIIWJ3TXykPUS|5{+g@IW>x};VfmpF^QpX*0 z_}Nx3Q(te(GKpSz_ifu&MayY%>9%Y4@7g8TX2Qswz56qyy13z`RCM1$u4=B|iz5;~ zN@lYbUVYaF8bfwhjjW3NtJ0UgI^kictv%TM23-}U@4M5ibINWf>ZV0h&E2r;-bpit za}f8>nB$_7*_2vas;aYg&8{a}JVkY2T?brLl)iV!|GL*$@b8lMVeR;zt3EV|?@@@3 zZa$YaD<Sjbh66L_KpJHRhMgxY%WtNhe)xfZkD|%GFQ*Ew_Q%Q9eM`^h`v2-$Jg<G_ zx2X?*`u|S|gB0it&$>b7-DBzX-0l4_^8cRB&g01c+gdOC@9V{QzWnOj(T8r|`?dPv zE$ujQsrur;9~YO_Pn*gHc2~nsMN(TF;072&!%qc4%jN58A4?zRHQ%E-r~2K_m!FUC z|9SSq%>6s;Yu*+A-~Zvw=JU&5#}$4^sCcUVzjDW~SMxpQLqhz(o(UauoS)AvkFzLv z@H2Cf;je4k_sQCQ+i1^uH&6f1Rp$BJ^`Ac4gKnC5xlKDfPFgQI&Tl%z=7cy8mvaln z_kD1E$g2P2;hRW~{~taaez^1bywmeqKm2&y-(LS~dA-;4_%DmZTjl>8{I|vv>{W&t z;zARPUpzTES@Day&9b@|KhK|^_Un<Y(&yh!|K9)qyZ>;cxA#jUh}tvUohK|mA6{P- zSn+RmosLcEqw2pcDUTj?$IJ4`?J<b0yZ3pX_`1rw`#J1?G~3Ux`+vOtPgTXYInNK- zTbIQBf*cUY@T}40$()}Dr^|5Y*BtI|eZT)j%8Rdk%U?etx>|?q`GXi#@#tWF>+yF# zPp$lMWxD-jHOc*7mbz~eQk1bM$g}wUX7lBzGg|(9sh!W+Z~L?2$DjEB>pxskzVCY- z8swm>k%Z&#SD5^{`+N8DN1xyS?*8B|zf(CUM~3_RozE*jd|h9!Z1eey@xztN=W+f2 zz|Y^l+wPk>=z6a2f1kZ?+h6-Xz8%!DS)&RGqZ_qC6N}$~ZXnzLd-s0fK3QwAf5+$l zi~R9H{!ikIJ0CAT`Kf+r{@<7XIo|)A`(JKe<+GP-N_?$WCuU}9uGvy`lk0)VbI8il z5H&%|=kw}*z5Fn-|Bm3l)Aj#)Kg8F6w*M~w|8+g*_j|ure)$9~u?+e_6)5C@NH^tZ z({*lP_MK02Ak{R(l!+a8%%u|7-sO9%a!u`wi`lH~%)e#Txt~(hAa4HM<nqMsLS(Gh z`|Rn*mwey;dTZFWEt|Jo;(9j);uHs@!`l(1KK@E~J7`zMjWg4>|A2R{p?5ZU-xTUz z@adymY>wfbdA53!qCwT6tIYI?8+UF$n-L?2RNo$ZdhhxDqGuBePudvi&2)zq)v%h; zRcX_Mxi=pxRl0vWac^tKV<RK8@7pAMPsjh98t*A@|KlM4vi{)on0K}Rbkjjo=E{#J zRX$0Ve>QP`?F?n1i3bjWZXJT3pg2+arScR*v|CCc^(wT+J!Go{$!-mxJ++X)LuzL{ zmz#LtP(lBRzo~lf1ucK6`kr6Y_APJEe%HGEi#qq_7h1~p-97iyXXX6DoyC2ze`}V# znNt{Pe?0HG%<f;3)2-8E{MwVZ7uyBDN{@MWhv)u$#7UNCK-C@S(EFJ49eZr6=WL7K zbUgC^(knXm?knxzo-ytH`5oZJ-19ZR8tT1%eB<izbg$Z;)1U>^g>POZ$6Py(EN^`H zyE?ROk@`+}qWn93zq9(P8)wG{Ec^LS?B`zB&2{z1Kx@LQe)_CzF9a>fma_|fl^S#H z@W$2Clf(HRZ;C^fUoW}OetH@!!;k;l%fI_iy83nR0efZ*ogD(ENi`8l1*#itC$f8b z^&~{-1x?Smx;FYtfZdVy6ZI3~*Up-^>)(tY0p@|lU#Cs|v~Wqar&iU+hhaNsaC6L( z?zpvgsb>%O3c*N4jT7q{ihs{}ck^!I`I~cR{(CcX?z`)&UpddW`#bmFn;O6J&xfne zWr89^VXgwChr-~Y4!dRS5+^L$N}8ZMq!s2W6iwdqp{w}uq3CD*tERuZWf)f&v2lG? zZeZwZNE|0@=2S928TmZ7e|pT{uEnq3bjO=uS2LOapOW0p&+hH79w__w%C8Jx6M4Q0 z<QN8q8#+xVd_Uc&weNjjzo>r2ydT?-AMd}SeQizp->$N9%W2d3!}nj7vfs4GH0E;u zzlqtu?q=AhN3Omc|JCO7-*X?-6K}13`xoM#HEJDmB%33mB05?Vb-%Jbu5B$V`Th6B zw&;seJd3WscKuke+Voa^N}A;h-KqBbH*5%5*Z6Y#x9^Y~ykV9?QQDhcN5Mrs%~M3* zzI$<I-N8#WXU?AQZ#wpK=ZyFlyz;q6AFXwt^VLG)|DO%t`pOTQL|^|6DJ3IZ1uRcT z&5g+6&u8~8EKdKb*?072zxUB~*B)i?o{@SFt>rruirTi`GM}1W%v<(7sq{>cgiHEL zi`UwV?oQicP`wAzQb;grI-&b$O?+PW^s{?S&e{KOy0NeJcg6dZvUfVk({99mZ|$%C z-p4fKq1E*HDc36M!NGVSg=M1pPH(-NlGp9t^Ioia@TcN!w_dOL{-3%Z&z0|0ulv8{ z^y4$k%lpG~U9_XDyKnC`TyZk8i@io%W%Eg>C)89sY&v6$&q_bO6?^@td~Ch*p6_el z_m$uO(zoO3H2cozd%x%H__b;EqObbm$GV@zS;Xy)v+fq&d2N|#jP<>in-8GTFj>fD z-V(iiKPFY2T{`{f?t4G`Dz5h3mwPX3`E*L~qgB`U>D~L%e*Ngw^Se#9<=!@{gRCcK z@Z)PbG5N~X>oLOScM59f6dsd&`uDH>@5np9d-IR|{r*4L=I@uwPxpN;d(-jxe0^w4 z<<s|5ze6JaL5gCB4d>kIcRM%c-kzCyA)?~T#p92-<9FEp`s?`TaqaixZ{z<I>FDcE z?~k?nKWj(Ib2mMno#x-J)qcNQ%-fcIe71o!EcAn#PE5XVGkw1B?d|&$YV;qm|NeFq zw0C`<_{RGG|0@1`JbwCZ@i|L%Kl|E}Yfp~7=?S}A{*YH*L;2j^=7?-H=F3N+!D;3t zP$^XPY-YNWo4U=kb5@_ve7xrIQFfyCeeR#H<NsUv&77^h{d#)9oD-&3x^4+;weyQe zo=I5$dG8F<d(bAGrsEUOXRP9Lgwx~xKdSh&GQN-L<ic*fs?s+%KAtN2eI?kx_j>%- zT^4`8Tt5BV{^!ZVAHRH_-zy$hm{(bETeUZ?@^;?#)3={Xy_G-mZr<m;Gi+f7OM(pk zGCikz^ZgHxeq6OYe<Y#AE3A_332*)`yL<n(Z9gWz|8Z{N$D{j$-|6pD|MV}vR=e)g zDfh=~K5v`;``Xg&BHpfTm)^N;-}~#=<h4cj3zBy%Q%+A5tAwUT7nX_Yo%8FzU#xg? zPF{A^?z)P6Nh7-t2bv#?3f|r}S8(~<vZ$T0EfeDRKfhP;r1S5`x9@AT=hb`=fBNsM zq|5VXOCQ#EuURU8`fpvy?z=C(PhT9rM5j4UN2li;SM$xsuyiKiGEYVB-sgat|H6Nr zzrO9SSN`{H+ue=n=Vc3@&n-WCnE$^CpPY?^zunK2KM&Y{Kl%(#5Op<woaMXg{~jrS zwDEVHRo${usgk6+I>l*Sr@gmDJ$<Scz1ESZiu-AuA-C~aSX}xDR0`eRo*!THCRx7I z`rQuYeP5ogKay_ud*_R{|7Jh@yZ&hSzCTNUym0?##3yHC5NF%ysBgvn+0fkFeBI9( z@h_&PHyu3l`5!p1Ht-6%%zMHoV<E8p?lx<?AC30i{Pi!G7vJWuH+Z-2_qtE8MoWQ_ zkjuHAxfipxpZ50N7X3K(^;N0=e>Rl$l|z!fgQ(+^GZ{ydwoBhl)Xg*K%-Je_dj9(| zadWveCa_Hg!YmVw7i{0~>2BHLS?_b++<wb8S9!mBe#B?l`;#HXo`b3*bV^}@p8#Ym zfnkX->{^(YEU=@Zt>DV?-W~gXce~BKxToFk_b2UP-C(MtA6_>{*2vu4{9cmOnx7T& z)i*l}U;p^#vb(b8_7=6e{h9yna?SquyE67!!JAJ%eP+(No@#eIugEU=Q)R5*xs9iv z-|#*6+GzguRKDYS^UqH?SsD8*`@wf%7p7om0n2E=R|=p0KE5sdw)XMuWu_m0`+j~O zcBAM1`PWA6$<xoLpL()K@3Upu<j*rFoqt_a)E5gfI&S*UJ$l6!Wj||{`J8)g6wkbW zzJkyUsiqS*H(h(YH~sv)Pi5EF#Xi*y`Dm56c28IY_j=)Lr?OkOe!$@B*0>W0pfl4R ztybN<+VASEo>jqH%@)53Svz;jtB|#4S6GE+8?W-addm|<5-hI17A6VORCWob6N@Ba z6Va?AXd;?*SlozaBDx0@;i<t-fb&4kEbkSfkN3Y@8Txgz^h(joH}YE}{o=IG7%dO8 zD4TqpYxA5vVKbgS*?MT>Y$!uVeZA-}-#G0vMW3P)r-785St;6g_sP~nAel7F%C$FI z>Y6+lZmdxLzx-B9=DYN1m1m5mCr>}F^La+9+;P4B$Y+M9ZGJs9JZ+OU^SDm2Wm)AJ zqyETeH;;g{ffGNdozrl0W6{e-wSP=2PR6woZ;Iu?zbFacC+VqvYuLY{7cR4()uGr| z_~z4$RJqM(7RSqNK9h{>$a%i6P?}dWczypa^<PMmJ2DsCzrr$cdd#NH+v5Jd>OQtQ zO#55-+IUTdWfxAVa?HArvi^|CcVkcxoZfgEY<oW}i2g#{l{{^y%x-WHeV%imu9tP< z?QOZ;uh;F)tI@w-zwe*#$7$R5tKa+7XK%SG@5kcpNBTaWlU^^Q`A+=z>TBZXgKZ!c z5yJ+<UY3cj96y)W|5|=AZ~Noe_f^*`^7nE7d(gJy!z=0jRkGo6!n@Z+%j$@I@BSP5 zy8F51zOXIUprSju@@L1Z?~89|_Fk8{_HJF=b^9XU)xv+3<*M`6Mpefgt`Fb7|JBc5 zfAhA?|17fBuRgT@X<hn{$p<c8`!>&NSy}m?&4=epzfU@~=Fic9;OTxdp4H9%vit3> zvg;X-U1m2%`c?0Di_`9lotIfRS0pWA+U0xa)4iJ1e*1oYy~Kcd^PF#WcELg>H}hx3 ztG7zdc)I2L=b4ihoUFV2$4c|e0iESL<CPtsfCi93%P)7<UJVVOd%<ASsr)xT{0q<J z&yyDad+zAdzmK-<h<|f`f|11=_wtRhYr~Et7)XTvjL^Q--EEq9SYeu&SW;qy_uitf zC(g}%EKu;r=KRXNPm{AR_T>q-fLfpbV%A^pUgWzP)cTwUYJL9iYi(Ux#2b+M_WjDL zwJ&(=!Dj<9BwRBo%e=P#-|PK-)$evLzmsRvGiP@9=eqyBACG01AN@T4|DR7Fr^N49 zYKZ6w<5{%bz`mwy=ZyLD<*yc0=k4Q=e7)%KUPFzhp28U)W15%F=C{8txb;G0bkL1= zedjJo>G1xKJ$hc$@$sJz_qSh=w!77JG`ip7b)mt(a-QtBj_PNomF`{sYKwSsp>LzA z`lW^Y&)qt4{9o1DKc<1gd$}&(S^x0<+Kbmc6T8wa-~O#xrjoVkzR7++u3($fneU$) zs|HT~yX<Fa(2Pfse%1E#H9Kd$F+aP0@*$D)MYn$c^zposvL6&l%POW_zO{a%!ln%~ z6Q6vW{;uQg{-4o>e|gQPZ9n>#H*@>x&9dDW3KkmqzHv=oDD3KUaYdN=smbfuybC`U zH=Ta;=J?X2@a)1ZY!>^bd=IhSb@yS@)UV(SZ{RG&tHeE9)Xnbe4dudb-gVk~dp<OM z+O}iA;M!~FK8DSk`uvXdjf$X)S@%Qc%{0AnS2|XyY^r0)G`EWxA8qFz_?Wt9`6*+q z_U!4$vcVN>!ZZ^(7qMA9%Jb`9ove89|DN3cfBfY~ZWj9=KPtZ0s_yZX^vB2V|H<09 zzoN#Lt@&cBPqg{g{(8@2!b`5cJpSoZ(U<-oO}pk=pFW{dcD?rD<9mA-8>H^Y+x+kD zhN(V(=4F*HwvgOpQC-y=9-g*3`_&{)?PWXXg9^5ozF0_c0?WHEpatLNGf!_o%Dm+d z>t+kNh|S_qo?rRu<c;rJ&mVVvzTfCx)#du$*Y|$3{b;tYsEw)q`ucJ1+y7$X?<yky zJm}l6eSPgs!RaY)zFR;2e%v=p^}~(b%k;BzTz@YMynHw@L)%R~^j+_*$y)9FKlD5z zR(swLe(b#|Ean<yoFwh&GS-Q$!m@7ve%F>CeZQ^j*wfF}$$5FDN22fS|Fr48?)eEi z*Vf)uu=>m$I8WtjR8L;L<*&Ov4x2=~4?ovBSE94}et+KbS8Gl?ektp3>ODNY=T79D zDO=4BS3Zf45nFav<^9UAl3$O#H{H7<x!?V!Pj7u<*}-^Uh=++N;Y=>%2RENMWdHxO zegAf)vNtyj@6EcizV4&^$1{`v3r;^5A9T;Y;zL5s+w6MzyZ3SnuiuUDPoG!0>`wjB zrTeAX<$q`Htb1Fv(ZF8(GxuVJ4OinhRm`h)UUTGO+xy~cm)5rS-BD{Z^vf3SztgY0 z?m(1O$+Oc6rT1or6<o?J|Gm+o=KMFYXR)BWnHU%vW^P;Q_+(<b<G&B>_B|jM>2EiG z^)lYha83M_ub<`Lc8BkI^tAn4%b#cF{}umz{rz9e{{PSOk9Xhy8wcvJO#kg~{q{=5 z&C~I5>*9ajd{lWY=|XhJ&z(P&nNGfX@S&pX0I10-Cf233?Rne(cRwZU-Ueuk<-g4_ zc)&6%q^AD$i>@`=@8kWnPCve_W^!}2!P&py`mqRFUp<B<BlrD~%3(&T9;{O3{c?MY z!X~YjnpZ2ApZYER@$|#<b<gYT&G!8*pRe`W{r*1lxD9W6J}wsQfAjxlMRv;ne}5mZ z?Jz!HQMa@1X?pi_&3XB`Z0$@ZTQ7c%O5V6f=kXG@R0qpncBf|d6~DZ`cVqe5^f+*z z%V93>p5<NkE*gHVU-nK=pKDwGMlv6q@h&X!<y6}2eB#jmUq9lH{{QnLUS^f`x0<as zzYC9Q$N%j5c<sdL_-~s&h8<Dvf1`h0{^#eTpVyw(u#lO{dbRK9*Lh-*nE@#>&TDV% zT&7=kCo<;Z)>|JMKWTpBo4@%Eqs;xN`@w5p==A;nvtX;l->L7bY(o~G-YPbq_wL8P zQ~DwM5G^`Q<y_**@0Pw)Oqtp)SEKOl?QQY%cE58f|DU=ZC%As^qfZq-PshvcFMpc- zc++V;-S6@i1qyZFUMzn4i|6Qf8?mTtZ@$TE6gSA&1w4^!-g~aS<?-zU)vGvE*uR!~ zRNntxptaM-8Jx-C6|@Dk4RcW+(z;2T2}|?-hyP0PDs?k#x+^!=v_#4I#35mS8$tVj zANwEA{{P|sso%@z6nVwe{Y))<T)$IMZ|@h=r{(Tq=~{P--<v;L*e<7YJ^d8tpIe73 zMYlIw+`GJ%Wr6nR+I1KIIlj@;m*<u_|Lb0G^NT6>-$#X;_v>wz*&CtpUcY7ac5o-c z;H?z5Qn$dS9V*!mUD_vT|NEAo*Il<?zWn~*uNA*uE<axFKF_K^;oraa?|a_YzOVk+ zdj9|2iuWJ4THSrIE&A!q0QbzTi*Lu~Ke*m^?n8QC-M+AruzlH^wr|nel2Q6Awd0ok zr(1o8O;ayil>7U;?~2xUUh{dMZ4MY#`1`8YTTUg^;Da^5j8p$gaVl-ky)9;4_C{m- zY%WFa{lBiRf3)82+r=Hf-|c>EJpXS@&DYiCN9LB_6OI3O>VIcmd3nv>*X766?f%)H zF3sL<eCzJNAJz}rw*M^@{eJx5!-SX5N?V1kZ{Pj&{P*Gc@5|avYxX(c*EEml`gu{@ zzi;cJ+RGXunRiQ48Z0}`o%KHaUu@^e56|1r2ik=1x39UUWGMM1De>xOhU3p{O?|)J z*_giPYQxTtxxveJ#vk4vy%>AV2x`78f;L}{LYpt9kmif#JV^6p1*G}n^HFE{)_7&d z6hSMohmUQ)-?<#Yv2&4y@F(N>KTB%9DAx<l|MzA2snfc3&o0mJ>;Loge@Arw-#dZn zUUKIbSa`46{%LOh+KjEoLTldN{;;tXG{$vZGpKs;_AA=^%<_KN99<d#^6|k1;@+T+ z?0wDV35VG(-nPAEe8cw7&Z6v`3!3^rBmVqu^AEENUmf~qx7ew_O2QH9?=``-@r?~T zrSF%=KlPj*U-Mt|v98gU-KQ_+eosn#m%^>z<$d5=vf;F(e=9BsZ=3dL($V8VHRd{( zlh+=vK0ohbeCpd>W#N_8PX8{YKb^nn)1C8s=jYD)oqOs1woliq)xVSm&44xhjEzpa z{mQ-c{+Y4r!JaRWw%zP6yMOw48YqKWC>fjXtNqQh3l=)#VLxA!bJm;fp8IE?pTc5% zVg1JU9V`uN7jRgFuj0IXXFa%Kem!M<Q(fh(H=#40)%kwY@+sc0RxSGF^kr~EJ9|@j z;;i4MH&-u+pQlzWx@>3sWN@SCWE|_?PmnSeuJc8>ufWX}b1!P??Tw17E8Ua5c})yk zS%mfR-3)0?#{c8xGQoz;1oa(YRr+yQ8@>+GmoYpIZwL4#*gK{yj@o$Rty_6S_v|?? z+irC~-M{#D?43UWA`3XxUwvW<h1gAO?}Y6ar;_xg=o#Ak*XJyKW<C3NZcp>MKdb7` z&w1a@q9Ob9=8cxjch_P5cHD0R4-SaGe?5ixz!Y4!%z6`gLEj%19IarTSORjjS_eym z=bD&p3ugYm?_X{Y>M{{g{GC_(iZUW`X5Q5O|1zDx)}04+2;p@Xtljhl+8c^|2CMLj zn|^UBG0u?njV)V1V!!DDT9+~;CQD&4*YV%07p|IrJ41BY*7$=u#j6sgRfZP4DO!~< z%`(*B^c*dVG6+2*)lc-x>6J~9&qA;%%W<+t?MrL^d!@b`&*%YK&z$yseXG!HnhsV- z36VM4v`@n{IPvW9-#1S8<?hv==~*QTYCf;KmSVrzW}DjYnNPFQBHyRHOP_WeBouR2 z=k?q(f6sinG3!nGwAC|H_fFn9KT<Aw^4~K>rkmH48+|uEogD3MpAL7;96?SW-gK99 z)3(aJx2^tmL|y+!3TW5+)bH1yJp1_8?f;|~*FL_D@-4RCyar?ggh-p_ew*v>nN3lX z|DM@2D^f03`-}1EEZ=X&r?b*3x2(NzUT3xG&GR~|XFk2S&B=cAnr)4BO&$qZ97^e@ z+nP?CpR&8}?c2kre#rlbg81iJ_NMufa%)f4rA40C3BRrN_spjZkZDzEJ8y-(OrPcs zlD}qr`sy|>`^`3BTjxct+ZOt3=F^L2H_k7GToBFBfIP8-Z~iA(*kvEyS)YclGiND$ zTJw3^aqo3?M*~a09o3gwZ?Wb^_||PJRzZ4V3<rMs_zL`d(!9UJ{oS973$1>f2!8DT zN;Lc0=DMP{FZA?}e<~{9QM%;gzdH4($F|`5f?>l{UtfWr*d~H%w}x%GHTT80-y37v zdbF?h*KHThJ*ng~F|6d*>5K0xlEHH@2R{1v3hV^kGxg>4fkMMuYn5h597zZW`T63* z$D=Rqz0z@?!KPhbu;B$SFWA0prH(rb!j^L=+`ic5_+^?{QeB?jvuDqe>-Mcks?Mv} zEwFd*V|j*c%fhU!?oPG0ka!Qag`v+|V5i{GnG>F72JVfRF~7gnPyf_ph55+_5_bD8 ztgPX=!PNHt>(e@Ov2K=4754gHk3pt>7>+A<#B47s+5Pt6TZL&|#&S!04DPb+jfk4j zZ~49)?4XG0GkKNH|9ZNu;^rOi$A3QScf7s5z5n|<$KA57Jd%5tzAzE+5}Do*8y6=R zR(?f1!!Lg!kAk%jWV$Y5`%G>n{hcZ4F~9eiyS#4v{CKPWE|YtIo^3y3egEscAAc|F z^&9igv5N~`e^>5k<KBCn`(2W=uc{`9xBUm-NXF2xHgQ?giR^Wi$uYZM@A|R$b$-Y9 zeP8c>d^h`^;PyM8)C*5n-xm&#FALrB@9Ck6i+8w>uU@@n(bmm7WRKk2`?ODZIfvqD z?*o0#9_lIJHax?I)-yf=l^>5zKa#DtSLfc>bLB@?m;L!x@&1J7@;O4`d%u-_TxP#V zZ(Ys3r5kf^Z~LfR`t8^Q7q;fL=PX*j*iHe52}6#rv9L>A?OD^p!@SpzOQz2Wym$Zq z=J`kW-v5zyr~beF(aZk!vG1(&kM~*p{}X<6YWTmn%Ki7wWr&9R*a~(DY}zle)~IDp z{*!7Ah@%v4`>;%WKCe12=XP0|q=}eZ?U#)|4vFXW*VPOBuekrW@VEGWnbW6FKTV!~ ze!rUD&B(a=t%nrY)IUvHvv&5QFh%H4aBzZJ(}~UJ?bNsB*B|^5cZC1j+wS!FwPiK> zN&o--{rKZ?|Mc6>=M?+Rsr&U}%}K55?_w_#wDYp&Hv}uOS1+#l_2{Q~xA$gn`<mgv zH4|aSC!hoW4Xqw-EV(u_y>Gi)*ZYo`pK&MR|NpvPc<N5f&e+row`EgBcJ7e)8Tjzt zC!Z5b6Pv?!OaDFBx$7Tf?1*7bM6kTez6VXkkIuY~m+`mzdOA?SvgD%c`aW6fxX8Tu zRj*cl{IxnxeEIyks>=WB_LUa%>i%v1cu4!4?tA&v3!O{jHeT$@+b{ex@FBPdza>NW zTPt+<KgTmz-eumuNx6mJq~m1vTRopC(X;=-MhoUoZRWA^`SrJ>ANSw?xNXOeNB1?q zi{BTn{J(vlsD0(r#f8@@Z=bFWt>Rw1S#0rEiNAH`R;JT4bGKSwe4D4T=FQP214voa zpqrD`e1g0gqpYKm-JdsJ(O$n$s{3x;&(DAB>SInX{(bjo#(SCnC#rb642m*qBqI`` zaTk613fn~f_mvv^{&)Uc`0Ly8{etz?x8L2^{Jd|+=X1LsJ#4R+V&|9ZQJ-I9RQI8| z_Tx|eJ8^9t^8YU!e-v;3ac;$%ee?U~uRNy!n%}YCAHX&#HQ`29Z}yKD2?BeUwmy73 z_tQpR$&xmE{T|57X2R>4zq~igW!~Rc`*CA`t;)L{kNbXHn!aCX{?9kh7j6HY-}ZgK zSp3gV(;qFC|9RS?;=_T8ueW&E_690gnu`~^U-|Q=MyI6eJf~vy#sE9JU2k3`KK>iJ zFjdfPolScB;fZP!7H)sA7t(FbHVJl2d3d0ax$t)G?#IpYKQ{mP&~9h2>+c8l$M1H( z*V2bB=4J57dj^~6e{ck)aRttI4v6U<D$NRgt$2TPv$|d7LG{PM-|JTG*{^2apKtyO zR)In*Y*59PY<&9gwjle>F`zoHGAFI_M%b(LY2Zq;|Ev!GZLhy)infBP_l+~3CPuHE zAGr>x3f<Lw!hfxDN6q~oWsC3G?~7dx8uW+M|3n)Hs>^N6HrwQAe>FaR)$D@50H+VH z<CC07e}TvwTeHI}xkLB(MQE=Vy>{qz%hpd(iMp6v?)$JUH25dehz#A~u51^muXz7c zMfFtuegC^YiXFJNVNcA@!m<;0?%b*9&fIYAaqXT`aLSw`vDNX(+y8$*eH3HJv4y1J zhO<w5JQA`jl#8}m+ykppHy;guP(YBLkB56oBe`H3%mJeF=s&Y_>N@of?>Ba!==OB= Kb6Mw<&;$Sq%zS<T literal 0 HcmV?d00001 diff --git a/docs/q6.png b/docs/q6.png new file mode 100644 index 0000000000000000000000000000000000000000..ad06d1bead32f63f596a630478056a74a0f87e67 GIT binary patch literal 46769 zcmeAS@N?(olHy`uVBq!ia0y~yVDDmJU^>OY#=yXEr25Vx1_lPk;vjb?hIQv;UNSH+ zu%tWsIx;Y9?C1WI$jZRLz**oCS<Jw|cNl~jkLRyQVPM$i=IP=XQW5v&Z*GrHdaXiD zJagP>buJcLZkGKrOCBT~{?hO^SzV}1W_|yAmZNdAHLPsIitbO^s`C5AG`Y7~s*`_T zj=H?Ko&S8B!dkPN0t!DHm^9v*J=x|NAapX>I$`z<me-1R*>6`GOPtw!W6ueRzIapr z*sE8cU-`1~<;r*K-p!i%>+hNQE2qC+RUcX&I^XiIefZT=WsjCw%kjGYd-T87)ePiv z1_p)$i63XZQD=Yv-h}}?5Y`0)uA>kZ1Mgy@1vhV+yW?e_`{tW-|NZ!1T8FSB(ZvvA zn!{lY5oQR}q{j&^=u_kN@wt74&TOWJzv@4gb!KaA+q7wtFC$2$&at@r!H4RMf4#fY zkpvQ8V3^^pvxl=#;1N$NRG^_PPy-ZQ3=9kz5_kn87EGO*ljQj5n|t83O~1}0O|v=u zs(1#>Q4U9^1-9ti`WI(8{c5_{<|mP1^ACG0lz`Z(736-fu==;pl&x#*HqTH!zv-8Z z8Z4AHFm&rU^mSd_{ZvCMOn>!xooQS1H-E8QBhm%-1H%HPjSQAuR%a%aN7kkl3iPXs z&2~4mvyU=6S@F9j#1m#k0%KR#?#W#HLdBca;XYb%;>U|87W4Zvf*33J{9WOH6=o=d z*n(9`@0GZY!Cb-c+eIeQMy`7SEXImEoo&mzCoU@eeB^}5Yqw+5{iDo{_SLK}y?hLv z@A0$r_U*$OMavTQY(D>`uniVDXEIV#Q<Hi`*3MRUTNVk@eQDRkk58-D&Njp3$BHLU zTJ$Yd`Q_YWE5z2qTtQx9B0kxTs$^hbxL}}tyL0xt3X|D)Uos!BpWw?V!*e~pzSb=C z&A#97PA{|I`Bt?*JS=RJCO9=`Y<v4QM@ewM-Tlo0e?Hs)|9n&Cz`t)Nl>4V>iTsYS zmN~WOJ~UMsn8az6z0L&dVrXc)xjR5c`?M2Bp}?Xwi}pQw04YZdHrsL^z5Va={QrN- z9sX%+-#=c@{@os|C!s9QmKV%oxL{D-uW?VzsiRpiRrISUyFOUB;pS9{K$-o$;!YjS z0?6W#JHMKMRWLXl-tl#dz@rN;k*>8z4|0PA6Uy8WMM=5{w<2HQl+Y{TGJC+X3~5iV zU3Thl7DhJkCX#_~Vm0)nj;Xc?{5CwL^-7yB4x-KY_U~(#oj3{|P<&^-eIC4c**;Iq zNoJnL>J{rPDj=Er%$c>zTLs$Hkj>d#j0iH#!q=IKEfxxaVS(|5ADF-v&4}!b_Wymp z{@>^O2Y;+zQzQRh_RfE>OvBB$kE8wX%ijB+|6l&${k}(k%hOLEzw=lA+?Jo)|0}*^ z-EiMV?B8wEo#!{(+OIx#>;1Q%;a>kXe|h!czT9asNa5PM?R&?gx4)9wcmH>;`2T-z z`R+wuojTG$!6z>Lo@4u+PklPoCFMJ+r=Q<!vahUshkE#h+WWfiwe!M_$}}SC10crC zi0u?S`tkOUT}jJ#+kg4b+5h%p+H)&Km(8HS>FzDRQ{;VOdY63tpX`(W#kbeKnYVlL z`CSvgw?^L!zq799iRfDU70?jMdhb+tvHbJtuEPGmem?^CFuz`6_OsNfBh59^wN_kq z`ab<9?4rw$K3G(CyT7&i)8Wqi%J=~Fd#aESnfbQ%^~+hwckb^0`R~ikd6REFxt`m8 z{LtO~pZ|T}zW(p_hhyeXAKl76^!vSKb<L-{`zx27{r%o^nr&HmMg663KKoVvColeX zbDR6?dsA{gm!G@$Ok<zfzO_sK>i>CGe>&Hn!_a?9=#}!1ANvYEpS9LHdh~kTC(DhS z{r>FRXm+`FS#MIx@lVJ9MZf#}`px-kZ-3N0j_LbiT)4(}?)+@9`wqO}yRvq9`#V1Q zUvvNHMjwCrJHNfU?(?h<v*mYL+f38mvt$x$;oaGFpa1)%eX2cI@#x+6cJtWZ{|fx~ zeNHZKzwa}>R`<`jtv9!A4n29Q;C%Z!&g<`P>`%1$W_|M0$6VF7^1GjxPkO6*duMuj zwc-(-z_7skM~|Po?Qbt;SMGl>{iyBtCw9U2#P5}#KKJ!<{j#GcZs%4`i4VV$_I%eb z`|DsQHbly<iS|#I`+Xt5^?qk*=c2No|H}WT{Wx3w=+Kd+|HFSADxb%DUgcx!{d2vq zc0YICou_?IYFmVk*ng)V7b+I2eZJ9dCsKCBebV0@W!sOfjCk;~b?$G)mKk=Zv|jD* z+3{ss!RMtX&-+A)+`E|eXWQlNEwZJLBJD0G_1w;`wEOhw^!LNhrN9m`*j!ut`sJSJ ze=qtE>)tHUaj1FWTrR%t^!NSN;V<?+-Tr^Qz-!~epG&vjndHZBD<)T8lfHkCcm41F z%SmU-_kBM4;r31@|5um3x7z=5iZKsc!P(z_+%Nz0#t+sno2ME_7OH>yc}{#%ven+R zbC0V)-8ZMgeTVA*1&@xu=luS}zJAlsiP3pCD{sHlvb((K&#pheK0f_fs(kqA@5jZE za%x6wXSDx&@%P;O_a<Mtlizk<s&~!pqq_A|MD-^9SZo~nOh9k1NqET22bcb9|GAjI zUq9PA?1AT<Pq)*#lw4b9UFkb?-uh7f|BD-TOzvuZmhyYK>f9nzr3V61|JR)8SE&0l zuexYH<NI#ruJRebjn`_~L89ov0qw%qFW=5mI&D8mXZIIo`TK^ezR%<TyZu8&PIc72 zdb@pMa{GV3`>^nSRsD`{x6Iqj_y06M+$UAMFE>tS-;-;xRVP(%cD<NZaQf`dy6HWl zS#GE0t98OvDs^f!&d%??f2#LXwN0k=D|Kk7tl9D8*`1Et5ygA0cfZ@eZE;4;OLjYf zJjwUfR?B|)c>mjexUcl*)a28bPlWaMOnX25yeT*W6Vlk9UAr8(C-*hG-2`d3??2iP z<^O#reDlnObGbHRb<geNr0@N?e7!CE|Kt2N{-YPGcJt(({(kzj{`|DqEw?}2wVk*- zb*n(qEsfPH-hX-|`|#Q9&)et!i`iiv_dR)WN&dd4vdMpwbUqoc{qZ^dPTuMA?K@x= zm#MmmT$gzKXw&Tf7BzpKJ<R#?<8iv%gQb4o>vd{gh_C0}KU;dCxL&RMj;GA~W$pes z{Hr`Z^YY(II~V@h^ykw=qu1p-RBQhH|L*f6e)lo)L;1%YPd~mb>NKT$Q`av&L%qE} zpUHw;^2ze+58L_H#$Mkq%|5J~UMm*zVW!yA>F>AZ9Y68#OvQXFSeBYOwZg6N;`Yy{ zyY?8LPEJfYo;$Dn#4X!8{~s~y4i%n0zF+v?b>@0uyLn&sKD~5z``+NXpFehGT>6!B zvoAVG{r8uhHPwnPTS2*5_1MzwE#Ppt(|+iEonHCvm$M%i_Z<Iq=FiM&@!M~I%BYDI z^6#;aw}{@C4^1u&k#Ao|`yZFMx$)1X`+V}h56CTjB+J?tedw(D^I!J+HvcHBs<hf3 zTzA*xwZFc!Hpku1^7Yz#_r59k`}m>r^}UvVzC>^O(f@tUOZAo+R-jybXNSp8pHtyo zpSRDITW7uce9!#M#V54m{#E?Ad;f1h$J19k{%ky7tMMo4)66O5yYAcljDb`VY2^iZ zwzk{eUAkRw{p6iP?XeYSZ<qH~{JzVd8rpOG9q0d#|9>u&b)9;-HmT?Idg=K1th-Ae zGH-vL&o%qW{&!~|>gIPA=Ki>Q*?Q8})A{}!hOwZs_~gdd=@B}I#O|)Dymo$zMCH$? z(_^HPR$aFHzOW$n*Uc}_3hvg++)gXm^&a7lGKG9w+n(8nkK31B3$_%#`ODj0z-~hQ zw2$rUdGjs*pM9wN|K0YoJNrzllxoj?%-CJIIMwy_BG1>wr}O_+R6O{0=fiHM>G%G9 z{LuL2+eW93n~T>h+IPBd_F-MtsgJz>*Vfb(I{uz%e>v&N)9LTOS<c$|<<gJ+b7Jn- ze%uLZ8=T3Czh6~#Co(thpM%8u;3wDr|L*_m`{TyjkCF4!W2#>2K1}}p-}gt^I*U&~ z=HD;=Rkqsj)2G|B(_*K@m!D++r*`N6M*f!B<zca#{{D=A_>W&?T3d@iFsROc-BDlv zxnknCODQ+s&1dcY;d6W6&&M~@gN=+Q)%e}5sowPGUw?c3d|j!N-`D<z6=HWG{k^kk z<-ZPmly52Dw|MGV#y9!#)j0y|_W%2pv+hRi_q)%F-%il@T(^GBnv`I0_P&sFduL$8 z$Jd{Q;7y(f2A~Ga|DWgU|5;1?pE~vZ<NeLQ<-w{AcJFl;>6c^EY!Ucvi`@J%);3%e z!J)|KhSDSpPjdOVO~6T}2hw^nT>6%?_R+3Dol82E)2_0wIG=Yt>tEEoXH{`w^CQnp zN{_5<NUDx?zZ{o;d0T(lOXKdyPdcml3$__u5BOIleKhaX7D;n&xXRtJ?mu7Wh@|@5 zwwtx|yiVH5&1>uq-20{{!hAq6^vmg|N_7wCfI4jqzt87ge;aqb_~I|uFEfvVt)6k$ z<oY$8>(6y+(+s`mrG8~hGd{aD^h(+Kf1BY-?&V#-vLt)$izuC_X$WgS-KmP=D9ivg zh#oy~of;Mv=677_EZFUT75|Ih{j+t>{(0Yjoh}HRzxT`~NS{(Z!7^>9T{_G|0r!^N zU#=Ego_eciHq7A;mb+`sdVf^kyO;Ko`OR5y`%)bez-KbQaR12LAM@@jcU2^)iz=V+ zUu)O2^4<Fu|NXOb<C@ypOZg3U?_IZZ&)*tf{p|Z`FPVMx>vi9j?S1<8`RmHa-)7$O z31yG3-^#llw`KnNm#J@W>(n;9-0{_f;mMnC-RW1sA-B78e@%Z<P2HB9Ngu!cw8-Fl zWOv!8v_8-0QE@?f$kJ1}2N(V9`6S62dTM_B=eX$7`16x>vhT05nfLMh<UfBhZmK+f z`#Esws_pFEkBnDU&JPUD<36?%xefm+^b7Z^CGk;zow%PK+5hI<H}SaZ7ymsfo}eZ@ z_k3ubqx;qxw~HwsH*3zj{4?RdMwPwVUiW+UeBBq{`#ygDCF9=v(BIAHHD;|i0t%Z) z4WMjt^dR@Nyg&N7g?926m&C6)e!Kq{L-pzS=yh{{_3Z!l^Ze$ER`Z)3VvpGeciH9F zxLst~J@>im>Bzp1=O-^ZFE!ua<lu`tvbAbY&lkQj{`afjF5OM=XU`)8P_<mKiCgiA zW5=ehUtP`OS5~fyulmcxTYdU}>y@vY>VL>ASy{8N(%?tWqL*&Z*A-X%U-|0qhkH!j z4~)Ciie6_b9$DB4(J5o|vHR)A2L+$y&g=h|`sMxX;Pn50q*=dy{N<njW!6s{t2v+M z`z~G}7x(+8NUdA%w8~umWq+Pu(pb@dy}oSmb-g;ZUZqd7<Z>3DUs@Buzy5CyIQ3OP zQXeb3+dqB#$A6f3cb|@z-W}^K!(+#8ndA2S?T??<cIOX1Fy^|kzQ(R_M$ftHAB(F@ z-q+Yw)VLK!fV)-#poaL-gWXv=ucvPV<+Jmfdg>a_u?OUo==xW$ee?W$Z|d9IoBGnl zexBc-c|Y2Mr^fAPPt)I1&nKT(zi}SSalQ5X+U1j9)msGE6`^T0dwcvXxBV6GzIw;z zn0I-Cla}i@t9g+}a`lpS7ex40-*qdk$?IA7Ht_e|kgfSYV^V*+O#Y?gU3dSXLihE+ zz@DD-{UW;EVwJxA>vr#5w|CVy-TA9?=4S-WPd)kGS|(-j>lH6*?!A?n|Mb?J?^S(o z-3&cLe@{Cfy3OBY<@rrdzRU7;e=M%LWNCN$tL1xB+1tHIlhBP&brWGe@X+kn(RDAT z@2;$SDE;1A^L*a4tIK=-d^@(?HuJ7WjGf%)S?SWDPv#$8Q~BEJTJtw6SN6B2=T7IV z`@I)a{`qp^qB7TG+k=;#_qbX1c-dKD-`jSxRL|#qx_u+pN-HY-N}A20yZa0xw^bI& z9oe_}lhs_o?X&NfUHr2naQ327<;Sm2eUa3>oMwLciR8>}wI<&4?mpSR@pg&HzPy@R zlc;(98Gnqkw$$8wcG5cgzE#n=)$3~4JvN=El4mZjy!i7@jr_phN<Y7*{_dHQYo2$@ z=HiyOiz7e1QQO~pciG}arLMWhls{RA*w#Kb%)WQ{gVodX*LU0v?CDuAAAV)IM_uhr zyTF-dyJxDMKY^()>(l#yz}VXKt52?<1m&}K4QRaT|2t&%&pZ0!rpmLk(r?+hzW)9y zc>CWy`2`owe3CQ(7s|^|+5Ns*ci(=+sl4mYmt-eQ0}Yz_Pp$p7`R~4ZgLN;gq-Soa zskyu4tqY`#UG--3{I}o#HY@F(yM6Mx=R5LhYA<fu6A2!p3;lNU{I}o#9Op&*b1U-s zLMoLNmtKgU%dG3XeZF>4<gYiX`}JLK-^-1y`&q$YEgGxtYUp{htn&0L;j^>Sr54*= z{&w5DZujHc^U`AN9w=yAEl>6d+7^3n(=V6J&t@K5aem9+Z<Fr7`>}0#<aB<E6=|09 zmuFgUI%D^9eyY!GWvQ<-x80BXbX)fRH<Q<&7}>9`dH#9J+r_D0JJZe@_Xf_-{QXvS z|J{$d{+spW3nr||QP11;_+n1ka(<crj%KU{1~K)2zupYl`1{>%{n?KUZoK|`?thY4 z{!zoz`dOR5b<etT{dLH_HMM*Fo}Rr$fLSs3)a<S6udmz_`MU})bIy|6nHzr}ae|Di zDp~z&|C`g5di$6C^-Y>Hbm}bpjQ$;bxyvr%m_7Hh%KhK7AcHFHw`aaTBiglGgxia2 z+Ue(|=~7%r+i%;nK3cnWZNZ-(A9MJgJ+4{gbxwWNlbS_Y=QKeSi1%y`OwjA+`YWPc z%a<fX@~^kPJY#FX8=2ep%c|##bgk_E+^J<bf5oKFovSRDgD4PhR&f`In)JC-t5>`$ zezGs5RmpHcz)i&e;E8Kh6?bR02_9{~?Bpim{|+=t&%n^`^)I_nxr;GlTZFrq6Nh4p z0F0O=kPIIkm2z7s(eSME`*n>U*P)FY5$Ko}15u;eCa24Uv=4iNTRIu5oI^G0o?C~P z_io?+chZ`xeub5RzXQQ?3=A@6-nt_4mPIOe3J&w$jQRR+d;G7b1)u-_lRtF!|MB1F zyT0EmDYp1^ZF`z-`=gqexGQ#f>UsPS%?~z2MlG}u>&)N(_uGZM?T2%>-<_tr_TKGv zmH9h<+>$=uwK)85l11I&bBFTh^q=m&b^Ps{b)r^(-)`7ztGMg!n%p?;ExZ3-IKTDv z_uUKco(k*FEHA#@ool_bZSA?fw>`)EwpD9wk6-Io@80*ePW>gPufg4|)!QDXA1k>I z8C^N>NM~(8&8sUbKU@j+UwZj{<@su{C>^~|ZHw)t>t6Nd^G%QW)mLKoujg~&<Am zC-tlo*V-+X-SxNb#kS~$Q`fxzTD5SxN!Hf3)8*^sc@I7NRTbf%WLzc@8GE*C3+v9w z7BkmrFaA@<TQ&P--J13J%W@Y;rtK|jk9zBOE6=&NqW(h-WDws&_v^LG^M4#sKXjPi zevY61-iSS$gzo+Ne7*JcI(xZuw%_l3NuSeyI{iBL>+I~rwHnu|BWEuxE4TPnuwkcI z*rczS2TOBRv#)bWm%lT!`ko?j<WNZ194Ye~d$t<<uUa0XTE6P9RLbv(E<c@2WO9t- zcdspbaU}4>-Cj*MIY^SzIUdA)G;r?GN7w&;x%gq1`99S@m#Xu9>W}?gno)YlZIAHR z1minaVF!8_mzY0ZbnV!Nvqo>P|84r4bS-<yUEbS&^ETOp&8SYSEq-n<!w8Ps6}K;O z9}SE>`Y8B*z1p6CzWJQu@qe~`NuLwn7WUi4>QO;K`1%Lat~6gb+2!%^=h@V)QLA5` zpWM88d&D)RZ`^#7cdnc0sGqa$c;JcGc~|+sM!vD$D$=z$RjfPS?(5<YU-$p){_s}+ zzIfdJPem2SRrC4tE8ebt_|pBI@ATteySMC`HEq_R*xjMse)D9+7EUVL_*7|&)!z+! z_sag-$-e4c``@O&InT0}Y@a9AVXbupY{3D$(CCF0QEnT5Obxc@^1oN_zvD@|9@qZ5 zN8yKgum5%0@od)W!(Xq*$<{6YoBi<7;^*!4d*8p?ayMzqp@I+ZPi}bq;C{-{E$9Ep zif-_m_UZ=LTj9e-VXs}RwKH_n_CNSg&?{qhe7(KgA)EfC>>vvpoR?g4D%|oS>ErhO zpN@W5cP(p2Qm*NmHE-4k9QnCguRh%Bkj1vyan>c<w_l#P%X+c=Ii5SQz0JI*J--!K zIKSK!mAH8R>D%wy^U^~&&Pli2i#_aheP;9vy`udO3<`P~7#Mt%|KB~aCG90M1H+l+ z-<%4+91-?U309P`tumQC>%O=BAIFNP?)Dth*X}i*TmSK>_~F0b?FDx2J>Q~l_amU< z>D2H`Pmk+WhgW?3ec$u8f3AVYKXbX$63G&uzc{TISN2oyj#_)+-ix+>eNJC5EZ96v z?AUdy-Mqal3=9l2;sOhI_#CXblD58#f#E>Xl{k%a{Yw9yneUgh`}2^0`Q^=3KaZbR z|9IGB-tTLZA0Fb?x3Hb`W1{;$nSU?m|5vs7ey8~1#^ZAQ_5Y{3Uw-<0&gWYN|5(MB zymhaAT=wl6I2*iO6T#oT?)bNB?UmQACm(q^^@+vxLsk0ga$9??tyz`3LFrITx$eJF zm#0WOBSS*{imd@LAu4vIuR^TsyXDnu|35r$FT1bm`}`#z*RHSA{PSeu=S^D`Z9cy# z-@I~R`utk6*<26R&sm%2+P{+CF1+1*|Kx`$Hy3?W$eitaE^UI?1oO)mkE%OWCoQd* z`J0t}Vm1o{LxTR|ruTVAAMWKkR4qD{k)h$}%C!M8r4ucFJ?^hJsd=NkzuxNI?Oo=w zwl+l<UB%OaoyF(W*O`ePGCprJd)xW%{kK=2%i3dHvN6T=SIy<g&2N4l{Oqx9V%VBV zxznmLUTylu&8Kz!MB>KM`(Yexx(o~lf_$_X`rTx;g_s!}_GW2{+%GuHJ5{{1+vaQU z`gZmCHAZ`Wy;}Y9PiVLtcevbcrI><)tPh{B+hr6}_cQh7C-Jxn#hUNc_q}h2uXlN9 z7Ag2Tpn39XnaMlizjJ@%zRm$kqznZWx_bj;xR1Oovz{B5GSQ;y-_PfVFZ<ie%KiLw zf9dD-m1naLiH66><^7rZz3sGKb@?y-@W;9n<o;c{-?n_-FSlEDf>nFJ96Y$ZzxqzW zPlY>IS10)-S>KHNW64o{b=|bx@dY1txPfhIIGnYz^-+XM-}X6uVzDZ6KR2JRQ~UD! z?}rD?{H@dD>nx+KN?x^!A7bXOQMCE@<MG4d?|0_v#x~r0_F?D2w|Ou6Y%O+7)$>-H zWH$HG)@5ZcROX)BC!MEV^6PF&Zc<M5T0eW;uJ6`6<EFkBmoZu=2)6UVgs7;6KTb?k ze)!_z;+J1Gbsf3rt-n{q?pM1!C%@f~gdbOy|2Mf){l4}gcl?i}AE)>KdVS&TJyE%; z7Y9GM%m0tKl~?ul!rSkcoxa?hD4G-8d|_v)@z4Je`LEjFrqph~?RzVyy>5*OZ&hM# ztwqtsHCM|n*^AXpwkeD*wBNS<ccSvOO>DChbB}+ET3DMD@g!^R3Go@L@9SH{#3+NE zci`ccEl!0xT*n`MILvRqXN%HD4;jxtEB$MYYM$`d+1GrUTtDfa-?!lTe^P!l|NmM2 z@SOGkFKZ?q3(nj+>A$G!wz`xHoY~p06OHdJGK-YVkG!45duYM-d3y8LT`4j8Vpg3v zAy4aGmQ`oU>}E&(oOSD4r*8F&x?z<pP*u)5o#&m`ucg5=y}onvDPBMEaMPqC-wK4l z&YG2zYF&6)wm)sNb?v|A<C`=WJ+8mOAN~J%l-c%*x9`ecY^zSJP2DfL_>u0q--*gO zZCf{YUAh|m?e)2XV)+~GJZsD<<81aTJ-_j9+wI>bXMgYAx>dAK-ri0}<h>0q14D!4 z(|UFJ|G%$g-2L&XF!eSg1H<Q&^-JaI|Hi#C22U$n*pz9%)B4B6aNytafIaWmwuSB4 zT2fX0GAA(BZTn8YB-3b6DI{?8(FYB+liN>kzng2xz_3Ar>*((|?VOU`3uhm3J3IAJ zShe!q{=D+V{}!1tFfeS;KN5KOv2uI&G)4x7-`!3h?XE@3|67_Rl0Dbep0{F?V|woK ze`|Ce{yf4kwflak<QxVDhN-9P(+xcL-Ce@Kz#!(m5ISAHAQ7b{1`aX?G{J^vk)BD_ z7Mx&7-zA6%W5itMXL*}xzJjv<57*rQwOuWz9esVyWK#c@oK-hYdC7QHx!LTuuz)mT zw{P2a=;Pz#n|HPdLKZKWc>K%$_~YwNr;j2cQ(i3&@QLI)+8({MHEBjOfu`uw{XyXx zY<zPwxsS@^a2>sJ^ry?zIsPFhf4W?q6AYq2yt9_BAnN2#m#N3NkIoCkzjk6?AdigJ zyudp;#kPwpio(HOwg~wY-+g@jdC{&$XV-;0BA@ArSj&QCGCKZ*zxw#P7(NOIUe_?| zcm|}gB;`j^FsI%0OG<?W^H%sO4ZHsblW$%*_~Bgny0qZ*>Zen~583~FEPV5hWPbf` z)rWJ6&z*dB?%US&bI&YmXjb^?ae7(T+BGW#<kT+jxgQ-J{qV=f$Iu3~Nzgy-uRp&2 z4B|fO-Y3Hu9$#C!<NLkp&8k;_`Tzg9zePEJUqMAma^0UZ+YjHJ|F(7czK^{*o*eG? ze_i{qFFw0{e#PaVHz&<`GS%wq-2B%2zvchiMl;B;a2-9n2%0FK_K0^)FMItmLS^6I zZ?~Oy-{sqWw=8<wma6>S&-Olil$~#*-52+@`{57X{d2ZTb$tdk&v(qdyVYs={<&fr zWxaXU*}G>yH2XC-%&dM}S^0Cb@-4ZgPWIdLY}9hU=d4u;uRs3n*WbL1{@g`ZU%o%F z@$P#S+l8E`VwEe`mYHWx-W|7X^1qYMo3bHey*K!$g=v6l(TZ0qmtT7Mea~}SZe20) z%EK4u^V)wsX5Q|tSL<#iUw`c9jvBpY$4~p4PK1ePZna)``+a+FkmzE^8!_?xuRU|V z6-CF!p5^jm-8osK{_vZ!UHW&+w6{#E+Z(5U#ANNYg35jOY+mFp`@M1BzM0z^(=_UP zd5$jn^lgL2oHf!%zInDgZnDvp%P*g-8zjBB6I9tVC~V#0RQRRSzedUC&xgY=zg+4* zs;&KSt$ls$j(5KvKfF_X-nahP&qcRGrXx3`=Y(08JX&$&-LWGRJFMrP;qpEF=*o_R z&(^m7yl(weYv<c{1tCuoigni<soN;9XWOK=_1ZaiW=fmdocN~9>7TbubJ;Gppw$cS z_PxD+IO@~SP1F88?s~E-#`D)QdG5Ct?tborw6Gbvw_b573`yVkqtw3EWY4?ae9rw} z?nz&sUs#uU>+XiT@7sE}Ji5aDdNyn8lho|eNq;@-_{<KkO>TW^QB`5AmzB$N+d=qj zMemoS#2uHVcW*wR5V=pTZR?|Lfsp*}uy$IUM&BzfvH8FL89)4*U*)~y$D{2_?H~UM z;;l+7w(awZng9FR*|pcQetmwD@QrutE%i$iCp7Oq#vOH0p=481S<N~VlZ!dmPkacw zeAG|vdX5>SWC~au#dY+eQTI{(`M&~x{JQ_g^~bmA@445<S6c3QJZXEo`JQiAKYUUC zzU=hlU#+j#E@ypoZjb0A$*qW{_PTY;R5uh{&--2S>B$C*X<Mu>bI)4*D?7#146=S= zMQm>CqYTNTkHY=_YS>i%w|zKi{!WcQzdGZ2&11fEKb)1lj_-PWb?J}ZZ|hsT`{&93 z`?_!U<udk@D;i2ST{+wo4XQckX3fn=XI&d6!RKWsStdJW&YBN8NwcyUuY0T(z2ti= zy>>Zw#IncN<@pom9lr#QkpqfB*$XYAN;dwu9se__B0SqPX5%)gu&}Z)jz@n&r|;L6 zO_aIqyIywJ?b|Os?#{i)zq4^S_Z2SbzcKgf>`SZ{I~Ckh`0`FFY}dIvPUT$_dr!VL z-*eH_#PjNP5ABk7cRbdgkMo}?!N6cq^XY!?l!^KA+xQt60_NW0K6+8u-)5q3Yr&Tn z7jv@e^#6Wfe>heCuR_eWZ|6$>9Tkt~`F{Vu!;5cr!ux(aaDF&7JkE2v{O&ig50AdD zSI@QIdQjo#{R*9AnY=T?^INTI<gzB2$*#R|??qdk<HD*7k>1>ov*+Gzb7ShB95efF z-3^a*rw=}hiU?O{V_@K$xX9`Kl1K0JUaNg&WMEhoUcJ!5zU#;A`+w4Yd}z0y<9Ghm zQ+fOONBA#Syo>g4<JRBv;ETs2ce$TMKbF`3oBrWW@%h%{a@96}{)Wr>PM=?VF8lCL z@t6tQ<iGabz8S5*X8qdMt(%i<b#}?!j+TEG8Q(9sJbcn)8PNq%iI30u9Xyeg@G|P{ zh2F(~Zy#3LSigpmfk7th<L~K#Z`9&B&TT*cJy3^@q2Vco?ey{uJeQb0rCIIz;gaFM zMr1yZ`sr({**tIO2+d0Vm%ZfbySBRhvtzmsX9rINMNf{K>)pFuhj)wCcHerxjhBHT z!7xi(Bs^B7@86Hd^1SlDPrRSs_j}trUw8ign39XFH?Le^eLjD_Z|jFM#^;y4{rvaw zH@<m}Ya&&n61QGyZVi7dySiId`;zY*pKUYs8q{XJmC7vrweWs!`?Un)y`K+MoevG! zzV`PvCj$dU28L-)7sVMEzU`0VI%<BW!1<}`BPIXZ$FCorRG-hYe&4SwtNN=`j~|+P zd>w~)Oo8K%ovYXJOpmXN-1VnbJdWf3-+SLLeLEYtXu+H{9bY#d^nBczx#;HliCaAO zT;yuLR}~Tcn#DAUfuUhxgu}eDFNUB7khi|bai+rEcm0-&N2>JAtN-`&!<Wnc?e6>j zl)wCw9rt-w!BNra?b7v6_deWo`rh1sM^*l*K6$ABH@D)|%KRm7`S+E5`gLr6^M>%+ z?bp5KGirYQl`xO7<0xNQbTPm<R(Y-8j^f3l@+xxIu2r9mVqjqSHc4Mdx|fH6;q=BU zPK7!`$D{q+yY+<n|J+Qs7yk9PuHwVN_Cu%j_s`LlwfcI9`(Y#dJ^{J<KOaBrtbTWH znjFj93!U4yoPBmKqt&lQE^E%4+_pcD10Hi6|1o`Co%(g{%*l4=ciiQ@yOCY$c=~EJ z&2zW-OiuA!_gG!4wAaktHI0FRK`mH?jiI3mG|=<p#6(c0E2)Zfee`1K^f)g0zlZx< z*yU>sYF=HgmwLDN`@RpY`Zb1sp2q(zeNpzFi{JK3!jHxM^*Xucd&^#Y`<<!y>&*$S zZQPq~y=$w}`J-03>#oYAIk#{B6<{}NJD6rQ>0?h=#(W8}ol)waSf4q*xU*|!Uqw#& z<bNm49&P*eH)Z!mCbJi<UuADME}QvJDl%3!E^%Y+$8=}0%&C$L3=3|GbTTq5a6760 z<hJ?0>+40_Vq#NeEQ?ZpZ@Zo6T`#sazGP1My~^9i`(k(2-Yxx~_xtYqeL8dXv$mIS z*=GIs$0^@Sck{%*on3U-H~Opf+DBhKd1i~`F5i0hUCH*}$-ghWFW+wc_R-s|n`HIQ zT+hlc-}STi>-OJwzm;Y0x%=`&-~RhEFSvD7CF#4?e(TX(H}TD-d(oHb_pF~`_ia-C z?yXx*=k)!5I*l7L;+WPyG4uM-6WUSwkO9aQW|Qvk@pqpS-?_i%e#!C0C%@bTx3EM? zGs?F+Z@<g^TKjckeedhu?K|GJ_im89cFk(u!BhK$?C+|5)W5<%J@ejs=rHR;`F1~M z28I<b|H?mo+@IfjP4usA&Lt~QzWfxw@_78w>A!lw4MD#CyIgy_jxaE6_^&DQzIye- zt9k8ZJ;wav>)f?(_p5|$b_KO219ceslgxjX{`~fsk%2*Hp3}#D*SVzc=Dkqc7+Ab? z$JKit-xuG$yJCL^X#L9@?!uH0KVCdol*+(x;NPNvJ^f#=wb!qdIvTb7-f~8Mt8D9U z=|?|zHa2V2g{L+!F))Pa{hxa<?^CWCD+9xZ3TT6n$Pw1_UP8BFBdkh@g}aeA{qB?; zUwre8-~9g%Hs)|WNbs<`v}t9MwsxV0X8Tc-_usEw3$v*EQ(-pUU=g^#(ZF`HURQ3v zo%te(hO{oz6vecjwZFG<P3JcBwwUiS^<j-rXnV0&h~zo-z*LL*E>|DU5ejYl><Jdg z><J`t^>9NQZkMt8XFoqM>)GFXw{CGA@0UOSOlb0M@LInE3n%@LvZ<?G7r-N<#dY+o zQTf6fpmpR73=Ymu_6POH&)<z$=cpmVe8I*|7+gDFC?G8`QHHChzCGewZZqrbyDvZa z<xVf-Yb)PZY&zTOw)XlxLjOL>&p+|(T*dF(`kc?_6#JQ#Z(CpcHpPrphUKJtlv!v_ zs%z^9gPCx@ghPU7!9-BvTYr9fl!jf=6OSFgUada7_xrusx+|~M|2qF)Ri^TD>tSW- z&yUmh$-euSqi^%IH$TPO2{i8A_Wf^^^`9fN&z+z4L}mSzmG5h`|J?ik<NZyh2MPfi zd1BD0*GS(JuxCrO|8b>{Z@1r<yY;qg$J=eUH!ZzdecSXsuXud*<H*7<tKxZ%=l^)R z=4t@Sc=#5FjoBr)BX1i=z0G^^{>63vze{4ZqwUw-J-hub-(SCVsjG#5?JRw~aq-)E zQJ(8k;y?ZUefNUgZI{)T?@vtLeg9_Z{f7mb<-I;rV*VfA=ea)zGBn~4OL{|}|ESXC zZyPl3c<uU9weWg(Zq(sNACDZmsF1U6efw7xv&nYL*RD%*y|r;q<dpr1W!5FX|6a&d z|2^r;>!Y>am$pP5e)_ZO^MdU&-yQ$;EA1BBtc8C}H|-94k)y%?o*SAn9I`T7AH5Ks z|HGx?$HVr^FPDmUhld@CuK%~I;O^Jshj%`oH~U}DXV>j3_124DyMFCZRN$KLo6Ig| zWo&nr$XVCERpowERF3bhHEUWEH<!H->v52?nkhQ1{piZV=Cje<pVyb43N5;Q_u+~Y zpjK1o-$(n_9<A-MzQ!e8{>+V+f74%&Lz8D`cCB1D@$MG$LvAaZj6kIf!+|25tpPGu zBX#QT`pfgKuYMeTNPqA5W1#WwX>mF{<yFbbz3eAHeKlAkx_x@R^{p`VCCxJIvmajD zc&JFmc8{d^R<pL;1)W+u-8R*87-d1`M>g>5><y5)8meRW^>6y2*Z03nEBJUc-@E?U z&!-)W3(8ju%;ix}*N}q_o!`{<U;C}IMCHkYbsw3^OgQGAeYoPqkC@DiMOy17mbesM zDl^W8%yey#zY?U;H!V`9?&p>ML)ZU5(?7I*e@%SF-&3a_%1+;7yXWE7^=-@Lcbdn_ zUB8}gx<>Eev@L7>>~r$-+w0ynu3DY>$Z<#ZcBOA8Cm((kaVd{;H^=XDcdmQvmVT7* zsG|GFr1EC)@HRt4*DX-rq3h`SIllrcKK-jcq-tLr_~WbaeyO}2@5B$8UXPJoxBuI# z57KYrxy9ve?f<;4EnogN>ta@GNlrn{xBOiT<^(dnPFdN`y4~sbkqtdptuCJ9idt(R zGo{Apjg^LF<ip4#CfD~|_b5C4vgvkMa6iQ@eBmul&(HO4DY*UmY*F;Bup2L)fBN=& z;cZFLrq65(%dc+;D19cjE4U}cFK++C-gOH-pY3#;l)ZcY-J)OD{L0RP8nk&o-@7d{ z%(iD>NU+-~-nCeLUWL+ZF3{-W%`1Q6em&$rq;3DpaYxSExi9~8>+h3zxBq|Rg}1e8 zH6IVQAJSgGXOf<M+0EUDy6^w@yH%G3>OX(fFy`AXdh2f8jf|s=?b(U7udE8s2+yyz zsrgqp$?Rszrn9|yFT_PwvCrMQ#b94rin7j~7q{N6UBC0G2?ImH3sKR^(_(d^?Ticy zKo0u6xMTm%v-xfO^*;{3{IVwQ-^b6Me++t`@B5mv<HI3tzkZdvClmGC>wi73=R9xw zJ*MK##^Z<Y*FU{j^3(G99<w?12U#<J2j1T?ckkCjzivfESZiNX7jFkQ>+3J?zNGdx zeTB+VrjRq6_sJ>ytyej2sb#VK(6PC5n<gGTu;}RX#{PA0U;bz0U|=|KP;`I2B&g#2 ze>F-&PfKXNRjJn8`A7RF@B9DZ`Fy_ky}$i0{kRssU!?BSiOQR|1m;zK+kNxOh0W*f zX8X2&5Pm*)Hn`)_d3$x#>btyuE4*)AONe;0wq(b{g4weRC$AOu?0a}AN&WE2O)J?U z&HuJ+mALzdZ?jbB88R?j;8-kpaJOi?dauO2)%pw!8zQ!fcCFSEa<BjMvA?aq_Q`ku z<F(P>mo090*W2~tP)^p1rqAckFLSDRHZ%S5x1awCt3$h#d4Jz6%iuM;Jn_Wqy{;mY z*fyq3&1k(E#4i@tTfRnb+8Ph@LZh2$yBKDzcw>5rYi@7ZE{iQ@PW8Xf7;kGc+#~yS zX8P>uwbLv^Z|=(1W+~sw%+Rnj;14T9!{7DOoIdiJ-wCLkxZ_0d{U22opH8YDUcG+b ztaI_DPd64k-Kc$tRXj$Z?%gWw!%wHjPt&V^*eZUgeE;{oTmCLu;`*pJOybM6!?&W; zb(Xl<Oci2%n&P@9nb%Ls-$(dtLGO{KkCEqf?1h&v{k%?_fnmYU9yK<GhF{Qu{%-|W z?kaU3x%m0Kz5KkoUoStLnEqdHZvENpIN^0W9(^i!ync^)Oxexdm-qJ^*+0YP&(i(8 z{<dFxxBPY5V)gKx#S{av&g<3Oa&vF{#ohl=EbwjB`)^f;*;n-4>n}X~w7DSsZ)om{ zqb1i*D3n}!-uhl_J6}F`{jZzPs%=iofD6-v#%WO+eXfrxPQT2!==x}){qHyFPW$ik z^Mhukem<SPd8zaAxC%v^4+q2#J?^)cvnxM;_vWQnpdpRG_Qi`X|GBkLI6raj*|`_F z=KlEe*y85xJAo}-%CnLK_srjaJ@=BDZp_x*3$4F7JpQ5cROM*o?MAmk&~#bIInWT} z4S7(tSM<cgqUuYA)!sEqg<mdt>$mp*d+2_sncq&r?(0i?-tYIS<9{3y-zV|!)B1l_ zCA-U8+vTbZ{#-n6KMg!%_xtY_f!eYZ*4sy3z1nc#$~&>Gr(L5;wbHlV^_|}+^|@rX zr^;V{d*;#&Gy7uf7K`3eZ~J}0YNEaYzti(;XP^9U|GjN)j&k1W`~KUczo%Z{er>&t z+4r#&BLl;VNlJzc3~!WA>c1erlaT)Q_T8L|al78iR<`{;m-F0b%eLEd-<8$p$p8BL zu59^Tsch?>ux*F``W^fgR=xZ3+59#8@A`jRYI^ToNy_p2?|m|qU2Hc_Uu0K(?8<eI zz#cpEu63D)*>6<umt|*XTgd)Dtt$>$m2gH*IraL~sqdAcy#%#M_qRZL2{m|n36VXB zUc$=^?;HA$mfu$SclFmpBg9O>pT`$K`*Nn9`0r=;@B7+|yZ(IITh}iCvD}=2VMW}( z>mPq;e-D}u9+y7waAUON_7xs7M}IDoketuXz#!(nu;P9+@7gl!Ey;5#cNEx0-K<mD zI7=7QX6;BStPn}<-9CStJp+S*b?c+r^`d6G?q2XsTKvqbaP{7a@7%ZV4y?Z@#lXOD zL%hq4QCgCRf#LUj@N|KFG50cw?73C**=$~{d3$5N=y`QEzC}M`r!sOeFa+%UQ_hz9 z^!F2H28IY5XtR%@p^b<Z_^fo*&bJR$z`4U@B5Z$}!(qg7oAdP_B0$S+ROB;nhHRWV z)ndw&!%4HgS7&Ey%h=c1%=VT5hXsQ}^OOBz{qggq19=>bk4kM4Fg|vzHoEn6>kQR7 z{)<i(*mbTtY^l16=lSFnMsxfZy(;kQ)H?iA1uS5447OR20eJ@_Rzch@Yg)dwIKlUG zU4CwE>*M47>BXHVW5L6>4+0?l7|`s4XzQc2nY#ntlz_dwKoQdVzFP$fbp{5=TuO!< zblf+?g0#R)weFk>3(TvCrNV#M`%{D24_n{gIm>tM+o|Dkod19H`==DA@A><7dQ0&+ zOZC~-x3BLjGn&oB*YreLced8HO^ci=X86GUq7B)Vb;0B3`YVsGKZh=iiK+d1_2Iqh z_h+Ytyte=Q{J&7&o}Y&vPVD{p(fB@JdHpu=dB2XCZ&Fp1seK)N_;=k}*}6x*&(pn& zCWXJcQoc{L?tT5A?>USG0vaOb-O#9?VjQOtcWdqP<cUAN-OlHKTV{Qy>~`+WORx66 zo%Ow~d;Q*zYb>g+>bE^M|5J1=OXJ+}r><`wmz7=Tn)|OTYQYUt(Bhe8SNZ1V*?;+* z`|Iz8-0hp#(zDBpb0@zjFUpOcJNLF!w`}hBD_%u!?`*HzFMX_h?NyYS0c(+%&wumM ziy&*E8&o5s7XG-hGWg-j<@3Cj-~T-CyUvz~m?ymM^=5yrNZ*&y+xbQIWz8SS=Z`<_ z<Y7DcpGzs)_6pzKI`f<(To)IJSM61qWVX;$$8K(D$h|}Xv+LInR|(DDdnYVBe6v!n z<2#j8_YJJy-QJLJ*XtMWcinfluuKlj5&N&`UUs(smx{g9>b)DQ<)<A6mF5f#3?8~` z17vC+3D4)?xBpXMwf9TX$5UPvug}MeuiO2v!s7d#;>+_3_q{N?`t&QfMWfyIHSSv4 zi<ErZHL5$WU2Ai_e<NRYreE~d-HG3$KAtq_XkEF+!ZdF~<?EX}Cr7-Wviixk-G>7w zHlN*L@43I^{-nP?-}j4}y*tw@B`3Ob@`opBvyxV2t6b%pYi)ih^`~97@NaOUX3)8g zYthZq7p>>2@^hvXCSBm3J^S#|)oZ0rxvllP(ZTxaVA_t-H5+8y`Iqm$c1vPgquQ*e zQkh#1cP37)aGEci=Lj0cU|_hC^|JNRigigJSH8Cwj{CoCdrP-|UGy*GbMhhJ8Gw{M z^WXMFy%jzj*k3LxvT?F1qO<U7{X|Czi9SdV<P9_NOKwUdV|`BtJY2_W|7H8tm+f!g zzP)hXR;Kj)9d&=c?qtv1#(Ni7rtNZL+glJGb0doPaQ(T7*ZB_5D!P<E-4|5wGBD^k zlQk1?`byST=jFHk=ljacH;(x<@zC;Kt_XGSUca2yvMT*MDX%~)aJDANY8x(0n7YQ` z$HZr|zDh~<n&h?T)&Hn@9J1%x;~Jxz(dXy+p9_-R|F44Mv&}R)a1`-PjN(3eaZ&ft z`~OafAKo3c`eE1Bs~a|L+Uz9oeEqIJu`f9u-^i<ad*SXqKlfMfdg@N_-Z{I)X?guJ z-~IP_)eprc*5<0N@r_osTPtc-bo<V`@6OwewOF3>I_b+kn&C5dU+cRp8J0-DhbKHN z-aOmkR`ohDwbqy?YGZ`WF1y`{i%mIZzs^pKoeCPJZt<(PpXeg_^V{Vw%nS^x0;?BV zR6Xic-?UPoPtJCh@7ecD<^L({`LtNR<@B|CGtce+@u>UZzu)p4ckiVi5|^*h*z@U> z_RCMn)Awrc`SJJtlDEsvBvk%O_dhD!vC-r9>!TgHj~EX3Ug$k~_p&qJa>4Bzi>nu2 z<$8<Mv9PNSl+svxmGkdAw_p8cpRQ+aQpzcLuk)iFR4cKE2Tm+se+WLY5K5DYg}o2L zE;V0xy{7%^SBZ^hy&^nsePvsF;oY}#8|xiCal3jqzFphnrnL>$+c<PosnA}mlReS+ zo}ohd+-28m_SpS)EeB09Tikf`U4QWmm3SV#SBoP+!_$G;3wNyW0IdZqsS~sJ`}Obe z{CcaJukY+VA4kXk4f=6X`FU!#bH%fp@6&>v&)faZF%v!HKc_tJR^1izJoUW%g=~8_ z)V)rt(tdI6nA@*6mwtjLA$A=UPM_Bs^&-V0vaA5Sa>%LpOj+-i$=Q~1Rjudc`mfjg zT5lPZn4J7iTaJNYLEhp;@A8g5^mM)d(QbDxsGZPri|c5pc;{mKe?Qz0AGiDTd->yi zYpX9`Jgh7pTasvIT5|B`^Ymavo6l!9Xa27FzhiH!^p`^)J|0~C@!UmGi6gT@7m0>> z+eTD4-Ai%Z)j9d3*=5!XE|+7J*Z$b?=!n9dsrRyWIqcyr{j+n{7GLoVI?>;ATN4tP z7#MU~7wI!Fys6(R(v`pCVcV(hBd+s)9lL&bvcH{VT<zDZCI41w_qS^I#|rB0c+m8r zI6PKTZ~vc7B|o|K_XzC!z4!gnx3d?!I9f(d?CY_~v@!I30&2ZRv;+!P)@=D0w|Fum zLqis<zwu$(>TVq&_w)AuYbt)dTz*(N{@?DBf2QjzeRn+SI(@iz|EGHeH&fsH{eL9* zU+B|^>Ho}ZzFslE^v(NvR=aTK(wFNt+-5lPa?9lF=Ko|`_GX3ejk8+!@yl<+yd5=% zPIy>6S+?KpoXB+lH)Zd?FY(`Hs{ECap@HqRc(=QtrVum3flr{Zo9-hYr(M3dsQZX= z{kNOvTk7`9%hh~X_~FxO{dDhkzr7!vDjqcIw;Y$Rx2btIZ};Y<SF^WTFANSb$=d4t z{M?($W#wO&X-KL5-Su|MeZRFAUY57JuDJKC@A=|wR)4?f$Nu$O7k){tc3$Cb>0Qac zuX{~b6;8h0=r;4Al%(kNXzB8KwRg8$Eu3Fwbs4hOaRHyVHh5L7jBS<4+_<ob7F92o zPCw-S|AX?wgY5D=^M8Gr-&Xy8uYS!#?s%U1pW*egyYB8j<jij?@$bcBd2jH{<=?uf z4m;~jO}SUB1kHAB46u&5_qJ^E{M(7vGw)@z8oXHBzEyMe#?^0Aq&I$;ovZ)O{Z8GT zIEkFLtzT;dSBc(wx#8&!?Vn7a6-x5%`fy))yQBW3ncMGaVw>6aZrGP>eZj;yM)_lv z-|EwA@07|b$9=wO&vP?|TThpPVZq5y${p^4z0;T(7`!L^VcJ*wxc;wK;*qPN$0jPf zZ_D5PcH5Wqi@#itS3aM+z4pzGskg7ket*C1{@-_}Fa2CpR_&g>`*Q#KJ1TeIep^wy zdh6YH-?sm@{&nQ%65mbl-n`{se7E;)(QQlFli#fFZhWg6?X&HArg;APsc#GR2XEMS z_1-&`-{o$b^0CistXx0w$t>?*CCBwH?Oj)CSc|>aa(RukWc<GCR*K+`%aNLif0or+ zJ^JJI=jrcd)^fhf&VK_BEw6Ciy<2wI+qj7MNdDj19}@Q*Ew#^SIlE!owV98m-P};| z@p7)v`Q27A%PRLnSLn3Y%UJO;Ff0)IdH>|`|NBg%!pka6GG!SU4CaGYy@bD8YPT3P zs^4%pd3#gd%%=Q(CuDlhGcz!BFAV^%bJ{I?%kZ2{%nrG=Irftx&WeGW&Mq4-Sa*4T zI{J8#otrQ-!+|0Vk@wYWH(Y$%mM0`_8r~KCwztH7*WKP<-Pb_pp6nCg-u?LK-9@RD zyVuUX!p^|3Vj8&j0$uEc-h0_Q+4>eEgTvEL_a&!H%(wToXJA+$McVNE=v>F>TnCls zIyi6LT~li=vNf-~Ja6;;%+ze5wSF(2U+X>Ix2-x$b>}bZZP(wc|2Emy8Mb`C^6|3$ zlfSeqlZ^Xc9iRLZG~~s=z|dd?niM8&_5ZzC?GiIDk;#*Fb0ISt)jQX&1I=hO-SI@s zXl!@VetG@%S0|s#S-jJqXD2q_OWP&?`t|Hg>5N%nyC=tqOIM3kpN<dLnR)RDxS<JJ zglh5Yh`ODiT-}d{B~>qvKAJl9!?pSQ^<v8Zek^#m^Z7FS$2AvoSDk*{`gQBp4Phd$ z_pUjscS~Be%lG0*gAUhaYc81NZK%vn{P)#wr&r!?Yng`@H)6Q8H^%F*tY4e*`#$RY z#T3w*rxy?2xvdmD7#s^(kjG#ek+slb)#ivlyXM<T#eF}vy(PZteecWj7V-UMFOEeA ze>XdvHdnbgdhP_iEV-Pv=;K_c3%?ZX%3s@?D4nsYqWedk`A)v1r`yG^nScM`t^f_? z2MiHa3oTZyj;ML{`+Upw{V#PNKI*<d?O)I5QV)0OW6@skLkngtxEkeKKTqaNTxQ-@ z|0Rp1*vr3i<xREan49zeQSs7+7uoi1*eU1EzW#mX?b7R_;2<jyAbt`<-xo4Bar(ui z^7eHut1t84zI}V){A!C=ud<@fah1Of`)O5K6!F6A*4&q@yqBZ>xsS}9#Q&@eGA_Zu z?nqX@q<i|^qFoQBZMiHZy4Y`8RYZaI7JnY^ptZtp*H~QTI_!8-{qVZxNs~qAx$;~t zh<%%WoT9w}Ma<>KYcIS^-#%yGR%4k!i|rVb7Y2`K-hR057-R2KmG$>OxLrSx0*a!B zAF{5I>HEAj?}I7=x3{g2UUaI@JF(0OH2i61x_^4zkLC}j`s)PrqN~%b{y*y0Z~Ohe zUZJFVK4(0rfpkiH{gTuDvESBxc=Ub$<ZbhBB~18}Z*xvg|Hiv-huyUA9W7hCDBAD1 z&E5;Ik9J%>xhPC~i}|jF@c}F3O0Hcx^y8M%`*Kj9)#T6?*87XLUH-z(!0?PV>ym2S z4K3{CD=Ln^+`8f3X|Z#fVsnz`ef=s?Sfy%t?&7y-=o%$Z$?)n-^qt=OH+z^E7$Vk1 zaUXSceH6UhZ|UdFKMF5>m;d+Y^262T|2?Jd|9Un3kiVRzezf!<<MVaqv!$xO-Av!S zQlRe9p5n{j7Vj&xF03xjE_b%)Q(gC9=aFjZC9F@*mXv(#yf809(@xTF+TFR1m&|6f zs1<^e+S$-;H>|aE-e0@7B8h<^qL0+3|IaN>>5J$3=C#y)Tc30O$cM5k>GMiszT`a4 z<W2gkd68@NQn`j*Qtu;Tbs{I`JxbYhwuCXD?{${_Ezsn{eRD<zho!4z1Y?exF)%cI z%F-l%Gl8C1^SP?Fk25WF=f*$#aY*HU9C-erl-Ez{JIB)<>%bYfp*ito>!S#TyzT9a zbBJ4w<bBm*(|5#bq}8SzbHDsNxclhZ!?#`L*IoN~E?xTkvblTqeSEI)Cba$4*EW71 zxxMm_+fK7TTsN<<KVaHxF0j=W9OO(eG%t{~x?5(YRk%Ft#h2YDZ@J_byI<US_2qKc zk9Gg<UGtp1CE)J6ws$v}d!t`&N=eGGJ|GtZDOwLOZrI}VaiX&Op^J;%FTYF`?OZ%P zu1d4!3%|XPT+N4rA6EMR&)o6--tR-=`#v1~Funfk^@X?hYTJCd(EPC9?%&2OcfZ_P zc>DeG))$+VwQuO1$l5*I?z6?Smt~VZisscl`<P(7W-Y(FDc@GmENQ}3q=|%NU+tIk zVKWJ<Bb(zQ?r)S$$OmP71_p+N|IwiRcdf~VKOVNr$3;!7Q0Y_ocV)Sqq}?a>{qlA{ zPuhFt^Zs7xUuX2^;Qv2+3!cxdue#>(c;%L;lmEMvqW24^JLhi}m(QN_q;vZ$KP68K zi7$tq{fdf+e7(eVl`&|>wBY1}bxY!{rb?DvijK=acKd<a?T*`7TiFsXMEg(IPCv*K z6aM=;(n^?3Xp1)xE$o~5txGGqU)SB>n4S1`%_5U4+V_%bC;iAN;_FAO9!gwz12iqb zZTL&J_R{^mpY|W`->!XGo`GS8FKCrEd``e&^V=|%Fwn}dr$HL`zK1z$-;1)^ySHT9 z3cba*W7MH@0kgEl%0C-!y8Wpz^%esIL-)dfJ@2<3a@#Jw%QNRnjAq_e^GknUl$pQM zj{+@PVGolrwXrMOks-;zu)%`s=<kU9+8^Re0@swjs+Ib%VDq-*`Y@aM5*8hgzWcFh zGcY))meu$hD3%2;;{`3v8*NeF8eKg!kgJD$Rtx`feY@#Jc~s<K<6Cz*bJK3zdl%)o z?qA>AuPEz<JgW{pyq;A)Ia{gxUB3Et@1kCRyZ@(Q&B)RSlIH?yZ@tP23(?A$edL|_ z4!M@IU%zgccO*@J`_-A-&DX)V+kScdwMubW=GL~;pT9nH%s0N<|MlzHExa;y8*l8k z)!|aVen=rs{^Ut}k7>(~K7N~5#44&;AG<LH)FWnKU?6YZkTtJazHZL`dpFm9sxs2{ z<K^~!yzKrxzqjuSS{~!s6d)PqyjymC|JM!EYQokm{U4yT^H|uVY}LI-ZZEX1``&OQ z1lovo;00AguXM!rzgynlc75+h-G|ffRVV*4K4%`aTG%Y9H+zF*o~*Xc@r#FN7Y1f0 z-(ZtkCC+_#?!6naOl&71%ZbYSwBQZZ1GqNbP7BL6{aabIVRM1WYOQV8v*vraoASMr z(r<EYzHn2fudDC;)V8!P<rn3<kNK%xk2jn7co$?$p06niw1$VM_5X+0A&vW&n(C&v z?k>}AnQLRIQ=<Czj+*$fwa2_4>p<(C2MR0J2FU0xj`(wGrF^UU`~B)MpNz#@<Ly7L ze%SW9PBEtV?A3?Ave)zNzxZ!!!N-fA5AVNMUVbZYqTA8MlSOUsl<#^AuI^Moi-Nj> zQ5OYm=nm6fGCiOsuY8w65jC3D#h|^m+1J5)ZFkIdz4?~c>HWIItFO+yE$DW47W0Y& zEeC2`81~}M&WUl^d+*IO+6ZcEWURV;-!~=jnocbPLqpb;IE}t}6^}Y|v|1Ls_ooGa zu725W&$0f`3-*Vbww5pZtiSI^(vJWC*qye2pZVb^yFAzWJ)gXG{kf6)o=d;(zjfyC zmAq^x{~ueNu_qx$ZhpB{&9lr)I!6RMLBsU#qax;|?0U;<m7SSbvv%`s1NQ}Uo>;y9 zcG#_`m)Gq2p{jj;JfM>@cZ*hj*ZL>6_Wo+nc7(OJxR3q}JaYffGxNjk_J1ChRE6pP z|M63$ZpN|V`&C9U6%SdLy9?QWIx+pQ{ol{~Tb|FW*0cF`<MG4y`#)vu`ZK3EPWs&b z2Tfc4uE@W0?p{@5ZLaQ`xuUNV_kcD4=*2@e0Q{8_oe9|h;PK#Y=w90eEn(Irk5Byl zw!!0#d>jJ<gYPu`SI6GReKOn>{&4#Weg=jOGF!#Ey1R}n_nYhWJpJS2CGYM3{9JxG z{QbYlCi}i#)o-0|Qxvz&tR;Qk-#jzZFK;%VPYYK3bENY5GVliBN8iM<Cy3RUU%q%i z-KqNBsdpx~ttI`Ytu5r8wC$#fNhoNwP@VbCb#2!p3n$(vWo2M6@eiC>9`vw0#OB`G zUeJ!34Kk!I2I@BN_`1kNdrjD<1D7(lZ%1urn*^`E%>OsfdJEg^m$59@s;grK*RB4W z@p|7{ftvd)3=F@gfh~=pc(h$GJGOc9G22TqnG-7(i?Z$gx?$Tj&dJ$FK$&iVWm4kx z6$uOs7CS(Dg;*bz?VjtWzsW<!_Wz&H4__{y&(~k`^Zlit*Y`X#eb}X~$8Y}cRQ2K1 z>3gmJp7#0Eefn_xuiGE41mE|#tsie)Y5VBB<BDZ@A$8Sz<9<0jzWo09j)$KdcVwTx zIJv-MtJTAEy<s&P6%o<zRl^V81GR%4E<f!);>O9$aNyroGWG)KIa!xH%FW)q(>Q9O z@cc?C_3GIRKTKTSy6k?OjHu-QMc3XxsZ!0o^jvZ~bZL*xHI<`NezUfFgEj-4b31xJ z2<(bx@R0w(jg$T`?XSIz*uuK<r!43Yi@M)$A1><Fi;9|9@#OxW+4aKLuIKaS|9iQ; zWwGbK;`4vaAG+KBEBq1v|F!kQ==(KVHQzSRw_M#}6RC6Jo3l)Y1lw-g^e-tlVxswP z-Z*sOuAEo_d-J`5CGTHlW%SD>S>5o-&$Qk*x2)W{<o4bT`;2a#JF(^V>G$t%><Ux= z@>}}wqT(W*y(!77SHFGuIdpRF`uTQkdvdB5R_tHs_fucB%jdJ}(GOBR!6!@YUb@)N zjNyL0Q#*Cp>#j)H8iece{$gp|3=9okQ+0*<Z;5W5xrL8`VTS)d?XO?L-#rok@K*Z& z>u@gRb?c1!WGpw;-p<{=)V}+gzSTMF_j}$ZA76K8-@9G)+yA~RkDqo<eCuuNsM~Yv zYM!dS%-df47Q9AijsO1pci(M^-ud=jPnr3)Q~Bv4myyTbOFm1#&i}sq?b~Y=yDv|a zt>16Fuvg&gk+`m3Z^RB~Z)kZ^Wx4wQmFrVysAAbx@T`B&qOU(CW|qwr052d)+UHSs z*-o~wE>pQ{=Dl~yU9Zzz41b6JDVcp_zn48|SpBl#(c}I6|1C<S7#JK<-S$tP{`Ys- zzwbXj+Fj1$W?<0J|39_r&(!Lb=fUf^AAFdxbi?lGXjf4GTCep{ZNBN2TW>G)O|<*4 z#SLjd{p=KZNtxy4-JYkmfo5AlTa2mK?muv+tj66yQBCtU9|OaRc~0=7z_Bi*K@~i^ z8EF%?2Vx^^*fwGFyB{;mc3*~^C2k>s*e84{(eNy6hxNR(sy*P4U|8S?*?-%s!M0## z5^rROWb*5MYY#njIwOQ_qd=*}au@Jc0?^I@sbs?Yo53Az=t7-kZ&{D3xV~N8<=J&B zTyV0;*7aIynpyFoK0#OZb<J3^qBhjfGw}DSq)Cgug&u(`6a^_%f-77FQYea02sW_; zWFlB0*hE*5iC~3b6Scr5f)x&+)qRFsM;T16dmRmQ3*2A1xMxw_XBSD=zt4J&x_+IN zP8In&Tm6*AEA#v*fmibHX)IoG-v*)34WzIWuFxE$&>yaF4_M(MkcnW0U=xKwCV~}$ zO`HcZ5u$M5tkz0KI`JO7&*P(*H0%GWth~b6tlQsv=xU{hxZhKg&88XoT8^|NJMFDX zSleW7!R@lePjszl?mCwCRRMp_m9Kjzm0$l`HFEXbN1qmbTMWrV3>G=lq9EH&9=1tu z+WG2l{{AngA3pp4*S=-;|NiguMc?mz`K;i}+WfOod`Exo*t4Scj^7=~3KoU~iJL(O zNC@4p`~7y|-MseOdAoI^qxNo({d}+B<5u%|qVDT|9WD6NHn;V@h5U5!t^Kz(*NaBI z^?UK{cVcz5wfNr)@5`KaPc_xPkhRr$`Rpyy@2|gC`>R&sQ&jdV{Z_e}sjatliTlo7 zZ*y**ShTHrTiN!U+kfm-*L!a(3155e!`C?#IqB~9mH&6mJ^B86+-;9!o%b&vpIK!8 zZPB({cU8*w`P$^)@?4g=byAG=`K1plr>s4<#VYxE=6+-6b!jW#*+M!YkR`dLuR@5} zaxRz2sHrQJo6>sMQGzFFN%rKg(hIB80~Obq>Ii(_ow#`J7Vl|1zmN8vtXXZVV>4ar z(bhwqC$FBBjhdShzxm}!v8{Jk$lh9f?Bo%Xzq_i%rg@);dwqGLPL}HX_-f_K{l9K! zHrAh4mcM^YW7Ab@*pW}0&9el#cN>OVpPakp#aAc&NOO;A{O4B-xqiId_T1Zip7%++ zFORlOGFkjtBYw8r7qiQMBjWG-+&1u>`d;w&p-uC?PN+Y3#Av>LAdT~Y-n6RMFa2vC z2|s+;F7LN|dYn#NBxnBLpRW&<UYF;8KCe3Om+`sdPd9fd8@Dg!Vqds@r`)wkxog*~ zY2CUNG)(?;r(Bi#X1?V|16Tgt;vfT>mDx9KtL(Sl%i0^`qxRn6_$zeu(KWZ9kMe&X ziHo*QPP~1#>(s^#yB25I1nL}7v%huMAbxFyfLXrmUjN9Rd%M5J%~BToarxqo$o|b= z4^{1}x0{qZ=WR+@ii}u6d)Vd2aw*TxMMdrL{iXif@Q%yRMHRcPWh`xO_?(-cB7e!L zpoov}`%+P}PyZtnEuUZd>+xt!@MOcQd)*<~=J!cl%j;$yz1SkX@r#*`#JcjThb4dY z(+xbXtuHTI)4iSDZ#SQrvyMu6S6k_^y29O=bMuw&?0jvz;PH!#H}=b#ow}pGYwyHo zeXge${k2UFyxr^O`ZVswp0De+)K)J(bK<4IyIt84Exz1~UxrL&JNa+@@}u|8?g%b4 zdDqn4us5Q_=Jg%VxZhvDKDp{SMKbs9tCrpy8kY0(-uC3K+&)v*`_sP_>F1VA`VKjG zCt-dhj+wK~lA;3aiNz+Lwyy~c)Ymn5ucI*kt&icVt%c9mo#(c@DJ>Qqt^TblEla!P z(v!U#D!&;#->j3hdn1GO8sXy?x9%;tZg5iWJlnZ1*ZljR=!71acT%C`(QhgB+tR^y z%PXY{L*D15A2?Z1^yy?_)aqKL+IqHfQPG!^lW+R;s~maWY`iw>9^`nMhQG_EITda> zll1ZQ@;Z@y|IF(J_x*kP`_Sv~IKKBgp1gg?yS~=c=I@EUFF)<5(^@RpviGckSwpe^ z^6skHvkyBxnU}Os>))IYdmc=lJK-ABcPZ0Zy}x|9w<MPKKgv&Xye+$z?c=rMnT9nH zntFe2o){hd{Bvr>UGXf_HDXJ8w_D%8caQJyoyPUcc-bGWyUR68-Jg&9gy(Lnz9%2F z>iQw6fUk+HJxHgdde6v+SuNk39=QI1hJSXc>EFmD+jq_sV^+U=sB*{s<_$A@4Rja( zdOL6D+b=JkN|&^`e%|Y@*MH=8<#O9HyXx&psd3+1Gq*a;GQHVuy#Ce9=MM8L*G8TE zcXYmb`}usmNozjnJYv<T>A!KlFTUQU<)*y1{oWbb*1vc9ls?J5w9(De?)l}Ws}r{$ zOOE~ZQ{-ylp3)~hv!<AzygK8v<|^g<$$h3?pMDzWAAEHp`tinJ#`y<9l26a?Va*0> zu79Q*AzPVk69!^T{-n0^&&=%!zE;<mwu*Hvb`|g5|97f>Tlv<o!=l-%6H`;4ua<=` zXk0fZFfmVnJ<D&UOW=BsnSu+e?;ffwIsH1*-7$RcUD?I`?wb~UG&z6qtZjAq_vhy4 zGr#N-&uV}7t;}jydHNS^iKlnKD_y@=J-2t0=-ubH%<q0$RafBJlP9Oos|!ik{=MU{ zN`3D2#K_9e$9JAdmOa1w%$<uypPm(q95vFhzQ4n&uXyHht9j)!cP>tSa`w4KS87Dr zzQR(O=SKadHt&s!-A|vGeLhg+^oBPzk6&4wOO<<FaWC~*-?WM5^A~GOOT78>*ww;0 zr){o%+;jSjtgdptoqM3p;Z2`=S3kCxUb*IR<@A}>QEvBY`W8jBr9PJqKVGQ!IpX+d zJ>&8%J$ru2xNhV*ea?Jc-{Y8P8~dKeroGFMto&@*l_WWB?s^Z=o!R;#?*29(UCcy5 zQ#qSg{@nZJuzX8+-IwNqo42el{}hj}F}zd%pLyZ!-#$McHS@QI$5pDv*1g$O-YUNT z|J*ILmBC`w;(1?mZiU^@Sg~!Jd75rk=<d6B-IDvCowj#3zqvlTeeqoJYVKE@lY|or z!p>Nifwz7|`N-$2OFf@#mwX}8`+wu%TU)-{EO(lGUzu;;BKtejzq0?+IB!(k8(s3x z^LBj7y*;T<R|a2v9kV4z(5-UX%<MahKKtMLr}X)muD1W&uDIumQo9XKZ9Wt8SZr?n zrElUh&GREGUxj?J64#Ax37nVuOltd!Ka;oZKe4Cs^r_(Gi>~j?xT)0hNyqs54%g@N zxBPW|b~ZX}o|Wj{bFQbw5~rlk+<8pb>i?3r`bPQpHhsFX@<pj$)HaUAHmA>+=3V@$ zo?Ab$^7FK*%l%x}7hl}uD)BTjZCzpaIs44t-DhTRUt?3MRW-MJn(ir$@X()G`XbNW z1?xZ0zRx57??e0Lmyvt_Jbpg;N21jE+Sexw9=3|lk@Ng>LOGuA|CjUsh2~Yi+xg)} za)0~&|ECte{8W6dGS}jN6Za+X-0S4E$E?rmT|en))>R_DIB?tB-np%pFRr-nxT$X1 zqOjE4x$TLvKhESX_xBcC(mjbS)%$4T1<vh!?V{ph8^u?HcaPqR+-Uc;c(s4_R_E>a z`R_gnNMZ~!tdRdCXX^E5bFxVHwlnc6i)z;WDSXyndhvH~_&uv0`PUlL5@-ILbNt+6 z*T^)x=Nms)1WucIzVeMt+?3R3#d6mD;;Fc#pGRNW8AI7h)b}~f2HzK4%<Mk1+Vgi# z(WZ-QJEI@EytBKw_FLzc`uxqtJ1^{6-L<WH7e`FV?Pu>^mM&!%RcD(Uy;-TuT=!n6 zR`p%>%lDIOveu^j|NZxgX@=_hufI%KPfY%JpMT2aIXmWs+U&l0Uzu;xMfoke|32K; zVc&6F_qBNU3XSWFJ|BO3ePVga`tLss3gq<d>hILaR=R`2LF))e;z;Ein{`ek3!b*@ zU#u1XnAFK|CH2dv?wnhcX?c|O`rbEXyPqjFpG&hc+P-yT;jRz63~Mw#++19-Jm6@V z|NXFCAEuo(Y+HT$ew~$7G54#o@{pW$$1?2w`pR+~qvqDVD8IeX^oEbS=KAkxC(R$q z%d2R&^&j(#dtAbJdDS!9MS0QRb5-ku(v5>>z2v_)L%U3SqH$imNbzi?{M1(`B(KgW zpZ7UaO;l#}=L-JPCq0vDyzS>Nvg+I3H0Ru7Ta}$s#dfZxPu40tceL*3e>A_+I5X%T zSJAmDx#_m^SK0ORKgzb5toGEm=<M&GCa)`FzD3MEZoJCyz2hI_^Obwrt%}?{_Z|Ba z7w72r{G;5Ym|n~Ii>y9{O(?$jyfEbD&N;!}3xBjp=dIY|5%XkaevPfo&nJ@~UJZ}; zeZGFzr-&V&Ziqf?;@0D^FTXnV;iuF3-qZJgIK=&M_x;~-xAyfozF)WHLD{m5sC!>( z)$gp^8K9rT*M6g|*H3$k)nS|Fvxy7K%Zl^N%V)pZoPXV>>+8d9$MOsJPQSc;L0)@a z=;1DR<tgcVW6M1=8H*NAog@DG<j3+uU%no9G&{6cl-)r*`q7COhco_t=lC|?bAN!& z>-fFB(Qfyw{)Z-?nals|xlNgzJlnbC=N}Uv;AWb5gN`)$n6cy;QCk*;&E}c#*T2j^ z9PD4KoEv{?!DLbX$Dh5dGX>Xeskv|AWEi_+cG!y}(RbB?FN;+9i!b)iY3UU<bk@%a zE0}$!a+~!o->(nW#qH`%TAcLb%i^utds$0YPwtcR-6mz0Klf|dT~(FJcRh<YB{LS) zUV3eGGh@lmJmrn;r`aFsiJp5I`bF-b<HY~2S(5v&i2h{?KdwKydz$^eiHlN0l5al0 z{6Z$K>|Uz2ReJZdz~0pP{)>w%ZdOiT`(lo6l$+_aTD#tJ4^yAVZd+8mEkp8Z#J8U& zR_)X0mf!3;casbQc1LG{&fa~jyL_>$c&GcnH=E~k{;jjO0UbK@bb9=`X?%059=3is zz#PxfZ~w32#~s`9bnnxh=QVt}C$H`OvUBO&SgzS?%hXGDTX&z_`}OO?6-O?gw~^Jm zzfiRM!ruw^?pAJ)%$vLHg0+^L`fZQxcVAD{Gx9h2To%1>uGqBidSTzp+P6>4n|ot* zhh3h4)y)g{za2X^rPg?Div8}tPi!T>y<dA@O6;e^t;^>t^vpwSMBTR^<KJ0VccL`k zI9%m^<&%#)cV(Wn&U`#^*X7wyZJU}`Y3?_<R+wX$Zs+#rQk3oUkB^?5e^^uZyzuLs z<K2_(+du!Dd;Ds#oW9>bnRCy}<-*Tb?y>k;Y%%|SWeh>Z)3;N&exrnK;pS~(X2}mX zU*(g&yQblKf9o-c!}TjKzRzp<7P+0}-O}@xvSPE69WA!WewEHkx7JqZ&nvgi?adD< z=>5HOrf9%wgUe-;lVz@TzQ6T*+ur6l3A<xIT?!d%Cq3C3rN7mC?S{hVGq3+XlYP&h zd+pj3d9&+b-zxatZkRCt{;`=F-`703di5bAU-xC<M_&Y91|GECXY<pk>YwG=lN(iP zcisKbFYxH+^e?`B>3i>RAD;2@zOu!PPx~!&Ka1~5{VQaC>zPr%u9W?@iK*}1gDv{S zlmFaso3>Zp_n}Q(&&Oox$ZvHft)D9lkM-xK-28n}<lN(<5=1I4l+allAk%$lqs5;O zhxzr_de{iL3)R00p3fuyv-v*%{6A0TFImiN|MjGQoBfaZ`^Dew`RspQDl_JuLdmuE z^VfP=A8*-rGb?rC8d)Bv{Nn9S`8p=+Tef~Zd%^n_@1Yg(IzFnO_nzG_Z{7TlW!o2D z+#lMnGB<Je(>!b2Nqf=`dwsmJeSY7ii??omaan$U`Je22+_O*q-Z^Q{{H1-lInwD$ z(eY6i&59;&wfcNZWY4sdzm2T;C;Pp8yDWNbh0n)7+COI*I3D~xseg?qyTc#bg}?5% zpA#$YsZ);(JD1V6r}+P-sEZr@=2jm|K70Jck3TNPPv%C;S}tauV*dZ1Wxw|f#rJn! zosg7Wu;=IZ^OZ4&cq*TVxu1K%@bv8d`g4zk(oR@!w<>aD*U10(w^(kvf%CncS0@@< zIaGdrKmYTN7RgWN*7nW0$ardY{r@?~RnJT)&ntb>!xwPx&+q3pdWmg&idQSAhlJl_ z(mpA@1$mxBGa(G=fX<x9#v4Efbe=QGs@;F<HUHe1{~Xfp&wBP3dX&rkpY0!J{P}k5 z{M3uPf}UTf=;O5P_L-`ke`=5M^OLiUo~v|M^?rW;TIKQ+-C{f6O(#BU*6(=?YJnC8 zKRq?u=>3_>Ps`3&p43_Yam#%F$vThs%0@o<ImQ0o$G7@M?{};$dg?o~{FzN<WM8HI z{6(&NkNx~!Iw^I}cI9rH`Jawk@B8ceOx8Sf-5wqPo5fkrY$_jHemh}#a#7slKfkR` z8r{1+(RH5x&&RU&|LIhw|LvKj6@IT`p6#b|bJX)s&6%w8YVX<o>nDfIEuREawkYng z5{kp1MwwJT|7)8y@p<sSisRe&pV;$w*{P}KsrP1ne&Rc`cv58CW25K6|7z!^NWOo2 zLh1bSKOg(@>nBzo_l1ST>7p~ge~Re7GCj9{J(@4`C$9YT^vV5AH%dWs5DkBGw%doK z|8*|6U;TJS(z(EUg}ZgvJJ}oq?Mz<p6nk9iZJEyEJ)!d1b6;63+jZ~u#Y!tV<;R~x zSG<l`e77%k%Jx^uYlCHvhg`3=w(>uooKmxot5i07%k7OlpTcU_l&*R_<5g9@`}3C- zJw4~c7p_b0Ewi2$cyCqzR=-l2;Mn(XU)ad@PyF~(>q_aGi+RV5PDOv+cx`20@~YQ+ zW#=w`Y-nT`-@2>M^w!%5x60La*Q~A<`jqb7zW8&AjoY#3s{^iYblWX^S|k7J@u=li zeJj^}FDseXcl^YU8qrr)*D|&zOFmuuD`L9iLWyZfpox_)(=Hg_3EUk8npv@U{qg33 z%*F8*Vt-G&PB}mI{Px?QDt%8)?020xC0la8Pw#V?`Rgxb-2N#M`E28}&w5^V;db}) zPCqx*bhi^r4bppFxV7ukpb!nRi?@58clvaYM%&Vi*4{kxlF2t#><qe-mA~Zo#kYA^ z;<vQbYWx#2{MYSr(P-KB>)91E;vVlkH`7%<JMiD$Z=FTos(AS?XYaXgx%ox1?y3FP z?E=rmt$&zU)%)ElU95JE>(B4E)KA@fZEEAQ{$t$pGmHASYW$D;?P6KIx2^r9>Alx; zBEM`DdwM^6zDD}`>mLmE9;=>f+--Ml(VyzK!cX6Pm6BU_y<&ZF+Qs8hQ|i~(E}FA9 z?(pF+QtwO8>HLc5{`7vU|L>VjhB~0tX^e(lTmAO>Gs~4+D|>VPlwy^g;S{0&d-Oi? zul{uXWXPj!$9KP(XgohV$j8L>-lAObqw}=vu3btyF*|&U^|5(cHuo-R*<4#0QnBW- z;YE?k?rpIm)vJC)c+XbMj|+S!QN8Lzh4<<Y5zB)fZtOem68uE>R$1Vim5-8jbKCY* zy6#MVv+~em-L;33=X$jsw~`a~owz;Yw(G{_UHx0PNPc=I`m}H3a<2aETe$j5wM6A! zR}8o#jGvu-K3n8+5M&08;Dx*3t^Ers9~uAM-adJ1*X^F$dYe|v36!mzU9yIDWOV?F z^JZ!P<6f%;p89bc9+OFxV9&UKJOiHa!as!;dQ74<iD8!Jy;I48h{?m@H_J$1f-@O) z0uC}biu)9Yvs?Bq0L`}!qG?nD9t2PIQYl)m8oGh*Pl;M-aSApuJ1C}6NlW>kDar5Q zsc0ty&QiaZwD>{1|5@F<%@4lGu5^9c^XkOyCCR-sBkwkowlreX+#{z7iWDDhOYYm_ z`kdCToBJR@wCn!Qb5~;@zs=vTlD#!JChkg|2qa@Mw4Yt5CGwx7dD`L^dA5JIW#5z5 z+xP9*=0`6=_e=EM->~_E^z+A`7JVzUuFh^PTQ2<zbo<TRZqO0LYuB8WeEaTP+25Mk zVd`IsUmr_$ziq4f`|8{GH{$l+=Y6};cZ=0uS<7v|=Ppq%*j9A?ip$%)YvwPfgO9mk zU|8XuJTLGMwySP@E*;9VFEPKh@G4iLfdr3o>~*fUy}hwrj?Ei(?vuMV`No^2Yum#> zM{{hOyU_x4$Bpc+jRAM_TEi}_zy7*)#<HtYdgrgtX5V|Z#QxfK^UG!q6F*(Q@-AxO z<eIPz{5r2A`F}q5(fRyS2r|_{GxA;1!`4U2?tLl2muD}39~p*uOkm;9FG-CV%3BU8 ze7SaglJA<9ty{Mys$AC2-pH{hI`eyq#1W_9upH1$I$zIhE82KZGyG(3dHZ4+_Sq-v zj)KkupZ4Z{m44)H#O}I9HHuQRQdebXnZNDb5V&dq<Y4>_GTgc%$DQ7TPIk=O{dQZ9 zXXQr!H_=NmuG^Wp<@U>rhY?p|_1Ap+=9IoyI7u>dWz^gWd{Hv69d{qnVy@hh*v9xR z?4;>czPovs60Shz`V-_MGQeYf9OAJhffeVg?-_?~wAj{Ln{;1mF8Zn9I#Fw{=B%<> zoI6kEOq^%dR{tf+((L75+qNILmndL0`|zdG-D`t*QbP)Tmnu)1ylr-5G&p59{7qQc z`pEwOXM29|VJflPB5bsT+!ycrT=kRSS%Ut_1`_vH>?~ch_q%So_!9VHVTICCQ{Bwg z-DTAeKAfC<I4S(nx^;isnp56oue!@yXi&K4x5LTZ$3a^x7#MVp9-1Hcr_;Y?QOU-N zJsvW@&%Gw;vYyz?ozdsM{hs^f=hDwoqD%Z>`|>8w(+t~ws373e$qla;JV|Ly7j%yN z92b03cR6=nw686Ak}gut+kQ1YCh55KMch-nj&^GZxucyt^7(4t=kVBn>q=S*bHYJ` zAlIC&PHfmJxa;rR3-7}M4f0xhQ=$u(6iEH8dr{Wqrpxd2eqG|jmuGYf!yhj=Ry67Q z;$O4V4<{O*H@(U=x328;%V_JHrjSih8|rnI2FUnoiRrKM_;ZQBULfx0MgBvn+3$Ux z$Jcy3TJZlbe@otb<A>e+b|P_=Pp6jr+<5w)XxyKF<(IyJPtNg*h?W=2ihdh-u;SY7 zK>kAs7r6Jv^;pc+$=d2vy;%C!?Y|RtA1=uP9Z`Na+fD1xzRRE;f<<S)UAum#2y(E~ zjp@_EH2S)_Ku2E0lYKIw5qRC}rfJ#|;^JlBg}A*yH=xwoy3L96{8p{2GTV0x=!g(W z?h6Nujy`Yae{W&Cs}8h{o`K=p{H0=Di$5k6PIY}GKi^99A8A(vg`ay+a<HPd!v58U ziTBFN;+nTK*wn~<>a@+vtu>mXV_j4@Var*y#=B<<e2>;^2(=4UgAO7DT|IIhbQH$Z z?xTUCu8&^y+yA@xVPpTFe=m3BzrI)U<--YA@z@!@ys-uUe%=h>IB)Yg=a$`l`@DRs z!-w7#h$LF)_*k#maY5nQ0-?1VqFx<5wEAP;)uWR{U#j`3-S!b@sMfCb-RhLC{QJ4$ z+TIKDw%LD5c=Fw4?WK3!tWlL0oU*O-ei+B?n`exU#C&WMJAFp->cs7y$E8$%&hh6C zbH69mU;6TSaLDnG*CrZg1>I8#Pd>MNMc*D@t7{r3pP%2ux>`BE_xLN&)N{~1mFf1M z#nyl|gJzsdpRD~D@fS4pd}{WSPwDrXOkYNDUkTFab36KIRTt?;imVoxZ!EL*RA`xY z5ct&d(v_f7&lB^FV}ds(*xa!7^L`%N4lbx1YO}OO+&4PxS)o+;<<IBy?aSxaS=oGg zy5IYG{O4KMTeR2hu(|U?`#taU*l+K?+&o&i<UV43bNI?xO*z(EE<1KLZ@B)<jrG;H z4TmGay9mF0yKx|H+3sa*3n$yf*KFTWS|R=O0{82ef2s<~v%nTF(Az53HT~gvyWcY} zy#Ic<8I)V<em>24d10k^90$M6ht>~29{0DOzgt|stt5Y~pLxmcwIwPKBctA4c<UEE zA-CP{((^ZOw>qVNS@Zbbv%W<^t1tU^-}(DzZ}w!fOvP2UclPfI+ody8;x?Z_V>Tq` zS}ftKUHF5v1I@$lWo4BAzG>_+dH!FWM>SzLWOMFWg?`M~de`^&_sRe6<_Vqr=4XGo zWP{|jHh#NvTR=MtGf;LG#v<)3)Q*DgEHt_fX#o{j$o_IF6u}tF-9Gv66?J>be-G;a z#qIcf&fYeA$;UXh!YPUQU(Q?%%h<Z9<GifzwYBTlwrpM5CNYm^@7cJToXkygD^|Z$ zne>(yyy8eK?3z{P!dE?xFYcPg812x0Io&UnZSI$8+Y0|r>Roy}YwM%BwaZUW-ICz7 zZsp-r(7}06oQ}#EhHqIz^S<})43~u!Pe6zB$NxNaznxufhkec0)&K98)TuS!dzn7* z*mIfreLwD8{OYGsd;H~PwuRR_U6<e4Hpydt;;z%j*ybj_JJeLNtv-F5==bb33wWl4 zpEL#Sag_>>f!w|F>iP;NLxw4$^O^0tQdcSG%UUj0d%9LR{mK%^`jG8?a{?!Sg5*=H zA~)Yt(eCF~cvikXA!({fg5s-F%~u(1clvk<$Gu7n3=A@LTt~fepQO&fz@XFX^ik;R zHTU!8vThGQw@%OaGUxH-jn_WPBtQ5N?YZcPtmUWq{lT5L<=zJwf!0@2;9h*5Tcw?E zQv8mIwB42dHOKycE7%lNIJ@w+tJqXy$ch`9k)`}7&T}X}TE8$f6~4@O_^k)V-;rH^ zN@8&U=y=NLN*%=d@8Q2t9BqW76s-piu3j2&9~U~~(#O~R?HlI$=vXiCa(#Zoh-p<w zNuRDVGRNZ5{gVrw{>?kSFaRO7Xd%w+1E;*qjwTwwwhw%FWfJXNesAvKlS_XbSNWGM zWOs;f=`FkYbB?zMJ^r_+SWbV={e{yOI6mL_`K7?;$}@=%Y?e9wo990Dk8S=zsWQ3e z;3NOFMVQ;WoC-H<hy>5RsJ~{u*K@J=&nJHnU+=v7o4&xD<LzF_`yC48^n37{)i2(K zdK@Sycpm(}fA8+!W1)Aeng9E8l+JnJ(!jCGOM`K$+CAksCf5MRs2(T11?6WHG@dSf za$e(81pjn*-MtpJ9tF1-ANH}B+O^=zG0UI~?x|i}XCkI*s9sPK6lx0MQ1NY=zxVqa z6~Cll8MSTc-<~V4J$EZxzdzvbZQ=7Z>1%lzRFz?at{Re#uniq2Il3UtN(L{tfIS_0 zcea?VyA@`;IM!<0x*3H*W@fkVtT}52IYN^mL9gkN>y}raChmB$Eq~6%u>2h>u&XKg zaJN7C{kiXcTMk#-Yo9x#JKK2vPmt#s7#Nldi|8-vkNc}|uWDEAqS{Z}nUBugnOgkJ z`2UWmVyk7#{kN4VYo1#&$!$ye9P7Pj=gc;_wR~Q_dD1cCgAHDnvRBleD!r6vzIfRW zu)7=VR5kRb`uSXW9q51CWp!}RQaAVR4cmq1o|~DgWq<u@rtcH``1Srr&Ma>@7}}k- zTlQ>}kY|<cmZ-IRzD-_YZTHz)9OACOP607m!52?Dty6ko6`TI(-iol*>07Fjk3I4G z_&Ia7q{86^t4w!mw_6KeU6FF#`I~EJmg=gnVO3_GAJf;Yf*dCQtABw+f}W@HvFw-0 z=}PbB{%q|G*|w`rN!sh!?qY~Fzd9Do@R)S*PvI)#(?-b}8<&*%?~B^8d9P@Cob22T zpOn>I%2}qKkl48p&vLXj<?8aoAHSYjy0Px>R6`@9pdO~@r(R^p2_OHqGw+10=~dnd zmn*l;hg7}4Iv4EV&$(kEwS9hlw#xf8{nC27%--$$&HnL$bN><F)9d@EwzqXfroXR{ zFnhY}uz|DC-J{=v4WB3d{?c+9V#EvgrbnuIpP#)y`Zl@zNNn`BV~fAr%JAR+?2vQ& z+v6MGUMLsdF5T|(=~-xD;L}4%-@+#zsi?MEw!HgVp8Mv&&0dp)q|b_R)mwU6ZGQn- z82^RY@uSeT#KXsSJiGP!(L#3l4()5Tn<d^xZOe(gQ*`)j;q&kBJEYI=H*0He?>0_f zEIijwJ8JEk)W0Pfo{P-x^?WO_mbwJKO@)C$LZj)C>YHt6XD7eDrn@oeXxE>L`|a|_ zPOtxCSoZc;v5aMriu~@HySpOx|LfZE@!0z($I};wu6NrS)n8?s9l2j<s+Z}jwQq8S z9-lW^qXY491EZ2g+@fpiVx<os*8Z$uvAO=g`^P)Q=iPVT?X=&!OM71Z!=p9bFV1|u znw|7GW4-ylw@(;9x$N8ZW8MnSF3q_sXUuwi@5u7kONzVoz%lG#FCd~HxH^1&=fsKJ zU5h)mm%r~zKHewlF8KS~+iqrdz9)Z8v#%Yw5Kw-#Fu!!uAML3w=ZiC5NH4zW_hz%V z|C8y*LaNq+j$a0u_SZ3BkBh8rmC2&r2^QP4o}OBCed^uCKYrA9+W-IaIU;Q3liCI0 z`;LgKUJ{OMyEAjsJkYUh3=A*yn;xmYXwtrZ<dm-X@q_2>-m)EAxqAJ-ur<-;k@xK1 z@A>>__x3w7&d$z1KmLCIzEe5gT1-4IYPS4$Ki#aYZ#D`S8Jt>i^;G_qxpC}2TMOFW zRj6;<z6NwV7Xt&sukHmEoKm||EW++r75>RSd+|ldbdzV=9oz5iRIRJ{U;A+}`@4Q# z>3wti)4yxp`+6(;<G<A3$yc8$|Ge?%%A$*Fo~~B%pX##suFv^pQNkA|GzYq6zJ31v z<vP>FmA86#O|H>-yTcCTcZLQ#6^%Hje?O=H-B|v-TK$&y{|Za~#`~4e?<Tn&`S77D zVqZ<=z4K0w?*D)I^v3U#`zrqSUVrppxBT<RpG~8(-K=ZZmF<>1n|ABg+b=tB8_s*b z(pvCpRe7lD6n}N8?|x6d%da!N{90+R*{19zcm38+*HL}9WzoA|V5=E^O;}LDdDHmk z_lnmqzjc256}<k)`gwQdCQr6bdVX$t()oG(k3Kv+T=?O^!HVB+?|yv!d|rRqZdrfZ zk12n?zBGTdINV-iUZ&l$MWz?K{M3~^H?3WEd78@0LT$BIS675cS9-85y_)H=HLAZe zr~2iWTPM~{RC(#LJoNnWqesB@JJ<_}=ojuTf8VD*|BvgN8yl7DK0nHTJpKP)`-`{! z?SJ|4|Iz&TKfZsi>;E(6leY^wV<$Z~!*tVu)k0HGot(y+!23P6b)BEK)J<`9zZm7K zHB0wugYFt-V0aPU^vJH@#f60x-)_GBxOx6R_dkC+=a<RX|J(fI?)$h?+o2^1!wi1M z!j(O4lX7+E=Ih7uoW1_>?aIq$Q9R&6mx1B0Ye0;aXOH>pi$1r1M5-P2%(eOdGp+c~ zo5P^{tU$-M1afwHFABAPD|e)K^X}bQ%MCx<t<79*c4s-{Xe@?bJqsX-m0>{&3*>%4 z1|q5`$XF#qfYH>KS7Q6$YVrAhJ@j~Xmz+brNBpI(dvCO+dR<HwIP?1Z-=o2a|K#-L z#83HmxxY{?J);nCoQDaGsyTP&&kUR2KVkxSctjivcb<9v-PY1lGC4W<s9=rhtIoI} z|1XoO7G1w0vUkb67ph-f*00p8^0d9^{i-u=*3XGm-#+eKyBr!MUT)B<Fc|`jnxN%X z!z4k-aoY?GQ$YTG5r4V+^07R5Z|e)vm9}St(z!1!2v1wRzBTKD_U5bColIX$z4<D8 zq12aCIbXLjoc(Q6b@I0j+o7DVTLXGogJ=5P{d!~FqYokaHv3k-VEoelMRo0qv+IIZ zirBIptf{*{dGcgKvuD2d51jORFf*_G;GUV=<}W#Wnse`>zLyJKe}DIr<zEx@mv?F3 zdCU6A`VB%?H&#g{#Pv(EyqY2R$*v#fqXiP6Vzx+7r1%m4wfJZ5+>e)S`Pn=*F6j7| z3ybO-7WA?Et^C&(bo}|8{U^m8I$uTD@*1oMg{=>N<$QPjxpE@6;b)+e619V<^>Jq5 zHJxW>i^F(QC%OHe@_c5V`J?+b!aNNsdsS{-oEP}}ZCO>WMjbe-F7W5<@?I(|{I%-J zWwVQ~M3OgqE;6(;ma|)VdDe;geR1<U^lP{zB(`R3yM1wu>ckSOi&<Mg-SVIG?#KS$ z=UBl;90*d;(3`sQMT%m$V9D8)W^#{<TjS?GdVbj~l;@zrYFMiyWc6KruMq9Pwc9Uk z_Uy4w4z&gAzEIC{bj~udvuV1EOa+C*c!JA3l|LQ*I=6Gj_QF@J6W6XgzkXuM9&1Po zWkEkjm!<2zDx=$rs+6L2IiF6u^6q3fcloyMmsUS2nVTVU-E*y)CZuNk)xBUx|C(PV z7W?9Mnp|7I-^k6)t?Q!PDpTcXpF1;mnEOTBa4t2mnsxlh#@cmzZ!KT8i?PV+{I|<s zS2@@VihOVEm3Dvp?Q?$bleu3D54&DJcDMY$f?Umui90fXe%<)#LV)4x)mq!;%uDT# z)mW}F>EfG0Evw>Kp_iQBUqPKPaY04r?L9xM3xD5`ExbN$?Z+3j{KpS}w-LC#?XSf) z+g~1c%I@k;+*27E6S8x|jM{CJGPZQ<E`OhUX46r<DHk)g1^hVed1<FBq>Z;go}+8} z23~2iW2V>R#QAN1IK*sxH~VPD^-Za#A5D|a>$Bcp?|!G^-&R8#o0vH>_eX7(eeCJ7 zI&LfIk{Xb&rZg<5;M|@2`Pq$KrQT~IHY(ZQ`~I-~<0tL<p4i?0WZe5?P9E2b->!FU z{eGpqJs+)qeymaLEh~(FlyxQgr~=3Y1_px*EJwYs+}fI*Tv3rK$CCc-{eO*l)$e|0 zY`6ZnJiPqqXZ<}AmoH!bx%2hv>qm}V+<fE5wrf3Q+os&8?MxI4HvF2Z-|dImw%S_t zb(VzW&IJ}-71iJ0S(LqrC=}V4e}A7v!2^eT@tf0pd3a01W$P<FO^#)|mfPIAe7W*N z&8E`ri~Cl%Znns)x%qqRAqUCcly$fK{h!2NobhVMc5|>>FNA}fdU3J4@-FVS;?-g6 zbgE)++IIEdpZ??XdHep<sb2TyPuIEg>dalg6a8zaFUh&I>-=fEPD}AO%hfmW>@<D~ zt4u*HhFuR%nQkmPeYEhyX8+xdg|A+%-Y@$4TI{s%=dIuG`SDKI{P=|n0d;@={jPp= z;(FiF*4fvd9gp`@m-?C&vbuO{b+Xd=uIRw?{jU?tvra9$`s&_}-C^2c_vbIOzSsFy zR~(YMFT}GP^}cXH_3*}D*Sa6aXZOvEI5~T*!o8~J(|$bs`~7IY+zxxQoF8R&^Kymv zzTSHM(cjbGj;}iH{>gBGdzA29eV;3{F0Pro>wn3l{-twE`t$?A!NBm>EnrW}pU?Wg zAzhm3|2`JUG420+Zuilij)xCLH`e_8v}b<nk^TP<pWgU=a_z@o((8{NEVn;*yfN;j z%j0{UfBqfbnr6N1_S+1z*%$Nt-L1Vlt=F!&zI$~ar0V$9NqJ*~^TkX*<@;5&O1I;z zJU_i%HhGEg+%Q{tabd`m)L)N)JxAW&+iN|q=9Bow<l}um&UWwbjsN%kzf1P-|I3d5 z7vEp|(fnil{vYQpYJLP5?w)RSaYfjoAi);LZABKlmzOV{6e}FL+HLE-Q{hq&Bfls+ z7Rp<cya=%Q{pQ<`oBscm>;4__-`#KjKl0DJa{Z^b{UPcY&a^Ms5#px2^6ICx#o3;Q zCh?vhKW9qowm|~7;h&O*-c*%iv(IKM%l)G>`Oy-ydH-uRTh@I`G=`|yu!7~N>cv&^ z`?qIp50y?YFTd)S{H!+Wa#-#Te{G18FE~21@YVvZ3K^_@L5kMpmAmC`hsw-*)q31q z)VAT@gndgy_udTEn!4z?!i?+he|ImxbRN{0xCClUh@!P3piK*#g0woHd9^iq8f<J` z3wFdj`}}*}oH;!kH*S2yu}|u&i~dS=P}?Cps|%x9p?~&|hwbklcdkJSPvROvHKmuk zZ-AQ*tQpDM`<KeT=-o3fZRKXxOe~Fw0N$$9ZNH)#p4H0jeNrp;JSf$w^m_8{uEW85 zKm1s>i2Z{6rRiH1neX;m8uUei<@3*4e?PxtH)hyhIzRJG_(z}ZyA$sD-1fh;jbr-O zDyaa~)yZsAtd<=YdwSod)MkU%SMw=WcF+I#?qk?<K3Sl`Hcenfa#hAN_g6QMKW|D( zSisSB+BINLi_HJ#uhV~CJhVeI*Un+Vbg!`EJQ}4w{ko_2SH7x9nEEPTqqOGS&)GGM z74wfPe5eA2>z4~J9XFEH#)3vE%hB!^hkUaiugbk@d~0d)>n=(4)a1=)&)&-U49Rs2 z&y+OcTvqGJcY5djJ|>y_wXGY68ut5tExx_0dGBJq=lkYIXYOpW{5%tK_XI<MmE%XH zUoWomKU%x|w)!pgdh38WGo#JY?LPOfHeH@v^(!UYe9|Kyt(C5eZ}xrb`F#0pnMt<s zGZA>R;!LDL#-uM&R$rwux6d{_tqSQgUl3<Gy7W?KXO-=%%-I>GT^plJZl9GnFSH)i zHQrKsso2w8Vs_rOuFBZC*IfR6{`$+sYQ02D<Fr`iyZU}AFSlOY>$b@o=jcY>s?h$d zr9xM;%w5)nuMYJ5z4lGYTFK=pM~@$Vvc*@_+2BOh*>|TNo^vUS4e8ES*&Fxjrs$+2 zKa9h!LUQz9_kftHwHa5U3y(c|;HRyowrla?PKlmLUQ&khY6BM;3w}5A+v~OI>ervD zlX}XZgCm6Di=d<70hvj+Y=34wJohbfpU=cT6SYsJ-vd{BLPnrK1;IPz)poLd>%;YW zzrJ4IGjZa?q%U_QWzQ;ay74aU$doJl_p&X6v@&*8gH2s9pQG#dfn&Xk3xEII?)K^2 zuN@B;Wj}tmyIz3b?u*BrEq{JRRA#K0an;&0sM~Gx-21b47#HTx+4fj(ii^<6jBggA zb9b(5{L)fg3iTMMl+3;NXYY>MH+?&@PhYF}vakK|!)m#X+}m|Bx8-V8@^-(Q=5cS2 zmfotEh%<K4o+h_08`|E@eOqSyuJdi+`mjY>s>`;xZEigj?>Fh3?k=z+8U8v1>}kop zvB7ZN&TqRaUL0h9)Kz+4$8GmC-Dt7xcM6K{{QPVE=-B7=y$>Hge01jK$#(~DWu>m2 zyndcf*5ivg>8rbPS8zj2Y6jIrcehm*=iJ?;8nz~4qWt^c9}ZXioN9k;U2J`yvU}f? z<mv09#a~~K7c{^3WA2|HZ%P(jUE#iW-qx&;)l*l5yuSEKB`|y2;=2N35HBB45ERi5 z%)Y*^_u<2-l>&2a?*G5I;@!^Yi|@WWGC%IF==uF0lJ@zQJp1*^bYtO`<I?xbq5}7q zD{bmsKKbLv6{YSAU6v)iI{j|XJ<sQ2v0z6w)G27hEecy7C#<Y2F5)hj|Nfrr@jhAY zKBaGOZ;P|@$(;C|eQizW!iDcvJ)ZY!OH#GmlwP-_K*Ob9S-;MocVhn{!>cFvhd}b^ z1$}}o5caC2lXXS>R1Kdu{r%!HO|g6#%0R^~GMXK>ldn9QXTy41PWQB`=EAVmQ|+&1 zZO-3!Pxk$hGI7Yh_Y3K0Ee*HjyO$WoJMQ^<PWR*C-|xHSZ42siZhUxKW3$`;<GSl{ z-QV?Z%dggxKY9F_@=DW1fwNQN?=BJRO+U7Kwd(fmIjg-bx2h#6Y5$8dp0zwy-P3Mb z*(FO}WXn$2|KDT}E`_RJ%IiN@{9yflPxP4%3As4I)6@0O|Bm$d^V@!pOtt+@@%8&Z zM#<ECe_T@&H>o;l&rQGit7YGo8P7VK_RB){a%R0v=Zh_`0;e_wM$OH*V?D7xaM83_ z^|#+TvzN?WdSq_cmDhJekAtI<;jc@;o|ZQ=|5We!TJqba`tQo{N8#u5`cIye-B|qG zdt>@}_QD?@9$Hj<NZ9lD+q)lM!NX>K%jZ=X{rmMr`s2mb@*?NIJS~m-?zZ=8r=NPK z%F3tFFV#HD?sQdcl?qjqNWBgp1yQlA>`jSZ<Z(P!5o|Z<Lm=F9{kFWix*|+FaHUNC z%|hF#mzD}wGhLPU`uXj2d$lbE(nkC>eZdZX8LJYFd9`KLf8H$rFKA!?X!+ga^Z)DA zecwI((_2`7{+V+?jMn0bS9aa@zCI}_V_(<Xm77T)0GVIO|Hvz3;94!*{o?)HFV&AK zf9bLU)yiy*Xw))y`nFn{)2b#)eYuolx=nz$Ds!8Z_3_*{-!^Vx-aGmF6`ieR=cJM3 zqC433nnd^Nx-`elT6^qV;b*)4;*~~ocfH;id-UPTxQd$Ki_9<hUwTJfoE^I~NVAHk z>F1w)>gwvrIcrqvLsI{5x4HaQufzQEx5-<kzWAgSYO6a9WzZvPOa137A04#r-Vb>7 zW6tODpG+U@`W+v9ondGGS^4Xm$Hk6@1_3NbpDJn0JN(f;qJF0Q+-mcsXW2QvM4=3O z=-vV~U=*glgEU}v!x}I?^Q~<)w!v?YMwx3N&@`<OjNOr$8?$w7mD}!;oLIxhOLB6y z?TnnA3mF?_I1s~fv^(SKQ{}>wx%Vv=uf10gh+WN#2fO8u-<$h>Zbtj}y0AHr#tEc! zW$;tih;xzO`^yoWy?;N+XYVpDKK<-W`oF@h&q{p!=jXh23!3xNE9-2=_Vja^?z<zq zgVUFOmU=5$eCb-=97A@tXrbOOQI>zDAkCH+>P?S=ULJATEBAGa?-r}05tG_aRBFsw zVjQ(LW9f6Nmlbky{^pCr&YUTU68?Gq`fK6BN16*%CPgXFdRx|Wx&!R020L}oV2Bcj z-|fx`<tABMJI=Y-uA1r<@>yWVtZ%CW^HZN^U(7kz(Pe$~{PNsY5>1WMuPnNFChTUv zyRQc%P<a>}4KJQ*dg!L@7pri`^Z4tFXS=eOuhD7?T4|cR>u%3S9mRKB7THaVhYm&7 z`Ub>Q-CesZ-CQ(y+w?XYg?TH&7YD7qT?D#@iGe|aqv?^|rdO9A{-}C-DPsS>QxcMr znr`QpUX<8+RxkDXs^^S_&Q4I91sn_IZ|o>E$+Q1|&EsCAym?GX?w#82{ErT{%XQA4 z7B8>O&nvq5T%$_o#W#k#)eE7q$-u+p_)%zk<>&8@zHPLAv`#nr@x|YAeeLfn6}IL6 zF3hR^GI7V-UC~OFpI7ZzQIu%7d-|jd8{>KZ@}~)RcY=eBAz=b3gBvefRkF^O^{5NZ z?aaFJ6f)?{07~oLH*#m2AHTLXI_YSa=+BS)`0ab9$Nx-zbL;DKi=rnUc4a?zm2Ry2 zD_Z!t_x{QL{hOAEoh`GTwKdNpCU=KEv^T~h<@ix(YuVdd5^`}f*$>YA``f<j`Mheo zTW|gTyu2Fw@ejBDu`gdr>fV7H6(;*<e+Zv+W!B5j=8LD5ED9ADg0@T+2oXDx^6{pZ z)Y}a6?1?36i{j31n=OW7gnPeS?+ZPNb8Dk^ZhBFg`d!1WF8<MZ`~No6LbWRY=R8sT zSRphw%y!jPw-b;g$dIssvupYS?sYN3+S_Af*zJFBk(OA#Zr`uyjd^!dEC28Le6IWb zy`o|sUf!q2-|yeA-dFQBB4$TsZk>(3r}8{Wf2B<mcHHBVGz3><3_Ny@AB9XxP8O`$ z_w~__>$4VLeChKv`6kz+wdHx8^7rcF|Ga4YoqV`0|M}yawO!?VzeVk-zxgks^rwfN znY`!Qc^;RJhpoN}Ns9~&Z1WaWaQ=KA{|%C<_5VJ8YQs|Zd~SKSq~PJh+DSh@J*~9o z?yUdcK0W!p`tL_y&EvY8-`CCQKY#p1hM2JXm052yw(nnHn*GxT;=Bd_IlHD$u$^4r zzkOcb{EYSXU%mdky7|`7a;I|HyBf`JZ+<H9$=k`W^UL*Yzkg45f8D#EHb-h-b*evJ z@4sIVRC;{$NmuSv`Pme922u<#{F)CMw%c1>Zu9ere$w$i*^jfu>!tVqdH=uj+PD9{ z{r~mrem}~8w7>4-{K6j}7AAfBv-Q=~6(RdvR^Of_RkXIM&NoE*-3!o_3k(cj^hq6N zSTt?x=DXfjnss)$i;oIGJP8^ZS~}@S#b(K~TYBfG2F<y0?DPD5v*YLOa@Zg$#HKFT zq2jk+{Nv4g@mnvinD@zMYL@bymCz#MS2xXPE7;FJKKj_{r{_nGy-WHeSzgU>T!2Qs zOg6SxJ8x$vF2c<08W5ug>!sg_C}fH6ty(nwiZE!J!qn6iW2j+zTHTU)wKaLKGa<fh zK%1BVi=s`$G!&;+2}*;fBA}xOE7<lLMc3*&Nbj!IdFzn><g1DITwRamxS4DBiLG*6 ze<m!R`zm-q0y>|uGjI7ypXKkWa>5HMR*B2q5Bb9I%lX%&urF!hD?_^EniK5yzdw2M zq=a<z1AeQ`|M#Tty36`G?N;3+v!!)@x?Z-rVx=|brmmVN=8dJgO{kn--d8!_eSPKp z;|dWiR`d34f3aj!|9RG<2OI=NrhDIKIlBK$zt}O~%+HL6EW=On3tahV`Iz@UlSZKI z>g9~jzJdZ6G%sTAFUzm<{_{D*_n%*wzW*%2(j9R8@_s$5m2VywN5clOz|%L_LJ2I! zfV!eW{9^0uYsW%wuS(zIef*lJvEQj<X|rc<z4;7MvN4=-4cOBW7HcOo{m!>u<6EzI z#c`<N+xNTh&E22(I?F2Fr$d)XK-XeCC~11+`sLzk`6JQ(+x@rr|0`QyVZ1%(T+K82 z@NB>1U%s5YmZx%b=1rB08RzZPGq?Cx&U;y=JeRjw&vX64vMuRX>UQ7lne_<TD4w!l zM~IbS;Cgr4T;a8ThGnakT<b{NE&I5bB>^_VaOR_kK|<C~Q?ywO@w(@bT%XV>Br^R} zNXe!Nt2uW0O}w&b;@TNGef1x;PWpisP-wL!Ot?Dh?$pC`JF`MoU4196x@zi*Ytb)n zsvMiYaTR2Dzzh4Pq)jS1%Rpltmp6o6QQySC)3Ib?oH%$nMedwmZ@Y3z|GJn>K^awu zog3zJWwYqy(r7Pd#g%s_uNQlllYeyPbXRq$qtmqrtfu(puzDW*5nfKiIY}iZ{hi6b zW3C^&5@PP)_xxrZWW6`2QDe8E@NveSx_@gY?%8aYx8udF9lzhnA8F>d6*AY|Zx<#f zrIp6GcdCogEL%U<^mXq8mZ;xZEe%c?B(A40yI{Terqe@xb=2<kA+wwt64zs@V{N`X zU@knmD_?AJ?CEK`-O+hFEZ_b4CtLXV=k;SBJ`@x}3$q8^oL$p5+&0VaTN}OoNSCPg zr^mJObrSmfKOMiZ_4WC}CnqM>y!ll6I_d8(?MKI?_fM9Grp!OqO^;Nw-rm}3XtT$N zzsdOb_j-}@w%=>E+@Aa6^6K4>eu~HSynOlc&mHh`h!ws03%4&z%34<~Jb&F}l<^Li zwQ+mZ+}!ju`jqb7+iTq?YaJ%z`sVg_eLfkB3E!`;i4=Brz8iYnVSTTg(e=GumxX_Z zn=G^Xm};B~4z`A+4n(Ycu)68=Fhr<W?}opBieypxsVc~{>w^6p<W=81U))w-Jtd#L zHR@l*E-Ed0khq|{wsXb_qX$vOv$h6*pYQi+<Ce+iGEYF7Z4GwHWUg^=SYNWJ@V!gj z|A$eVWkJJ=v(IL1U%r?YJ$RNcKFbBKX4$H3db@P8%KbbmPpgZWS3egTLK-+1`VqtD zKmV84USw|g7rZj0E3RA|k{mVGPo6(BU4DLjV!$8hWc`ow#0O^{O!)WwJEWG6KX<18 z%I5y_xwa5KgTaGOp+{U#Bd<<_G_mo_u7gt!S|tV+Lo1#k4Q9}SH%g40+VP+NS*1xl T-_iL?K(=_g`njxgN@xNA_OpQK literal 0 HcmV?d00001 diff --git a/src/automate.vhd b/src/automate.vhd index 1ccb931..9d83b72 100644 --- a/src/automate.vhd +++ b/src/automate.vhd @@ -36,24 +36,75 @@ begin process (I_clk, I_rst) begin if(I_rst = '1')then - __BLANK_TO_FILL__ + SR_STATE <= st_wait_success; elsif rising_edge(I_clk)then - case SR_STATE is - case SR_STATE is + case SR_STATE is + when st_wait_failed => + O_counting <= '0'; + O_store <= '0'; + O_l_red <= '1'; + O_l_green <= '0'; + if I_button = '1' then + SR_STATE <= st_counting; + end if; when st_wait_success => - O_l_green <= '1'; + O_counting <= '0'; + O_store <= '0'; O_l_red <= '0'; - O_counting <= '0'; - O_store <= '0'; + O_l_green <= '1'; if I_button = '1' then SR_STATE <= st_counting; end if; - when __BLANK_TO_FILL__ + when st_counting => + O_counting <= '1'; + O_store <= '0'; + O_l_red <= '0'; + O_l_green <= '0'; + if I_button = '0' then + SR_STATE <= st_compar; + end if; - __BLANK_TO_FILL__ + when st_compar => + O_counting <= '0'; + O_store <= '0'; + O_l_red <= '0'; + O_l_green <= '0'; + if I_invalide = '0' then + SR_STATE <= st_store; + else + SR_STATE <= st_wait_failed; + end if; + + when st_store => + O_counting <= '0'; + O_store <= '1'; + O_l_red <= '0'; + O_l_green <= '0'; + if I_end = '1' then + SR_STATE <= st_end_red; + elsif I_end = '0' then + SR_STATE <= st_wait_success; + end if; + + when st_end_green => + O_counting <= '0'; + O_store <= '0'; + O_l_red <= '0'; + O_l_green <= '1'; + if I_clk_display = '0' then + SR_STATE <= st_end_red; + end if; + when st_end_red => + O_counting <= '0'; + O_store <= '0'; + O_l_red <= '1'; + O_l_green <= '0'; + if I_clk_display = '1' then + SR_STATE <= st_end_green; + end if; end case; end if; end process; diff --git a/src/compteur_modulo4_tb.vhd b/src/compteur_modulo4_tb.vhd new file mode 100644 index 0000000..e762034 --- /dev/null +++ b/src/compteur_modulo4_tb.vhd @@ -0,0 +1,62 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; + +entity compteur_modulo4_tb is +end compteur_modulo4_tb; + +architecture behavior of compteur_modulo4_tb is + + -- Component Declaration for the Unit Under Test (UUT) + component compteur_modulo4 + port( + clk : in std_logic; + reset : in std_logic; + count : out std_logic_vector(1 downto 0) + ); + end component; + + -- Inputs + signal clk : std_logic := '0'; + signal reset : std_logic := '0'; + + -- Outputs + signal count : std_logic_vector(1 downto 0); + + -- Clock period definition + constant clk_period : time := 10 ns; + +begin + + -- Instantiate the Unit Under Test (UUT) + uut: compteur_modulo4 port map ( + clk => clk, + reset => reset, + count => count + ); + + -- Clock process definitions + clk_process :process + begin + clk <= '0'; + wait for clk_period/2; + clk <= '1'; + wait for clk_period/2; + end process; + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 20 ns. + reset <= '1'; + wait for 20 ns; + reset <= '0'; + + -- insert stimulus here + wait for 100 ns; + + -- Finish simulation + wait; + end process; + +end behavior; \ No newline at end of file diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd index 7962a90..1dcd787 100644 --- a/src/compteur_modulo6.vhd +++ b/src/compteur_modulo6.vhd @@ -20,12 +20,20 @@ architecture modulo6_a of compteur_modulo6 is begin - process (_BLANK_) + process (I_clk, I_rst) begin if I_rst = '1' then - _BLANK_ + SR_Counter <= "000"; elsif rising_edge(I_clk) then - _BLANK_ + if I_block = '1' then + SR_Counter <= "000"; + else + if SR_Counter = "101" then + SR_Counter <= "000"; + else + SR_Counter <= SR_Counter + 1; + end if; + end if; end if; end process; diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd index a689bef..20550d5 100644 --- a/src/mux6_1.vhd +++ b/src/mux6_1.vhd @@ -20,8 +20,25 @@ end mux6_1; architecture a_mux6_1 of mux6_1 is begin -__BLANK_TO_FILL__ - + process (I_sel, I_0, I_1, I_2, I_3, I_4, I_5) + begin + case I_sel is + when "000" => + O_mux6 <= I_0; + when "001" => + O_mux6 <= I_1; + when "010" => + O_mux6 <= I_2; + when "011" => + O_mux6 <= I_3; + when "100" => + O_mux6 <= I_4; + when "101" => + O_mux6 <= I_5; + when others => + O_mux6 <= (others => '0'); + end case; + end process; end a_mux6_1; -- GitLab