diff --git a/docs/Q5.png b/docs/Q5.png
new file mode 100644
index 0000000000000000000000000000000000000000..6d5fba77d72f9c4dfab48d5f29b4c78666a7f8c6
Binary files /dev/null and b/docs/Q5.png differ
diff --git a/docs/Q7.png b/docs/Q7.png
new file mode 100644
index 0000000000000000000000000000000000000000..38d58f27f322102e93db2841e4e32b9eae5116d6
Binary files /dev/null and b/docs/Q7.png differ
diff --git a/docs/capture1.png b/docs/capture1.png
new file mode 100644
index 0000000000000000000000000000000000000000..72ea526a5f7972e10c55ed60c2f71f2e72c0035c
Binary files /dev/null and b/docs/capture1.png differ
diff --git a/project_loto/project_loto.cache/sim/ssm.db b/project_loto/project_loto.cache/sim/ssm.db
new file mode 100644
index 0000000000000000000000000000000000000000..139abfe27d90c8a9ddb8c46fe4d73eba7cca7547
--- /dev/null
+++ b/project_loto/project_loto.cache/sim/ssm.db
@@ -0,0 +1,10 @@
+################################################################################
+#                            DONOT REMOVE THIS FILE
+# Unified simulation database file for selected simulation model for IP
+#
+# File: ssm.db (Wed Feb 12 10:34:45 2025)
+#
+# This file is generated by the unified simulation automation and contains the
+# selected simulation model information for the IP/BD instances.
+#                            DONOT REMOVE THIS FILE
+################################################################################
diff --git a/project_loto/project_loto.cache/wt/project.wpc b/project_loto/project_loto.cache/wt/project.wpc
new file mode 100644
index 0000000000000000000000000000000000000000..6888edec1ac73923cacb7d6dea38f6663dae769b
--- /dev/null
+++ b/project_loto/project_loto.cache/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:2
+eof:
diff --git a/project_loto/project_loto.cache/wt/xsim.wdf b/project_loto/project_loto.cache/wt/xsim.wdf
new file mode 100644
index 0000000000000000000000000000000000000000..51d5206f7011f2f0764fb661278617e58456141a
--- /dev/null
+++ b/project_loto/project_loto.cache/wt/xsim.wdf
@@ -0,0 +1,4 @@
+version:1
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
+eof:2427094519
diff --git a/project_loto/project_loto.hw/project_loto.lpr b/project_loto/project_loto.hw/project_loto.lpr
new file mode 100644
index 0000000000000000000000000000000000000000..afc0a86cf8f820e635f040c3869b4b647d11ec04
--- /dev/null
+++ b/project_loto/project_loto.hw/project_loto.lpr
@@ -0,0 +1,7 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/project_loto/project_loto.ip_user_files/README.txt b/project_loto/project_loto.ip_user_files/README.txt
new file mode 100644
index 0000000000000000000000000000000000000000..023052cab505345c50834e560e42db8c25daf798
--- /dev/null
+++ b/project_loto/project_loto.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/.nfs0000000000db0c1100000067 b/project_loto/project_loto.sim/sim_1/behav/xsim/.nfs0000000000db0c1100000067
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh
new file mode 100755
index 0000000000000000000000000000000000000000..10d4320175c1b4c89f3836b2165ef0460819e722
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh
@@ -0,0 +1,24 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : compile.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for compiling the simulation design source files
+#
+# Generated by Vivado on Wed Feb 12 12:17:00 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: compile.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# compile VHDL design sources
+echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj"
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log
+
+echo "Waiting for jobs to finish..."
+echo "No pending jobs, compilation finished."
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..55b429b3226e19b0756fa9b6c7eb74766d5a6d0b
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log
new file mode 100644
index 0000000000000000000000000000000000000000..605c691bb326e62196d2ba4a5cf44ff1a645f839
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log
@@ -0,0 +1,28 @@
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh
new file mode 100755
index 0000000000000000000000000000000000000000..d5501de2624d135b39e869fc3234c865ee2bd6bd
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : elaborate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for elaborating the compiled design
+#
+# Generated by Vivado on Wed Feb 12 12:17:02 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: elaborate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# elaborate design
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..e2e7acfbe2fb6352a8d977224b3cc0fca393e0e1
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
new file mode 100644
index 0000000000000000000000000000000000000000..81dda96880eb85aaa245b404ea63eae26efaa141
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
@@ -0,0 +1,18 @@
+# compile vhdl design source files
+vhdl xil_defaultlib  \
+"../../../../../src/automate.vhd" \
+"../../../../../src/compteur1_49.vhd" \
+"../../../../../src/compteur_modulo6.vhd" \
+"../../../../../src/compteur_valid.vhd" \
+"../../../../../src/diviseur_freq.vhd" \
+"../../../../../src/led_pwm.vhd" \
+"../../../../../src/registres.vhd" \
+"../../../../../src/tirage.vhd" \
+"../../../../../src/mux6_1.vhd" \
+"../../../../../src/transcodeur7s_d_u.vhd" \
+"../../../../../src/modulo4.vhd" \
+"../../../../../src/loto.vhd" \
+"../../../../../src/loto_tb.vhd" \
+
+# Do not sort compile order
+nosort
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..a7e1fdae05daa06375f0bf1ab32cb21a9339018c
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.log b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh
new file mode 100755
index 0000000000000000000000000000000000000000..7821edabe35b61d10ad4ba3314928ba67c2aee4a
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : simulate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for simulating the design by launching the simulator
+#
+# Generated by Vivado on Wed Feb 12 12:04:43 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: simulate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# simulate design
+echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log"
+xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log
+
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb b/project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb
new file mode 100644
index 0000000000000000000000000000000000000000..e96f1a9e120e79894d59ae04c782374cc444d5fb
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000000000000000000000000000000000000..5ae6a8bef5e02315ec5e890ce9518059ab9b680b
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..0c3f85501eb954cbee00dd1a1c934c9638f6bc15
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000000000000000000000000000000000000..ffee61c973c383c188b87776a6f5da9b7f73afc1
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,113 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_25(char*, char *);
+IKI_DLLESPEC extern void execute_26(char*, char *);
+IKI_DLLESPEC extern void execute_27(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_24(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[7] = {(funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_23, (funcp)execute_24, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3};
+const int NumRelocateId= 7;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 7);
+	iki_vhdl_file_variable_register(dp + 3016);
+	iki_vhdl_file_variable_register(dp + 3072);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..5b05482f2aa378ffba9085ddeca40bd34a4d53d7
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..f457fd641ee3dad7655dfa6de615d7ac7e2ac6ac
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..556274cd51a09d285ee52f1799add4f82263281e
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..d801bdb8fca030804c606cb19944a479de1c404d
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000000000000000000000000000000000000..a16fb03d85a1f457dd5598d8ddded1777b96eac3
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  8780658004807298798  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..f889860d6864ba306fc6fbf39046b1b04252e17a
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..59528b4e45f7e6d8c1919d8f335d86acf8f4f8e7
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..1b530d985519cb5124eaed5bf1e273a51671ce7e
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..228b48625c509acf605a27a2331d425a95543df9
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000000000000000000000000000000000000..27c953aeb29a9463b35cffa1f78bd1f4eda86c61
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,4 @@
+Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 55815
+Design successfully loaded
+Design Loading Memory Usage: 20148 KB (Peak: 20744 KB)
+Design Loading CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000000000000000000000000000000000000..2fb2e349f232bbe5522acd7897d9a0410892dbdf
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..5bd7754bb4ab491272d7388f7203c5395d383fdf
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000000000000000000000000000000000000..0f211186accabeb63c98fe97400e399d7dd14cff
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,152 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_75(char*, char *);
+IKI_DLLESPEC extern void execute_76(char*, char *);
+IKI_DLLESPEC extern void execute_77(char*, char *);
+IKI_DLLESPEC extern void execute_78(char*, char *);
+IKI_DLLESPEC extern void execute_68(char*, char *);
+IKI_DLLESPEC extern void execute_69(char*, char *);
+IKI_DLLESPEC extern void execute_70(char*, char *);
+IKI_DLLESPEC extern void execute_71(char*, char *);
+IKI_DLLESPEC extern void execute_72(char*, char *);
+IKI_DLLESPEC extern void execute_73(char*, char *);
+IKI_DLLESPEC extern void execute_74(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_28(char*, char *);
+IKI_DLLESPEC extern void execute_30(char*, char *);
+IKI_DLLESPEC extern void execute_32(char*, char *);
+IKI_DLLESPEC extern void execute_33(char*, char *);
+IKI_DLLESPEC extern void execute_34(char*, char *);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_51(char*, char *);
+IKI_DLLESPEC extern void execute_53(char*, char *);
+IKI_DLLESPEC extern void execute_54(char*, char *);
+IKI_DLLESPEC extern void execute_55(char*, char *);
+IKI_DLLESPEC extern void execute_57(char*, char *);
+IKI_DLLESPEC extern void execute_59(char*, char *);
+IKI_DLLESPEC extern void execute_60(char*, char *);
+IKI_DLLESPEC extern void execute_61(char*, char *);
+IKI_DLLESPEC extern void execute_62(char*, char *);
+IKI_DLLESPEC extern void execute_63(char*, char *);
+IKI_DLLESPEC extern void execute_65(char*, char *);
+IKI_DLLESPEC extern void execute_66(char*, char *);
+IKI_DLLESPEC extern void execute_67(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[46] = {(funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_28, (funcp)execute_30, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_36, (funcp)execute_37, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_50, (funcp)execute_51, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_57, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9};
+const int NumRelocateId= 46;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc",  (void **)funcTab, 46);
+	iki_vhdl_file_variable_register(dp + 13864);
+	iki_vhdl_file_variable_register(dp + 13920);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..0a03bc1b006d514728558952df39beeca889fd80
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..79553d20c92b935ea0c8f371818860fc46413945
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..f7cf2f68e489ece2c94a65f7d267c5a769c8d354
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..33d7d9aba9d1e849b1c0d3a9195b1f4ab283bd06
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
new file mode 100644
index 0000000000000000000000000000000000000000..f1df39b68ef04e60d1923437196a8e98741ab0ef
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  5620293627139536342  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\"   \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..329a653ed7f2dfa411ffdd3d375dae756f671fa1
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..d267d7098871297a273af3840767db6b9216eb02
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..e60afc75709dd2d8eacd9876e58afa87630b2fb7
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000000000000000000000000000000000000..3ab592b1d4795a05ea9e5396c534ead75730f3e0
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=199
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=209
+OBJECT_NAME_COLUMN_WIDTH=122
+OBJECT_VALUE_COLUMN_WIDTH=79
+OBJECT_DATA_TYPE_COLUMN_WIDTH=91
+PROCESS_NAME_COLUMN_WIDTH=0
+PROCESS_TYPE_COLUMN_WIDTH=0
+FRAME_INDEX_COLUMN_WIDTH=0
+FRAME_NAME_COLUMN_WIDTH=0
+FRAME_FILE_NAME_COLUMN_WIDTH=0
+FRAME_LINE_NUM_COLUMN_WIDTH=0
+LOCAL_NAME_COLUMN_WIDTH=0
+LOCAL_VALUE_COLUMN_WIDTH=0
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..821e04107c0a4175f8f2feadb68e602bdbb87e4e
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000000000000000000000000000000000000..54d6c6ced55563a18c7ccae7f239f32e6c89ee0a
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
@@ -0,0 +1,4 @@
+Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 39909
+Design successfully loaded
+Design Loading Memory Usage: 20192 KB (Peak: 20756 KB)
+Design Loading CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000000000000000000000000000000000000..3523bba8f96673904d3c511564ada706d0c8fa09
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..9df998487bd36c639b4e0ff47213aa7b573f27c2
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000000000000000000000000000000000000..8998a5e51509c604d4a0813c3192324f0237cd7a
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,111 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_22(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_21(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8};
+const int NumRelocateId= 5;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 5);
+	iki_vhdl_file_variable_register(dp + 3592);
+	iki_vhdl_file_variable_register(dp + 3648);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..209ff01dc5df65da9051bd0a10fc3a23b93d129c
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..9e502caa2c8bc3f1766d1ec776022404e6323717
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..fbca764fc991a899f7572b2c1e4ced82b2f89096
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000000000000000000000000000000000000..d4773723ccae2df0c175c922b2da31967b35fe6d
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  2089160778769986251  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..be6b2929cf6007ac106dc5393b4c3e4394b2f924
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..74cba7c61d28a16a329a6aa3551aea6616e1de41
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..a258739ec19fbe5595e7cdd550b08bb699cafd3b
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000000000000000000000000000000000000..c9e7b636e67fefcd526bbc8c5c1a8e0095f78ef8
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=118
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=125
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=151
+OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..20316ad635e51286ad321e072acc0eeec5242787
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000000000000000000000000000000000000..660c7f68f4613a5e49a586684db7e94554da26f3
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 48197
+Design successfully loaded
+Design Loading Memory Usage: 20148 KB (Peak: 20744 KB)
+Design Loading CPU Usage: 20 ms
+Simulation completed
+Simulation Memory Usage: 101884 KB (Peak: 159416 KB)
+Simulation CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..70630707070fd589310a308708233f830f3c0bd6
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..7e5d4a404472257cf59b18f68a135766950a5f51
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..2807eddfb7127a019eee40cb531d65ca967aa080
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..535097b1075e9c355fd1b421513147042583f256
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..3d0e996fe76545587b746413ce1c91b03383aee7
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..60f147d7382f79a6b03121815f92295f1a481ed4
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..abac6253ade4c17250f007948bc6f263d58e2e6d
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..aaf8ea21ea6aa12d66df5cb7da334a0023f4e034
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..dffbe10ef4ea67891c47a3b9be11e44b62d9f12c
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..47772fa7eb50419c6e312421c2698ebb2d9f827d
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0229c48d0151ca1d0ce05e9db7534e91e2845a09
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..d93f1131bc9d06b6f97bf8859f5dbef6976e812b
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..a79204db1cebacbf9a76070a2b0e87db8518c232
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..60552d8e7cd4b3be6e3cbb058bbfe39d8dec6221
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..dcd58ff22315561b43f302656bdb53dc1cb3ccad
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0b59f00075100f935479f6b0f396a4fcb9cd0419
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..8eb194557e6153855b89a45a490710111ea35acb
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..b7a7f327679095457db88ed821af9ec176594438
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb differ
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
new file mode 100644
index 0000000000000000000000000000000000000000..11f9601c3396044eae92ff00de93ca84393cd2e5
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -0,0 +1,19 @@
+0.7
+2020.2
+May 22 2024
+18:54:44
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd,1739359015,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,automate,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,compteur1_49,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd,1739356158,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,compteur_modulo6,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd,1739350093,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,compteur_valid,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,diviseur_freq,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,led_pwm,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd,,,loto,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd,1739350093,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,modulo4,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd,1739353060,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,mux6_1,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd,1739350093,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,registres,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,tirage,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,,
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini
new file mode 100644
index 0000000000000000000000000000000000000000..e8199b2597fb201d9f6673368b7b003f11b596e4
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini
@@ -0,0 +1 @@
+xil_defaultlib=xsim.dir/xil_defaultlib
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log
new file mode 100644
index 0000000000000000000000000000000000000000..583b80be2385d237cc8b7e0b9f99f2d8ced8b110
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log
@@ -0,0 +1,8 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb
new file mode 100644
index 0000000000000000000000000000000000000000..5425cfce17581be64f6c7a1b9015933554c32698
Binary files /dev/null and b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb differ
diff --git a/project_loto/project_loto.xpr b/project_loto/project_loto.xpr
new file mode 100644
index 0000000000000000000000000000000000000000..c013f49c35f3e44970ec6f65dc8b70ae7d0fcad3
--- /dev/null
+++ b/project_loto/project_loto.xpr
@@ -0,0 +1,317 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                              -->
+<!--                                                                         -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                   -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.   -->
+
+<Project Product="Vivado" Version="7" Minor="67" Path="/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr">
+  <DefaultLaunch Dir="$PRUNDIR"/>
+  <Configuration>
+    <Option Name="Id" Val="7f3a2d2226d141cfb2994d7549bd4fac"/>
+    <Option Name="Part" Val="xc7a100tcsg324-1"/>
+    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+    <Option Name="CompiledLibDirXSim" Val=""/>
+    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
+    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
+    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
+    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
+    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
+    <Option Name="SimulatorInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorInstallDirVCS" Val=""/>
+    <Option Name="SimulatorInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
+    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorVersionXsim" Val="2024.1"/>
+    <Option Name="SimulatorVersionModelSim" Val="2023.2"/>
+    <Option Name="SimulatorVersionQuesta" Val="2023.2"/>
+    <Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
+    <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
+    <Option Name="SimulatorVersionRiviera" Val="2023.04"/>
+    <Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
+    <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
+    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
+    <Option Name="TargetLanguage" Val="VHDL"/>
+    <Option Name="BoardPart" Val=""/>
+    <Option Name="ActiveSimSet" Val="sim_1"/>
+    <Option Name="DefaultLib" Val="xil_defaultlib"/>
+    <Option Name="ProjectType" Val="Default"/>
+    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
+    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
+    <Option Name="IPCachePermission" Val="read"/>
+    <Option Name="IPCachePermission" Val="write"/>
+    <Option Name="EnableCoreContainer" Val="FALSE"/>
+    <Option Name="EnableResourceEstimation" Val="FALSE"/>
+    <Option Name="SimCompileState" Val="TRUE"/>
+    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
+    <Option Name="EnableBDX" Val="FALSE"/>
+    <Option Name="WTXSimLaunchSim" Val="16"/>
+    <Option Name="WTModelSimLaunchSim" Val="0"/>
+    <Option Name="WTQuestaLaunchSim" Val="0"/>
+    <Option Name="WTIesLaunchSim" Val="0"/>
+    <Option Name="WTVcsLaunchSim" Val="0"/>
+    <Option Name="WTRivieraLaunchSim" Val="0"/>
+    <Option Name="WTActivehdlLaunchSim" Val="0"/>
+    <Option Name="WTXSimExportSim" Val="0"/>
+    <Option Name="WTModelSimExportSim" Val="0"/>
+    <Option Name="WTQuestaExportSim" Val="0"/>
+    <Option Name="WTIesExportSim" Val="0"/>
+    <Option Name="WTVcsExportSim" Val="0"/>
+    <Option Name="WTRivieraExportSim" Val="0"/>
+    <Option Name="WTActivehdlExportSim" Val="0"/>
+    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
+    <Option Name="XSimRadix" Val="hex"/>
+    <Option Name="XSimTimeUnit" Val="ns"/>
+    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
+    <Option Name="XSimTraceLimit" Val="65536"/>
+    <Option Name="SimTypes" Val="rtl"/>
+    <Option Name="SimTypes" Val="bfm"/>
+    <Option Name="SimTypes" Val="tlm"/>
+    <Option Name="SimTypes" Val="tlm_dpi"/>
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
+    <Option Name="DcpsUptoDate" Val="TRUE"/>
+    <Option Name="ClassicSocBoot" Val="FALSE"/>
+    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
+  </Configuration>
+  <FileSets Version="1" Minor="32">
+    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../src/automate.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur1_49.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_valid.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/diviseur_freq.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/led_pwm.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/registres.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/tirage.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/modulo4.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/loto.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo4.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_u.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+      <Filter Type="Constrs"/>
+      <File Path="$PPRDIR/../src/Nexys4DDR-Master.xdc">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="ConstrsType" Val="XDC"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../src/loto_tb.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto_tb_ar_cfg"/>
+        <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TransportPathDelay" Val="0"/>
+        <Option Name="TransportIntDelay" Val="0"/>
+        <Option Name="SelectedSimModel" Val="rtl"/>
+        <Option Name="PamDesignTestbench" Val=""/>
+        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
+        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
+        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
+        <Option Name="SrcSet" Val="sources_1"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+      <Filter Type="Utils"/>
+      <Config>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+  </FileSets>
+  <Simulators>
+    <Simulator Name="XSim">
+      <Option Name="Description" Val="Vivado Simulator"/>
+      <Option Name="CompiledLib" Val="0"/>
+    </Simulator>
+    <Simulator Name="ModelSim">
+      <Option Name="Description" Val="ModelSim Simulator"/>
+    </Simulator>
+    <Simulator Name="Questa">
+      <Option Name="Description" Val="Questa Advanced Simulator"/>
+    </Simulator>
+    <Simulator Name="Xcelium">
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
+    </Simulator>
+    <Simulator Name="VCS">
+      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
+    </Simulator>
+    <Simulator Name="Riviera">
+      <Option Name="Description" Val="Riviera-PRO Simulator"/>
+    </Simulator>
+  </Simulators>
+  <Runs Version="1" Minor="22">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+  </Runs>
+  <Board/>
+  <DashboardSummary Version="1" Minor="0">
+    <Dashboards>
+      <Dashboard Name="default_dashboard">
+        <Gadgets>
+          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
+          </Gadget>
+          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
+          </Gadget>
+          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
+          </Gadget>
+          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
+          </Gadget>
+          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
+            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
+            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
+          </Gadget>
+          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
+          </Gadget>
+        </Gadgets>
+      </Dashboard>
+      <CurrentDashboard>default_dashboard</CurrentDashboard>
+    </Dashboards>
+  </DashboardSummary>
+</Project>
diff --git a/src/automate.vhd b/src/automate.vhd
index 1ccb931d7fe12fa743f62a8460c95a6d7fb05343..c0658e2e01164749dd1b69e86d01ea31cfba34b0 100644
--- a/src/automate.vhd
+++ b/src/automate.vhd
@@ -36,24 +36,89 @@ begin
     process (I_clk, I_rst)
     begin
         if(I_rst = '1')then
-            __BLANK_TO_FILL__
+            O_counting  <= '0';
+            O_store     <= '0';
+            O_l_red     <= '0'; 
+            O_l_green   <= '0';
+            SR_STATE    <= st_wait_success;
         elsif rising_edge(I_clk)then
             case SR_STATE is
-                case SR_STATE is
 
                 when st_wait_success =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '0';
                     O_l_green        <= '1';
+                    
+                    if I_button = '1' then
+                        SR_STATE <= st_counting;
+                    end if;
+                    
+                when st_counting =>
+                    O_counting       <= '1';
+                    O_store <= '0';
                     O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_button = '0' then
+                        SR_STATE <= st_compar;
+                    end if;
+                    
+                when st_compar =>
                     O_counting       <= '0';
                     O_store <= '0';
+                    O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_invalide = '0' then
+                        SR_STATE <= st_store;
+                    else
+                        SR_STATE <= st_wait_failed;
+                    end if;
+                    
+                when st_store =>
+                    O_counting       <= '0';
+                    O_store <= '1';
+                    O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_end = '1' then
+                        SR_STATE <= st_end_red;
+                    else
+                        SR_STATE <= st_wait_success;     
+                    end if;
+                    
+                when st_end_red =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '1';
+                    O_l_green        <= '0';
+                    
+                    if I_clk_display = '1' then
+                        SR_STATE <= st_end_green;
+                    end if;
+                    
+                when st_end_green =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '0';
+                    O_l_green        <= '1';
+                    
+                    if I_clk_display = '0' then
+                        SR_STATE <= st_end_red;
+                    end if;
+                    
+                when st_wait_failed =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '1';
+                    O_l_green        <= '0';
+                    
                     if I_button = '1' then
                         SR_STATE <= st_counting;
                     end if;
 
-                    when __BLANK_TO_FILL__
-
-                    __BLANK_TO_FILL__
-
+                    
             end case;
         end if;
     end process;
diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd
index 7962a902901eb77362e130eb770ac5481684623d..28c5ba5c4a0df2f34f8ace2b1f52aeaeef18d5a6 100644
--- a/src/compteur_modulo6.vhd
+++ b/src/compteur_modulo6.vhd
@@ -5,12 +5,11 @@ use IEEE.numeric_std.all;
 
 entity compteur_modulo6 is
     port (
-        I_clk         : in  std_logic;
-        I_rst         : in  std_logic;
+        I_clk         : in  std_logic; 
+        I_rst         : in  std_logic; 
         I_block       : in  std_logic;
         O_CounterMod6 : out std_logic_vector(2 downto 0)
         );
-
 end compteur_modulo6;
 
 
@@ -20,15 +19,25 @@ architecture modulo6_a of compteur_modulo6 is
 
 begin
 
-    process (_BLANK_)
+    mod6 : process (I_clk,I_rst)
     begin
         if I_rst = '1' then
-            _BLANK_
+            SR_Counter <= "000";
         elsif rising_edge(I_clk) then
-            _BLANK_
-        end if;
-    end process;
+           if I_block='1' then 
+              SR_Counter <= SR_Counter;
+              else 
+                if SR_Counter = "101" then
+                  SR_Counter <= "000";
+                else
+                  SR_Counter <= SR_Counter + 1;
+               end if;
+          end if;
+       end if; 
+    end process mod6;
 
     O_CounterMod6 <= std_logic_vector(SR_Counter);
+    
+    
 
-end modulo6_a;
+end modulo6_a;
\ No newline at end of file
diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd
index a689bef6c26f4dd324c13f5d0653dfd294f6d097..c24b1c84b989bf3a95bde0298ad4e8bc2ffbfbbc 100644
--- a/src/mux6_1.vhd
+++ b/src/mux6_1.vhd
@@ -20,8 +20,26 @@ end mux6_1;
 architecture a_mux6_1 of mux6_1 is
 begin
 
-__BLANK_TO_FILL__
 
 
+    process(I_0,I_1,I_2,I_3,I_4,I_5,I_sel)
+    begin
+        case I_sel is
+            when "000" =>
+                O_mux6 <= I_0;
+            when "001" =>
+                O_mux6 <= I_1;
+            when "010" =>
+                O_mux6 <= I_2;
+            when "011" =>
+                O_mux6 <= I_3;
+            when "100" =>
+                O_mux6 <= I_4;
+            when others =>
+                O_mux6 <= I_5;
+        end case;
+    end process;
+    
+
 
 end a_mux6_1;
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000000000000000000000000000000000000..fcdf87bc3b20aeabc49b49db528c80c8e4b5717c
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,50 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 11:25:25 2025
+# Process ID: 68911
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3497.932 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :18096 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr
+update_compile_order -fileset sources_1
+launch_simulation
+launch_simulation
+source compteur_modulo6_tb_arch_cfg.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+update_compile_order -fileset sim_1
+launch_simulation
+source loto_tb_ar_cfg.tcl
+close_sim
+launch_simulation
+source loto_tb_ar_cfg.tcl
+restart
+run 10 us
+relaunch_sim
+restart
+run 10 us
+run 10 us
+restart
+run 10 us
+relaunch_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000000000000000000000000000000000000..cb562d05eef46a01345e89bebc5d64f3fae20a19
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,557 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 11:25:25 2025
+# Process ID: 68911
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3497.932 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :18096 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+open_project: Time (s): cpu = 00:00:34 ; elapsed = 00:00:19 . Memory (MB): peak = 8200.371 ; gain = 717.445 ; free physical = 6824 ; free virtual = 15911
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb]
+Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source compteur_modulo6_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8521.207 ; gain = 73.113 ; free physical = 6704 ; free virtual = 15897
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+update_compile_order -fileset sim_1
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur1_49'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_valid'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'led_pwm'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'registres'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'modulo4'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source loto_tb_ar_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8682.352 ; gain = 75.098 ; free physical = 6700 ; free virtual = 15813
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source loto_tb_ar_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 11.219 ; free physical = 6677 ; free virtual = 15794
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 0.000 ; free physical = 6721 ; free virtual = 15764
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+run 10 us
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8797.973 ; gain = 0.000 ; free physical = 6162 ; free virtual = 15704
diff --git a/vivado_30432.backup.jou b/vivado_30432.backup.jou
new file mode 100644
index 0000000000000000000000000000000000000000..595e81dc0cd90d003866ed58d45288c693b7dbc9
--- /dev/null
+++ b/vivado_30432.backup.jou
@@ -0,0 +1,63 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 09:50:51 2025
+# Process ID: 30432
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.001 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :19105 MB
+#-----------------------------------------------------------
+start_gui
+create_project project_loto /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto -part xc7a100tcsg324-1
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd}
+add_files -fileset sim_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/Nexys4DDR-Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd]
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd]
+launch_simulation
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source mux6_1_tb_arch_cfg.tcl
+close_sim
+launch_simulation
+source mux6_1_tb_arch_cfg.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
+restart
+launch_simulation
+close_sim
diff --git a/vivado_30432.backup.log b/vivado_30432.backup.log
new file mode 100644
index 0000000000000000000000000000000000000000..e2558a190aa67e1f85aa025e1e071330717125d6
--- /dev/null
+++ b/vivado_30432.backup.log
@@ -0,0 +1,449 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 09:50:51 2025
+# Process ID: 30432
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.001 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :19105 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+create_project project_loto /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto -part xc7a100tcsg324-1
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8027.469 ; gain = 205.133 ; free physical = 8841 ; free virtual = 17041
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd}
+add_files -fileset sim_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/Nexys4DDR-Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:23]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:26]
+ERROR: [VRFC 10-4982] syntax error near 'elsif' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:27]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:28]
+ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:29]
+ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:23]
+ERROR: [VRFC 10-9458] unit 'modulo6_a' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:17]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb]
+Built simulation snapshot mux6_1_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source mux6_1_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8338.512 ; gain = 79.105 ; free physical = 7337 ; free virtual = 16420
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg'
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source mux6_1_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 8478.930 ; gain = 27.984 ; free physical = 7013 ; free virtual = 16141
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:25:14 2025...
diff --git a/vivado_pid68911.str b/vivado_pid68911.str
new file mode 100644
index 0000000000000000000000000000000000000000..d809fa33504f1dec1d510b0892db02d1439e2c8b
--- /dev/null
+++ b/vivado_pid68911.str
@@ -0,0 +1,2152 @@
+/*
+
+AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
+SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+
+Process ID (PID): 68911
+License: Customer
+Mode: GUI Mode
+
+Current time: 	Wed Feb 12 11:25:40 CET 2025
+Time zone: 	Central European Standard Time (Europe/Paris)
+
+OS: Ubuntu
+OS Version: 6.8.0-52-generic
+OS Architecture: amd64
+Available processors (cores): 4
+LSB Release Description: Ubuntu 24.04.1 LTS
+
+Display: 0
+Screen size: 2560x1440
+Local screen bounds: x = 66, y = 32, width = 2494, height = 1408
+Screen resolution (DPI): 100
+Available screens: 1
+Default font: family=Dialog,name=Dialog,style=plain,size=12
+Scale size: 12
+OS font scaling: 100%
+Anti-Alias Enabled: false
+
+Java version: 	21.0.1 64-bit
+JavaFX version: 21.0.1
+Java home: 	/opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
+Java executable: 	/opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
+Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
+Java initial memory (-Xms): 	512 MB
+Java maximum memory (-Xmx):	 3 GB
+
+User name: 	m24guill
+User home directory: /homes/m24guill
+User working directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+User country: 	US
+User language: 	en
+User locale: 	en_US
+
+RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado
+HDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1
+RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data
+RDI_BINDIR: /opt/img/Vivado2024.1/Vivado/2024.1/bin
+
+Vivado preferences file: /homes/m24guill/.Xilinx/Vivado/2024.1/vivado.xml
+Vivado preferences directory: /homes/m24guill/.Xilinx/Vivado/2024.1/
+Vivado layouts directory: /homes/m24guill/.Xilinx/Vivado/2024.1/data/layouts
+PlanAhead jar file: 	/opt/img/Vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
+Vivado log file: 	/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+Vivado journal file: 	/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+Engine tmp dir: 	./.Xil/Vivado-68911-fl-tp-br-641
+Non-Default Parameters:	[]
+
+Xilinx & AMD Environment Variables
+--------------------------------------------------------------------------------------------
+GNOME_SHELL_SESSION_MODE: ubuntu
+RDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1
+RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado
+RDI_BINROOT: /opt/img/Vivado2024.1/Vivado/2024.1/bin
+RDI_BUILD: yes
+RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data
+RDI_INSTALLROOT: /opt/img/Vivado2024.1
+RDI_INSTALLVER: 2024.1
+RDI_JAVA_PLATFORM: 
+RDI_JAVA_VERSION: 21.0.1_12
+RDI_LIBDIR: /opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o
+RDI_OPT_EXT: .o
+RDI_PATCHROOT: 
+RDI_PLATFORM: lnx64
+RDI_PREPEND_PATH: /opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
+RDI_PROG: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
+RDI_SESSION_INFO: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill:fl-tp-br-641_1739355924_68842
+RDI_SHARED_DATA: /opt/img/Vivado2024.1/SharedData/2024.1/data
+RDI_TPS_ROOT: /opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64
+RDI_USE_JDK21: True
+SHELL: /bin/bash
+XILINX: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
+XILINX_DSP: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINX_HLS: /opt/img/Vivado2024.1/Vitis_HLS/2024.1
+XILINX_PLANAHEAD: /opt/img/Vivado2024.1/Vivado/2024.1
+XILINX_SDK: /opt/img/Vivado2024.1/Vitis/2024.1
+XILINX_VITIS: /opt/img/Vivado2024.1/Vitis/2024.1
+XILINX_VIVADO: /opt/img/Vivado2024.1/Vivado/2024.1
+XILINX_VIVADO_HLS: /opt/img/Vivado2024.1/Vivado/2024.1
+
+
+GUI allocated memory:	512 MB
+GUI max memory:		4,072 MB
+Engine allocated memory: 1,366 MB
+
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+*/
+
+// TclEventType: START_GUI
+// Tcl Message: start_gui 
+selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr", 0); // b.c (PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS)
+// Opening Vivado Project: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr. Version: Vivado v2024.1 
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// TclEventType: FLOW_ADDED
+// Tcl Message: open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,452 MB. GUI used memory: 80 MB. Current time: 2/12/25, 11:25:41 AM CET
+// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. 
+// TclEventType: MSGMGR_MOVEMSG
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_NEW
+// TclEventType: RUN_CURRENT
+// TclEventType: PROJECT_DASHBOARD_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_NEW
+// [GUI Memory]: 137 MB (+140868kb) [00:00:28]
+// [Engine Memory]: 2,111 MB (+2062305kb) [00:00:28]
+// WARNING: HEventQueue.dispatchEvent() is taking  1765 ms.
+// Tcl Message: open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr 
+// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.gen/sources_1'. 
+// Tcl Message: Scanning sources... Finished scanning sources 
+// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. 
+// [GUI Memory]: 153 MB (+9585kb) [00:00:29]
+// Project name: project_loto; location: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto; part: xc7a100tcsg324-1
+// Tcl Message: open_project: Time (s): cpu = 00:00:34 ; elapsed = 00:00:19 . Memory (MB): peak = 8200.371 ; gain = 717.445 ; free physical = 6824 ; free virtual = 15911 
+// Elapsed time: 19 seconds
+dismissDialog("Open Project"); // bj (Open Project Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,177 MB. GUI used memory: 83 MB. Current time: 2/12/25, 11:26:01 AM CET
+// Tcl Message: update_compile_order -fileset sources_1 
+// Elapsed time: 12 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 8); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 9); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj 
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8] ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6] INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' 
+// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. 
+// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. 
+// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.  
+// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.  (Run Simulation)'
+// 'd' command handler elapsed time: 7 seconds
+selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button)
+// Elapsed time: 19 seconds
+selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK)
+dismissDialog("Critical Messages"); // a (dialog0)
+selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aa
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 241, 101); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+// Elapsed time: 10 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 33 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// [Engine Memory]: 2,224 MB (+7773kb) [00:02:21]
+// HMemoryUtils.trashcanNow. Engine heap size: 2,255 MB. GUI used memory: 87 MB. Current time: 2/12/25, 11:27:56 AM CET
+// Elapsed time: 35 seconds
+selectCodeEditor("compteur_modulo6.vhd", 273, 111); // ac (compteur_modulo6.vhd)
+// Elapsed time: 18 seconds
+selectCodeEditor("compteur_modulo6.vhd", 392, 84); // ac (compteur_modulo6.vhd)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 87 MB. Current time: 2/12/25, 11:28:51 AM CET
+// Elapsed time: 11 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+selectCodeEditor("compteur_modulo6.vhd", 405, 167); // ac (compteur_modulo6.vhd)
+selectCodeEditor("compteur_modulo6.vhd", 408, 158); // ac (compteur_modulo6.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 03m:22s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,332 MB. GUI used memory: 88 MB. Current time: 2/12/25, 11:29:21 AM CET
+// Elapsed Time for: 'L.f': 03m:26s
+// Elapsed time: 23 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// TclEventType: LOAD_FEATURE
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// [Engine Memory]: 2,387 MB (+54114kb) [00:04:04]
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Tcl Message: source compteur_modulo6_tb_arch_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:29:35 AM CET
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8521.207 ; gain = 73.113 ; free physical = 6704 ; free virtual = 15897 
+// 'd' command handler elapsed time: 7 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,388 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:29:40 AM CET
+// Elapsed time: 29 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:30:11 AM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:30:11 AM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:30:11 AM CET
+// Elapsed time: 126 seconds
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// HMemoryUtils.trashcanNow. Engine heap size: 2,419 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:32:26 AM CET
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [GUI Memory]: 161 MB (+717kb) [00:11:18]
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 286 seconds
+selectCodeEditor("automate.vhd", 134, 539); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 168, 544); // ac (automate.vhd)
+// Elapsed time: 117 seconds
+selectCodeEditor("automate.vhd", 55, 171); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 548); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 55, 566); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 243, 577); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 551); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 150, 566); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 158, 578); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 169, 598); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 176, 547); // ac (automate.vhd)
+// Elapsed time: 253 seconds
+selectCodeEditor("automate.vhd", 189, 501); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 154, 514); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 500); // ac (automate.vhd)
+// Elapsed time: 17 seconds
+selectCodeEditor("automate.vhd", 174, 505); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 188, 500); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 505); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 157, 532); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 160, 545); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 23 seconds
+selectCodeEditor("automate.vhd", 80, 319); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 80, 319, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 560); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 198, 565); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 257, 561); // ac (automate.vhd)
+// [GUI Memory]: 174 MB (+5079kb) [00:20:08]
+// Elapsed time: 88 seconds
+selectCodeEditor("automate.vhd", 145, 670); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 185, 662); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 143, 668); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 289, 624); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 107, 641); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 141, 700); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 315, 639); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 312, 687); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 141, 664); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 161, 703); // ac (automate.vhd)
+// Elapsed time: 29 seconds
+selectCodeEditor("automate.vhd", 140, 756); // ac (automate.vhd)
+// Elapsed time: 44 seconds
+selectCodeEditor("automate.vhd", 140, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 149, 774); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 140, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 116, 609); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 259, 630); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 109, 608); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 368, 730); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("automate.vhd", 295, 617); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 295, 617, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 294, 606); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 606, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 654); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 654, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 292, 668); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 293, 713); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 213, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 134, 474); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 119, 476); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 258, 517); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 237, 565); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 230, 734); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 248, 724); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 334, 745); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 250, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 114, 490); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 110, 637); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 197, 751); // ac (automate.vhd)
+// Elapsed time: 30 seconds
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 113, 489); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 121, 766); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 118, 792); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 15 seconds
+selectCodeEditor("automate.vhd", 299, 715); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 233, 744); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 182, 741); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 740); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 271, 741); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 318, 730); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 196, 755); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 304, 758); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 768); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 768, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 284, 747); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 284, 747, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 274, 755); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 274, 755, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 317, 680); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 91, 775); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 114, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 318, 758); // ac (automate.vhd)
+// Elapsed time: 22 seconds
+selectCodeEditor("automate.vhd", 188, 771); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 765); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 247, 752); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 170, 756); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 779); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 789); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 216, 795); // ac (automate.vhd)
+// Elapsed time: 18 seconds
+selectCodeEditor("automate.vhd", 113, 504); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 204, 803); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 116, 616); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 104, 797); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 607); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 179, 651); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 654); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 654, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 11 seconds
+selectCodeEditor("automate.vhd", 272, 673); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 293, 664); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 237, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 258, 686); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 215, 713); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 272, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 220, 752); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 232, 744); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 747); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 180, 772); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 197, 765); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 200, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 761); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 761, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 17 seconds
+selectCodeEditor("automate.vhd", 217, 771); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 112, 654); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 772, false, true, false, false, false); // ac (automate.vhd) - Control Key
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 699); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 699, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 19 seconds
+selectCodeEditor("automate.vhd", 234, 729); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 740); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 379, 745); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 175, 788); // ac (automate.vhd)
+// Elapsed time: 12 seconds
+selectCodeEditor("automate.vhd", 185, 787); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 241, 782); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 302, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 223, 799); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 320, 803); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 702); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 273, 815); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 109, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 208, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 189, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 216, 788); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 276, 823); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 299, 849); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 732); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 281, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 761); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 337, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 337, 762, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 119, 658); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 658); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 658); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 336, 769); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 234, 707); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 232, 695); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 746); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 290, 752); // ac (automate.vhd)
+// Elapsed time: 16 seconds
+selectCodeEditor("automate.vhd", 267, 772); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 783); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 253, 787); // ac (automate.vhd)
+// Elapsed time: 28 seconds
+typeControlKey(null, null, 'z');
+typeControlKey(null, null, 'z');
+// Elapsed time: 12 seconds
+selectCodeEditor("automate.vhd", 250, 792); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 278, 812); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 799); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 799, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 231, 814); // ac (automate.vhd)
+// Elapsed time: 15 seconds
+selectCodeEditor("automate.vhd", 154, 201); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 223, 206); // ac (automate.vhd)
+// Elapsed time: 19 seconds
+selectCodeEditor("automate.vhd", 173, 383); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 33m:12s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,468 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:59:11 AM CET
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed Time for: 'L.f': 33m:16s
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 61 seconds
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 13); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 13); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 14); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 14); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 11 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 15); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 15); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 10 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 13 seconds
+selectCodeEditor("automate.vhd", 823, 532); // ac (automate.vhd)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
+selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top loto_tb_ar_cfg [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 34m:36s
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 34m:38s
+// Tcl Message: update_compile_order -fileset sim_1 
+// Elapsed Time for: 'L.f': 34m:40s
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 15, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,489 MB. GUI used memory: 101 MB. Current time: 2/12/25, 12:00:41 PM CET
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// [Engine Memory]: 2,535 MB (+30437kb) [00:35:18]
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,557 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:00:49 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source loto_tb_ar_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8682.352 ; gain = 75.098 ; free physical = 6700 ; free virtual = 15813 
+// 'd' command handler elapsed time: 7 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// Elapsed Time for: 'L.f': 34m:52s
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 26 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 288, 574); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1612, 807, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 11, 410); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1335, 643, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,536 MB. GUI used memory: 111 MB. Current time: 2/12/25, 12:01:21 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 1, 410); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1325, 643, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,536 MB. GUI used memory: 111 MB. Current time: 2/12/25, 12:01:27 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// Elapsed time: 53 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// Elapsed time: 33 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTab((HResource) null, (HResource) null, "Scope", 0); // aa
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_counting ; 0 ; Logic", 6, "O_counting", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_store ; 0 ; Logic", 7, "O_store", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_red ; 0 ; Logic", 8, "O_l_red", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_counting ; 0 ; Logic", 6, "O_counting", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_store ; 0 ; Logic", 7, "O_store", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_red ; 0 ; Logic", 8, "O_l_red", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_green ; 1 ; Logic", 9, "O_l_green", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// [GUI Memory]: 183 MB (+352kb) [00:38:28]
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 10 seconds
+selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
+selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [Engine Memory]: 3,573 MB (+954832kb) [00:38:47]
+// HMemoryUtils.trashcanNow. Engine heap size: 3,445 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,792 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 113 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,051 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:21 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:21 PM CET
+// Run Command: RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION
+// Elapsed time: 18 seconds
+dismissFileChooser();
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 2*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log  Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,571 MB. GUI used memory: 117 MB. Current time: 2/12/25, 12:04:45 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: source loto_tb_ar_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 11.219 ; free physical = 6677 ; free virtual = 15794 
+// 'd' command handler elapsed time: 9 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 11 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed time: 22 seconds
+selectCodeEditor("automate.vhd", 220, 177); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 220, 177, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 195, 321); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 321, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// Elapsed time: 12 seconds
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, n_slow]", 9, false, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectMenuItem((HResource) null, "New Divider"); // ap (New Divider)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_RENAME_COMMAND
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 12 seconds
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// [GUI Memory]: 200 MB (+7766kb) [00:40:40]
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 27 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 199, 427); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1523, 660, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:06:36 PM CET
+// Elapsed time: 18 seconds
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 16 seconds
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 101, 331); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1424, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:14 PM CET
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 303, 334); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1624, 568, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 11 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 24, 322); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1346, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:29 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:32 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 456, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1751, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 646, 324); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1929, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 37, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1359, 569, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,596 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:38 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:43 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:45 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 29 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 163, 173); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 163, 173, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 193, 262); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 262, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 207, 281); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 207, 281, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 295, 277); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 295, 277, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 36 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:08:55 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,596 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:08:57 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,466 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:08:58 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 8, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1332, 568, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 13, 325); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1336, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:09:02 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, rst]", 3, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 239, 111); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 239, 111, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 31 seconds
+selectCodeEditor("automate.vhd", 195, 175); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 175, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 110); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 110, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 43m:52s
+// Elapsed Time for: 'L.f': 43m:56s
+// Elapsed time: 14 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// HMemoryUtils.trashcanNow. Engine heap size: 2,540 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:10:07 PM CET
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: relaunch_sim 
+// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds 
+// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 0.000 ; free physical = 6721 ; free virtual = 15764 
+// 'a' command handler elapsed time: 6 seconds
+dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,568 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:10:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 52, 336); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1376, 569, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, bouton]", 0, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 51, 67); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,568 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:10:31 PM CET
+/********** leftMouseClick (1375, 300, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 67, 120); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1391, 353, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 194, 276); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 194, 276, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 84, 158); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 84, 158, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 13 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:11:08 PM CET
+floatFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 3*: float view
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:10 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 90, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (565, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 66, 100); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (542, 220, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:18 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 129, 322); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (602, 434, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 214, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (684, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 313, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (780, 435, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,613 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:32 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 610, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1066, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 176, 47); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (648, 168, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 48, 51); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (524, 172, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:41 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 217, 318); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (687, 430, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 364, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (829, 435, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 624, 320); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1080, 432, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:53 PM CET
+// Elapsed time: 13 seconds
+selectCodeEditor("automate.vhd", 193, 594); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 594, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 181, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 181, 683, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 165, 593); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 165, 593, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 176, 592); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 176, 592, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 172, 593); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 172, 593, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 190, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 683, false, false, false, false, true); // ac (automate.vhd) - Double Click
+dockFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 3*: dock view
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,613 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:12:36 PM CET
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_STATE]", 11, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "modulo6_1 ; compteur_modulo6(modulo6_a) ; VHDL Entity", 3, "modulo6_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "mux6_1_1 ; mux6_1(a_mux6_1) ; VHDL Entity", 5, "mux6_1_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "modulo4_2 ; modulo4(modulo4_a) ; VHDL Entity", 7, "modulo4_2", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,609 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:01 PM CET
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+selectCodeEditor("compteur_valid.vhd", 46, 551); // ac (compteur_valid.vhd)
+selectCodeEditor("compteur_valid.vhd", 46, 551, false, false, false, false, true); // ac (compteur_valid.vhd) - Double Click
+// Elapsed time: 17 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SC_fin ; 0 ; Logic", 16, "SC_fin", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SC_fin ; 0 ; Logic", 16, "SC_fin", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:37 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 33, 352); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1355, 594, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:43 PM CET
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_Counter ; 1 ; Integer", 5, "SR_Counter", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "I_clk ; 1 ; Logic", 0, "I_clk", 0, false, true, false, false, false, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Shift Key
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_fin]", 12, false, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectMenuItem((HResource) null, "New Divider"); // ap (New Divider)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_RENAME_COMMAND
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "I_comptage ; 0 ; Logic", 2, "I_comptage", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 3,703 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:04 PM CET
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 26, 532); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1348, 762, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:10 PM CET
+// Elapsed time: 15 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 117 MB. Current time: 2/12/25, 12:14:28 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 195, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1514, 564, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 355, 328); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1670, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:31 PM CET
+// Elapsed time: 20 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 275, 694); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 275, 694, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 181, 677); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 181, 677, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 308, 507); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1624, 740, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 12 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_valid.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("compteur_valid.vhd", 189, 544); // ac (compteur_valid.vhd)
+selectCodeEditor("compteur_valid.vhd", 189, 544, false, false, false, false, true); // ac (compteur_valid.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, I_comptage]", 16, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// Elapsed time: 32 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 380, 696); // ac (automate.vhd)
+// Elapsed time: 25 seconds
+selectCodeEditor("automate.vhd", 170, 700); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 202, 738); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 207, 748); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 140, 748); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 228, 715); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 81, 716); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 81, 716, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 205, 110); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 205, 110, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 272, 728); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 272, 720); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 50m:58s
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// HMemoryUtils.trashcanNow. Engine heap size: 3,683 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:17:00 PM CET
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// Tcl Message: relaunch_sim 
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds 
+// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8797.973 ; gain = 0.000 ; free physical = 6162 ; free virtual = 15704 
+// 'a' command handler elapsed time: 6 seconds
+dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
+// Elapsed Time for: 'L.f': 51m:08s
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 47 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,657 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:17:53 PM CET
+selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR