From 0e81e7c39f676bcd884659e2badde623d4da6284 Mon Sep 17 00:00:00 2001
From: Matthieu GUILLARD <m24guill@fl-tp-br-641.imta.fr>
Date: Wed, 12 Feb 2025 12:23:34 +0100
Subject: [PATCH] fin seance TP1

---
 docs/Q5.png                                   |  Bin 0 -> 15548 bytes
 docs/Q7.png                                   |  Bin 0 -> 42708 bytes
 docs/capture1.png                             |  Bin 0 -> 22676 bytes
 project_loto/project_loto.cache/sim/ssm.db    |   10 +
 .../project_loto.cache/wt/project.wpc         |    3 +
 project_loto/project_loto.cache/wt/xsim.wdf   |    4 +
 project_loto/project_loto.hw/project_loto.lpr |    7 +
 .../project_loto.ip_user_files/README.txt     |    1 +
 .../behav/xsim/.nfs0000000000db0c1100000067   |    0
 .../sim_1/behav/xsim/compile.sh               |   24 +
 .../xsim/compteur_modulo6_tb_arch_cfg.tcl     |   11 +
 .../compteur_modulo6_tb_arch_cfg_behav.wdb    |  Bin 0 -> 6719 bytes
 .../sim_1/behav/xsim/elaborate.log            |   28 +
 .../sim_1/behav/xsim/elaborate.sh             |   22 +
 .../sim_1/behav/xsim/loto_tb_ar_cfg.tcl       |   11 +
 .../sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb |  Bin 0 -> 33587 bytes
 .../sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj  |   18 +
 .../sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl   |   11 +
 .../behav/xsim/mux6_1_tb_arch_cfg_behav.wdb   |  Bin 0 -> 7802 bytes
 .../sim_1/behav/xsim/simulate.log             |    0
 .../sim_1/behav/xsim/simulate.sh              |   22 +
 .../sim_1/behav/xsim/xelab.pb                 |  Bin 0 -> 3218 bytes
 .../Compile_Options.txt                       |    1 +
 .../TempBreakPointFile.txt                    |    1 +
 .../obj/xsim_0.lnx64.o                        |  Bin 0 -> 9816 bytes
 .../obj/xsim_1.c                              |  113 +
 .../obj/xsim_1.lnx64.o                        |  Bin 0 -> 4496 bytes
 .../xsim.dbg                                  |  Bin 0 -> 3456 bytes
 .../xsim.mem                                  |  Bin 0 -> 2750 bytes
 .../xsim.reloc                                |  Bin 0 -> 280 bytes
 .../xsim.rlx                                  |   12 +
 .../xsim.rtti                                 |  Bin 0 -> 383 bytes
 .../xsim.svtype                               |  Bin 0 -> 16 bytes
 .../xsim.type                                 |  Bin 0 -> 6824 bytes
 .../xsim.xdbg                                 |  Bin 0 -> 464 bytes
 .../xsimcrash.log                             |    0
 .../compteur_modulo6_tb_arch_cfg_behav/xsimk  |  Bin 0 -> 26808 bytes
 .../xsimkernel.log                            |    4 +
 .../loto_tb_ar_cfg_behav/Compile_Options.txt  |    1 +
 .../TempBreakPointFile.txt                    |    1 +
 .../loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o   |  Bin 0 -> 34352 bytes
 .../loto_tb_ar_cfg_behav/obj/xsim_1.c         |  152 ++
 .../loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o   |  Bin 0 -> 7088 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg    |  Bin 0 -> 23968 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.mem    |  Bin 0 -> 4838 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc  |  Bin 0 -> 2526 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx    |   12 +
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti   |  Bin 0 -> 555 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype |  Bin 0 -> 16 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.type   |  Bin 0 -> 7016 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg   |  Bin 0 -> 8160 bytes
 .../loto_tb_ar_cfg_behav/xsimSettings.ini     |   50 +
 .../loto_tb_ar_cfg_behav/xsimcrash.log        |    0
 .../xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk  |  Bin 0 -> 45296 bytes
 .../loto_tb_ar_cfg_behav/xsimkernel.log       |    4 +
 .../Compile_Options.txt                       |    1 +
 .../TempBreakPointFile.txt                    |    1 +
 .../obj/xsim_0.lnx64.o                        |  Bin 0 -> 8744 bytes
 .../mux6_1_tb_arch_cfg_behav/obj/xsim_1.c     |  111 +
 .../obj/xsim_1.lnx64.o                        |  Bin 0 -> 4336 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.dbg         |  Bin 0 -> 4352 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.mem         |  Bin 0 -> 2708 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.reloc       |  Bin 0 -> 358 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.rlx         |   12 +
 .../mux6_1_tb_arch_cfg_behav/xsim.rtti        |  Bin 0 -> 318 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.svtype      |  Bin 0 -> 16 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.type        |  Bin 0 -> 6824 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.xdbg        |  Bin 0 -> 592 bytes
 .../mux6_1_tb_arch_cfg_behav/xsimSettings.ini |   50 +
 .../mux6_1_tb_arch_cfg_behav/xsimcrash.log    |    0
 .../xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk   |  Bin 0 -> 26568 bytes
 .../mux6_1_tb_arch_cfg_behav/xsimkernel.log   |    7 +
 .../xsim/xsim.dir/xil_defaultlib/automate.vdb |  Bin 0 -> 9306 bytes
 .../xsim.dir/xil_defaultlib/compteur1_49.vdb  |  Bin 0 -> 3745 bytes
 .../xil_defaultlib/compteur_modulo6.vdb       |  Bin 0 -> 3718 bytes
 .../xil_defaultlib/compteur_modulo6_tb.vdb    |  Bin 0 -> 4215 bytes
 .../compteur_modulo6_tb_arch_cfg.vdb          |  Bin 0 -> 1181 bytes
 .../xil_defaultlib/compteur_valid.vdb         |  Bin 0 -> 3976 bytes
 .../xsim.dir/xil_defaultlib/diviseur_freq.vdb |  Bin 0 -> 3562 bytes
 .../xsim/xsim.dir/xil_defaultlib/led_pwm.vdb  |  Bin 0 -> 4566 bytes
 .../xsim/xsim.dir/xil_defaultlib/loto.vdb     |  Bin 0 -> 22821 bytes
 .../xsim/xsim.dir/xil_defaultlib/loto_tb.vdb  |  Bin 0 -> 6791 bytes
 .../xil_defaultlib/loto_tb_ar_cfg.vdb         |  Bin 0 -> 1049 bytes
 .../xsim/xsim.dir/xil_defaultlib/modulo4.vdb  |  Bin 0 -> 4962 bytes
 .../xsim/xsim.dir/xil_defaultlib/mux6_1.vdb   |  Bin 0 -> 4289 bytes
 .../xsim.dir/xil_defaultlib/mux6_1_tb.vdb     |  Bin 0 -> 6641 bytes
 .../xil_defaultlib/mux6_1_tb_arch_cfg.vdb     |  Bin 0 -> 1129 bytes
 .../xsim.dir/xil_defaultlib/registres.vdb     |  Bin 0 -> 6270 bytes
 .../xsim/xsim.dir/xil_defaultlib/tirage.vdb   |  Bin 0 -> 22383 bytes
 .../xil_defaultlib/transcodeur7s_d_u.vdb      |  Bin 0 -> 10350 bytes
 .../xil_defaultlib/xil_defaultlib.rlx         |   19 +
 .../sim_1/behav/xsim/xsim.ini                 |    1 +
 .../sim_1/behav/xsim/xvhdl.log                |    8 +
 .../sim_1/behav/xsim/xvhdl.pb                 |  Bin 0 -> 1002 bytes
 project_loto/project_loto.xpr                 |  317 +++
 src/automate.vhd                              |   77 +-
 src/compteur_modulo6.vhd                      |   27 +-
 src/mux6_1.vhd                                |   20 +-
 vivado.jou                                    |   50 +
 vivado.log                                    |  557 +++++
 vivado_30432.backup.jou                       |   63 +
 vivado_30432.backup.log                       |  449 ++++
 vivado_pid68911.str                           | 2152 +++++++++++++++++
 103 files changed, 4429 insertions(+), 16 deletions(-)
 create mode 100644 docs/Q5.png
 create mode 100644 docs/Q7.png
 create mode 100644 docs/capture1.png
 create mode 100644 project_loto/project_loto.cache/sim/ssm.db
 create mode 100644 project_loto/project_loto.cache/wt/project.wpc
 create mode 100644 project_loto/project_loto.cache/wt/xsim.wdf
 create mode 100644 project_loto/project_loto.hw/project_loto.lpr
 create mode 100644 project_loto/project_loto.ip_user_files/README.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/.nfs0000000000db0c1100000067
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/simulate.log
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log
 create mode 100755 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log
 create mode 100644 project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb
 create mode 100644 project_loto/project_loto.xpr
 create mode 100644 vivado.jou
 create mode 100644 vivado.log
 create mode 100644 vivado_30432.backup.jou
 create mode 100644 vivado_30432.backup.log
 create mode 100644 vivado_pid68911.str

diff --git a/docs/Q5.png b/docs/Q5.png
new file mode 100644
index 0000000000000000000000000000000000000000..6d5fba77d72f9c4dfab48d5f29b4c78666a7f8c6
GIT binary patch
literal 15548
zcmeAS@N?(olHy`uVBq!ia0y~yVDn~RU^L-iV_;zD`N6`;z@Wh3>EaktG3U+Q>N%mM
zd+q;SKfuAIq%mPZ1vjh7`qWvPa*lhJWZpB@zq+yemgeWUsw-Bhzpk42?#?U=@;-d!
z$|s41jfruASLQE^(9~L>U|?|Aw_wK4zXy*pwkNKu{&uF$u5!)s<h1pte{a6_`*Y;x
zoA38t)3ScD$aCxe?|<(yF@Qio*RCz)%pev6!>!3loM2YKrBjnrj`c{sy~_vYH<Ud*
zB?M+ISTa@p<bg)!+q-2MN*uOs-Mad3Tv*sOKV3!!28M=b#i=j@M7+W38zwD_fLJue
z<S5Jt&1qmc236mUEMS&KW|u0M;oupp12Tz$!D|-WErZFZsLDw_(&p>--exQjQg-W!
zc>De1<Kx?dA)b|5Uh|Z{`pri7>TS#~Smsr|T3HoeUiWg&wQ2bv-@V8P6ZOu@&c3}_
z)<ON*A8@dUYz{p->4%PRhW0sqkQxWU>i?&N=BF{`9{I@%63|F1%{zQ`-dP4K<LBZa
zfdw-v(>O0Yn-dS>G$eidXY74PjXlE{s{dn=wBCZVmis}n3`fu8?r1Q34zuce{^6&a
zeHN_Ua`@-cw0kq=?EU@x({g3E=Qri$X7rvq#dV(pq-%lmi%p-}Z>9ZPy|Pa4`WthF
z*<WNQ{NM5AV#w8NYbt7!{@tI)yy>p0@TYfO*Z+JzzyI9d{M=ca*Uox%z3BAE=x5Hm
z>&@%qzx=a&vN!y{`SBcEh3eRU6=J3Zd6oN}F5h!s`uQIyxiy?ybo9K=%C(U{FHhQ2
zbGg6h_{Qzey`6XM{a^L=Q||8wUa#=tbNX9jx1CVu|5af&!@#Wm|MBggPTsxlpZj)`
zPyMHbJ~6vo_kEGKPX9Y)ZRu@O?eo@KJ$-$HZZG}!6yyztd;foI*tYFw@UFDe%yv_4
zjTP<xo{Tq2j`W@D?>=2Wcy~@++^@q+f8N^o`}yPB{O8vFo<HOLy{|#f^jCYHy<=#Z
zz5C$LTkDTM2~U@wT3de8?swfg>z|K5Pd55>=&^Cq^@TS-pZNUw_jK_k%a*BaH8qX=
zaH@9aqtooE-xuCa{ocHP*6M#os@>UHQQPFzCa+Are9I&`I`!qOwcqS4<X?YtldJhM
zRcGx=&#bhxM>~#hD!H&wa_8q?yz|1tR$sVwE$!wS`)B*v@+U16?>ql!)~qZ0zDMO*
z=B>{8*ZF_?^66IVw`A?Rev3CNe0Egw-e>2uOS9!>U$ZJJx@z<KR{2h?HErL{-^i42
zwX^$lal_WP%RgSU>*;@#R3oDPc<~Mi`M2v;kH^?W#XJ8ru;W^Pbo;|qzCp8>q_s~j
zzBau*_1RwgQ)m74J<hJ0y=6_rx;52RrK>&9&*eKi{hX!o{8zS``z_u-x?{Pt>gc56
z&%e9(&26vhJz>b6JA3Bi_2)I&=gzoz+HPVp-+fDOx&4;n|G%uC85})()~Wr^U#*`r
zE&fmSYMJV9JAb~pHo5q2X8if}@o|^lZD0EF{QjVS+s)42jhnvq`$@;$WoNbdZRdqg
zzq)K$QqnQ|KY3=quXMcG<Cv>_PCK%&ZIy4(X1DCDS2_>(T$)qwyYW+hMr35<sW2P+
zWrp<;(oySoevZg{x^ZQ7kI>F6{_JN9)@`#WE4sR&?Nea+zKy{WTeohNw|JIYS@UFZ
zBJWdWLp!dsKQ#1?xgSrg5s`nq_`|H?Gfx-4U85_{dv<dFwvTlimu#CEJSinoO#j`q
z{@RCYYSJFpKbda7&w9P?+evz{lh;J(Y5MQ)m!Epr&P-$P?))i^(fJeey5rAG**<-3
zmQVj(zq3dG|K0lMdVRfZ`*%J6?~4Ct{{Q#RyyzBd`tuuWccp!l&QHCzDdpqm<WKGO
zWoIA6+D&4VUNfJc_qJiQezoD_d2?oc&&ry;UtewVO4rM`OsZ$c+RfR^f6uV;<3gLC
z&o+hF+1fo8&z;r${kEy}6|;AHCOuug@anDYY1P?}_gtFwv+lpe`h5X+?O*ZM3hZ3g
zb}jqeo~<i?t1Z}P|4a5~w1{u!`k1YIubpa-+1hulOIv<z@>zay>7<%1dzU>~toZ4o
zRZsub=jBUkOkC`uj^DZ!df!e;K6kz5&y0?mEqwp~N7UB2pK^D8vbgk0Z*<)AtIu-R
zrX8(1y=t;A|EcT#|5N_``78hYIe+X#-E01v7DWa9{q_EH@8bP4tCxpfkKCCb^n6<E
zU3(i1=2Kad^K36?|6Ow1Y<9ZC^;=7}xtrZuksTB}`PQt|$N)oa?p5NczjFUiex4V-
zbFJJ<yZXS--<dP4&&o_seK{-lyPb-)?rx*{>R0z~K58wTdHw%|o;#(@Q&d;ZD>`m<
zUVEkIT=p3%tF_d(p5F9k?^FFNdc|>?OP=j<jJsZScJB6dPp^L~PQMUfxcZ$@QK(JS
z=Nnr~W-pzioxHqu?OXeRWA48rjOCBHKiv9d#%cH0SsEa=>9W{k?hn^w-+ygncWd5$
z`J+eg_dmM$iG9!dKRlbvR(fvFDZexG=bwDL>GAQ^$=_mjJ^DH;b>`PAGyas`V!QeO
z_xGpi@ptUH|Cdz#dz{_B#H`frxK4b2Wv*)EzlI-rvEkREUe%ntsVe>D-E6t1dyW3w
zaxZ#(arV;JQtVfs&kBf%GE&>kbI*MKt%slfe7Sg0@<Wa5FIgL--Cs1kea~(>lX0dp
z_2_ximy<TBn0AXjzdLKm?@Q%IxwjrI$rgG(wJGbJ{2_2sSf;oCldsptzlQm%OmFZ1
zBrU^Ro4rK!c7AWAZ|uI!PgbqFW>cnnH*Mv!Q#Uf>dAFYZp|QvGc3RDrep7p&%vXlf
z`>$=?RwE(bdi_Sey0m=j#Q?jW{zKWl$$Ii@moEfKe6QQMr1Sgy`ue@5b+5OE`~7^j
zy!g6gd3sIhrSd1AQq69xD8Hs3KWn?%U)6HG-RAxGK3?AwelNH8##ZgR>i^%bzVF-r
zXE|?~waM|?C)<C%<li?h-{0QE`dgLx<^P7dx9i^iwk#{CY<P6(&S&pQSEl99uC?1*
zyKc|BZGZmV?Jd6IDu1>ub?Zvs$jRrlQ>FP%Oq*SL{!iidXX54ir{~7~jeR#OYHrTn
z8p-FP*Ou<fFB3T}|9P60ws!WHdJXHQb8}XoTekT5sT-PelCu33OTK_Sbp2*urL$F)
zomKR@E=&2+{$j(r=AF8ja)$D&=S6OM^!dm#|I({!sV`@pwfp*Y&bN<otF;VMUrxIB
zd(N!?KOfDDw0gMg&##ByKh4x$cWPqo?lq;>@)_ZGC8X<=?*BQeUwp7G_S2KI)1U6X
zerMY9d(u<Rn!mdA=dZy`AKm%ama*Q}Ib9`b^e6b{yS+OjcbsgL{CTnWl4`1^cjV=B
z+OOZv5#C>4$9Mb7(mPd0`#&%L$^Pum|68>`TU86=GOyOGKRPSFM|#%%8((KxuU~rW
zcYk^G<kgQZO-fb|Z&j7gP0qc)CfaiSzWLWp^K;j4O_}{^<GZh~e(zj({o2;JmCuu7
zf2Y=ngn57Swu`!d>T}55&!M&Qr`G=byzAN8w_B&>1lvie$+xE0l*oL#`0Etk&Wxb9
zJC&cO{!Wz7e7}uB_Ri_a|0fD6yRG?l>&M5(bK|X6{`$1{S-r!xZ}O8&r?1Wbd2G$~
zJC)hN@w3DK-JA1PbaLA_)y!KPC$FBCy|(5==<AFeyPmm+BEsz^ZGG-y7ZsoUIk85>
zE%;u%q<rrBjiBl+IrsR=eU-=DZ)fL#66J^g{e3g}A1^j|wQc3m?GKqE#Gi{#D*b;k
z`t~1iPB^wM`1|*yKL_^ioHe_<^z8K2&oVvjo~-)1_2|dA>oq?kticuN(dBFV`fE)5
zi~FLzpPhDpY<lHisqXC?KR;cp@XcIbv&HWH`mXNp;YXkTmHzl!2eoZCX=_Xn?}Z>}
zYt3+VuukUrG={k|KXZc`Edgil?dP5T`w2ttF=+EIF7Ghw7E4&uZzr!fsOgu%yLIbU
zO>OPXdzAv;{EmKeJ3II2zWqn{?Y$O8F?aRp+#L-}1$E$Nc-M4o?*>EzGW6)A@c7^9
zpyurnSOYYy?1by&EcqQ@7QemAw_tL_=frnMYy91#)-9}AZ^;kxRM#~{ao<&EKe2Po
z@KFoyUT(UzyxQmO^!J62k8Q2~?ei&c&Ey)9>nid`O;5TP`hE(G!NI+H)_pq2JO+j-
z2JpVb)b-VZ3>r#X-`;JgsxaYSx=7-9e6Zwt1_p*J&Kp@8`kwcF%R9jJ->WFF+MJ1N
zO~f&%tjPl3Zq?>Ki8+q$dAnbIf39C+(!E^&1l#QV8L@h|e>1OVc36D(+C5&6P43m^
z47WTDd-g9gieqG8$Z(i#w>jn54f9WLEQLRPn(BYuy8e`|{?^nAu-h6+vo0rjeqHoR
zXXaiD@8`NJ%l}n}dSBnz`tRodChN~<q&FMq|2w2J<8#%#oiDp@7G3@0Yy9X<{PTw=
zjg7WvIg076IC159-R#TT^Lx3|cBOw0K6kd$dRMUImbssJ?EdZYsmS$Pwdz^gE#vLa
zrRCpWyw?lvMtJ$m7W0l;b^PD-C%ZmxJN0Azn@M$hf2H3z`25N9<hT=OR6M`eJbGmP
z`S<7cCqGZ~o!;PU=JP+X{mC8acju2>UllF4=l8pxcg+1xesH`SwNH0J-Cz6J@_#;@
z2#GV+iq6>->{p!=p||VXoj2F^?v)00U>T-ND$|}e#WZQ=yXd@Wb)VIrcOGB9+^X9s
z_tD**FW04SQt|vXf5PW|W+wizzkbdsetqQ38b2}LYNhf&H6`=b{dbzS<o!%Z>%aXw
zf1J6WcInH`na2MP@yPvn8T0FacV%^6=&Ds|&$qhQPdWVi-L&HO`)rrLGf|1(@%e4Y
zxhjQp-kiO)?9*Ovy?^lMkw=C*A3jSDj<nVN9$#DiZ{y#EmPH-fyFiiAa7eRa<C60C
zc9u#14{we?DrcGbUwG5u+qak8uKHTvTl24l{j<w<<)WK!r$1fNpTFzYp15k>^LelB
zryG{<o3$*)J?L6>il23o+rHiB8@|XEM&7f2Ij5%f^8eEt<?m_C&e@vpxBt;RpH($x
z;d6hy{qyo|{qt$b?90mkI~Se3t$QPLLEK*U{Hgnl<IWa;4&P(&e5%jN8#=e&-q;*_
z8{D^>GOekucK7Y7yG4IK&ObYSe~s6!(&)PC)vJDnuKf3X(w(Zy>+Ltxd|kc&gy!**
zvrnhboBCRP&b)5<ovPF4l_%DH{<CPx_qUVhacdj>zPoH&lAqkJfAyb^Yo6A(Us?0_
z>=|hjqxfIv{h!W_|7ThMGnu<wC}YW|FE20Jn!eXN_h_oVZuE?!-n*B_pI@}kSpgJY
z7fy<N5k5J${oS0!{dQX3({xVSe!n-}F!|WB^!|A_>+cKRtoiKtb)E6^i}RnYR^E1Y
z!o&0@|MHGMUA{eTmZ0sVS35%^uM|K261%^%cg4)P+vB3YUd+*YZ=>u!@ALJXl0*8R
zk33mkbldXx(t7T1f*EUGh3H02+Vs`@1e<pJt6Rp>CDD^yxwCI=P6WBdp;I$sV@h{p
z^pg7jbu+C>qn@2vd1A}U%fW$x6CG#spPCk(d-K7r&GY{G>g{>(%|~)iblLl<XXgJ1
ziHq8n&1bRapOUw8R`~C=M(6*1`y;dW_k=ga=QjU5(R%&Kp1n4)Qe27ew1bVZ4?K8t
zEBh$7H2+z%+Vm$|r;BgP-VL(7;Zo3rq?2=8i;b2qQj-7o!R%($>a|8WFD`s}eO=%9
z{>+)%?^V8LKK=dv?-MfCH6FjFslVJ;>L$Cj+-$a$rT!Uzqrcn!JSpG2>AtG@^G(TR
zXO4LW-LtoTw>6s0^x?Cl+V6Ho$KP0L*lyAYj{lc_FEVQ0yVs?@_g0_S)B1PQUB77Y
zZ*i#sPS5&xoA;O48Z56X-2O#Nev1G6YNxWg+#0!xotpki;C!Dkvq{YRnS9J_&dLAg
zsYse;rF^^jeDcaQYfgQ>9CvDy?C!dRpYv|ZPkn#qW5}{|@e@`_3K#$Vpt<wYmu{o9
zz)2y`&Zo;x4|dl-r+D3F(#p@PgF-(Rz1#n12G7fDKg>#{Ya;d)zxSTGb%9ZSpRMWB
z#rkJU`*pp<Z0AJ(`@S)!>|XLr+sFEr^&OKqx9mDqU3Bs0;?4ggla1FtlK*-2gn98-
z%jwTAs=mEz01DCtQ$&_9eR$W?TKR1br{|>luXCn!y%d$SNJz+<e)ZYcmBB{8?p>_h
zo^_G^TJ_&$lQ%#1<;~gg>uHbO`wcyI>nHNgEY9V-@#5CixT@dRf;U}WyZp-L50h*P
zpFX_#@044fbjGyI@3q?OcfPOvmIp4N0uFHn>8y+mo2|Nex_wCPwKqq9p4@7mce^2a
z-t3K&_0G+EeYN)EUYn15V~a0by?o2e4CF|L3kyrmReSHP4CQ-M9$LK}9LNkW7`T3&
zfec<XBrS%GXKmT!tT!v<_kpGIx$nyu=DOtOzRlV{ed^S$!QL7zY@cIiZ!6A}&fC3G
zFY7&<L!;>BAK6-uMHv_xwpxNl;3EG`oOUwBXlq4OaP{`%SL%Msndimq-hN(1{-_oH
zY7i<Le79x?FL*?VA>b0eA+o94%b6J#1h3A0&!%H;q};tsH+S8dz0wRKOM@)gw{5!m
zug+pW6T^j0&1nn{(YqJ#m7dTeVW{-@cz^!;v@L7vRd=q~E6pgfRmnoW+(g-}=fn@`
z<JKSzFSllHOt~P~Z2aOxZusASe_H#~K7L`nnedr+^Xb&;`Uj;9FSg`m-~X_<Ok(QC
z?WLcRPRhN#%do&X<N4=8cjK(~K*{-j|GqObFkCuzUT3D^w_cm-b1i#no?iZXZhp~e
z?_9}$&A;Z&WM4h+Wa{p#!OB?~af`SAP1(17ad#;=sun0`Qm3X3-_X#v(5AV6zn*a1
z?8&QE?VS1T%-f&Gj#qCfUktLTLFn?bqm!n++W22}=es>?js9uxUJ}3lpJdqw%b!1I
zh#MJuUb3CP<Hxx_|Nbae{@ZleC}%S7<@y_nmfzcc8-LvTifvoe>xX>-I=|}8?0-C*
zxN=Wg$hI3P%jbN%u_319?arHPtE<g<Ko)7Jz75mS%sygtcl#Zkzt8;73HST^mx`s{
zI<mX?a_spO&q-hG6`$AUX3X35<+J7UYn?MA=jzP*=JM{x&lfha|66smOHP`_{|b()
zTdfrOdD*j-(SEC+H{bk}Q+eb2=jGz}y^ikLIrHzaBX{b~efSb<SbkyozRA_|X3w(x
zyviv5*^-$0iuI;p_s!J*rxh*h+pkgmJ*xQj0k6H^tS#n8*r=J``IDoydim3TtMkv>
zZ?iXX=PN9g069Crf>nRomv?v7-QUz#d|Q1(S^aIjQ&~mz?2_p9|JF}DKmS9*yccCI
zdb|H_n)BtOZ}{x%+3O$P%>Db^=-IjO%NI&j<OBXqd~@;tI~nVe$vJj^epeRXmw)mq
zKX3mpBWC{-SM7fX?YdtU)AsG<{8)e4`MKxz8`@iJDL4A?B&PQ8%9|h732%0u-@EDT
zzW5Vg>u1NOKkY3(uyp^)r@L+D82j%t=|9K6K@46IYac&3C){Xh(&n7)$>+;*!5JtZ
ziYrRz<+ix(GWCC+7kx87cj}{Z|H<ioKa&0x&lmnYGqC;oZfG?eGojtwM(?`+j5*=Q
z;$}}SkNjuT{Apk9rQ$@_wEee_xam|Y^WUwhj+-<8O#Q>{KY#w;JNfQ6>6yK+buRsG
zIK0{aYxB>a{{QAht~|HJF#O4~<K`zB-QUjs$6llMTd&%{{`be0Gd@P&r;2|%f9m`7
zyFWUliw~!#Kkb^lf9CGxrW<nuf=*pt?*9GCp4}GR;rq7aB#P<3ohN78{fn;=6cY~W
z!592h%H?(y_u2hgp%b}D<@=rL_+veiv*+1XrTwpUPx*Y7{p#*>bKL7^t?#>G=G-=a
z;_uzE)92r-%5?kUvFdqPYT)_a%jJL7%odf^Jv$e*?a}n5mDy#f*Iw`S{B<RAN%Vi;
zORJ00maVP&_vg``uYRd!Z+<V#`LNLR`PGZ5zvuku4SX4HYySRB-iNpZhgHE_GdxdS
zyRRU<re<aK`J4;6o?^OdGS9bxyB4a;795?V%a%9s-{0S<udZayG+wTBd6~bztE($p
zuAN47-qzIS*XOE!neY72blW)fL*C83;YRh3f-5s`uCsjb;a9g#cIY+rTK2N&kKWsP
zeg(|m6COFSvQ#Yc*SR?%UnM834bz)8PjXA<{E)M=W~z#Q-n8L+`=-k6H34sL?|gl_
z{aM#`zH?8*=T2$+&N1WnsVQfq3@mo9tJ!w`nDb`e`;wJ!pSHfer3VVs1zSY6L>Q%C
zoO5R7iWBYjdwjRu%UaDNZDsQJ*Vof$%F~{$Ex-5cz^A{UN}W%B(!QsjyYlA-y1$)!
zcA4Kf?$48b_UqdJyu0R2`N^|8-^`Gme&22Np8B#o+1q)tUfjG8yZsDf{GXVzS5v>-
z`nY6HmB)V@>wgQ+tljMK^u})EePtp0%AW1JY4TL^%gX$xr{}LZvE%o@X*2n4Cmi1;
zKVyAu?)xvkmy&1OZvOp1lrj5x>ai)ui>{ilKR0FK^r!CeQZoH3+qTZOe|OL3($@oX
zejUAgX?0D2UF|#0n?JXHtbFiP&9)OV=I_cCr8Bd>AXm-jSM{WnD=Q}6OrP(+Y||#K
z^ZwPEr_0{_YJ6IqS0A#k_;~P4t9r*(X+CGZ9$x<B)MfosGh97`X4=m$jq#J+YtbI_
z*<;zc^<JSzPw)KyDcNYrzSVYND=L3C-m!f9<IdmrJ7@koarEY=hup>AO4qO1cj3%M
z%kNj_r@p(m{rS_%&7c4DDjPjLwf@<m-_O<a@6Fl#|FwDKx7OXC-dBcJ{_dRrbje%u
zh}^HL=l^P?|Jy%(^Y`!TPKLK@O+OG7dT(;~Ik~xC&r8hC6#ai<z1zN-tIzMW>EG2Y
z3yQ-42iBh||L;n2e|}>rJW1vMD@#q$%i2Z-2M%1(TYdI*@bZ)|dl!GsySj*f&G%ov
z>gmU4OWi2=dRnsfUZP}eoU*jh^II||KW?d<tV~~Ln16DsWy0d@@V~#l-S95{a>M&I
z_bkotHQMucJ&(@bv+vh0{g~nawz$hD${aaom)+l9bf7l7PaWiphC`Yj8<&(t=1w~k
zH%DcrX?0;;(cAvpW)G%4PkXbZd-L<E()b#E|C;>kG9_QPZ0qF(X<{hRnEP2SFh6c8
z^Y7TJ_tt{N7_1ta>^4JY&lcR!fK8~aExy;r-B4y;bmYX6ZF{2wRsKy)>Hn5{=t-~I
z_G)RaWz1i8Pc*da>Z}o&Zg$pPa8AGVtdr8db^pa5FS%<OFDm|1L+?{y%#+1CPPi9N
z?0>W=$__MUlRNEymeEWf-Ferp+<R-dHGbdmUg_)a-Ws2D-{^<CyAExqfI9Ob=JI)m
z!Cf8({L_G`?J*`RzcqT>-@5mf!K(A=`5$%hk&%(sTU(qmH10n>)_eNIMCJSO-?lwF
zu4%n-p_@tl?kO|c{M>Ud_FH-HaeD8*@rBM$;q?X|t#+TAXaDg?cG@1(`|r!P{T24N
z`FKRwf6t`O?M{`qbEO!<t|wef)|CoP37ni9EA=^TmFe%m^v>`Xe>KGBs@6XeRf|i%
ze)F^XyoyJi5F#$~`0B;J-Kx^LTP|kp-~apF?#NvwnUj`8Z_kUY|NAw3c6?~))oEuL
z7tFnK@7f+G&rLOZx2}tqman*Iks4WDpV?h^CGwGIm3^0M`pNkft)16zmVWyKH5+70
z_^pqxGA<{bd~R3oW%k0n;OhI$_kWbv{eB&<=GkZck^ANLtJ_vT)1CLXEbqt3t+_X4
z!i+7%?SIwq{n;;T^k<X#?cIhBoU7KZ{d*wy)~o8?W5v>YwgrCQA@*v9n9_9FrTa^!
zl&!lG`P;ktShR88#_x^GB0fi`&CUKl>CiXv$Lrrd7SpQNE?xF`W&O6=n7^A#lU~lx
z6%PNOGdJQ_`t2icbN+7Lx1+cB<`VWj?{_|*XZdQyVyV4<s`ma$`8~0H?W}FTk96?d
zeW)zC>#+N#yXtOh%<}if)xNsv^#4u$!MT;sdT-7&uTK;^Q)zqt&X=P(JG1^RlPvtU
z{_}??k~5>XDsYR3q`WHLfA-~V^AP5&b5^<+ZFkQv%JaLkapvv!r4e;sqe`cp{g><~
zyW`iIPqO+alex1uJ?VVE?C9C|8<YKheT@I~D7rW})KowJ@59wMEj)it@c#5;l4@jx
zrGEb1;;brunTeb0{uac|G&B$W{QT+K=kHGa{GAtbp6#^pj~}m%9&WW<xoXzz%=35V
z<=5<SpR~64-i@0p*F?=w?Up+;vo`uhp4GN@)z3~nzjt=`XYJs~$eE{3?X3KI?fla6
z>h0ZOS0}CZ3X7^NJ^4zyFQ5H-;CJ71r_33@?$y-H)ZRZgC|vnh;N9e?jtcGWw$kNp
zPd9OXoF%e&=I@JAx3<Q=)^}wvJ|o7!AX5%n3m~}u=B<tQ&92TmzFA}Ig8eFIB@Q2p
z4?L2+P3%bawTcV&ub0+utF!sL`Rk*X^ViDz|IgW5@hkoHaqX1<K2hKPpHLI^UgkS{
zn(g;H#_DrRf`Y@MQx9y2-E_Wg@uu?~fszI}(@XX4C;#^@x?35Y`X{{1bAQ}l<2MhA
zKYcdTPf44!q}s0V<J_Nre>#8qliHqoLrr?w{|(MX?|8qbKgzzEeKV=}TIRxcyPp@|
zw@^LIUYh;SY}($rE4ja)N$<P2>0I~S&8MI9oqhJ+=j@}jy0j1fvi26gn7X+5=e+-?
zuDZXQ;A{43^4Y#!hQ;A}-`}<T`QH9JWwUbri9>a_(=)Df?#y^{?dFR6a+be$_CGnK
zeeTS<Y_V*dE#}{^e?Dydd#U`p_NOz|XS2=cdTeKWdHwVKpHCk$U*28*{>!_)g>3cz
z%2(#RFLPuM{qF02vF^b!D^aC#*_HJ#uJdYtG0a>qv*Xi-$FI&L-}v$R$CvYc()p@?
zHz!}Rx3>Gc`SG#nmB!!CFZo%!#q;Q-mzS46-}QRkX+P_?SJpInUj3peX_0W5N5ViM
z^z!mG#Xrdz0*l);jdxw~+)r5B=4ZRKb;SPY-oI7z^w_UwYuZ<Rdh-9xgfF(n$@k@E
zXV(|h$IUUb4h`LG{PVxwxsH9ipWfM>e}1Jk?@94@HP%x%%bkd1p4`YDJLz{^jrC`(
z=g-!P|C_+={w;pvNn_)(U-HEtXYN0{<YlpO`kz+GIVxL2EB`#a{^{a*nazK`r&J0)
zd(iv&dwab1^w6Ji)xRfydh_9^^rsiEi-S$e-TwW2AbE3LjqA>o&%&R#E*5+8?DF}O
z>*v>4^G@wu75^jB@An_oou8MUN;zr&`8m7V(xL~~B=<N5?K}Llvf!EY&%2(|%dgk5
zKNs#k{-ka8eBacsTkh0<ef#tHxAtcz6mzSdn_JEL#J}{tTj)&F+RW<hEoPUb!l$m;
za_g$9>#w&Dk{)(VV!QIU%uDfCp!SjMAiLQD>$hzy+q&|g`Gi)xyssJ4>(=gHW9qi}
zx1aXW?2p?yyMMetHtYE2jIAFk%qs8q?B9Cq#hJ(JZ$5VXAEW+vbLk^cA?N=;XKn?k
zkh^RjtsYnX<^0r*vln?DowVd9>*Sr2=btRA-SzCod6`*{`RrAqzppqur{3o31FO&K
zHZ#<B$xb|-w_iuSw&wEHXJ2n+SCmEn-`TVC^HnzcJNcKaaCTlw?wYe|z1|z&C-Kd}
z@1Mv1FZci6vDtq(((-??anaSz`{(B0`&XW4S(Z@s-CpH-NWGc;pQD*COOK~-j=isF
z`Ou&F)7k9**2-0D?wmU@r|d{iP5FGrm)4Iq?U|+Wa?&bx`4igK^7E40_4LiY{CM)w
za>el<e;&?HeXqW8;kW$Sth$P)4<mnkZr*(LnEbi)yt^vZZ+HF4njSa*j<)<vb5rSg
zzdhpS+v<x3&p-M8+%4_B*LGgNYy4*M;Y**tPXB!9kxF-Z`mfjdPu5mmeQ8^<`pevp
zS@UM^Pr7KiKE>;3+ReP<^IqT4Qcd~GdwKKobC$)2dd{q!Gud4I?(F|Rzbx2RJ40wn
z!?{0iCj?!d_I*O^XQLO3{!K9ZRhlgFXx?G%?eQ!21;6>bY3Zxos*dS*pP#d9>)k&s
z`~1fR_1pOVZho6op7tyK^vn6V?*DUkeyiJm`^p{Doe`6C^k@8e$X|a#uKLZyzu#`>
z&poZba@Op#W>NOh`DO;^ZNJydtNpe!XZu&Np8@B;Zd#J{F6#Q~y07AvPiJs{`p|a#
z=`YU7pHJP$F8-u<dNtS6+TTl_AL=?&xBcVWxa(GX`7LaIhuF`U|NqJRnNwf+NEf^2
zRn<(EDY&xlW<soV{I|4Y|K6Xi+-4N{>HP0c7ygIO_1*sGLHoYF{8m=VyZ@Nl?=N#o
z3q618u>Edz{ajlccD~r1Pv+Q^{Qdu=KloLQT=29j=XPq>S0(<g`L!ca)4%`E@#k(!
zza6}v`e@U!t@W1$f2V(U<$u;T-*)EC$SKq7>yzbvT>msFaQj&^-~YLG)A;xQc>BgU
zq5DnsN$sCERKuU1iIw_yV%PP!=g+&&e?K9=?r-S7$hjf@KkwHRp06!F_qQ9-g_ND&
z8o6gnb=8-gu<468SN%@^`RU%_&l?y1-Sn~D{n>5*oQcMDg`sx0_wSW<%a-`~?L^q_
zRVhnD!>5<L`<}dI>(u*xnj!XCX?xQ48|J(1aXYH<rBGY!m-pe-;u>*x^B0=FzMUbv
z<i6Nnc0Sn@8xgB{AFuEF7`8U<!Q-1LsV7eeDt|q{b?v(PZCQKNUMqb3a{gNH_N>ys
zn?pghn{@uwSFZQ$XMa8U_1WzFd5in3v@S3AKR?;uZs)b9)56Z3-2DCSEY14=#oO-X
zT;B8b+U?3;Gd(NQE^VG^S)8`*R?g);zqbEB4N|mpYVoVP#|ZX$k8Q7xeO>Z;zh_nG
zxiecr%UPo4Y`?eiOk1se$b0j<;iq$RQ=jem`fqJZR#w*KrKj1izuK0$KWEd;i$AY!
z5#`_V@A%Q56_ML>ZsZ>|_RUIrzV^CUeZt-=ey3yWYs_xT{kr*P%SXqbZ|b^@o|X46
zf0t}8l~w=U_t%o=cO)x|pYBl&HqL(csqw<n?CV$l{l53-gZpEnwN-U-dmfAb{QTwZ
zrr*b}*X4Tt<cmM)yS>IVb^nj7bGys0Nfob#cCsJ*RSLd7@8*KrlXRjYHebsP>YDcC
z>+|_%r<_tLo_GH3{hy(7?>}DT`Jd7MEl;AvqxAlRl56>D)4O)@|IA+fwp`@b*Iipn
z>m!ZB6t8~w-LgnuW5X<P=IitQpK~{&bxD0$&9C(1%j&n~t#Q(7{WsHOf4|w!JoDN4
z`zp^_Jnjhz33&plA|D<7TC-vQp<eslcAiEhH#YQ0{X6;P^>y>wUtc^cD=W=zrcCyk
zZDw1)r@Ca>o^Q9T9z%Qhu|}^B_NRW2JNcv2|LM}y=}#ICvp-eeKj+$tZRg{UTY2A~
zIeC>G^QO@4DUT+pyqxJc`<v%gYvau+H`7-7PA_@8E9v1U-OKy^uV1~Ee<m^BYPnMJ
z@;_?-cfMXJxjCbEX07zCbDQ?meXp(j)ujLY^YXmu4@K+G6;7U}a#;H2znxPfcLeUw
zxu$z^lFHrR%fGjszFz(P?8}*Z6Zi8()F0imX8I?+_o*j$e-$@7wf$#I-N)bFo4(5X
zpBKDez2shd;rZHBue7;F7Q4TBEj#PK>Enf*%jIrz^_7XreL||My|0&?oTL-CBj@hv
zOAn_`om%^VKP>$ZbF7ATfBN0zR~6g(e%(vi`gCLL?d{c3#%b=7^|Bk|%a8rr^sLO<
z>HbTNSHJtC)2-&U${juye?063s36q)ySen`{I%Zyb7Fs`zrJK29q;`sWAZwkOs|DY
z*46+0Jb&hg6Ur}dZO!hp{B=U+<IKa8Hf^%`btAd*@z?9ucg6kR+ka-`;r1o@m6P5@
zr(X%IPT#z8^5;W;R4(VA_OCP7{vBIXtY@8eJZFx|)4!V^$L&tNaLdc#b;R<bH+LoD
zt+nE<i|^;m`o#0=%JxrB{lil3)YhL~)MftZTGQK2?+te^aj!}_u`&Hghpbd!cGY^N
z&tl(Bms#h|{iHIrey2KrxwT6Ad{d>_)73TQXWVsrH#Ju(c-yRh?RP#Od1m>vNwD(w
zf4Ap9P32PV2mF5CBR@aAT7JU%KhKX}vc9|dZMxs-g@><sPdZco;apE_Rl>PH*BEc^
z*;8Kj`_D_uipkGEZQp-Am`$=GqVC6U?M=6T7#F?S`ThA@tIemj{|w5jd^PdUiw$uz
zaSw+avrB9Xy72e$@u#QT-_P>ht^RUvu-~75nm=!B+5haHr}wp;dPnpB94LHmKcQCl
z)o(Xv=P+A?eV=O!mxh{rt(dlE>huq0o8;G4#>}qCiB^o8oMdJcH+lR0BY!h=-`-pQ
zb$aQsoJnHe7CRl!&enaW-x~Jh@6jLGe=D+&<(;l*Jr`eVI9n?J&W}RZ-SxlHUmttj
z@pto#@BaUD?t+>p$1mH@mS1oCE4{W*dbQ`#Nsi5IraJ>BshFCY{(RbN{_NZB`sv5z
z>XqvM|6aWF@3z~;m-Xvs&YHFL{<M|PzqvoPF1MMw^0WAotYb%F>}$N%+5BB38lHk@
z0%+Sd-F3-xXD%*&x6=OfoyGa*Pk&B({!`jL<<!*pv#sCH`#-xkb@loxo#uUiJ?_o>
zS@iDbsYidFF5JHP-246dRTkU-yidOQX3ue>>SOUmb?dE*zJ=FKJheFQ<mb)LQtz!v
zFFszm*eGLd?z-%>cYhBnN6wi3X}Psp{RhRbyj%8HojPB1Z~L)j_bd5RU)?eP{QR<5
z${A<l;K?de>rb&RkDV>qerJxj_OjGF+s)42_<a5>@A~zU3v71R{hd_vcJb`A7tiz0
zaZlCGQ#Jb@zw_tjy_0#(P9D(RcA}y8)MOm<RDtswgWa$9mYw++{$F+dnN?m_rTL#)
zAD(ye=<=93``1-o6$OpEI7ma*PxHt8y4b|}X4fOTu+!6ap7nDNuzNDeXNHB%{{J5s
zcW(Q4{FKPmvdsFqKQB4jtyoyoFKw=;?A}-NKWoCYV^PJ{+WTV}d^e`;&wP!2P$16u
z(b}5*w<EtpMlEbUdTGVY`q}V(TEw=T$lKyx+ic8})7S5Km3Y;}y52H9;P$b%Jz3M9
z?tL@${a!Orm-p4D=;>QeT(iu7^XAOVioCM8ZC{$hkAr-`;LvFLlkvUmq1oY257dQk
zE!VbceO3Qo;s3?o>}z)%z5e+y^Y5qaYj?OV*fDi(#Q9J~-;npewBJX*j6P%%R{M9U
zF5zL4=!Fl$p#xGP!bgP|ZrwSx+I!aGgR6tW>Q*ycTe>iR{uWpJS2`cL_R1e!wE2nu
zo<m1ozp<+RcSIQG-y_;@D@sew-7a7Zm@+HcAo6?L?Tp=1ra66Id`@V6$nkj45XzP|
z@DLANkC)QCBu)m_i<8o)ne2IJb}LWaIPcDm9vRC`duIpk;kwg*tXIFl?pD@+tCK&n
zKmE}7Qa3$fkC}aZ>7=@74e-#C$%KBP*Yn@XuD^QRed62s6_2*6#C0EcpZGrBz59CR
zz4v94D(<}(3*Y1Sy>5H+@zt~Ww?BkT5aM5~&R@a4z_&-n&}e1uvr}g)Bf^W5JJsW?
zk4Vo{>*?p)QU9p(`lJfpzJ9+A5a#OMe!m^{vbyuW?|#&|{aeMo_g(7uZdLA&-t$q!
zn;}E^@v;8XC(7N-a+iW8=U5%zXFU4!sPnqtN4Fk{i60*y7uShf+@T&f@yP1(nvz9-
zh5h$TJ0fkE<Z|r3#lFk$=2txGyk7aJa=-SxitPDM|K@!>mVG(t<N{^3^a~Sjulx1)
zC%63BM=!ZI9egI8HvROse+OPOlsIiSO}uG)M0mZ)quyJIA;<1->-%q0_5flwvNc-|
zujn~%^{;MA(jJw$zbd-a=T+p2pZ^{E@kn&F&HM6h^Ee!<XMQYuS)C@grb=!4+pv9)
zo~wV}D;rw@S}4xIFgJF#nD;92(DajUjz(JLd+z;we|6NZKHV?p|B2t3nSO7^+{(}5
zX$R+A|9r+b{>(JbORqQld9`(E<fa8iQ>TWmob%UB?e1^;pI;WVZQGvdHs|ka8=FeE
zXJNW04zY^P{B<Y4_}8~Cr3-acsoyWx&lZ1v_FQfJy&Zd{!In>1V5U25OGy7;{gb87
zbEkfczp47S>g)Lp&F4>^KfY(eOl|QMYft=*zi+j-I)92|_58`px7iun?|E?G%Zl>s
zyPrF|-=BGZUVhSZeQTY=W;YG~w#!Z`&fj4#9KTxch8<(+6#jD??f-edvr4`ka%NrT
z`)lAVs=DfKmuhdNK<#&3bMO5>Cx1Tj_;T=E*O_J?-`y#>ZoKln)zqKsZ6;LDpEHv`
zcdPHN>)SW}Q-88&J@47z>2-F`?^qccub%9BdEN<Gd-=J`U+@2ry7N<R@uz&X^jAN+
zH<hn<dn<Jz;%ey3|F3K<KO2ib?_723TON3<Ibac2oX*R;yZ!ra{QvMKe51SHt^X}=
zK72EKxh-z*)7~>^Q+d)ivcB{DIr98-t6=S?N6G$ab++DLkh;Mp|EwmVO~zf@p16QD
zE%i*P{h7V_SC*|Y6b%9Sxxp;pLVIaR^!n&N`+u8%ewyy@xjpahB$d$5tAqZ&SKaw_
zS$uuM&sX8~6NCF+&N{7ct9{+y!dBeA$Xn0${h>e4e!89(*SM`R|KG{iEBEB>&Dn79
z&7ZHk)t^7np1*p#M9{tM{#ANwPP{3XzJIT`e}|!YO<CAFi$}9}R!13^fhRE;G^$wl
zZc2H+(E9m{#r>xysd^Wk-}^oF%#4jMZ!S*%cE8-k?EapA>YLA3ep&wWRY$II$`8q%
z`)?Qie7Sad+L2T3lh)LvdH!!My4!8L=_K1{qhj6Zy&>n<o?0|ddincby*WA4-xfbI
zcUv0&_0AsS-3x!0fG5EiWFsBBRik<CDE<BY{q&WUmyOc>T$lOIpV!sZ#dE7BVB4Ln
z(`?u0e*KbP^pJIX`l$zZH~pS<o-gu~$GW}$@+C`7wf;PG?dy7b<ase8`GDVL?|yw+
zxbxB4=Hj2B>PsJ9gIX5q*sWSDcJ}0)uCAINhi|96Uc2qohK0_T*T?TaQ<I){uj=_)
zex3XE@0E++d{BHDJ#WeHYm%i`?%m9J^<+=L`OVJD?tVLb$0+yyoXUHSE5BR5!P#OA
zs5Mt!J$Jffu-xg;`+KZ{-QuRn*Jc(ZzK;a2&0r95aqL$8%)jnzi)X$6q#h|#qu*~n
zp9~2Ld-^j|KlNr`to??X^Kbi4mA|Xd3VyEdakZy&XWfq}F_phuB{#2_*Q;NtWWTS>
z$IRy6?Vs!CzS7Rd*O2*UreFEa-SYGQy>s^NFZbPN6|xgDabh*Qspi*zf=g0fHH%KT
zo^NGoeQ(RO-gEzh_nA~2$kIQ%=Wp@NPv6+2g8mk{%`cB|n;(9DkL&ek4ZZ7PKYHJt
zKUsX8r3Ul*%!J(zr*$fgp1<9e30+U35y+}J4K(jG>1Ow2mCb4T=ls|GExgTo`_rAC
zxg~|)V%ERwe*d)d{o7g1>*if6D+EVg1K-Necf((uU0sy0fAy{1;MNF(1AE|wbXZj@
z4PO&kx(`%w+JNSM($<7)$7LUvo;lIC|90cI^^1?r-gEKEvE%O=eqX=%$TX{_<iX$9
z86Tx&<mV>*w~E?Rb=+P3*8V=R{7-I^xux<=A9aR5`MBr4?mBSI2P(m}=Y8LOR5X9<
z$3oD$&+s*A^qCnNDjUQG=eD&`S1~X!ELbvxHy)10Al+l|-~VrK96*f|hY9<?Dol4{
zU|`7J1gSY9CPVhC9+(B)u6iwn6Qq)1gBoNjXoG1aq-(I|BxK=Wf-kh39%M#vznCzE
UGwJo-Eg<K6y85}Sb4q9e0NISUMgRZ+

literal 0
HcmV?d00001

diff --git a/docs/Q7.png b/docs/Q7.png
new file mode 100644
index 0000000000000000000000000000000000000000..38d58f27f322102e93db2841e4e32b9eae5116d6
GIT binary patch
literal 42708
zcmeAS@N?(olHy`uVBq!ia0y~yVDn~RU{d2?V_;zT%(s_?fkA=6)5S5QV$Pepl`&IO
z|NZ~?eWJ2P#|nq02VPw>qs-D~TXtn{+nF-c^m5uu6WwLodXmqYWY+4=J(D>rE9;b+
zZ1mME8Q11ZTVg*?_ukgQz4}07ali+Kg1UN!t`n9z>AN59nP;=^(Vf@N_t||fm9@FP
z^Zjj;x_@QI>*q_TFhD_r!<AF_8KE2oh9APssxXeif|;tC*4DT07s5msez1m5gK-)f
z(xxrBaU-JsI3q(wn+)Ia%=Nx%!E-M&JAU2B3|6$@r{QmF1_lRjQIBT!Mso`mu;_(J
z1=4z876Su=z=a)~SQs9ZZhaqc^}_Cwol)yoeul_3+ycvlUS$^NJHFDfyUpg_;j2}?
zbJ-!%S6UAWF*IDix@Xg3!|=)-AboRITHXk{{=n;NN&07qk1o_0Mldman7NG42%<ta
zzSh<2Y-zUN`pToAm|<XGh++f9i{0fbj%?wtO-tjFr$6r!0^89rt($!hGebcCE;+gL
zSE0e8%MJ>GJ?p;du8ldH|Dwuw?sGjhPq2E1D7keyj0_c_Uq9Pt@kN=W95@Ja@b!?b
z>@gAs5Eo@cN`REa);4pWd-nTPq-yEf;LoPb$`Au|rMEw1f-o5v7<&&w+yM?IkT$3c
zV{iI228KN??6nYq1(gXKSQs9#E<5#q)*l&A@?v0MxWI6yjT<7*z`$Yx@*{-j;E=ol
z5)llPOBj0xd3km1f6Q>fsYk-_(U$8gSFWt<f*CJ$Eava&35w2tB-tCp9;{x!Z&k6?
zU+>dTbnZi)Qe0fL;mE^+AI5wPx)Oh3&Q-Ryj8L*W&GJC^i#|jl1J9c)Q&nqbaUSq~
z5f2rTi0r*x@Y9%wL01x?^YKhohCO}%SRrZ}CeE!&<J^9d@qsDaq@M2E1&kl8eu+br
zFt{Dd-N8^Hi!j2vR9X*gdEJY6Ew1a?-yTSReb^Fu>*E=Tt>!ap<e{ExNLv{CRliT|
zU&xf&<>~FmR|Kc@)a^}JozvH~>Sg@v|9V}4?~j|kuDEJa_v7L6)c+Qjr{soCd3*iS
z%DW}k9@xL${3y8gL}&cJrLU`wyqL7yE_`G6zNc3>diQ59efkgTHr>|S=XDB0LjI>+
zzZd>*)%Im;uE^Fl`TLfK<jwBC+Hm0Nlz&Gru3D`pTfOjBp5L|f-SXF#Hs3z+P$T@<
z^x0oZGsEwGeBGF(Jo$2Nc*u8azLkrdingq(wuG8)@%7`v!xz6SQYe+KZPmM!_V@2k
z-cx$(SA2Y#@zkjAlhWJD`o?$jjDp1HO<wTX{oWG${kz>=pNg*1h*-~FrT*gG^=q%M
z-kfamW>2xnn`8Dbo9Eu%nsqOv*TOzf+_%Of|Gn+1t}ZT1Ev=H0`%${H?82IbtFu4s
z*uAB~d6t)!)*Wj;uGU9!h08c^8)<sn)t6o#&nkcA*xKJAQGtq~PhPy9lB?CbKgg5$
z_Kr8<e$op|Ekfq~ZmgSs!L##oP-sw~;_=SEQFXQDM`Qog|5`Ss?&#v5WmQrZe%kXQ
z*021`6#I79$B?@dS1()n{>I6v`8%!bZuQmw*j0C;J^NhW<Zln<x9}$~td$UcdpIIP
z{@-)Ixs&<N7f<EC)&72Gt+ZadO8b(oy8RcKFaOp`KO6t`m$`dr;U_iS(9<)WMC4)?
zZeR?5)n~c6#Pvwo-X9MZSE;XElXa45ukC-qTrT;AuaC`JJLk&&m)|y;XNLYfy6fGn
z#!dDAcFFzyef(6pMM&abAMbZ_R*KiZTc^4C`KvZL`;41ss=wTd+kHRZ`!K(K<DbVq
z!P~E|Iv&t#Ywz*ftae@eDcMyUYSv!y?^}IZRM}+1+Qp22yH-_cv@hw3x)^dLqN#4F
zrhRYVU$rcwnJKHP>U^B<MZ~VXex-6nNUXW(YY(OHe*xC)rj?7|otT=v?o(i~UG8yn
z8NTC^rSH!EQj}k}^HjUb?+>Q8+BaUXo5g>t{o@6@n)RBces+6~N7}`lRhGY3n6=@^
z#Uo0dTH&6a8|q3=Gw<5=IPdT0o!__Y-!e6d)pJ#ppON;fL!P^Sg@5eg$Xx$JE><*V
zlXqt1Q}t#}Srg~}e;@Py{_Fq#Viw0{r(buL+5h_)clOWW9N(96OC~kXJf%C`t8Cr%
z-}Y>p5BC&{Uf$Mw?$zyh+f(J5Pfs7V3VfLHYh!?h$o4K{-+O7R<96l5)Ms8-tF2rS
zb1gq;YSf*`$8Gc1Z|iIOs=ew>n_u_(vORnk*H;+bKX;`jxcsYQO7gYrS2pQc{{#cB
z&#o)}C+3>`NHzT4^OZFdw9kLIQg^aF{mQ?Bi=wyMzniMbubaSsenIUVyZx3=4~u?!
zD0%MDs@D>k^7pRKsM+CUXVY9?kB~F{p1sa+Yi9K76;bQ=_`I?S`~K%$(BJo+&%gBh
zc3;`ryJXeHNt>ASU*9|VJ=?b`vUjTTQmO54xL%5`mzeC##TQ&5t+^w8PEwQ1B;}B|
zx7j^YMT<hFyk4Oi?tZ#!>c&Tfwc8g}MK9#i)XMu+$nasF+BWaWO(ow_ADb@tlUe)g
z>iJi{YP+}=uMfHOGdFco$h2=^d;fN6g*;|dyL57*u=~za{vmz^Q!cOn5fuE-VO{>-
zW#?AsSEldZ&a(AC$I90?PE6fcvFY8NyJ-r~yS6=!KlhK(_4kMVTR#`n{+PGB)X&c5
zbf{g1{J!fGc4Wx!3%<Ycy36kmcK7UiTEFu@F8I&*{_1>3t0i2ltG|EU{N;B2{T0`z
z?OW6BwR&0j6z%V;zy7k=yVlKaW0?M(sy;8fKXdD9zl&LhJTCSretl}A=*mxwAJ;am
zIv$l(bMB^BuCkJ77T@)!-rHY1JsB$!vO4HzjIe=N`K^@IEs47p-4Fit`&ww%&CI|n
zJ5=V1X9c;s?%X7OX?@7wxP@Uxo~tzUE`H?FT=J#k(q-=@3Z7rI?XF%icY@aD>;EYq
z&B%Y&8*2UURer&aU)MUUZ+}0s=a}C8prX*p>aWk;&1K74mtp<ysg~KT_V-u&dcQrC
z-)irZnFA^lil%|e1k*3KPj2DAUjQy3Di@tQ+5UXTDfYLAzx(k{Gx_xI^YUw}ziRyv
zx?A@@wCel5?^B}GT-8GLE^n)Cxi!Ok>dxBcdz$f!*XGx*5q|a{>UUYlUaPOazU+P+
z{_k4Zg{iy#-7)|Az4iNxi9Om^?f>jBDqH^g&F=XZrm$>gknj%vE$&$~<NUP?+UG7_
zJ{|Vr?PFQf%zvy|=|v|LgBSl24c;wL`dVnwqABKI9#yMf`8@sqi@S$YgZfXUz6cMP
zziZ#k#3|;*<uiSjvHfq}WSMbtXIAE{>U9~``;xa*)GXZjy!qS1YTdQxVl&_U%*fnn
zRAiTRZL{G1gKv1x+RAU?zn`61`p&EPyu0`5se+#iKh0DPov&`T@5+-2K3qZ5wclMl
z!+qGl@5qcRUV_f)FFr==2fch2{^gdsddb^GM`uagJoU2dT*vBXcDG{J{Eq*#{GY*|
zsrzdFdj9=+Q}ov>mpzYeZO{5u7@#5Yz1ui1=kk(kuZn+)zN%g1aNMdl@Dl6Wt6pj|
zQ?g?AU#eP=SM^9S_HJeWy@)S!>~y3Sx7XS4_;g6<;`$1c`+isc9lmk(PusfdS1R9q
z`aXO6_KDibh0EWan3_M4|9!!?v%6kZmi~>qZ<Buc&P}zSE9&>|sw+2LyYDNLt^8M6
z@wbP!-?7yD^sw^k-;lHaR;}ZIn)M&9xS+S@huqu6KNaNXrAyh@?@rDOT6ped^}7AZ
zj2F(l{hPSmtw*Bp)|M+*LVisbTeYqxeE-hS{pr_g*028S7IppUlCQM_Vbyz=ohx2e
z#$y_9o?7kp=vBm$V^?K&7R_J&$#I>{;)OpKy#3);`*Xsa=PflAan7F|e}CxRm9(bw
zJOBNx3{VbyxMtp~S?<3->}z}0{OzIipWlls!3@*nqTgmQ3<2`L#67<5e`z`Ueb(M(
z=dxc|nZ`?hWd6P^D@*UyZ2xt$j?D#?bf4X7uba=Wv2g!wAa<+$W5w#a6YiHymfpKF
z)89_&T<D*#y0<U<e6skVl(7EK4>tey8HxSdXEZI?{L%BxJ`DGq{zDt42M+kfMm~Bn
zDT|>%9MNi8Z5Z+ByaB_O6huSo&6V68JN)?=)-SAww0#*8V(zqYH(bBCAKC&jFv>g3
zdf@eo_y?SP?apeGJKsvST<pL8;`V2g#q#SfZhxNXW*@gW|NP~ix;<|9=Pj4~ThY7E
z*5CNoN2%KCd1+rBoBsM{bN0pY>@ROCXJOH}UJYbEJEF-b9zKoX15(?stZ2iN>3{8i
zp|wAad*|=JyD{$|>%Tr`2kyY%iSOL@EmywtT<89Bwc<!Yi0fxHe*C=MI^<`jSgX^*
z9kE%T%WXvdKe_#Ih0ede{-RY&mMr;U%f|K7AWKpHnAVfS5v?^6t0(X$i+)O6<7C$p
zdZK+J*H42mkfiD7i(U{j85kHCdyrfGXTIt)F)-{o_Hg!H70r|Bzn%V`a995DLi_&b
z&pSPT8;JEkYyOr~vt_T_!u>{KpAvPR9Okc>UwSU#xzgLi5n0cgL1z7VtNZ?a(vc29
zFJIrU|N1T{JXy?GH_7DweMc4p`7hq*F4*-PRsOla)?B|X=l@yWzf(6(;7?YRw>$DX
z=di?!zrEiQb<{t9oIIV~`;oeeM%|;E>hbUAY!6kHbiZo0Ztl72Pn(RFF*68k2&*Xn
zy!cmd&?~Ng62@GgE;2a(k!9F(SKrbZ<j^P0-|qbRvGT9E`IqoxPIf)5H9rL2Z{|&(
z@ZJ1Y`^GtEjtl79Z&tDXxuERK%{6=d>w};F+48hHCSRdwn%S*=S0^{`V1V{)7#J$r
z!`Z!0b)8N*uN|1VaPPUF>N!jA-PtRwC{^=2=I)jGj1mX_pYfWp%V+lY=cm)O1AcAS
zE`8s+@E;$v%jeMW@l`@+x`oZ}1G6U_-1YI^?b6Dc4eaJz3p^L)UpSPmcIwv~jncO!
z4#wNRUj4JQ*i_@l{YGdS=1{4V)-g1#I2rb;@_75L*_jj9e%P=t%5L+k=8(<1V*j?-
z-I=QCo!Iz^uWs$sV!iMsD#i1UfBAXQ|7$_aS2Lxr2h?WotEidtY~S`EW413Bb>F9)
zoVD9;vz7JzKBaU`OYKN+@wz3kFDp0Q{Pg8;y?khpyZ?1Iff<L|`!63=ef{;)YwHwU
z@9XZ~VOifT!?Tj)*ZqO!%LmqW@;aRzFBWhrufJGuTHvlt(X3yoUqcGA#p9LD=dV`0
z_&(DsN&RT-zZO2;=oPBp=PMueyF5w!UBHR1*HdpOMm<085qiEo?%E-3<vDq$mN-Y%
zTvq;N@H$<0zR>E97ayZ;o%&klBY4<!;d`sU&+cfr^3Pj6_pjWAX7i&}yP_HzL?&K{
zop^Kqi`|P>asK>0CGF*+dw&oAk%IQK8V)?Vygr|OJKwb{E6p>0eYq_v6H_Uz6Ft#4
z)&BO^W7_Ml?hX3sm|Io5v`p0V*FWFCkN5Mxxcktv>$Kd$g{jh|-#^dS44-sO|C09n
z`->_kx2=4;sO`9j;V-G>w>keh1^rZ1{r|f3?FO$+>#i>Q|9wl{b=^3{Q$ctAlNc`;
zq?K~;1|Iz=G&RIu%YNCUO9HN~rp?wY&~VEztohaX<iL@}SvHlE-jwYQTOYal>+8v)
zVp7W{9(L2v)7$rMs*J1F3ElNofve8gtd4Ro30nTbk8ArgjlWNfA9+uyJhG~6V)|7}
zrj(+h`|A_LZ)fUy@~!@4>~Gt#Z_%NJVQY7|3Ov|nbhGQN(bmk>+pbP-I&{VC#=1wz
zqW^e!Ao<>*;p58$&+9kVb@laKdU*Kdsq@=4Y?YnGx?MjOetdOpZ}eU5nN}J`+kPd!
zIoM^ty4f#6cazM?>1&;PcFnKxtDf=5x_pAJx%;=GcA2cD3l^-3e3sYsPN3x3Hr1)S
zZk+2}r8%!=pG`wB*SDpb=4y`5+rxcd%vdpR;>}z8zt4z&3=If@h#ISiN%3>m*L1t?
zt@;|$|L*QpbHl*Ez`#?MlNO&*o>{tH<cja&{Jnw9hn9Z$XP$lRN5)%^yGweWe4p}4
zV(IR5-r)3^qV-1)&#GJLs+nycy7B1(qyBH#HoQI;yu#^f`l;Gk7uLVZnjkDDD%TzK
zp3ydCT1x3w+4)y_1ZH#vUCZ#kAaQm_>4ZaPKkmwUXTp1D{;JaI(|=^8AVJi?@aXcv
zNs9{ka_{|{sgikRg$l21)yg$tv&)vf53KyZYyF=UC!&^@Ut0cZ?|kkl8=nT2{!W>f
zrhi-g>6%M-B=uJ$eDZp$d*<@F>FyeDU+y+}zgTJIgIL|jJ-#3Na{|N8#jV}9*+tqc
zb!E(?F8hn0>T`pqvv1ebuWpv>s<{5MjG<zB>DFiG<CdCzSJl3|DSEw{?4?PT{+ZhR
zuw?YW*{<@(v5#+(ZyBa<R(ZL6!`n?M5hBvU+U`4@+4+`dUY!+cmACq_Ro|bbQnNRN
zO#1R*jd6Oqs{Vy1PgqOeY>b$%KX1vu?$>?KyO*!OXz71_#)rj|-#*d5`r?~+wvWbz
z)xy@VukJGEUch<jy4{+K+4A4YB_ILAz%;|3&0FRv-_6fnDxNzlRjcY=7Vo>E3zcPX
z*jrPD7;j@(oQP}m=krNpZw3a&QxD%W%<7!4!u#=^UR}oj=Ih@Z+mz*dA2ipgczS*b
z-}-Ywd{NuCL>;-a>~GKH+Pz%==f=O;(!aEm73GgP+5I_i^fz<;`%?k$^SAIPTk6U`
zex6^xV$;q54Uw+fOU>$!JAd@wC);=G(fc17%e}n3y6z`0n83eS46{~5tulj*<*7KH
zWn5kS_@l6pi2cVmlh>D@yS-{s&*CiU>weE|D{o3(hL-dU3^iw0hfhmUm%q|yIeY8(
zm-(;e%->ei|C-n3+$Fc$+pCHKWRtDdJ%cMZnSiZYvSm1IteyDEDEHoc{n=|BUfy4K
zWv_VKFKZ2G1Tij?wAp+_(ejThGsF6heU9AoXKK9Oy!gu2%M54lTnqm^{oK_B0`=_B
z%1>d!kK7GMt~^kUUin;BJ}ZBj>$XFOe`WnVV`b-Iy88B2{}+d2XKoS^(|G^jdX(k!
zBG<69-g|z&zg2WVDcW&c-JLJtwtK%Vu$z0`_nBS)%g>AFzk0ai)mxWWjlO2JKP&8K
zsvhmD^~o+zU3npD!I}5>ukTrs!7pRkkoEBJ@t2P#eXV-=Rd&;~rLPyOYH$5LN85BG
z|Mu&Uv0et!>!0FZMjJ10dD3bvvguKkYFWp%pT<E~KQElV^}{KSmAB_c?MYeG_1`Y+
zuJyNTEux0A47L^TWsei9ZJt+Ixa`pVU5<N|e`nlkH{4bKQr@)5nuSqLm|zujaMFWo
z2WMG*bvwK7JEO1fF28c0-J#og_j+FM+jZ=x*HiYbFTYN`6{;dy`+wQLkN1_OOl&-5
zvvq_Fe@XG`-d<5}^M7Vh=&~<gyT6=zKG&q`l0m7QrI)jp*{i4G`@<T$wxmC`-}3JH
zr>f*$3F}DDwRYF+r{#s^MXs}}Ox*hI%Z`|2p`XXBA4p=<NUd#T)JSXP?r4Oj%?n+t
zY_-z4*R^S}wdS2!i@!`h->o0`OmOur*Lh1P^vQ~bO<3%F_4AwdsnHMqC~7QQ^}Ct1
zyf0|E-sG=YyF$ZOhhDqe|H>(N!!1`<^Sw+AR|3?cH^weA%e}g-DkxyaEw<aCHERyd
zhc|x=>|}LbO5F~<crnnb#v=CTPqC%xDJPOPYS`(;-Q88bx>_}u-St=)e*la2zcqYT
ziCb4}IHt7J{Zr~|fyM9Cj~gBmxxceHXQ$;R@u*TArKs3d&s$5vTq>S+ctq}W=Gz(+
z5OCF|*xa>zMNQzW{6NQB`%7zcT9lNOL<BF!y*<SK;$H93d8-8!4v4<-4$kvwI>*0$
z)&;{rTjkAL>)#vhKL#E7RoL*OWP{3ni};^n-Bn*-t(3dFdv$)&qD6}qO(|A!pXqM&
zI!<%NY`6PWi`iPdAO6k1*7Nb=t%<ulSxv<@s_kDMa$MuCZT1YS(`$Zc%U%28t?L!}
zdu35j@D|&eE$?<EZ%`6hv|0Vm($%Z-m!?{-IJ0=K>6=}jMOFoTomn(r?aS&p>326o
z&1dPq{)XL6<DAY(nbnS-(^sgMKV-65rjgpajivWuPc*yc9hvp3pZQ%r@ONd@_U&ix
zFN78(913=_I+{|?FFboY-*b{%uh*pu3#XsT+M1dEOK$3S{#C_uyVjIesn@Q$_j{*<
zWVBr9cK>IY-!|1Q*PpW4t2lknf~J$&TelndTgS^S+_L=rr9J&!OSaU%IN1Jw`3Xzu
z(j5;LTsynr_3pdsTGzEJ!o9`vga0T;Pno(oeD!;si*3G<TGLa`MJ>EpzE9)zlBc(3
zMz1(@N4Dzq!N9KPdNB>pBf_))y$Q`Kj^A`iclXz<ONzQPKTp|q>#r=dI%;ruH2Jkk
zU%LF|KFisvp7yP|(^U^Ix-lcd@|3JqfrantYilkQM$2yBeky*|Mow>4PyTbOOwaEN
zS$tdH_NS3{Wuuz9{)*)Hskgqaw=Vs-|J|CZxeI<q*RNhTX-QJ;zKTh|^w(LxThq&J
zn)>*Vn~Y||F|YXF8{F2{-ngF#El@cU>?Cyxr&y<)*Pf{I^x4!GKbM~WnY7(+=AT{V
zP$dirDt3E$p-oc;hK7qQ4<4VYzbHI?>t*48e9!`ofkA>P9C@}2-2K1X#QjH>y+Q2I
z!-6^AZlyfZbkv=>xhC9S?Ki~i3_kF*m91J=pqEz`3Dp}9l2ykNI3cDnFmPnxlvoDp
zfL_zxao~O-Lxv)B0&enrrAB>=)8$`N`j_1g{IAsOR`X8L?z_PJ>W=faN1n?)D(3&V
zv;D^%XLMnI<IeMMT78)r9?0fbW?0*M&U(Kv_o@rJG90@5r$74qD#7zl3(HY|o8M1f
z&;7h@mCEB4w~lSST<ITIcC3Vf;nmhd%(o>THRf7i*p16j@kgIu8GCP<%%639&e>bP
zznGVv^N-%QJX5-F&eGm(`Cp$X6kmNG|4RSwP7i4P$iQGS4_hs~SNNgSpWWtLR~z_e
zFE3wXcy~?9%F|ma>-XhsV_I6jJLr3y#I1%(hFN{vpe4wG|9h+=k}RIF_*UjM-`l%>
zvreVx^7Gx;>*ono&loeTZizu7jrqgvgwB<Xr;o;eRA2k=-G)!xxmss#uG=3g{H`YP
z^UYsp_t#%|c;)A=HS3O^%F+6jx-~8K_lkWREd6GS+SSa_(~Dd`J?_3@cH}O*s7*n;
z&+iNp{yii1T<{Ye)pYgjo0ng2HD4Xz&drzcc~a)Cf1Rbb=0+}9?0WOozh5O^AL}I^
zkc`;|YyEu?oX<ba#iisz%f#y$J5oFH<Sc#vZmQDSv32tLiO2uBdB@FKSoZ$jk~-O2
ztDkR({<7V_drr>I#cujPw$HPAFMRgbS*F~z#T#SR#((R%CR1`EBzudR(!$+Jo9i!@
zUw!@beekTM-BsWDi!J>p`Mj-PtG#Q@g_ahLue(1BAN}($)YkSx{jQ&L`d-zY-5+XL
zI4v#a)Y{gDTd%UCp#k-P+wSkLvVzwMzH_UZm-+9HJ$UfoBo#!baPG#MBQLT|*VHV2
zSC_ZBNG0?BcdZFaP8e^$TX^Vn)yuXR-B|_u?|J9vq+ryju5H_jtn{_kWUX2GXMtVa
zXWO^hdXvrS*8C4Q2wM8T(%E{=q<wc*tT)OJn*MIBw6w^DLzemF>bGH0_@lw!exl3_
zi-5`M{*&(JU4Lboy|u1((;=tBCPg<mjvhTae`C#u$hjhUX9KHh&o}0m_T}v=pR(u_
zSBc8{ckL&4hhfyBK`{?Y;y!L%^?aU1SIC6ms-kH1Q++4HDi-xTxbvC;x)$KoSI()^
zXJ66k;ID7IjNzbz?{QbW*2zDOychPl&RM$SL6zB?6PI>hUVmlLnZl~9k7uoly}xST
zn7-`J43@d^f7eXz>wG0SbM4>i{+)Bmjat;+2y>M1X2Rnn*G^XFr2e_^eMc9??X6nn
z{{7vn*)vwGSfTM$=49ZriD!(b>EGDmciZXMi+8*AgO@D1Fi$+Qz)7~8uUJIOI(N3%
z{rxVPp8l`am#dik`j_OYnY~3dqbKc-mB+(p6|tt}v)JDGU){AgRqr`+mQnujGQsnr
zMY~!4f1gPvSs|0!`1Xc`t@gFP{C&lq`U^9)WvXH~*Z<F-djHe9I=wBLue-%dK3nFV
zk6G9CclZ9RYyaO~O|7pCD_1ou+Hqu8)aUf|L9>5PnwocWZTMuq%#(ALZ@C(G-iE=~
z{Wi3>o4{aKdEhsJ{+jl><g-Rg*VaXZuc_N{?aNZByLFke`@QemTZe5te@%G8UvFEB
z6`v(1Uk3Ndvfr<sSNU`K`}k}BUmRQa-}37&i_q`i`q!!}C=~3>g4I0_xG}4z%JTNR
z&$KFkFW$AH^lYd4m!t9LuHR67dGD?{G@~$Ngw+^DJSuJ%%l5gFRPPRLDljn2Vw`Wc
z89F7+z;GY~d6~f4)O&5*3<*4Z{Q2+IJ)LcK*y1ew(F)*M-Zh|pTgcueplLVn+<$%T
zkLK4{%S=6b|6`!v)G1R$_8)gply}ob?WCcNYd$#~u{6zY2cn+EQ<ouEa%tbn85kNE
z9)37z=VNyRy51v72DF4>-{)!5xBZ^H<Y(M1Gf2=x*{tWAcEsN!;LnlG{`>A(=CAfL
z>RXi?V?Fo#lhkw{b_SLP(YPDW1oU%+AsQGDuRgDH($8*%Y_VDP`^)#QSlZ|Ql*@l7
z2a#rASP&N{tD~u{H`#W*%hJ|wR?p{em|9hk4;x&x?q)pO9?2OzJ@fOwnwvSSUjNIM
zzT0bX<-Q{{QaB9aWOY8SL<}tMS7y8raM3*Dp|NmkZP}DvWl{@f-u{*K@6t{wkw@<j
zK<#A_+Rr;}hSa72P%&S#z&(BM%D0lNr~KzGZ@IC0OTt~bRM8tLo1bR>zqbF?tP_s2
ze&6#tD{;Yn`<}_F+3&1u1zx{ZW_Ymf!^6iVg(s`_e)+{0dHT}Vi<73_sy#P#){S=U
zBT##O^qse#*wgW1!AIretJ_r_#rxhYUmU4=>-I0{sVm;G@0qPM$)xyD_piGbBx)z5
zg>PpMF7<!@|JABE{#V>zFP}KAy!G}jXZbr{I_kE*_TO}`vN3I){<n{Tj2T@WE$_O{
z#4Uf{wMy*?tY(<JZ~HG(XjCyY9$wz8dT?#CY3VE8S$2QRSlPqx>75Qs3BGy0Z12ZY
z*?!kfU0=4}b{+pEt@+hSwgn!oA3b+H-lkphWd8rlZTvMNHVpj6tDQ4CwECv6SW*@A
z({SpPHVv&cPwyxSKz!Hm@#h!elZ~Csrj?&mZoVyB8@D;^^>uY^9TOkr_TGS)n3}uV
zp-(i6|DWs+O09iv=R4=~##{csm+$-WP<!nR<Cu$fUGfd;Q%{EFOke36y>;z85s_uv
z4wi|^ul|sp0I`CBsmGt)d)KkD(2|lVeDZ$bb^BajN=j~u(CO;$4&VOn?wobcE#6KI
zwZ2#5F`51Q#S50!CGYm6e|?~8!z-21N8uP8?=80{slugqT|aV#ZsdFaH_+YdELWzi
z%G?brK158Z;(zh*H~U70j7f{8nBEdHaWl@7T~biIXWcAoU*_-2v+mwAs&9w3loT3%
zlx$d%F~@oK_Ifo>DN`*^=k_?Q)mN9zeOVoH&whpDZ4SR3QT0)q-FLdLwVGn%{4A7v
zOHTC0kUyQ%{%6nLWwXP#@}~5c0Qoi_hQpiJUHfx$^_6G)QkF)Sy}r7{Im+m{X71MC
z)&|f(YdoT^%yoQof9CNyXD2P0e`wq3Nr{0uK5Jy2^2u7vSr(cd_HxJCA7?~APtPj(
zy>z+nsjA0iycLhXS$#{oF76+{xOm>TLyQa`W_{VppZ(=l`(Ih8FB%x0O+F~(zSH^J
zo>T2xp2J)FP-__&zJ7X{y93VaX#>rOK)3=IkPFMR;FWQ^SjB%>^D*eQ9DZo=cI(NM
zPr)i5Skr&5n^)uZO}xTz#?QDr(8L_I4b=Kp3Dgkja`&Ql0g2KRS@cQr{_+_<YWA*7
z@deY~zdD(ovi}*2``eiu7VCDgpX>h>SpRNiV7;cMrk9tOm1*Oz=Is~j?mGY7+5W59
zzVP49_Fu*PU)k#)-Iss&G4Qu(bzU$7gNaabX5(tb`ZEP}7Va1-{}l6oeJ=Nk|KE|H
z&*kK=%@1eyzO_BS{?_Zq$NN`r*%C5IL`G(fS?;YlX7|%JR~pMOGz3~4k!NZ=r}F$c
z<8$}FE9}1q)PGPv2cq6B{P)0rPW`)u|L!>dJviUu|DDH&e|>(HaI$y*-q_s5N&frJ
zS^wC4ujcLiNlRYm?{W>id*04+y^6lKzU}@i*&AEpY{IqUt6e+`)!xR}O|IL!X#ce9
z6}2z-z7Bn}buTjmQ*7<_6|3i+U;Db};7{FWJFX`9n!aAVZdZnfEnAhWnfsS?UFpB^
zmrb|iTFmBuy!e0i%@_Y?_5EA_6vT{5`X7GX^5%rcnOB(a>F;`><U7k`<-yb2`Q9%m
zp0v&`vMS!jsq^o-(4_svSARbeTz)oN_TECng(g8Q>-PSy`<wOMS?b2hcD?!EcOJW5
z@%Q!gwin5}V~?+CJr!f?EUT)yWq)2>UUONh*oidlkY~TkL;dV~F)NmkAb#5!bJSPA
zo$=<Vw5j%`?shhlYNXE0^^DYw%3ls-&(DZ2Ys_(NJ@w~ZtgXqK<iD>E{$TnLEexJn
zeYu5c?yoWrUp5Q-IZs!wd%5-hjZd1)SLUz&d^5CGa*?dWf`7A=e;M-|zWC|>|9Z*S
zs}mRA>SKJp-qIk1Z?<vsy!tQozV838f0EQ{KReU${C<a*|D~#IdZlzc{r#`IipMUQ
zoxg9V;p({}m%rQa%G!B3yY~e7-2XglOYgGG<$1AIuRfgfFI}+4!_{^9e!DO&%f9?;
z^3|mQ(>wK7ItcFi^fI*S)uQ=ZQj+XTv+g^_s_k9VbZd4mj=mOiRhrKfC3gKw+tq6-
zW;hfl`(2pw-0a2O-&Ut5g%|!>v!Zy4#Fog<ldD$LUJ*F^?_JE<XcO<}vt!q@OZa}3
z-n9Gl0rQxrO){o@U$1_;Fn?>#m-=^wq3&C{pY{J+pSt*eG5_EA({BH-Z`yk0-}$-4
zn*y6vB_$=Jw&l!Z<B_<qgi$!!ZB|mUmA0Ci+qRx9zrs8AdU0QS8yME;YZg84TmIkG
z>q>vIe&Xn@u9>^fdcxwSMM_WqPg%nn?&7WZ{N=vwW*O%uZ2NUp`F7}py>aXJRKF@s
zJQsEM=C*6g9_3xSJGt-G*ZXHLX2++kTyspPcz^pA!{-bB6&Jg=^2;yp_P+Ld>Gkpx
zQPbA-Pg`^4UGcgrN9^ME?v}hzH05T<m(y8icYO=2O|-5x+5YCYxXI`3{V(U*hMoMk
z_}})t-D@`5PPuUHYn6cob4~W4cp1LqCxfc1ivKAe$u-?Co3!Bb;n00qV*8(;Tkthp
ze19GH$(d;(^=?k}&lK5g|G)S@Yu!GpqOk3Ci3fgV^S$_Qb7aAD`M>d(FaF<J^QB%c
z>B8srs$c8RJzBGG(vp3TGp{6cUirc}xk-Ls=zBiLSw(NB?)o?D<14{e0sr2uk6Cy5
z?K8_=%RkqfE)B0PeP!pfKYB~leKE`EUo-gLR`_$Tw~jZIWq<Wfzv|^Z%l(0SYL`#D
zYv6ajE_Ts+F}{G~GYV(b-CcQI)+&B={j@pzmVWTozqqrt{c7GjG1(K^Q=Wd<{_8yR
zbd8uvUZ18EK3HJHeHuPB`D(_e@0w>Xx$gblZn`6`;lQ-jUr*1wIIEd&=evC8E8m;;
zaanH&zdzk1&GvvqR{Xojzw8}~|28%lTb!Q0<npp`{qA3d{Ew$K|62n}C;h9fibA(%
z$i4U<W%kSd`-T6Yq@)||{px?r(dScd7lfWZapJ=7_xsl?I=5x~`0((nUjBB`72dzi
zUR_iTyI;FK*t)D{`90fRuhwk1w(0h{9XqdjUSn<#k(j^4I&Zhr-c#Q)4zov3iF=mw
z(&%mE=T-N9s(F9gWTKjXbMYlV!!F*;KOb4kU#@vEZ-3DG*#3+RpK#vlocVQMZzP?y
zI=^Pqmim|1y4y0(xvRbskXqFBSk=n%T2P&j>QY56-t3^QOa)4RCbe&Qv3+4x{ma$A
zE@sL%q$xVstLL6bJ<a%+J^kx;XP%-ulP}D*hig9MZ}_$P><4C5HO)+yNr|t|XS_IX
zb0*>K^nd4zv#w7}e6>c6`TBgpi~lQU96V?L%l`br|Jju<{@a{M`2R1X^Y47?=P8rz
zuJ(6#UtZ!ldCJ6z3-$N^x%9GV>9v}-5x?6m+5MhhRq}GpnKL@IpWl|%?yhYwDk{3N
z*E{|1r<e6xlix~fZGAR><G!zRf14DZJDz3#cK)wN?d!KBohxv)z8(4F=&!%4=V$m=
z&Er}dCi~-c#Mb=OtV@jBxVR=ger{UzY`vzJzV@Yc+vBeMH>%41(E8AHn%3mCH}ryM
zZ?mctU%$X$EAO_|KP1ivFxVWu^WJaorayW!<Mw#-uRHm*+@it#>Q@2N!+#i?j6by3
zKfe0s8();!ug%kX|E;gP68K=z|LmI?x4$m#V-o)xfBKrt?QQDkPP*C0DC_C@eZN~i
zUq`Hanr`&6Nt1+@pWgT9(0vup&f4EuSDsGS($Wf&wJMo#Gbe1h-`pwl<}KvE7rk`L
z-`{g{4z7~Tw_9>D|6k<aWp=Bl*4Kye=k1Q*ug$Z6Y;bPhZ%tdvON)L6pSyf|e)@{L
z@2|gHZY^D1GPO_sR6yKrjiXy8rc7UQ&1k!o;_r`_*&RWR&F|k>=F00W7597vp7Q-w
z^M2#9w-N_3&)DW)>CU%bCMW;LPx#*^*RXna78A$V>1ChTmlW<iCBD-p_-(X~$MRQ4
zZkktSU0?igz0E<-EsfK9|DCtJ5`Wr_FWT(Z`sx+?r}fHg%W1q)CtYRJyX(UuLuOUe
zzh55r-`bPf-PF`na`#E+tb(^!C!Jif_V%`y4;q>Mu6OUrS+s7qM%+TJYY+F@zw%fa
zskpZJRm`^B-2tqT{4amY8!egl*d+VY`jFo{-|~iT`6#P8z3<|hc6aS_G7BB||DAiR
z^q1fKy0ob~pUX!5yDIkg>#G9MrE4xuTVOb=@U=qg?8l{7?_^5tun&Fxt!Tc&f!vMZ
zuV-!-&kT4~JIm<1a_UcOxeB+>zmuBWuY7&WmVTOb$t&*+-lDo4r^20!Uk2B$*?;S6
z;AX#9v%){~=O4bZ)Y;3^*YM2G^pY>>a&G^h%e^{p%eLBn@#6oV4VvfHZ;AgNP_HZf
zcYeF%oBQ&*JO7$Jt&l2B^{lC>S<LRGvU1TPr~frSQ|D^vhQ7C}4GD}}H^2U0<odne
zW@%~7xxY!v;$ha`%!@_3{N*dYMD&%dsR=o~P4xQ4l$qcD{jS_=nYA!Xevj|dY45*&
zv$wy*FDzYLVj5w8MLtdMs`vSQ>terenf_`2<yGzPE_t)7e0{y-#=6+6yY@zI0yW6R
zUvE8n(kotK&Exi<txx7H-?H^vt3HF&$u*zfSNfF4Ux-xywP)S?`rlPgpL8il*%oie
ztL@JAfwYbpD*7`2{CV3~%B}a|CSP-t)_NXIef{q@?OrFp`#i&}zINxmccxL^=W9y3
z9&Y27i1gm&!vD1x()^sy{&L~AThE!FyFU)7f46eg`YBUHR8>_2>p$p%k_@QXhur%6
z^ZT%^UB-Ujssp>NPn|w}S6btxS@_Ayu*kKh-t)}Pbmspu(>_(|H2sDCZ|<o(tJ<v0
zYQ00NUL1U4^R(VJocXl(QQw_VM>80#bF+VN_dTEPwHYgp%H2)5d7k_0>yB2rJC7J`
zjn%x)R}^$JTu3S?<y>{ORIZ@TL<Z52eRbaUiu|9>&*yEg%&%Ty|3mos{OX#Jdb|Cb
z5aTNzpf1CYxUVnlnWnGKuP>;((hqGtqqK<;M%k^||EyeEkHO*eL3x&f*3XBL49C_C
z{uuDLFqM<xK()`-uGN(y>!(e*BC`MZgHJUp&fec>+Frv_@V9bFKz*BT+ne<^u`wUZ
zbIvNtpIz~fXJ$XcI(z45$``t{pB!HQ_4&)B6E!A_e{pxzutXIe1?hcs^w;W}b|4Qx
z0}Iav6I{I{lr1S|?%k=tH{cJoCjRrQsj4?mvTsd3m-e>y*KDij%XRNRK6@8F&2r`R
z>Soo{qp6#oPhGL)LfrE|!rOxK-^n#xEZyV#{`s5B-H)BJeN0y0e;ohn#WQ=2d-?Fm
zNEI8z*cXcluJIF=nOEPwQvdRBolhhe_muJv*=y$%?^IHq`P*jM`Tn|9?T`KP9QboK
zy*z#Vx2KWz$7kYOzB)AKutxq;tlTKJ)^dUW^U}#GnDgT6W#FT824Xmd*-JYvKlK&#
zoMovPT`woK_=KSUtLZl~qdpyy<%%raD`f5R`j6zwS3fVDj;cs)c`bYV{xa9|J^>d$
zCtul{Ebm*jdGk71>EKAli{>w8il=M+Su1_TdQ<<hT8YKKr~eC7c8bl1?+`MnPm6!2
zv!iswGQYi!mzUpP_uxT-=cKhKmTj8<w(9ZJ>vPsjUGH089V5Ra)b6`V(ic;ysBMAe
z_Wx%Vsb>CF+WYCJ?kw}n8MpL`_Py^|vT@goA8vR5Ub?O|A>_lg&%YABsl+O2CcQ~f
z-TEuyyZ+rZcZ^<677xolZyRi?c5%%W*;KhT(9tgjh6EG4y_-@J9y$2Vv+*q39lQGa
znybIQp5)@@y?i23Sw#H#lfZMLm8b5+7kx@CC{b^XE}l~N>E;L3Q#MJVyH9L>CG*oT
z^zM6Yi&c{kac8edw_jqD?fP`jxxiOfQa8o<Za<Z^_0+E4fw37}VY^o(_{*3vh|BP$
zZ+}|+!$PQ{Ir->HCohOo5<=|uZd#I^6YlEn{<2;Eo2LDJB~QdK&6J4~<DOTU+Q)0#
zPSTHVmnh|*wr`tv5O^)sTaUgsPh+11XtT10>gK##_JVJ!{wXf5QqY8Tlj=>?ndgIC
zGM>6`S^85<bjjc7?Q`v&Uw7{<e8TW5WSX{j;0~qaTVAX3Y7V{<yBw&>T50v{{EY{K
z`L%&R|Igo~>ianxy7r?X*lzD8e6!TjTX?fSReP28T)MltZRO@OUHcy;`tHB7Xv)_4
zt8Nqp%yh5LzES#IGi2c_<EM7MFTyS5LOy&{tCcm2D)*IN!_oTcjpzRBTc3xW+ng7%
z*1zd^_BUo_k@RUPeODJO@xF3-xwEGOgVwD@Tjg(md0qW~k@RnO53a!e!u}TvSKod$
z`Rf1R#{G|*-PhcB^_~NonXlJaMFg3gTX^=i{bZG1si{jAI_popnsxcy7hA3O@*(GM
zg%*WnzUvVWF{oVnP3&3b^V7;xzI}<E^*wN6$cJ^)bnY$t;l52nJAHlF{m4bUvp0q4
zdA7%0bM9Xgyqw+aRe|HIYybB--G9HXO3w2d*V%oaT_e?Xub;c)B>L>#g=qf1Ae-`s
zOnc6(>i<{JF7`%h3G2%Cl&x|Xx9j(Y|GWSGLhbtWAJ!I7r!pQ=zwRe<-fo#}v6=Uz
zI<DJ#-fgZM4L24|u`YQ~Fe_|r<kH96tlrg6-5&y)d`>P3`^4ny7Z-4RUf0*3k33)P
z-|^1k+n%!NdX;b2of3b>Se5kJ#C3Xz9lvV!V<+F6J2q&xvmcOUjsAK^cls+!&@M=@
z#R>^OQ#Kq4d^f>%eNljt`FfZ0`d<$pU-ZnScjk@Yne%flt-F)(b>^oAHPwG>{?{db
zuGIl+V_;x7V8HZzrHrHO*VWO}UiD7jt#Rc^z|D()y&_GbyY06Bwl;z(eBj<_9Fg?j
z$v^gLxc^UUKA3odhF$FeYZ$NL;*4YG@4t)K{(!0eIOBrW0t=btd(CG0)OE4!d!Tdw
z>SXbl`mB44^M7k;L9{F=1W#R?_U|iRb;9Lix~He_$7&vtPl;EYP!}v?j`~BF8bi!M
z9auxDGKi{u&b~R@dmPV*KExJ=52owmA}7tQ39x>abM4;p{VR*>Zhf-7cgGeg&)~3k
zk5xoaSd3bAT&EZJ+tPFPiP~SEz02mf_~7>QSIhpz?VZ5pkW9FeY5aI*63S>k%mTK@
z+Ybr_*K)V?UG}zr_G*9m@wszE>?(KirR-aMd(qMx8~ZcguG0~X;-2#J@9$aDMPhfN
z4eoo#E;)WLdZi@uuNTwGH>I47UCy4gw=4o?{(_kwe<pakxUl<5RQ9$-x4n2a@$wb@
z)ytcr+vn^|_?WhLx2C`Ix;<f2534?uDn4s4bNiZ$Qg;`m$IV&!VS8S{`xADvz9sg&
zi~r@`yJFYmeoZA$WA&8R=be*9OdloweY(v3OX9WjQFf|ff)^iu&6&o}4_(8-z#uWp
zU;dHc9jl1o<@Xl6yqq6iQ1DcDV~(rY)W12`rPuEX%YK=ZTbn+)=-#fN>&Nyk5YI_2
zIlDn2_ut|6RT97baa0q|lcqrHpsRn^h`@Z=kcGd#D1WwiPWSe`YkO}tmp<KE*d<Zi
z+ol=%{_pu!53pAXtI{WhJkcz!@6_k#br80cIhS|(`G-Q44{XP~f?KCR=Kl_?sHsYY
zt+?g4e-m7{&vjDD#t0F4@%4FkcWWvu|NSERCjRAH-kDLgM_pp4+J`({xp`{N)TpP?
zArrpk-!1#cvsQoI*2yPM{r@;q<^s=@r>BBM)}5HKZPF^~;tjiwmV|gZ&g=VWY*uk3
zIzHsz|K&NJm;ZowgEKHNJecCY9`78h)wK=Tzi*%Pvi~o3w>)!Z&^_<Ki7Kz#uKrS~
z*pp^&;8d9A`7DChYnO}dT;9KP;A8){FDf#u6V1JNt3UVG*S+-@d;cn{w5~Y5!~M&J
ztGQoKzWTrN!2OR0mBVtrmP6aL4cP=18_E9uUmY#I>Gx|U_pW=sx~t~Q*y^<TeNEss
z&(m9tw+FQDwEl9fd9jH6o0Q{6=V$!fzis8|=G#-I?{(z=ns|c6fNiziufwuY8?q;e
zEs>jawaVrGK9jhg_4}Q_@16JX{R3zkVBwhGBZX2_pZ=fz{@milD=yjf{h!ss!lc-u
z;-IjJo6)gMgtet1M^C4V`_+!U##VjYTq_<oEarQmVWni1x7c`H$0DY#4#(z(94*d{
zH`XcwXKu-Qc)VD0-(Eq&DSd6+s@?nN7r(psZq=^R*E>VEciF^-X5Q?|mD6_L==_`i
z?d3hKpGyvf{i}>xE}D1Y{;zA-@1LrzO}Xjg8)5eO&d<;3uRpnMJ{`WO^nC2vbLS=R
zi7>32wmC}wZdGU8r!rW{%c9e-;w^KOPc&^_)x#>cw8prZXXm>@8YK)24VD#O3}c`k
zXJANkMszP&Od76y;D@aRn4}6*q_7~;&ELCBXXeGzx8E{6P?zC59ywoEtb2MClmChp
z`@Q$iE4=&u^0N9zE5o3+m>!<1%D}LFrrrPVO58_ht7cYCx_;YXq5YYt7yQMdzYfQ=
z{xb+)Xn!UYlRI_OUq@soAv<HD4%B=G2FBhLq%}$h@8vNt9O$<^Rl6<3=&X38*37g`
zZ@mMWUfz1*{ju0|`WJVG<L6a7<{Req%-1}w9sYUY-}6)cK6L(AY<f;!&EZea!#{~V
z{BP@*z2TSdn!md6p8?~e^q%%}@+#g84EKU>o;>$vRk6{xr*DhRAEonrG;aGL>HMch
zvF@0FeR9Wq!z1S<P=zZD>hgT2nPgpgvF5zG-<*KJz=<lFDk?5tUtP7%-gP#uIK7yG
zA?@LW_wgJNAs@?r9R9Xbny{$?_Q^I9_S@CG^Zp!`T_rDD{jB&|<K15m>i<tvi7mgp
zwkz-M!!ui&&L~$so_h0L4j;n-e+!xP|7#8;ZM68i?~O_NY3td~y0fJFyQXoQZ0(G{
znb|0{J?QGq{!{YB=aQl?Zu-~v@r=YV`(%m#J&Ave+diJh!qEy}EWRhc<f5yTeO=Ag
z=j)~KMa&gmXBB*Ie?^B?=}w2ayJ8l6)|V@~wq@7D-t0R+x>(9<VzRlpOYh8Dz2*G-
zphIisPf6W+s%n|c<<7}BqrMzJ@yUDDU*6&^MfuZmw#h8bo3Z-$uQt!D#Vb2!Zo1XC
z+Ms@>n(NLrD;*NLWf!sk|Gu|u$@3G_rmsFMZGZJ}^C|i1K`)N_XZXJ3Ts!lgW6%n=
zu-`M<G$qgcvX<eC)S0%~>!)z}%|~HN_vcxxZv6COy;GKS|Eg)9Ipq81yMJ51>W9N0
ztw%cR<ocKMA34AHais6hf<F@f{nqz=JTHJNAl7G@dQDrhY}u<t-FlbKn%|FEJ=L`T
z{W`|x_RIql60_v)?+q&rnf~~Q*Wb0V$y;Uqw@q61Ei}ZXMaggavhcktWpCPRX==WI
z)0(vI`kBbbDYb<&&ShWnEnnYxeap?@E5;@J(|G&CLguG$eYhlgHZRMR2QSXO64?@T
zS?Jb^z!H1gEgzX|zr9iUs!@GDIwRip(;eTgtzst>H6vQ1LhmL^)t!97x$DcL1MyeN
zqW@^Jxe2f*uM)Pew|*AW35nc}X2YKPV+Q{u5C2H#DKtRDvLavT>cCAZy1Kr_&&~vH
z&%2v7)nMb&x4}7|)3WaE*_o61d(}pl7B{<{F4EuSL;d3BZ7NgEI<{g)@Z?2mnjbwD
zrJavi6CZ4xx;ZuLclhP00Z;i)FE;tM?qk-UyVk!F`eP5q%3a&EnElJevlF@GZGyzb
zb*@UkUveVL|LP<0XIb9QwN2J7j-DUJ#-j84S$OGpdAaL<)`qPtSiUcAl1_Gx^w#z9
zR_-f)`P9a37u&o1`}V0J6Ljo;&uBZjQ}K2Dzv~Ko?T^<4)y+Ds&v)wIu7fP+rPgNJ
zoDy3fWAU@#kIzB&N8wlNo?fm0@YCUsjn%xXQ~Hnhe|(>V2#Lq@k%9%xdA=%elgp~w
zLn`UN+2-E2@DM&0v+(sgxwU4Ae5tuVH+C)Ud!2A9eO6^IziI!TfbVOvm;Y9~zi7wh
zU|qpxQ?t6xyqyYBhPBHwf~T{aeqDCME1M^;zWbd-nNR$ludSO@Rw@K%{^oyCur5~c
zZM3#lZNJ&He-^gc?cY+jU-%g-9a3%0cqMGbqw1rp5B|%&6;tqQ_PxobueVLEDn2#)
z)CLRrb>}RX2?lui-%HJZtXF?J<E70qn~S?6d>;kYRsGCtT(Ruq;hU$9_eWgic{~%{
zYq01C`}3H=Kg;mPDV-%+iJyKxpT9bOf1Q*~MZwh1;<t*fEDE&Unkl%tSK3@tHFfd1
z{Nj1D%vP*+iuP0WzO}XV;q6)fKMRW9`mR}cHB8hrbKQ*#mnV1gYkl1RUgM~i=)0ID
z`SlxDN3OPD4ULFj@$1jWw6FKRZoC&Wvp$RW_rKM*^`w@Z?B>2=p%bF2&J_}1RsFG0
zRHRjFjpN!wEDP8U{kC6|{$F6(`Sks3=azXcwEcQv&Fz%>;;yv(H81)m7WZe)n8UsK
z-&6lLe&NqkqHe}}?09n|{?}_Z{eN0ZwtZZ2&Sd-N<{y<)Pd*O6X_!7O{<tcSr$O!?
zugV;o)tZyv*Dv*{&iU>5XV0l8A3wK#Jb&h+cIvZ_=fls-U!D1^%x=&BnN24jAO8_<
z8ZZC9#<UJ3SXc1xgO>UG`mI&_LQYT9HLd$ov2^Lu%)h_Bik=HkIU96p(f5%3c^i)P
z%B?%x-v8n`@5xC^Zrpg5b$8KBvHH(bxxBqXf(riJ;X0&$Ek5mkaJ}TkP~AhXPYH$i
ze=U3e!}jpw4O*`YF3!B^?Ip7+c=;sXE{T=9)#fbUy7}Mov(Nv$y{Z>ow@~NntJi0Q
z8=9n6)OjrY)IU8$P>{imrTpjOdsW~4H|?<t3>HuG;0o>c++0%qF?!d#rM+4qQ$Dk5
z+b(-}KC8GfwyrKXX31`k+-*naZ@aINl<4F7@$>WKb5kYGgA4r#2~fEJNf-j$h^k<Q
zLx*eX?TD-2u3JQ2+LY>jxBma%Q|HcIn`2oV5)csZa8LfeUz=1`2G{Iq<K5$O@nT@s
zogEvSnwzgK@f2RVY}w21^UpHx-`ndq``W{K>0iEF$nl+hAvS-VZ}8#$Iv3a3PrH3U
zYRlfMN9?n<Jk7rQH*nSEmzLJwG#~eUdnKs1^qFVZn<d)<Uq<ad>#4-v;LWmqZ{Yg+
zPdjead`$1H&6>JqlE@v`>#^nmYajp4I+|Z^Z5qF~ZIyLG^SAQ(Yx1t|TPhP;>vPyX
z^ozDs_t8gRrkgev2nKxHzjRes`{tMb<h0YXr1x*Syz296nflPkKdUz9C>&d(bIcgw
zdn^@UPvW1|QN5E?O+BYf*_!>_Z*9z0FX452-LA(O`%MZd`LrZ#edJ>1-`~S`#Qbeb
z<l2;X>Dk-NpxYB?mH6ggy*_Q0$<OkIwx!SI^{!4lzwVOI>-X{O+xG_N*VoM6^v^Jg
zUsd<Yss%T9z1}u2>SI)!-fW{l@ppg2E^q%hx%7Z;()vql{O5(9fBHT9&Xl88LBICa
zu8!Nauiwt@Gsm8)UB8yP#Qwc(arfgZwWl7Ua+eJ(#qVgnTy@(ewkk>guAcs#t{fG)
zWjl9#Josa#TG^z`$KhA^EbCn8>=iX{2}}F){8sa)6=A_@cmGTLUv=z9de8oz`m~<;
z`n~o0D*h$>PyS=v_3^w!z2yHI!@9Iv|7+g<Nt|`V^XjetJvrXJlT-@}3r+o|cm#QR
zcK-YPY_?To$db?2Wg(u08_V9`Tl@d-`+6<Sl`jOt%W|v#i`E+CEEAL25qtFJ?5`*5
z#j=0zn6>3c`9tS-*Ldfez1m;CCOGjX=hxX9-}ZbC*&etdC3Zn3f9#t7w)0n9nW<=1
zSg<p0$9KuKdTUmMF>DoIBlq2IU3Hb|<&%ByGd|1Tv;10mGC!)IT>kF2k7=^D#`#m&
zM5n!2@^-<tx7J^!zH{|wLYufN6i>g%JlUseTveIV>gc#UZhu@%%$secptg&4z0?Z-
zTe0hIMm}3F{z3iDHHiqVSMM*aKmW2;|L?COYft6(@6VmTTM*n5T>g0KlqpMET38;1
z2fwLDG!M_oAKUO>65eLCsF!%$_;<bE)y+G?3JW(z3#(3AGAU_pNWJY=^YC`@J4-zd
zi*CDk<%mz}UlUhxG4am!B3R4!fqmAWrt<iN`?kT;UC)#x_Wm}_uGo^cbAuZLN5sF9
z^3Kg~KP)G@ovKkMw;wTveZa?K8UusPdXwMpPiy`D+GL*t^A|=77q#Jgtoh^T)5i-K
z8ERVg#|!-UO>A>EM#TP|4kN>k{-rT1b7tvJo0YSBy7#3|D(kl&oc=id)IW{~KXxt=
zu)lol{FKL2`H!BzdUOh?)oz?x&r<LwCa7b6=Hv8JA5XPEdj2Zu)V~i4f6Uxu&ty@j
zr+MW3mXF%iH4|`k7(nfMNZdO(C`Us2<O~c9OtVtIY)LsjNpkO=yL=3FpiLHEZzipL
zrR(z{RrG85%j@Z-|8H)FDqkk}<}Dio0~5ziV~9~RCPYtGElj?xofp$?Jb$u$%)&))
zgt;<<{P)J~c{ArdBSYOOMImUf%0PGfzRfDO9~*+y)?b-czoy)(_W5b)*nQ_VbT7|%
zxXtHgPUNj0pFCQ3*6@N2uV_E~&|)=cl+N_dES-(dZ->r^TyTi%os?y!ZplX}Ws_%(
z>{qH!AKtaWQ##h{ZS>RYJNg2??o95=dv!|q6vKD!u;0?pGM?VkxtU|>b=M&L`&ZSY
zFUxnVW?^7Bpz1YQS*&~Mnca^(W-u@?>}ZOftUB*{z}hI6R~MFv-pW5e`D)hsO3{V2
zC68;%GZJDtUe9KKkYji~``MbpP5Uf-o}0d0w)^^#>|1X%UrD}t+XhOi&q8LYX#W4t
zz`$T|?9RzaD?GhyCat(>EdKiX6`z|qGjIL7TB7P=HPd{{?sxxxNc+AEkKZfr8}{y^
z>n>{}C*}Dui+X?eYpLt|NA4CfO%6G;@@Mk;D?O^wr7FkOX6pu5hyV8ucmH~2%K2xn
z*5%2TzW$@UDNW~M^G3P5NeT;E_~ll1b5DEKTOO3Mb$QlD?&7UWKZ{CTpJ=`N4_o<E
zkQZ6Yr+}zw;geL)&fXUA=AT$s{?$;&qmEP0Wp_%f+4#}B<TUHWu9wEHvv=97SZQu~
zGC?Ho(wx`sua3^=`ttZpUe=wQp1AXt>zXy^XFl6%d&TCH)7{PM=DwR<)BQ+g>#oX8
z?{dFQRojxiL~Skm%k$^;0ydetp1=QP#Xg%&(eM2_LS4>e?5#LrYsjGBx+Q<@iZ8ae
zW|aTxUL$*J`dz2z<+%^8mVrVs?RYFGy{vosG3BIcbKC0T?;pKx-*Ee?)UK76%x>CO
zP`3I+=27;kKkk=LNt()4u6Jqs{u@`<gw9#@eTv-fZF)7)pX==wIsLX%U3Y8MBDJ%k
z(pr(<<wNpfn%?cHopS5u_E)p@!!);w`M+ffh(4GlBerUiFsqiv-&H?$aCJF}UORBd
zeD6ZGALcgTG{{iX;Qn9frjA+|@9gr+hVE0|?JnJ+w|`ClH@Tj$`SYB$;!Y%nUVFI6
zSRT@TnbrS-bALpA<?rfT-?Jg>uCDs~UuvOSsm?0plUD!hdT0NcE9LvA|61<<KzTp8
zH4@3Q*%!FI+4NNWRM7f;ucp_DUUB&9%r&)s&ym@@pvgL))o&heuMiPuU}$(<VG?<1
zSFQWaHxH$*Y+qdV)_&C&pVeM6K>>R?SldJAFs!`$G=78CozFe<<YukCu2vGhxr1YC
z^%HAZd*i#Srtr&MGp)7CI`Bj!_QQ?tS-0kI_hOzmWpna;qgmU-`#)TccPR_Hy`tpp
z-LgHGo-!~nG&qF(dZF8XxKJ(d(BmBp3=A=c7oVKeq3A0j6tigUZvO0y|K~vNP|@6y
z8EYzk@7B}TtM425I9_YMwa3;s%Q|TCx|g-j#NPx?@4LKJ{HUhSPpd7t%j>kc!ZPkE
zyiD0v)bIZKPhRd3?PZMLPkBeJ2z5MMdOg=xR8D5O_Hv&qt<}@BPnyl^d~W_@qcQ_S
zgEy#S%LR6Z^2te)ceZ-X+!Lf77qRAqReR}=jBWqEtv_%2+TQ!B#*-GlygN(29AVar
zTOE7*UcIaQlm6>X%wbD)=Pz6FUM&0f%l!x2w(R$+kKYk0d3=r4jEd<^&(B_%WBN1b
z-}kET`?Ieky^=~@R=>F2dXf?2onyNkF3ZhYUiDpF%e1=to}a}EaW(tJS6lDpK}T*I
z9Fin$b#HD=antW#yCHBfN32msOVexj6*uCRd~vp(`ss62|62Km>Z$d4M*GV?=Yz{s
zv2MS+v4`>?NsK}G^N(C%v)Q5E;`K>uCWQr0nPC$vepUDPQ_r>4tJ>Z6OvqXTQ_3I^
zQ1e+bn0@~B4=<kYz01YmP+Cw99ToC9w^+Xdx^|y|!9W@`jPzZ5?{4V0qk-c68Xj?m
z1LhN<2O~Cc7N&DD95B`q$)C35sb^e1bL&5YZ0ti+$OBlXzOkQ#Pl5_u0M(xmk2Ey6
z;TpywFq&q!`uF*Hq7YLIdK2=^85jf{PRs?B2Mi1cTJFr!>6E@5SNPa!&d)i29&m}K
z&JXaIT=nYfqtxd${0tw0`6g;W)HY=9{5n_lWheU-qspb*{v_|c{L(u9-ivcr|LbK<
zns(Fd#mjkjJU}^%;X^E__YA7nt`}r)Ix;UmJTa}2OM6H5lO<a(%w5f%P?UH#?^1Dh
z-L-!`F*kF<mgfKT`oBZz+U>JVs|&+9L21$gGTy?)3EJlXGKGafD_BNo<%GTeujbm$
zUKu#~-LK#v?z_Lwgz)lwEjtbJ-{*}HKUldK7#eoZu#OZm_3U&PGOd1=^mo=Q&Dpxa
zxA)Il*K&gES^wT3<7qipC(6vu+2->$_OE`gW%|8@t-D^y|N3<O@TqfW1D`d{H!1(*
z;M(@>n!WiGjm)gs!JD^M1)R=lz4fK0zSS$}$k|^ixy%0*yYAS%O^m~$RXXnC688A3
z-&eLys@*?L{qLeXCnAns{akkXfzh2%CI*HFK~LomyE3sdFdQ)5Gf5}&-j>#+@7n`v
zR<GmI;#*Y`=Y1rhw>N%Kv|dM;&wR~WSDrfh?mhW4xhm?eP2_j8-(JGEOoJw0YyR>)
zT|C1%Zs*J-^;fOo??Ya+UtbygSmNuB?47sl{w$m4cVoS%w2{dD`~^y?rqZSnA8)!}
zS@OSNuDnj`*VpUwrp0fm^8LxhxWg&sxnb3leWz36|1AxR+ItstCdGj{r|g=%h5A7U
zb`}Wst9$E7n#9cA-5-AInAs|E%aB58>lKAAuG_mjwCq+K`M+<m$m)<C#S{1aJ-RM!
z?V~4eG;Oc_WuGcNeSvkp{L->-YkxiK4)xkP-`4-g)9~zu+L>|HPuWvXth=)G{HwKF
zeNFz{@}BbNuzt|>?yKrLL5JH)!f!n<-n#a!LT?Xu=xp!ml`pSt^*U9UY0=OiZdS5w
zQgNSV?Pv90!7h{L&Hudh(w@5-JNWENSQr=zq~B>VGB6a#_N#f%I(lSP;^#c8XC0Sn
zxAR?pI{oX#d(9VLT`u-G%5AyklvPa0vt-Xs=h9a!)^o37sWN61eQr5o61d~*ilFl$
zkqZv*kGyKIYNcPzez)k^->#_2vS&xkxB2_yse9B`^|)rYEf**3liia3x7;d6gNfnP
z>Hm3?s$9)Nns3zo`h0z@Y3;-4UB>5buLWg;Gwr)Q7#JAt9AA8L(zUnNr_%VZFW4u$
zHT!?y()6g%M-!S}xoTLPsbq4o`g-E`tBqPuo7+o$=l4k&Sh|Yad|R~aoBeOODU0rJ
zO3A(xv)0*bf98T6X}zhZEmlo#pPv19-nUogg)LR{V^*)f{{G6PtuJ>Ky!gMezGj)(
znj4zGEnl-d;QH3%`|C~X&s!1yono)dEoTPh=%<l7V)sCe)dy;FzSCTIG8avFAe4Kz
zHp2OL`LzZ6WK}&E`o3QE^TV~a*ZsRn=B(SR;g@*o`odi6uhD@UoL<lS>nD=^C_U<(
z#IkBN-XLRE?Yt|>zxI1?nz|*U_0wDHEk>5n;xWZL57l{H;s4os>(f~)+4ts-%}(Z-
zSAW$U&SeSEipXt?Tc7=VduWSF>$Zy@*w+Qme<bU5^UUtXKWz4Opx9lx>Aj9(ASer2
z$$3tjb4EAh)RU=E9}gwDUb9?t*nRWY?e(?mBL7FOa#K_<DR`{5R9((s&%6oW!e74U
z-mdj{Mku@R`=AedBxh}27Sr_jg^lmd*P%~ijKgmi8E<`gR`J&NJM+KXcx2=GGwS={
zw5!=y9W+nx3!b;+kNp0t=d)HGi@uh@sy~-4W>wwqz^anCO?>j_C%@g!TUDL2@80jz
zDu16Z*d7htx}h*30ye9Wteta3!)ZE?)WQe91huw_YyQ39xAf1RYss(KAH+SKzxd4h
zyMLlx|Hl5>dUR26`ScCapu&rR;lL5Cy1<UvH*&&sWB+t+^osHgO!`z38?yb)boH(8
zR?10zbXv7>7bxaH>Kz<DR%|+=%4fI!;l=aSyG0oqUOjjRtvY7RagYCim`67Qbx+=f
zSHUW?1gCvJCG;2?@|6-niIahWLHN-*A%=$Z2#tF>o~I}8xyQ!!H}RTN{fyNY<ezDM
zY2V59H*p;n?v%Iu+wVnwIwHL9x9}tNc^fKrb2h|(dRoZX(+hGU1H%XZX%{a~lJ!pe
zQsn(~-yiqDe+nE|`VJR<E6m?Gr&j0ZryUK&$B%XW+WqCV`urvPA%07!vq3)V7gW_k
zOjB5ZbH)bbLx>{O4p`I%YwdE8_hFyh|9**e3%+W<cbV10@~k&!`Byw_oWA8xd;gSa
zvsJ4-)VIF-zGmHvtHB3VcTfEjs9#%Kvnp?Y@vf+8nF}8u5z?*9?b$YGf57+n!q!)j
zcWzce>|$UL;J7nO$8zc9U-vfzF(<#C_jB2iU;FHQ?=0oci&<<aSeknN{?eX58LU&!
z1uXB6y8b)Jy3Z%<^yfn=o_y||T;J*!o_TTl%7MSV&_u+*z<5Zz|6$P+`DvzaoJ@Jw
zt9Pq<lu932?dr4T)s%ahNu7c1dbL~1kNayCP0=d}`MquV>fq;cS|8mOL&A=Mp&|a0
z*QO<YR}xBXes0?LH*w*mesQari(M8zk6pW?HvYwhmpPq}Z<OB(E7@x0V&wtfrq3|_
zqr;|@_G{-}FPk3Ucm1^f@z=enr$a)%EAj65CtGUuahqNB-hR7Z@06E{i~Qf$aahqi
zqyFgj$hC9X*A`iHrQY4^G0pF==)r3E;cpGxl~3oYPFnKe-z(Ec-lg&zJa5<S2$$F2
z_~KikxyGL}JiJ#oU4HuO+w`eg8P`2`mzxAVUmbPg)9l~TJh0$pY7dt?92yqT{O(oJ
z%i1pQzmYThHZN!0zQalOl6dC(f9tm>*Yw<KsoV=+F?ry8h3fBsIoq8jD=W3iJo+X*
z-X!-UZsytDTAThTZ)>VrmArgy)V`E?i>!EqYUK_>x5qOu#GGO7e`po%dCzEV#IIF+
zPv-;_>{aW^sqNO2Hw~Ovs^UH~e0qe=!mP4?6{a^TLgq%fo^V1m$ac(#o~$};0pF|I
z&$o*At-t*!F1GskUQvDHkC%<-H_!6FD*OGfo$Zdh+*J$ao}LYAYlD5rB%;p<i#N0>
zNL&e83Rm+`?9I7T^D7QLI`@k4hXU;25Qa4H%qiG1289j1^QOI-;Ic44Lr?Q*<!)P%
z_g}UjE?iZyyLQTb@1P)3=2Q=YCS;+;E&w$P85$U#Yka>Mbkbx;Xp_(x@z1B?5>vKq
z+ciV;xAFy+5C5JE<@Lln$jIAm`OoT7|3l!{VV0T~KX^@K<exd!&*=94)%+1O>)F%(
z4?JJ{z;^1~HxKTwDmFa)kFh-QKV*uO;r`K&+zJ7P|3E`u9~1r{w_W$V+;~;X!+O~-
zUFlW7FHfKM*_J`U!QzRyI1^vHvskx$PKS9!$j7<|>c89dKmV?s{TE~%&&SV}b@pnD
zep%E#JTCD%K=T-Q*iEiye)RpwN%JZkG$)5=-7dDbp1be;-L>yxY#Bn<+?4+k_bJKu
zZP?#Ag*T1{Zz+ggma|PKx2AH*p;f`<S5$O2=U%vMn>}lG-ujoT`!la5ug%&K^T6tB
zUFG>zFXV;qWpJN482)SDs<Kb~PiK9ey6?N_yAJi*C-N5enGK}lCAoL}Yrgs8)W*l!
zJL<}Kx2t)-`B^5KCbmLj`-PWZCU0A$mDO?a!v1sD+n8sbI%~ZyIr8_`hih+N@tSmK
z{iWO0-60icv{qkL%YMeFs$UZne(dU+bvr(6tSXM~TNAc=&2hgIdG-sF!&a}kCX-vA
zJ?D_OIRE~j{I{A{-kH1q{l|VuYZ|Zh>fYsE=NA6lWxOe^kcG*A#jiVtuI8nskI&}2
z-@hzh6}vKW_S>s5@h!(}J~UM2S4N1NWiCFwtakOEtgF4nA69ct(fV8J5&1^`gUB~<
zXddDJ5G%7k{Or9iu(bdTOrnp$)7<<=&#yfxwD?e)-8#$Q=<J{JyS1%s+&(I+zuvlZ
zcIlQ9Hur5}_iwui3igVWzfAdiBW+UJ-_jkI<Ez6%!rksU{asnS1az2A`R$se%W79y
zN4aV!DFuD}zulp7`SgC7+Qn^Ad@IjgkT$h?^ksUe&g}XyX=M>X!G$M2tG#$DW6sX^
zp%gI+)p-qdjOx`t7mo)|->-gFU~x_>b-(ui|5sP8-t>O%+S8HNb86!+Z?jmv{mrIx
z*MjFh&Dt&N_KJsP-5q}I)t7H>`E)=0%g#mHLav@$^{w;NHhqC_+{RyPQy;tL_Q(2v
zU%32H<Xo#*L%BnxF+1}^OyYm;$|~l$wng{ct5UPF@NYZKj&IlgmjA7g#mYFAIRs|X
zv5r&Q`U{yt4KHsDzWH<ew&za%^33zP(o0{J9R1oVS*aTtG<S)A#%i&ybGO%(W?xPT
z-xpN>%O}5fm*cs2!6$ETbm6`(AA0#(u4vYVb;U<B-n2NL`uE0DcMI>e(s1|CY)yvH
z4)mT;tnAg`V7u9?cke2_vi5WTiCG(6_>WDC*4wiE-%dq$&*0m0e%*QHsJmG->+<Kn
z{<8lC&0kO6GPkNYqzB8i?&&#oHrLMFyBZl^e)s=J^I3P+@2j$(wdT&^?vnBpm#L-J
z)nCHibR6IM;=1ywt<PS3cy|74#G#j|B5U-v?_GHHcX7&T&+F$>zrX&IP(06q$8f^e
zatl9O=j}NYLmvK@4y}t#^?xF|_P9s1)~$W7bi()Ty*fAS;<wOi({63s?37wB`-pk}
zs>0)S5x47Bc}AaJzj#qu*wwQ1QmgFc=T{v3m%eSE{_b<dW~;k4uHN)_rQ7X<2R}-~
zZL)*YOZzt8(hJv=m;P_l`nmS#>8bhorORhNs(GJpQODeMa~<QV+hObeKM;$t58siq
zwcu~guh;4Q>fTC9QrT}VtTwgSJwH#*DloQhOQ=U|`b%ET{HI21xg}RGe{Q#S_HVP*
zORfbRjr+rX*J{bey4cPC&fHbly83kI4$fW6{wwPK`(RxA<Emh+(Zi^%Ya)3W=46z9
zPPu#gjka&bbr0Vt{!8=c$1X_8?wYs%WngV(%JzG61y*;=xLEbkV<#*3_ROPgJV(Dv
zuki3Z>&6>a^G(q7rG3nEcJIW$zgEwFD*ktanmc>2-Q_dc)hjBWwC+lewZ3cqQ*3H&
z)cmC>#irM`dls*gUnyR%b)nyQ_sYV}hE=xDE2fstSAJG?w(4(QYkke$bN#PYbjF)q
zXWsmOnPTFkTX&X5o{wGocztik>l0;CpW}qTzJIvTI=iMryS_Hy+mV=W`=9D>v-#QG
zerw(8P2CGu{d*XERo^k@reFQTzPIJa?G0*|`o%xeu8p7hsxNz9#&qjPFW-GUdDH#}
zQ>{-4`?>Gu3iq+^IUc@_v1X|s3tzltnbzU2n+^As{e5#W#na=iz}<qwy;U#vv6ng@
z+PbUwQSPqV1HMwmZ?cwuzWsgAI_q_RSMSTOm>U<jGuB!t{q?kWsdAfAGZ$&c-_&2N
z>&_l#yX@HedyAsy-PTCwKfZF)Ixg1Lf4@Gyl6`&ptl!!D%+CIGs{S3bm@{|v&WlTP
zZT_B{bmr;ht4Dj+Co<G@mi~8qdQA1jx!dk5=hm*?_cLi~(CV)xt83Q&eki{3_0d<t
zVk;A!cWJ-<yz|zzvI%a-T34N2y7x-S>!{lNt=X>+Z8!b@Tv=<&``|~b)@o&4irIMX
z^JdeK&%2b}Vivbp-G90DruNjt^B2Ca*Sm1djM;Qg@CK!Jp3wP!QmY<c{dy{J-?rLM
z%ci~gbhvz;#EvqJ|F4<L=SSH7_15&ykN;UZ?e4Ktw;oL^oA%G@2>U<TXT5bzvm=+Z
zC~}6rtN(Z5d!FR=tja&Tl;@>Mxv!3ItV#RxS>)XJcd^UE&wu~7Y}RxBA9j803+80S
z?f-Fj<KuO&pWm8ezoSlXtwY}4x^Eeqo*eQMe>E%n*y;@%0=UKWE?rvMnI&+|!I_;m
z@bt8E_5E|V{<{C`@&vwD79Z+#`F8sKuggESGCtAU>a*R18G^GuXU~{*<^0u)UjMd5
zWX6hezFQ%E{A>6b*Z!Bsbyjb>X18je;a}5#$Ih(^JGc0bwfCa-KhDvAKfIsskQ?#*
z{`$hn`-2yE{=c~Et^KRRC!0lsza~vuGUxsEjO@$vb}f0F!25dga{2v#JXU`@l6|4W
zOzP71=5K5M`>`L^zZQS*UtDys@zm_CS^xLDtq7Fu&Su*ebw&1)PLyv{%;N3A{;K|K
zqnE8-uj<0P*jePxl8sVNmb^~5_;MyUzozo>?|LdWM?=Lmmx{Bb{?BGJ&2>)|H*wQ1
z%lfT-&EdhRx8IM}Td)}Yy0`U*sE*d5pZ{{^yk~s>Sc+kVY@h7)N56I!xviUNGfT%(
z|C`OxBQCEmEDT9aP1QEl^}TuXW>>c4^02khp}TU9oqzv&<NkA3_kFXys=nvWkwc-g
z{(j;*diUduyxibYYpu1b=Uk98f4Pb;`|FPlj8Y~U6WDmA76ke)U-9{>`6}u14hFW!
zsh<uW-nGo%op;8ZMci`Lfx*ASKX2<le0po0fA!bd7EXKp*Im8-x;*RUt&&)aFaNLD
z+XdU#?+=!JSLx=@fA(6o@UGR7E-klgKh5piz2xq}t*drxXh&QAzIVNJqu_Gk6}?vs
z_RM}4Jx#y5FZ8&y>uT4Rlear1i(I^*+WS>HHUH|G7yPpCDstL4Tb-^8pM5;aBKRh#
zSibagj_>-csGp@_%XP25WUqhL_jiNZ-;le17pdJ2x>#<y@_I&eP2<d8`_ytvpV~e4
zde@Pj`+8088f|I!!0I{gE$=g@B`?cnSoi;d_nDG6SC*`eu@8@3ZXa)7Z4x<Y>inf^
z-sEh}z8;nvwLPq6xnEab-?fYP`(Ay>Z$CfN{@JC%Sq2*xFKSY4-F9)q$LXt%E_{3I
z&6A}nE9K8!+P$*bB=Z%A<>~q>OV-_QUG@Hm_LX1H&wsu5%J<fmmmxp@&1Ln<te>j(
zt@PyPnuZ3^(w7#o)q!&kO<C`JyX@8WMG39fcqjR#X@9TSa_;@LWsj_Pys^eN7P3p}
zYQDrWh1ZU`_vWc>m0jt1{YzMFdTD5S?bDFm?~K;m*SYXraq-s+&CXYhB7zFmRH=Ol
zzn!3&|FdYROUyd^d-tLRxkB3w%U^f>*mX6hm*22JZq2K(v$yUYi?pf_Ee-o}gDd^@
z8sF%wO|?_ko)^8G6&=5J>OH6IeXo}k?<lrkbM{|Ir2Mq`>#a(|w&h>n=6AW+!}Ijy
zRd@cMx$^z#aozAY#^+wGoE9aw|6RxOiB_v;h21U<JK28B-oNnq#;|YuuSTY)CMV_I
z4x0S7_^9PxwcFv7%bc#-)gSmi^QF{+O;59`*M{AU2)}Lh@cF9Bze&rIm;Whk(C)KL
z{~F@0dtM&N(3MiZ<3nGs?{S~3TB$4>HS<riO6H9XEW8p0oom8on=Q=?Exf*<_*wpi
z%02)7eeAcIQtq?u%lt2&4%Ak?JAZkL$$ihKt*^gadb2dE;_%{M-IJs3vO>aFZa8gH
z7*f18{>#owr|S0S?-TobB`Exki9_hVuS@P&dl&JYy*_ths4fdj8@5p+wfIy2<KF)(
z?~U?_U3}(C{+9yxbj_ZcwZ9WLzuKC$U`pzJP5+>O{r6v`Zw+~@o4v*8{JPxt`xo(l
zI-Y%yarLEX{=rwuySLuGnfzhZ)_t3@0?H%Z?XEc2*Ti1?_&zJxZC#OZ(3g)_61%u3
zzWE(@^@_WYsO{Hi*;AG)*1xw~w)DPSsOMHCPKJBO^(QbgFfegnuV?tv3!78EvwM<4
zx0BLq&q-3I8B1Otzq{bZvyDX})?5Bxusa)V;jf<abJO$BM*Ih^hkiN1@MC$GykOz4
z;-9QuoBzw*($(6%^z!B3%Vyq6(t7%x-M;3cVQqfW^6%T1EKyNaQQ1-R|MTyC-#>hO
zUH*}$;p6$>Gh6a!tkf+~HlJ_*;I{<#gY9=}{yzGCys!Pde4V&Ie~f+gleN-)N$p(5
z+$x@uGg+%rzj?olj$Ezj<uh+x_qnR7v#<%5SNpy)74ZAZU+z(?JL;oeZ@iUtp6h*f
zy<hjXcBpG5Evr53lgs$PwujZ>ZNZxzVjtI7{;ziZdGNWZ&9BP*?Mw};D}K#L<0-V~
zzaPsaT)a(wL;Zo^8~-7zXAhJY{$b(>>8S@Tm9P=9@85^C8X*TX*kQBY<ahsp(3|V+
zZ`eP`gRZHNf3Vx4j*&$R-uwG++s4iCz<P&*L+TCt2fkR9>2=AMPXmqV2CWM*>wR=>
z(iWSOuX9(F)N7o-oy&dXAIFv;$^7kS#k-^*iwhiYmwx>Gm5{*Sht9443>;VXY`-n`
zp!G3i<#M5CeaJDE+Zn%{{`g4m-*lYxDIlEVVh7)?vB}G+KHB@b;MwP8$HP`Dyq)oC
zd(Mh?{_$1kzC$|j3=9nOo?Wx{z9h`Ak^0FixB6ZB^2^`%?!G#Ab-C7?N19PPbc4;J
zrv=5;#=KY8zjh@r@2n(z)IzuzKEcyf#68!~K2v+uqBaTMzpra@EhenIv*)qZw5{>d
z_jdWNJ?E3ZOp*Vu|NVUb@V>V*`s7bvTi7fA;{04~uR52EGtB%ezE5i}O`L2d_2>HX
zQt$QJrrDeKmPvCQSdg%Fe_~(QyR(zdPFsA1x41O^zCpe@bQHy*!SJiKH;x`;$Rv?f
z-OJBkSLZLkvTXVKpqj~TU7hl4HS=|@`B!Uu)tQ8>7Mp0bCA0P0?awJ&C#f**(Rx;O
zc8;mvHSzYBd(`fhe4ka}JKNUP)_Lz;#MwXDCxyICpK<11b-Gx2duv|qtT(5^U-{2}
zxz^u&MZlyawX9dRbH6<9vCsHaZU1sb>?!})<^A!qm(QPj_k_@`*zfg!dey$KUVpD&
zP5b)`rMq`wlV=BmuBfQWd0u%lv-@jy*0P*!KDqz1b_prP?!L=VCvI1<L{BebmH9o-
ziC5>XI;wx>>a=s=88Pm1z0hgN1I0G;bUHgS)@*cMf9=7Wx?PnoTuLo3g(;TK{oiAQ
z+U>B;j;i?I_$o4g@w9XI7iZm-588pz+W-6G=H>_`w(OigLU)TCw<P`YxwuS^KkJq6
z#;vyl7(%-;?nec_tlnby{)>2|^%nizt>@m~I<WdJbVOMo!e*8Z=St~C(s?@q`R{&T
zkms=@tlV#p?{@wjp2=;oZ%gWa&%0iFd~5yH@4V|TpVr=<?fxj|?xnw1e)BXfmRf!A
zV@~S4f<$I)t3N-wC>)S&x(Zw7`70E<%(HRjd;#drz60kgzUWU9FWVJxJ;pe$wY4>B
zL&3ypdcGme8`z|*GCHER^%U>&x%R65wZF5~ipK|jZ?$-0_4oH)Nhu+<o5eY&@&#6|
zsM5<>aCp_4!~6G_>|1hVp;c*xjKBlAvYVf{Q&)z^fA!wam9^lPV(TgUxJPHfi&PjG
z7&r{%e5cJ3ub!8bw5aq&z^YA~Hcg#1ZP}YQIY+nl1h2lfRx8%*@$>IrZ^S>(ivK<D
zm9XB^zh4$_6Z?MQX}GojrYqA9FWt(x!slFH?Wv4=akhv2>jiIm<}SXpwfy!+uj$~)
zRR#uz@J|_=RJ85ABX8c!y1s7htu1+LfB4N6k(FJ$c)#q`hxhsB8`qy%`q(5f!QGYB
zn=5y5;$!{LZs*%uE&YRTwO2h|%)?vvN7lABH{8`LsGw)pxA!Y8^4J(_oZ>Dyt>uzh
zw>$6k$`21LVl7h_>D}2K<Gn5PwXX}buy`OO=R565Z%Ib#C;mxG5)TWRBpguP6qS2x
z%C69(vCZdZ?st6t@z?L;_OAl&8Q#29f9W*y_m?~E{V!jt@!a(4HT(L@v7fn2ejN?9
znvy<Qw|cgieeqhWwu++F3|D5AHZHR@@&EeHKP2m$*xtDX0s205ZmYSG^PckeggGAe
z>!zI4R`uL1rJ`-E8@=&t@>7knjQ<O3XKgQ-=Xc}N`N+Kg(c4$Nj-DElbAMT7@cK)8
zR$k5ZTs2SfO2GLkb!TR+JuQ9K?(f1k|Gk6y?#W&E$q(P2#jthKt`~x_`(6m<n?u9d
zf#I{qrXzuG0us|2RWy}1JFGubcXF?Gj;U+s$;+jIcQ?h)yRvI}RmKtSmG5HVyQe>B
z{`*<h-+Vs1;>+iIdGG<|4~%lP>Cn{#3=9o7k=K%)HL8l*lzg%$?p_`{gZ;w-i*vtn
zHb(5ZB2?i7nkF*c%MS_s_ycoQ85q8A3^)@sX_|WFUS5%3hu7fV`vO~7pm|$9ulC??
zVSkHN^E40Gd~7*-+m~TaSK-0!hR}3-Kz`>}!<avTUt<0&6#Tbt)$syDy&u~?B}atz
zKdk!X`AZsN|Fh%CpyeuH4(dD$&S7bss}@*ySF`fDFZ{&!$3Oe}{?&J1x`&2b3YeJt
zZ$;wbccG_uRZsa7XkR=1V#wF#?xhp>vMzsB<b82H>bF?T;;ZidUaC7cJ@b4h*c<9z
zdiBdB|I*y`eq5V8`X=r5`uW4^CflC{2cKWH-h6J-9{(*b_FjEe_B^L_Mf$|W75$U!
zU#*voz4o$g-rJDlMZ0p3?w<PRqV6gAU7-1!UDoh@eBhN4g%(~VHQ$wgWw>tC+gUWR
z%`{u9`|k>){<Kw&9j-+lLF!-kKMdKl$ihDIWOx3RO>6JJy2UyB>S-m>^gWAqddo;v
zey;7})Y{JEoxQc!-hciZ&x=b7|At;Ks+!Bb@5;ln?HM62R<7~zycT|IRd>qGT`rM#
z-`j47AKfUh;m&V~b(4C%A~(9tb+cK#?(8hB6G~TC-uCf*tQWwnp1m%3|8A?2j&Q$s
z6N4+KXhrBac4b(*^_JJHE&2UYU)H@e!F|)M@;wm?C&~0F{oR(m@KE)+fPOaaE!vAu
zv`RyZN(P3SxzUqVRd?FIba+^>HTz<KnD^7qRx;|LDX}Z#x61{ro+i8Mu7USr#aCA<
zHkK_FpR?-u53jdg@=N;)A1=R^d4GYdwbkn4+v~Ef|Ezg?XJg>ES1C#0B*MVJ;NVd3
zey*ykrB;T((yFQFwm4@$FTJe2{>!E6Zu<bw^ZX`DVkc`&)=rOjbj566bJ<(3Yo6|%
zeew}&zVIy;6;GOY_~C_<TlBYVe;ToMrTmg#xyM%joagFgomq1kW<x`L!F%p|&PpNg
ze<X^ASzUhrUS}n5x2ng(-1T8kb}h9sS}-@q+HAG+`#P?T9(?Vg?Ay;?zPR@D*JtMv
zJj+C7CzpIk+?pjHxcV!+N%Q~FwG_{}9dcIsKWyK6*ZVx*y5{}1uMtvwfzMZ1-r8**
zw{zy=D~ny7JtryGw{BZ{cfsp_CZW21`7cj4|G(gVJABoGzoA9(-Ear4FL<B4qv_YG
z-$!$|X5O59Yud!sNyk@;ubXc%ZRzB7HTfN?VY!d2v%b#?FWGW9W9u4iOBq$GeeZ*1
z-x|2Bl{O3f`)j#Z#qGkZ`QKK4lhyxOTj}1})!iK$6SHR0Bq7U+<?up<@$lO6X%RXH
zvuYk@@3Q-$y7phz0-?3)DyAWmf2fyyeVTmbMC$96i`mw$4|+05{c1%-{?)A>eUqGT
zZBE^8#rI<6gH7-4SKbbvdv5-kt-FIo@B2>L_F}jB>zBJPy%g+!_3iikz}E`mrto>?
z8SvGucY=Nj`xkV(Rr=cm-Zj6@yzgY-yK6e#Pv6weD?GS;s{CQ_3g`JXlm0>GIU9cN
z{EoC~P|Y1Nlg45~@_a9MS^86do5aHOuM9N;u<KtKHa|QEoegJT5V!%Fn)g@~pdm7S
z%BMYd<+{p$-F|2hy63LlsrRaynkQf9F1R3{ri*)~8#)i}b@Sf?Bx5h!`32%JwH?0A
zyCSAZXwTi2Q!{PqCaD<jFY5duImtlf;2%Si!ap&xyIFQFv}e+&TX*c|Vb&Y}dkhQz
zSky}#`FU7k^84yJ_Aw2A&r1j&@BiHHm}gTDsu~W2+I=fy*4p5G$t2%=krZrKU=R0W
z^OO?;y7Bw&m`-I)_!HChLlUwKoF(CpMg0s8*tS2;13%_k*1st#-lPI+{}+F1)()9c
z>!j%WYUTCr>2p8ZGBDV^cg{CI&{=mc&w&@d23!1Se#G>|sDBH)|J@L6_*ks@2)ap8
zxZ&gDKZ#1P)!S+ekISF^x#(Z?8qs<9dAw@*<??-dF4k)I&svhYjkju7`iGbQ<JT|W
zRiq4Ad(6PVU@<#-vg)^*-CV}pArS{-mDSg_PSufJ<-J_@;=DOZbGN*^{{6qqLWA!n
z!VTM9?yWoD|L($T<<oL^55D^Jq)ImWXZX>|wXg&7nL0c3w`yE@C3*ez!ZPWv?>D@Z
z`uxKD)c3N<@Emx*@bz5Pc|zu|-bZctIAK#!?kU?@Yo@Q~TVL_)0pG5tf8SbdshOp>
zHpL94LqOtAReI;qq>C*N_g+;vv+zYvK<U0WOrqjq+8QPv7bO>Vy}#_bySuq(t;#pC
zy&sOnX8m}^`^qSJX_r-LuuoMSYqZ$P)9HKGr|+s?&+c~q!YiBP)sUpmz`(HY{M(b0
z4DULd?0c)2d){u{+1$gbR|9u<)O^jGuqa-y=bG|24d>S#J8F8^MD;J*>aV>L=y_{n
zq~)Y1OKzRmnE$HxwegoXH`u>Ku3C5%+S+Dduz$F*d|Jy@-N0|1yG~h4E_rux-R`Bz
zOEQI9qrYoyUC|}^y5ySg_tL-(Z;Ss<i@e$Wl(AycVa3Qf{vQjxAMo|@*Z;3S?Gk$B
zCL{zJ!Ykj*Rb6|CTSUIn=dG0JisUCBH!o{7Te<wfhFec_-`w13C3i7I*Ll^9i-j)p
z|J@g@`u4%Y_Vb^!S)r@9G8Sl7w{7}-Nc8HSx|#aUS5{Rn;VLpJ-E)Zbp|)LI`KmJ!
zFW$nI9f%qq<-Vssqi*6X{`}b0sm1$Z7nv?RTzcMix|UZ^#O>}Y%lA$`(ybi+^7pkD
zKiT6h>}y&6b-nfN>UZ0u7|uOiduh@8oLz7BEiXyF&f&Q*%lK2EZB1xx?fwU^gZr;E
zpZ{I3bvx`J@Q`!KCnp_gzF3uC?X9}hE%W0&3D4zn`!|1`IUls1@scqAlxU@p>3*}9
ziyxc2JZ<s3YlqU^G|ogGWxs#XxxO;~==Qo*cXyh}Mt)zF_b%rC%{h~n9P0glX-R$F
z<)!|6SJ;;-#WGb)5AFV6wLI<5tzhG6@>8z<nr*hnFe>u@zTofsCqH|83|hr-2;`j?
zdt-Uch5OsQs_zG;zAaMqR2N;vTK#xRV*Zjvb85BD=oV~BSr~SHhm&kt$X2%9-*hWn
z7K_;gz59K0*Pe?x>i_j~>k3<v;@6zL#!#?x&ef`y*Sx<>+I|~qfkMJg)5xHpphd^y
zW8cg=g>4~G_BE(l1_q|S_craX9(<OP`@3g*G+g*kk7gWV5zzx(<P`~@=Yn@<oLg72
zGXA$wyv3etBIj?YuAIMJI*@boar=fx(-*K@|L)Fk^t^7zd_%pS``_Gd$KDrb6tUl~
zP<O20pF!K-^D`K_<e&2kD<SdkM?UWdtrxP|`cs6fHEG9`=Wo9S?74iO@A%3`Z@&fB
z>3e#Tw3HUSnh}zsQ8#!a`vrNwM%0Gw(hLk70(|^y4nJxvg=jtS9#kM#oI9Sks(kvA
z%)C|5)^OeVwI?U-*!Wh|eBI%h=TGvl3kdwi*=n+Kd7OS+*}3lw4GbR^O22yZyv+&{
zrUC|cew~~2<p?uqeRcG|jrU%DnX8{)^86J<nt_2~)st%}owoPa9Xi7#8dh-qglBf5
zs(1UVOPStV)R%jxul@b?uf4m*nSySotIzv1cdgX+muEhs81XiL#^R~fn^QJF)hIn2
zbNBl79}{7fwCTfZDV>I`QMQk(Y_~j^&{y|&#xa{;y`Or+ByYK!-z#rtJJz~mQ6oc7
z*UmWO^xnx|k0x5J_cXt|S1s;xnt$N=fP8c4VjKqr!@^BVW-U_FG<?hLJO4}Lq{~H9
zw*E2Pim{#+>#T9r?vD-$-13lpx_8d}+5%e(fWBu}xx5}49v@`3|8u>Rv@zq*hkIE9
z&jL!^R#?TCHFj}#i;74sdC?Pa^!v+2caJ(AyDmI&!S3Vx*4gv_`2X#lwbd)FzmI>U
zPx5pUx+I*r&|A=@%Omgs`$Ro0g`hXPb|oY`cAa7}JEf(>p;s0jBH*%AhsjMvr$fnO
za-QN8mZp`)ik!k~pa1@4Y-ADgnskz9=J(fgs{Nit&wrSBZs+IPXZNB*Pe00CWvb@q
zANtd+_EqmiH`9x2WtF$w-sF4wU9F$_yDG<N$D=ZDtP1K6W(@FB{F<$;l-as1ecsIp
zyW&4)UcLI@mqX_Aqf0l!J?f`F?as|R;eTJtUEH@t=j|G4m6P6Ioi>#wKQQ}S<-BCx
zR`)3f=LT)9`sN)aR$s*{a{A!mQyqO5@5{Ygy8mAM%KYh559%^ZG2HCL{O5_q%*Av5
z_*O=qI{7s?<-t|^7tmVwz}3Zf=6SIFu$WSI$-Ui3Tl0ka`W*dt9v3e%r^|0YwJBrA
zuijsA2fy!G-}~Dv^WKVcU0S!TnKVpyueoV9Q|sqx`})b{3;xvRo;p{w!w5DQCb#TP
zm+IE0qAM0}T3)d}Uy&FQwy?JD;nWm9jk^lsi!W$*Z>u#6E8m*8f^YG*l`nTlN?o2O
zx|p$i@;>{xlcBFyyIz>do7fv-uH?!7EiLv7bYlpEhIgLfb>GXJxuILD-x}?XJEHs7
zWI^s0rYUQctLrbv?@asov%-7%r706dSF)XbWl{dmkYT!N@mZm@-`}lU$iFpYf@M^#
z+x^ATd`B{m#_eA14DHZAa6Gj(La5pzX#Shk3r#r%qv{@fSrXBv_;%*5$lGpfgM;2I
z{Cw`iW!=Z(8CCmdt-6%mHO=Sk!iLj(rsw~?{q@i!TQ9rBiw|!sG}523B+@?3`)0Q3
z_r>y|wP*UhJb#5HO9vS-#+=#|@pyjZ0<Xi#QzvYTmOmzQ?Mq<r)`QPp!A{1n5LzD2
z{m({l-BETw|4CPu$F-@vobqSO4~H$2-X1*V+do}bd3lF%?k$6tX0g^Uh0HzXuFN}V
z%$;lX?WA(nx0A}QamA0b%U|rezV1&gLqT85((|isUrLXK9U;!Z|LjUq=i!GJj#!>P
z{VZv#n|9f2maShkcYK^;w(pLU?K1T#XKf(`8v{eb@tsxA7Fzw;wab0qyI0V2ycif9
zSRadV?|}vy1H%rkqwuEmgNr_UkLR0)`D8tPWx)_4(3U7tm1{IJMuhW}bK%c(_iG%#
zsaqP(_~^F>+L+SXcJJ|asYw|oQfqx~{fv?2KWqBatmpFCs~<0(+iIG9ZHEn;)X#$J
zZgzK8Pvrle_2lqgsh<Vwv2aDtv<Hh<{D0~&&shKc$EfR{%St&8h;~<|Phaiqpt(k}
zZ0~AksJ~9l3GP;92$*txSN!u=0s&0P$=`QbLAPog(2RH>3suKZVd4gEi8N?StmAud
zDM{q<zrtBDVChq>!oQZX{@&e#*z+gXI}x;fis6A5cdV?#$6X~a7wy<#5#AoS#c>_D
zz|{+E`}=(J-@Etc#^^*AX3PtdnOFYpt9I@)tuy*wFSn)m-uUu>6<kRlP(Hi+i{iQq
zTRp>%o?RRPYQ~udyMZ;E`ORxv?cHnr^^))Ziz}X8?!NOsWAevyd*G3FK-F?<#H0Lm
zYdX9_MP7+VKKUnb|3cDvQ=ayqYkOA+-c`Q3G+O`ck8cY;@qCYpykH>Xb$@Hv{^+~f
zdJnP^Z)|`0_}|~HI*RY_m>mvy_Pzi0x$v;pOJ>)?F8&Mg$=iKk_sJ(qJNh1fJ>&eg
z<n4p&UrN5;`eZgUMm8+*SYhtG-`l=L$*${z1}Fo=lz`TV2Y;VT6#Z3mtfY4P=M{de
zTQ48Z-?Kh$>)urBEiv=Y%~<yS`;ybEv#Rg@yV5OnVcD!R-{eiwKWJU8z7kz1U$ge_
z%!{wS^k=?${(b7b`uEyze`!aU+x=SRcDH)5*6sQWa~!op^JlDobT599z0sj7uMXC~
zJbCcz*XMKCO{<@(J<ZEo=HJBr>Zks(m9N?~tJ2?Ty|tdBHlM9L`^6FQFZHIisS<6Q
zzSS<W48DKi*#4%F|JNSA68$l8oB8jk^Cdh1d564et#UVoy*(M?ak=`-i_OYc*UehL
z`hO<0cxG7fVUE?NBL+N2^M6E0&bALfo?@Sx^DoA|zUu#**TT{JCR(1~UGjay+Y8gr
z?_ITK-~1PkZ`l5-c_;mAe@4D$dApeH|6N7z;}-ZQ)n9cF-u?Q*{<iF=6E9!>E8!`x
z_3cOOtQ{H4#rE9|@6`WxN&5Hhmp6azfAyMG`H5}$t~!glsv{kRf0S!y7wkT!e`A?z
zyiefQsm`Y5ll!CW>|&eqcZB-aZ3~Xqs}5ZIr$!{^eVMIM@mjHCxtFzN%d)=xneXSX
znsR%Q{;~Qc3)J^r7T3O>^(gmbl;!tuOBKnT)nC5aFMs9ozjc=Q?#Rj2>KW%&$G_as
ztl0s}9|dOW;@&b`-kqWI*O-^|zcqiFGk<-LuKcQ~KkQYO1+$}~rk%{ukKL&9{(MAj
zzT6tS?IF|GpHwLhn89>b?djj0QQ0-@ueW`>`Xt-v<oaW>yB6OLTzj*?qms}0Q|5BL
z*!AJ5`jh`;Z4d8`>Mil!zKzRtcFMkIYFjf3LNs5dcZu4)6}`N-wP~;KC$8MZ^Zvfd
zJbdi#rupLCCsTp~?n;N6@0qm6r*YNYO}&4DCr{1wsAPY%W#6ZSue&t8(k4evmF5rV
z=c`M<ci3xf8P6qI*;S{nKUtk^^)>u-{Qm2C-YfrQG8}l?Q@MP0k1@20EO35m#v9(y
z@0_V#JEihe^jT%&({Fb1Wp4^ndad<i&r=_nnJ2xv*90f*-tMHdJ}}Db*vsdo_a?5A
zc~M$6e|!82CT5@KakD@BiHEA3w2qm|{P}yOrpB5szjs?sty|#q-Q-hW_H*&eCi#(b
z_?A35v0>@SOI6=yRs>Bc^W9tgafXwK(7WPiwtto?@7KF<V%~qd$rEhm7HtVzoYO86
zwkt{K!8f0yHqPbSO}n7c6B6+>OlPI&l*Pvq)u&Wl3g<n%iR<m@4NF%{+P?qK*{SP)
z?24PJEHZ7<A|Gci{T;SHq+HehtG>SZw(^vR-Lj4o0W(W}{hR(*!|eSIov?P1XR0R^
zy-x+QwzQpCen7D;QRDgZ>t8>u(&(N4wK=b2&5}L2`Y-=g{w!?M*0FR|KX+{M+BL$J
z>EB#eRqYlxdHJ?I^l&%(kq-*X5?b4qYaE`W?)qGuO?J~VRZR<>B}qD~o;(!Gv-y17
zRu8=S60}=ZW4Yhq(w)oRODx@SWT~pv_1*v0zMAxT=d}NGmISvg-1FwK*LkxivHO?r
znkkm?lHYx5w!|h?or|0D7hl~On_C&5>G<eMe^=~A*J(D+l`rixeqL`cDgM3elUZn3
zzzM~p@+YSpE;uo7u4Z(FZYcL^m8UY7`ZsPoHUH%4-S_^#OgqiA_K&J-nX<oSy<XUx
zU2`t~UiWt8I?K;nQk<J|+7u64tn5klJY_axGn?p~@Z7EM1eac&uX8~@Y&Xy0g_AqA
zx4!81DSdw@B+YGl2*+o$9ac<`IC=2s)Z6yk8{3t)e%QY1lezVi*G~iHSDiGPx#vrN
z+IlSol@P{f2b_*ew>htTt^Tst-|SWYo{h6&Kj-qk4~xF{+}>tw$zry@ujPNG{JOjA
zeQU^5*1K!m)Ay{Nw{`Za|4-_({`LI+a$reHrR&zqx#!OZy^p%LD`DeA@0BIH^ZKGz
zc{2ZBcYp1}tiKPct9JQL*yA&`^z`4_uZQ<rWo+0v|Ja}E8FIhxOW0ohEBf=wn`Mgq
zc5A-fe-giVZJW<7|NrxZ7BjANTy^=Q;ntTT3l>lEsXJTp{Yv`Q4`<!Ke9%5#a!T99
zbhrP7V&y80hV-K=4)~~T&iYd8eg28h#W%nIEj=Q0a{DXYSEhfMd9#8}UVR8HJU<Bd
z=}(ifsqEe3yIo^P*O!Q?f7T}6%E(@!61`y6k5=s+L04Fx&-97wdh=ybT3OY{Mc2(|
z`f#nd9)I}X-T1@jZa<g4>&)-_eqsAL`;*HT&-=CS@y_fS>#y(qyx;2kt<}q_&i`_p
zlrm?MO1$fRtH|&CGm8G*6)pT+v3Fa^pPjzzYnEGc$rS$Hd0S(}%llvM?Abqe-@1wG
zO8?jRYVKPe{2+Sq?sxTTcfZ^JVmGUn+~l3JqVCy8>;60soo+ecIwwl!<EKwy-HyiH
zcjZIg%;T1{%r<@gPF*Bjdxxom;pA(tJGcE)<^5RnKJJ&cM40SvW8bOSSHmXsJKx-|
zdui3#w^vG4RvST*1_Q$bukf>zt0vc{+wQFCpI1_<pql#R#fPrIs`8(5kvx-Yly9%N
z&b@v`u*&BgXy}2o_-;HB>hs+9X6U2W6`_H<AI7h|`@x6<I{VGQFh#OUm7(EN@UKYT
zKqtelhxhOIPT>FUWOs-A=K;~r3;yfZfS3jPPY&;ei5J9+cr!5geXgE#W!b(j@!{-D
z3=P)5V}qo_*#o!K9{hdB4Age3SYh<WUi{7bARWelcgK#sD=p>FP!|@P`?LA!%$U5h
zvrM}>I}1xCEShU}h<|z*ccQ(z^2fCHuP<BtV`begs_n39_;ma^lBG}=1Z~*=`bv`L
z>#BR__SiJ6zA!8C`fga;j6vhb>8miWGcfEBLT-0zfLm(du<TEJWm~s;V^WZI_;JPs
zO$I!RFJw>doVr(g)1yyw_wNk&#Vyapzz|?0{yOf`eb6ifr)6nlIRgXN!ZJ1n2Cv6O
zU5Z~o^K}7Ce!A0G*xALaYCk>keERH}*QA=-+R&9jOPzkN4O?w|n2}*em!ez@14F|l
z<CQ<^SpR}5iYZOO-Kx%V6)yiKUOl(Z&+<#V-S6|iCoO6GZnxsZt?TpdPM=)l^M0S{
z<(Usf7VlHbxv}uweUrfBn(eU#^$ZLQ=Z}f>F)%Qk3*0c@Ri2wc?_HNzMgHw=Ykz%z
zUw`xXZ<f|qyJp-zzm4tft`&ikmt|d9vQlcx>!6JJ{s9>m#SP6b9SEPEb^4%4<eIR^
zVE*jam%>dq1pYjukd|i=Xn!;3)TWddFCH!AT6xV?`Typy-;*z;o;`En;@kMFoaGx9
zoeTMUaPyXT4y)E&D^bmvxqf***Mt!F<fPz~jcF&R{hjOPGUfDbhC3mJ^Myk+{+tp%
z;QFM#(R`*4>owb?i;N876YX~`QTQdz5U`}FR)^uh(b&L^DvFAZxwp4nt^IyCe9`RC
z+&eL{R^_4Xda<Ef3qPN>P<GjyR<pAF{kLn6)NgBASwsZt%3oQo{{F(8_4h*NFUk^8
zEm*n!@v)PoztZ;xgueg2Zj;jbVCDCvQu4v>2Pfu!IrD9q)c02$TP^mN37dLMUjB7*
zs$2L~p8REr_GZ_9%v^l+()$I=O8Y}xUWade8glapf6UgdzjJn288A3hYW-lHr~et8
zV#0SUO7cufT9kHn*3z`Ivtr8*s%Yza?%sC(^18X7w`5<hsl0w_^3H7wV|PEBe{Wmu
zJijHXVzouR)nBhnFsS{0D0$YGv+}2=q*%)=mpptdv+{rdZ~Y2C!*brh^V7pJejj}7
zb^c7qtM?mcUD1AZ#%}X0n=k9kWPGNZ+IsG<HILyvlYUB7P+4U54j*B+nq_M{UwZXQ
zFaP{L;l8E&VYwMAT&r~^y)|DOvoUm9R%yuncq4Pk)$jY{FFpU>s=1=rf9vVUrRDbb
z)VggQPcJ`z{oU)l;Qeh+jg{DTNPV#U$N-8a(F7OHhSOmMC7h5H+NiBH%_BU{VB_;=
z8XIq|w~BZ!F3WRwQF6V^m5cZPT{(C`7bK(__B(WW(dKR&^B2p^vR>_V&JLH=-lJT*
z_FA6x(q*~%VIC9H(_Vhqw%(-Dfjg9Ux1)c~n&r#g@2=1ATxA?`Ic~ReWd8S%w;QT<
zeV$yfHUDAtH=kgSp0=;Pm%3$FX)QV}BD?3s?$5!G!+J$43f7lB^El-h_~@Xs)vUyD
zkH5FNJbne=Ha;&i3pOTl!2929#(7QWIT;oxxPgw<U|@)O+SRRk>1ET&>+$t#&2n$8
zD1Lr!di^7@{Fl3IR<=I=a({XPANRESX`wH7K7YSa`)rXD<H5wEYXb7G&r_Apx~P9V
zW%F_EvcGaq+rmRl?KRh&UYj-d@7#NHKX1M?b?x5xJ<CP+-{zh(d)3v=@s~DL+*W<e
zon;@k-cDe_`t-UL_uovi{ikeuW!q#o-SdGN4fAExi>FMkFNm8~RP<LwAYxH{(E=u;
z&z2uqY`(KFFr1rYzpDj2!F9@^bDqbw6@kuwe}4~8N?KI+@8|QR{?l8RXw_Z5RV;nU
z=aOlgNS?>EV%uBI`_}}oQ~lcX`R&WFRQ5KFGvEK%rn$C+gl#j8{l<N6lE@W5|GFJv
z)j~m25;wkhAZ;u1CUw$umEwvOOqVB@SnOv=wqEq)3sbP2MNr8X2fx5@T^Yy8$G;rB
zv;Xv$bN5ZtJU{)MczRau<du{5|M_fQ$$sko^<{5#j;pTctzffLXK1+0<+uN62;}_E
z@EwnmJbR@~O=^A=fQ})%wkGn_NzHv5KHQsg^H<r+>(9-8NKbMO+NQF<IKCykesjqC
zvomWC{+v16Jkvj}xb$7*(hq$bBuew1F1~C3U*$Aw`pnX|!oj9bubJ$vEiVq`<P6-K
zzCZlh%)Q@Ax;Hf`huZFEcr3nj!l~Bv1uNITc(%*=%Q<o3(Da*+rT%>SrIa+`()Z)q
zJF{xHa-8blIq{X7%F6@y)+qI{*$B@UW^nj-CAuwfj_wo#S#bsihnpHVj%)oeXL#Tg
z9-^~zl9T7eb;TapXD(H3zVgJV&T^iGe}3(}W$X0!Eq`8hCA>jpe^ji=qq&~DbhG!R
z)yl*_$^18!`AM$jnz_G)mhQ4OeY8&c{J$bwV?DpyPP<-iY|jtr_*3$B?%|T?<oT!T
z`LE_(-=ZHEdV0shUDaQTL}Pr9#(dA8wL5d~y&bk5Gqa~<>@6?ntzEIS>CDAf#=qq5
z7AW{>e-pD5WBcGy<7dP0w}4^#!4xCWEQW%}Ps<-H+Rw;v)N22H*LZG*u5#C-lU}@d
zp{+k<2G2~PJx@z7=;-I`SDKoq>^i8ZKY98dzFDbWAEpK7+&!{rsxteFkL#B-zRwSu
zbamDKZD+4G|NDP+a(VBCPhS?D+nD`+dH&1IZ~jHqoLF>Z$H%T8bvwV!t^AhNKYy{|
z`D0o|XR>5H?bl2_?3Z!%)#F`zJnPS7eZ1mgdvR5ll<kL&Wl=htB6Kz_`M<88z18F2
z!&PCMm#t!(t$I4Cw?3^=i?=`2=~iB?L~+Drci*dR-ukUf6?}H;;Ifv1;ZDQK??2Wa
z>+)PXr;K^?%{2`T4c&5+=g!sLeb=_$GcV5~@U>a_74fgTGM}}cUbW+HDPy>Gy@&q(
zsFgRmPM7~n6Xsse#K4elvA^E29yAKIG-MI$z4a}3^QK?T+MA(WxNym}jHAouNZu{n
zw)DmAz>BHh*<>oMmVT@H>Co1vC%0-tQ2d6zV@H;0lzz^*J7IeC7pAXJmoY53V^N#J
z$#DMA38C{vk;>jGb#FNt7*6>W{^j}w8rRogUEYrv@hM0LwUo|H-(SYbz~GP>lk<fG
zQouId1hrFaIQR`17#cQTgfub?HnA`S*!h@*Z!;~-j*(;Tt=W;SEdTE6iS}yK?Prc3
z-C<?sf2N(?{L{m@-kKfZFsV-u<JKnFAvbT_Hp0hNDonb-V@@FVA6OiSaGG3i5`5zS
zLk7s1ASDb84erL)pC4VUeXYIg{?_#PeA%D&PnXS|l3$^^b=Jpv@f_%CK?Vkmd_UR9
zNg~xAx82rWeivS+SM)!B^LFdE_ZZ*0WuLq!61jHeEwl7Rc6WEhB+s(kY6f@GIwwEf
zX*V{%-L&+{iLf&(CI*Y$UaFszx1@2s-qmT%nwuilZdxXH?b_1ySqY1~MeUqQp4<Oh
zC|%xvmG@!km7hN~ckK_A_r0B|e^Z+AflAs{c^mK7d`nJc`Gy~w_Ot5OGKO$==%T3u
zhj;v(rK<SK^s)Ee>h0Ub>~H#AJb17x>*oSH+sgK5HD8zgY7s4uOTD^EZke+6zva!}
zd?Iy3JQ=o&U~eg$zY4o*<Ach(Se=!H>*q-cEqfnV;WK&PPv@DFa@rr8B;T;m<(F9W
zkpJq=4>J3oN$vX(-JA7zz4ZLst9l-Lo36RWQJa2uk*4n1pd%bd<+`t)IeB`kwxVlT
zcGtIBV@JcIVsQ)>-T}|&Wli7g^}cS8uUK`{H2?YQ?_R&Vtd4i45wvIF@Ut*$<B`*+
zz3=|N=R3EpB%oJ#;VY9R&3Uyt&)&SAZMAip<@wz=J~!uOEnarddP~{Wjfbo+UC(;E
zEja7ex3tzd^^<bj(|BL4l$>3>C9}x5HEd__`xH^TBO;d~Yo*s;`0J}IdbMgxnTg~|
z!|!3*lfUyk_|$r3{o0Nt_FHW~pJ}`Dx66O^I=1WVyG-;TsfJ<R(Va(yHhT)1x1HX<
zeS1-M$_e{3;f#X+KD|#0%bUydGxoLW=Dk0XIxTe~{zZl@eLiph@pUGrIayiSSGw%C
zKX1L}M!(%Pv+k7Nxhuo|XN6CVx|sA#eu2$QQK<*&$4|;UeUg9bbdcY=`AkfAt1o~u
z8t5FPuBCtGdA$2JO=c1k&&%nLxK&thUS4~Ok0)@(`=8Zkx;R#@$;sa3wMD8kG4R#J
zVo{0q!xN^9U;fAN^t4{~Hs57l|300+|3p@!K7RJ5%`)qFpfgns?V9_OPR6UPT&5UW
z?vc67Y4@K)+9zcS5;rOpe^z^YGJD-Q+tniX1jQDgTU)c`_w)HFnu!)}oadPX%HUOw
z)n>&tusWxE9xTtKuKd%bx^EJX^QPG<_YW=YS|)sJRo3@g;`1gGs9^LKG8gonG4<YZ
zZ};vtyDLGC&oaJB*7oOF2rL(^_BKg_x}3pl$)9KQvffO%Hq+n#=v6!aNhvpEZW)(u
z)|n~xG<Ck#BbDy3KA8o_i{mbDexHA7M}WNKa-))j!%Vi7*DZAY^|!xZv}jJ<c+CE?
z-~US6FwV)frJoAsEVDNamCjkm2eqU@9hCM8Jigs+EBY=m>C+N{<1a6&{#JcE{mjg%
zQ)br%ZR?ryNI&WR`qt$4R}O6qFM7`6`!*yp_;dWSb0OOw%uJ6H`4c_q($~cOTE9Mc
z>G3Z(qoPwAc6XZH>sPQ@p$dcLV&0$LR7RP+=6-&6!L^K|d2<(Rvtu=%cj?&l=oQDm
zuspvj4o!FrAreo`d8Zr8)WzOajxR5TFTi`C6;{WMGzp=IToBHz>c5}0X2z9MR|^?d
zDDWKC_;M@7Xs3qIly*=LaON9Ot--+XAnU*hA%=#}Gcw*?x^`r3VI_~rr-$p%%3ds7
z?HT;YmJwI#ewEvQHNtS^)YXp}0vsjU0$*%BnNk#}qQQUksdatv!Y|@>A`A=*QuMCv
z1s`<LAY`=Lih-el9b2E&<@?(8FY2z(tKFM?hwZ7#yb1Nar?TvxPUGIj%%G*=Yy(<_
zximfKBcjq;2QSL+U9ZzDs{eDx7BXe9j;SqCB#VK8K|}h>MurEUEVo7^o!@qP+N8yI
zc%mo%_Kz&qFKpGg`|FQVuH}?PJ!f?0df2YemA6?EHA$pox1G%d?c1E)I%2DJG~2h7
zp7eetT)XjgXyEkpe~Y*No-`%TcHtV~Osh?Qjcx1ZZf3jjW93)n#ij4Ro+)D!IlJap
zb9(08#*oOhFTHLU#rxkEdGKmMz_lM!)f>7`{C|{ZG?Qyh^^xh7nEgu2tr0;{jNARL
ztTEgw`aPo9mCbc!;rYO->Z^XT=j{)8T>iBDm4=E+#KrABmzG&6o4oz`PU~0T>iM^P
zBbMkNGrQ8KxqWqEMXIamyR{eJ>s^1wT=skq<Nj+>pS~!+l6d=i&THoI-76et*{q$f
zW4vosT7@z1?~NOeotIfVtqzn=0_JhmH9kKLo{c;p8WX0obI$sMbz4_y8x_wt`!_{n
z_ok^Eernf#cq%4p>Ze-#pG)`W&mHSl#)iAvrT6Y~Po9^t#CNjXg%$p0uXn_r^1HF3
zHDCXNzL}@d>T7S;zu3RKPfJ%hR<qqK``?Pbt_dlip8MP%^XILdSig~@HzxP$d41D~
zN^Tn8BG#n&#jT6w)Z7#myyg9D&R0SggBI_fwSM7QsaFSGkEeLXEu46DNtUfz*2{It
zr#38k{o>quQ$4j;XH?xa%nE0!_5ARO7QQ@H%G~d|kpin|46b_V=lS@=58D0=;SGYS
z40_QAFRDU@N7zp7jSvzNTD5Qcy@ls8b4%{8{l4Pl#$BJjYW_-pGV}Gm%Wn7g%`&R*
zdbfM!Dc5zcmS??{yU-l#wDtAz`BNj02s*yCUX{A^ZPeBm{-TzBOK#cDvU{HYX|{Pl
zuhZfyNf~oq_0{@M))0SU$-uxC@9E+glFz;KNwraHN!a~k+9mauJzTfSt(En4%X%|G
zWpCa4;$QDK+M9fTm&MijYOUlq$64Rb8L;ZgEMXJmEj?eq`jx!#|CM@-Kd*OQ+_2BH
zYNK<gYst(-_H#@guoj4e=ip!Z=brz6VcEOzny6CK9d;L%7BVu#GzUW3SK*r1lRDS;
zuV>?zTa-ReZskEk<}cf;<t`nTeRp+k?0bz*6~E`de=(8qe0J{B##wvw=6^eW?#kb@
z+&-I9xx(jf=R1|;dwt>8$0AnW>+b%)^-ZZ;@mWUzYuZxHrzsaVwwCO6mVI;o<=gFb
z0h``<MSNXl_d_%0?yvGm|Cip2xR-de?#sq)kt(<Iy|?}f-@WQe*R`Mfd--1$PEXpi
z@A_@y>zX&`t=U>EmhC^c@6|nx|0hD$&igxQ+EMYn$G8JF&cIT~R<$|AaL%h{Vqi!=
zDIe<uZbhdqh?(zk@4^eVyQSgvH*?H%<2Hq?4m+x4pb)UV?A0PE)2_Igwxx&nfBmj^
zeO2P|hf(jW1OER@xpZ@)MEZ4|&Q}W~cQc8+o4@e!(Vk-)mPb#Ol~~r{<@)}6FIV`4
zr#pnst+SlJcyWDXh~=YM++A{$LSD4@x4Eu#Kd&41zChyBr(GX(?rTltT3<Ka&S%4k
zq>U#wRX61s{ajV_WTvUFjHXqU!t<uj4P7UpRr_<UN%tB*ec!5Sefr_40}KpL*F5+V
z?Q;~|G%RQ>(w%l?O;~VX;6$q;6~~n;Grc@~LZYH(Ey{LT9riX#+}8Z(bbr%#KYw<u
zzaO-=`P}Wy==C!<|6l&X{n*QcR<85s@2s5s?a9`F?bcm-r=I+qs+FA)dev^XSoMNM
zt6NUZs)^ZV<J`9A$=lkr={u(_a@xy1x85@Tb#d_JDRTc;vW0O6dU$j=IW>h^u9!LR
zpWg4Tb<80mFMmIt8nbm@)RX#Uhox5LxlH1FbG9fmhN0opWc#nv5+%~PReg@LGB5;~
zaedp!^^ccfN5D=o@1V`WpdBJrUtg{AdwVPE&Wedj%F3mG)<3rTb^RiDU;nP9^WVL@
z5g?f6Syy^x>wn!<bt&`9#5QVp9@>*RYxV_C<zrti{M;(y8T80z@7KyrR{nn?g4tr$
z8+aPIzx?%0S2TB;&c=Anb1zn@yOjiq&J?}-I?}ePc-A$Yzw<X;=6m^~lkJtsf}Knv
z3);&0!|$t2-^lQFrAcav>ng|1Rz}{NYIa9t*H*utIWacmu+GUCU)|(etM#%Y4?Cx>
zi(K^e-d)o#3#V}zxvxI|qPpa8qs7`Ar<-g=+3<C7!`B_1bY@=LwcNjsldf#d?y)M_
z5h>OkYAy6l<9*e8?mqrK>-NV*?C^9q@;@<aOXOzjW3RU!Kb{@>jkVotTW?kIGp$g$
zKXc~ggoS)P2<ii8y=ap8I&Wi~yV2TB%ido7w37MCh44!sS*2`C`YyenwSKRp=B<x$
z;z##?EANe-r@6F#<;(T|SG;(B?e&e1d!znD^zDnS^{Jd*br@|mN3+<gnaA%<T>3xe
zt;e|lw@+reGM7Uy?wh_#AiyzFEc=f!cSvT%8lg*NuMf{SJj*U?mDz?IX!E|IC<Qh^
z{rujM+bZ`rT<&gVVQ0$@*ne<U*w$G+HqPw*N3su2VztUVJI!`>{AA|v-JjdPd|ExV
zYweDj=lNzEKWH&96tsQ*Ee<J(y`CB|PMMf~Vp>tv!%t79$m})!?EC!g8vHG*n5kO#
zvLxH%!@r-n9xKbrpdi24n}NY0QfpN_tY5t@8!@WBLmJfRd4Br;R&~_QuG7)kpzV<R
zDjFCW80PeX$GE&2CW59N5*O{<w6-()@h6FlCx>IDp({6brrPNpySlM5$<Ag6mPH+`
tpB*Xc;6m0*fZLBYQn;oFko(YE{<Ei4yk2r^emQuA!qe5yWt~$(69C*O13mx%

literal 0
HcmV?d00001

diff --git a/docs/capture1.png b/docs/capture1.png
new file mode 100644
index 0000000000000000000000000000000000000000..72ea526a5f7972e10c55ed60c2f71f2e72c0035c
GIT binary patch
literal 22676
zcmeAS@N?(olHy`uVBq!ia0y~yVDn~RU^L-iV_;zD`N6`;z`(##?Bp53!NI{%!;#X#
zz`!6`;u=vBoS#-wo>-L1ke-*Ho2px!T$GxcSDcYw@}7CW9RmY{CP<NUQEFmIW`3SR
zNM>#-LvCu3o`Ru~LfXr+B0U8o10z!fLqjVAODiM8lz(@c7#I{7JY5_^D(1YoTU`@!
zb?5(&?+u*SJ(E*aT*6`y^HfV<%OS?D5Wy`jj+%coT>GcXc?BM<(z<Z%^hb>gNn2zZ
zgDxartYQptIjWqw&?%5};k6(Q6-VI%lemoq)r5_V_uCg4a?VsSKAB=R^St%?r`nsp
z-^#u=@AzKzGsfAvm!~YXd{-_ft;YZb4GC9NFUUeU3=AA9lT=|Gg%y!1FTcFJ-1v?i
zCgk8?u!#l6VKMDiQB_xG-zCq`;635?+q@4qQjAP@+DcrRXAM!KXFJWIoPps&Bx~>|
zg&&igA)<3RFBdREm<$aIj-3!<Xt-}WZ{ez~n@dc~=dAw?k!m<55y`~xVfC#et+)UB
zURq>&y4nOucG(0SMus1;e<#Y`>-m)N&LaMMWsv>NOCd!|%XTmS3o&9x$wVDSh7yVR
z$vkIepTD;A|C;T-<cj^af8Ty7he4EFumqWdP?G=JcoD>d3qB_`mNPI!UAXe-V~-xh
zy)SIQZrXh;ILdVU{;kJ<?pnXrV-m!q61yIdi$dT2lK(ncY^|pS*tIoREgm`@f|^*Y
z2=dYHX_L6;eJj5brRpmi{NM1TAXtCHx!%kjU={-dgF}FFBomC&By~~<#um5|v6+S8
zfx6BYh=UrunNJEaG|10b^*@`dz#O8iAuK@(9=`%tJf=Z}85kNCxFN?La!i8)3aZSp
zHwBa(z&wsD6>l&D!%?$bCzZXuCHkU{;f0Et&x{KX3d_pM8meHfUYoq~Z|av97aQNO
zH~2kx+;5*(Wc_{mxs$<rU=H_<-E`z_8T%%A1}npN`cO-H*62kl*_~#2pnoSGDkO2k
zT-p1FiEzXGf_jKvhJ=)_%N}y2m@|~5A(TmGPh<FCjWFrWY*X(UYU~a75!zyW4znJ3
zzhghtWCNpR51Ag6BaFCde!1Xdq#8p#=YhXROTXFL8Wc^t_wSyz)sCc1i+q>$y@$jj
zLxZ=|^jq<F?EdXoRrK}sN6#*Qk4yV)|4q!v3qSkK|I2+zPp|lW(W0iee>`lTf9~(&
zPW{}St3G`>BzymosB-;6`}zN$$rU~P@xCNFYSOyOqWR~{i}Qb~ISaoCU;6nU)NylN
zXJ6MT+?`cl{Nh7?{ZjigH`ZAHZmZdRH}<ZX{A$L7r>Fn!-tE0=-QRZ_t?%!xw6Fgc
z9BXalleazC_4j}O`60_*ovW8#WH8fa+uK!p-|tYJ?p;)#xl0aedd=sL3va)zo)~$5
z*FU$^jO}%w@BFrUTXOYx$(CJxw<Fi>&##QJpY>6;SL%ZM;eE!@`z!vw*DBxjM625W
z`||G>`_1+(=jBhyx^sb1%KY{7s@DO1aX)X$&N5gt-)Ncrl5qZd-RY-Qx1V0RzJJfk
z<?nv2bNl9f{e<+pYp1?{PA%Vgt4vOWYiaILVfkA|C)doozt1teea;1TdD+0Fr&V|E
zyQIGR+p0~+eP>yythxEytC?r*ZQbWHw||kZeRTDG`pr$dV~XNWT;?{e)=E87|N64m
zJMFhp`ThT;cSuk9W^wL%?ZI_RueU~&+SKo{J94=E$l)0s?hj1u>K`TY%!^}xbaCUX
zU3MMr35ENO#2zKe=+3p?_$uP;-1z9EtGAx3$nkEil+xR-vR&o+f&a^YzkjuU{kFee
zv+e#yt=r`pmGx?-lc?yUpeGMzmppIoE=l(3n-{;yXZ_Rgt5<X`ulAO|_TSa_Lhk*&
zLGh{ftAE~~yEc4Ia>?zp&QES^_dH$qd7;;xyq#<6udS-zAAVl`^-4*8oojD1{|8*#
z%f02}2IU8rFMT-dZ}7k3QFe9grFECf+h>+O`Ty<Zj?22?FV#=|OK#nD-@?)P(A~8=
z)~|TR_jKBmtSi#td!_D#*ZlnG_ICD)lPQx{rv069ZO=wCP*Hi|LuqDDM5)d8+p*>U
zwk}<}p8w+q*^fI)1o{tT)YM*4l54zp#ddYsZWkM?px{CUxyHl4cWxBmPyYDze)Ex2
z_OrU#|Chb5xUb@U$4<<1QgB&y$GU$9|L#(KSG>0V8Sm53vOw|8OJ-F~a_wAfWcv1%
zTo-3=SpSxg)*Jtq{Ruv|>zoVEe)rRIKPUcsJdt&m<*`K<_Ss)i-v49cyT9kzO%^YY
z{3fhkdQ9^s|K8=g?q^>amrR&+=x~Ya|J75T{h3|%_J5FgOy2$TtxgNKtgLucJUh1P
z^=<PQ)xU55Z~4!?e9inc?cX)#cMa-h9J;%9_xcsT%&sh`@BRH+?EBPjJ=@j4-hW`b
z_hxnVob|tLR{nQ)2+sdC{nK`hJume)e%k-5HtXe_&i4O;{K+4$JYRNhe#H;@_wvVr
z_WRFU*By1_@c$ilJ*^cI+#e05ed}W1m?i)A>z*TvYp>MDdGB4jZ|k#Fmggc>OMkBu
zyHmdQUhNynzvutkF1=#lX?k<gs;2O-kE81s<+g@?<@1~H%J-(|t=;XDeDX9^f&vqR
zJl2b-&b&Lx+UerOw3Vk+x1V0Q-uwKE*Q-8j{ramv_4n^v+muA2>h692%<#b8E^fNo
z%+jaZmuGDFocZ_V<7BVDIn&R@)lF98<DTvr6#7p-YR~!;ORKnNc$Cf*-X468`>OOE
zzjxZQE0@;a_+2z-{qLw%?_YjTa9qQ5__BP*k;RWq`W%D*s>{hPH?38ZlWl)}xFX8y
zhfsgt^?B?4qi^$EtC-=V_AY$7!lOi;JE!$zk1YPXrMB_=t@8?ZCwVJ+&EM%!{J(sf
z*}AypJ*Q^9)mwh9=FdDk9iHpua@E1v&v+;Nef#@MzWpub?XPP4GG9i`jh?tlyyJb+
zy0cra{GPM9`%towNMh%u8&|8hyu0?!$h<1>XN<7Hv~4kyV}JEr?q!~TCEu>f)wA7e
zYQ<p}-d=-~Pm5laWWUok-M{;atD5J!&t;)ImRvYhzjDI`y_u?o@h=1R?Gpqi^gV0i
zfAi}t-aGR*_r_NhXTQ#_D4Fx?@0!1D!NvDiecJl=+pXuhf4w#3*8VM%{P;qm_xFjf
zf)%~-^^X#3jx0_#Db+2gW$_C>sqO!@CU^hd@0HR2gSy<aw?5Cle$A{i^mB*%@1JUs
zkDmVdd|&4Ji@+<Uv;I$BSylT``qa8fLTX{xIs5wpXDkg;FTQs@`&{m?7yR<CnpBru
zEZ&_hSNnO#!#6*!?r-Ja?`h1wcgcO5jSl?ccbBYQq!sd|a^bo^7n5gQJg&rW?9uDL
zu9NOe(=NT+%lxI}+WW6ru5*m0_gzR;+kVHWvNYZ>cG{BsQvT4N>%_nQkru!5`p4<2
zzo)e{*Y4u`F1lsOM|Q#9?FTLNu13Uq)qXv;RH{E}?cd{nmp%&Wb^j|N@liTs->#6y
zf!(i_KlI$&zio%eW5v>S)giUhvuvzZdmGC6s;;-{_BNC|*cBn!E%-Qadz$Cj=biVa
z|GIPa^0Sitg^llIMMI}|8m{b4TDRMM|D5RyPu<;r^_YF!1zrEOSH869$=%+$BL3_A
z$D0g)o&5az>-@*NGyX68e@VaYZ*^_W!uFs=yMNSyO8vJ-C!1}_3=01$TfO*_{*;$7
ze^Pv91lQ^rom81z{(b5?XOrI>+fCNqzW?=6@c9>SKb@?4Veb~kuOs+<YFY1g_FnrJ
zS$Xx@{hv$Yqgj8Qy?wtU^!Vz(2}@W06YB4~ddX6_zb~{p_R4;{S-;#vAK#yS``V>K
za3v7Y(GDuw6y+MPURkkYSzUf!wD)mA{`*^>ZdDGG?XK8j4{jPC6+WNk@ZYiV9e0EG
zorm=`>o|qgOm0k@JNNA0rIWL??rqJ_+xmXy*ZZ%f_D|fF7E$AU{O7Lolb8L=`2TFq
zp8WG3v#iBW?!Mj^u{GBF<Af`(J}b)QUcY01Lv>w$-?NvdIa%@_KiJ>1?`i!#b)(7k
z|I1!obGNxAf4zNU#pU=%59{B4t@B%R%RT$?!TWKti|gj!vf&kH*wXTwd&#u>Uu@py
zgPOTpzs>m7-}{4o{rZ|-SMT4QQ}a98KW=&YRZ;HW)jK=4F00G#Q<j@oxZg<bUHCC4
z8&EmM{$BprrD+uctG_+(zrK6v-y?@N=c_$>zS)Q2-=V*(kS0MxW3BO~C3BxkGB-pa
zT11h1Q#=)RGcGWG{14i)J8)v(%(m{zB8DHl-^C$X8IBz_iDCHD_nTWUHE;Xv3Eh3H
z+K&=-jvR)EIn*889}UDH5?0AJGupTt?ibcO7DxSlCbgn!?a|5-pS{-}*;p-$%Py3Y
z4PL+1qQ7tD^{6@S$FF4To?D#!YOC1ui-upLx<9{|flayI($AoFuWZ9_Zm7E+C#7&Q
z>_>9?t4XTIKlibrwK>n2q-OS2AAhXObeErDuFK=2cdTza`DdI6-n0ManGH%1!(y10
z>6|TG{p+$0SF2NIai-3NzYAH^?&X!2a+dtpxIZO!cNyy*evwCsSDb8mLOa?wa(y%i
zQ<OWVb>whFYlXyW0sdssM~Q1dlCO?0?uHo3z`)QXf!4Zr*qwBC(t}HvW~uTXy1zjS
zqA)=M+>sJ+IWZT~20qa6XO>Q9Utivb9g7w@O`SS*(vk@i1X^2LO>g9HzWL{-EQ7;>
z9j?%>3`5(~>nSJK?EEY`Z$`}b9Y2m3?%h(jS0(e=8+nb5&!?9DesgsBmTx<+{(Hj*
zwr={GU%?BE?&>lyIQ;&U)%d-qhbJ{HZQHK8>nkF7XIQLVx1@jOf;wjQmG=)gddV9v
zJh*RN;6`zC0aIV;Pg+lZ+|pXTb=Q>IpR?ZeOjhasb!XzzyOpY&Zk`IMNZ4FwqvbRy
z<>l^}-!go2H_y9za_x5wH4|t5=V2=r?b$ZhNVdLkT9RIslYj2A%#-JmB6FWVvH0I8
zrdsiN6=O!+6t{cdC;cni7Lk8XRQ$!c1M(7~ObiSc^nBEWJwbgW`;S_YK@kxvdU|-y
z&NM#0T;2PXeaQ9R`Ac4_`2+?1U%1x#MC_`!+?Pazg%{75A#rr+xeIMZp40EIQxEf*
z{`LCgDN^<k-`~AmzjU>Dc16b7MA6+}J>Ex@&fgbyZGwubo80AJvnOZY>I|O0Q~&g>
zA3JTfMJ^DXEIifVlcwyB2bWheXLK#?PqX>FFE0Lu@6w~|9KM5w7eHO737@nggF-@<
ztXZSOD`#_Kc}wS7jrfzEcc)C5q7ogr@A~oU;->%DdN=N0zI8U=si@EuZ&#bW{>*5e
zS#@76O>A?_!j_EL=R`u|_GCYB{`FwBa8;$>obp_zjNMwZPxa?tT@*d-rM_AD>92(^
z6GNb#H$BEURd1F1!fL7iEf#!<*qnB!XmZ*;8`n(1ys0(+Hg5NiS6}AuuR6zW-JMUf
zcvan}#NUd28SWYvWBJC&)s64sqPxpO({wM2Ti*V1dZq0u@tlp~t5-Z|@te2y(>h;<
zw-0*mosL?Vt9~cR43Z)l7#bgyZgN@WHzVifCfCL8{nvhcd>p;z{f4P4t4n5F+NG{-
z{(S<U+?mj-s8#Da-e|S2UGuZq_v5TzF^+d<sUE#~+QdQZs9Vda(8TF`x6S)J=griG
zT^%`(ruePB7<*rC_3GcMTI;^M*#4OwqFYn9G;e>*s#E{xK1=Pn+<g1(y{()K8Iqm`
z;MB_y!&EaXUQ1Qgb>_^OT3T9{{{8)Jdu{cWX)hkk*4Nsz+V%2spKB*4FaPqgQN}Z<
zsAy7E+52U0-|ts$Kdn0bZ_MT19o}1O4>H`{wK6g2Y4mehqt;gKJ!Q?7K@S!A=GtGs
zvWuNhRK_ae^KZr6e?QsI?o6I|tgqw3`}_9soA-K|t-r+raRmdz+{WuCCrz0mq8qa#
zVCmAOr%s)kbT{vNMETuW>8hT8e|^8cWaD)$Lqo@n8#iu>(CO;$4^K>-c(3ZQ=+oy<
zEAM{Xr2Ny$cz=JJt7q%eNsoT53_q0l*7}X&%b<Ai&AU!0{<cj2y%ikS4e~qhzU!_t
zH=Ga3vAaKH)oJDnseb+Y`9<K{dz;<UHb-v1YZZHccj~MZqnC^KR+lbXaA11f>YD3j
zZw>vg*?w-*K6S}CSiC;o`O~JYj|;B4mO8tiy%KBAAG|%l)3Dj}_r{;Qc71TpJzsd@
z(rdrHA3n<c&5D{5p3B4#b?|PHC<6n-mcElIyH+qTFf6F8Fx|Aoy<aX)-Am=<)1u73
zyGnNjM6Ud@ul8w?Rq2}>hPiik1nPxz2gh7p7x~G@H+tLLD4Ucvvnjb(FR>rXczkNE
zR*jO2Xnacc)h6|?6CXdCY~&ul)H=*|^;G@V)!nDpU9PW<KK)Gpa{F^t?}|N>f`hM`
z-cpLR-3|^3P{>=UgDY~`{{9ym)J<leousn-@=Yh-`;ou&uD|m?_Uh`r>)EB9A8)f-
zP5u?k?BT<G;bvu5`P;O~o>v!p|F{n_f`Ng7?b%h6n70RCmi#geRPrpcEOos8;^Lmo
zRy)7S9o@R~po#9y$TgGv#j-m#2l<?WR-p_I&o4ey_GT!_>(SxVTPqc*WVj2O7}~CZ
zB%o$9^t7aKG937ybsaja#K3bx=mj4GLlXz5Fhq@nfU-9OgY01!&Xk)|_i8_=T_C`}
zSPWW!;H+GN?B#F`*Px6cc_57lrOn!8a(wUOkAjWw*c<!~y)84Z$T6D9bVay?#sA~F
zyLS}+@UDhd{cVYyCF~3gjxBd2A+F>(vHs+w7cXA0^=oTsg+xYbdUA1c21Z0gH2*c5
zeRlp`Mh2FyXcaGr;)IAg-)U!NS;s%@JXzY`?JslLTl%{9q_lJY8ilIkBDbH9tMSU7
zAN}_HJFxl!&*WRt3=9naKTuQwtzNxg;`hBv_RrP5HoN?4?v$nPi{2Jnl$@&yQ{R4X
z@r(;RwsWHwc73{4cB<sh(a5fyFF18y6}wL>dp`9<ns)HR#<RaZuHf*@jM}t0BJ8%M
z<+=I=uAKMwWHH!y&0Fx>{9mBE-}IAVbz$no@z<RnKZ>&Bn=a-y6_g{mx8K&CeDcZy
z6Tf*33=9X%<5a!5xVfiJoVd`k_}PyyDV~4ZU+wxhx#Vt(r`Id{Ev4)`y|>mn&Pqy3
z0#!~su1MYrP&;|a-FRMQ{CfR2%Pu8dKiVGp=9==}<g2y6q9UCqO_8Ydd7baKE>qpF
z_Srt2?8nV|`(ypr%+PziUR~3)Zo|8~x8uFT^{;)nz_fP8oE0q-{a+qno$n{>Agt5L
zeC7O}Xm9mpnpTBd<W9&gnQyz*<8Xv8C=c!6SnR>T!0_kz>J-nwz=@kSZ8E9&kT73J
zZQG(6RrS)~prA=Pu0P}C?>kKOeR_O*)<xaZU&N!i?=RViwUSzN?EV77Z{N3+U3QFI
z&9$}mYFe$`12y0Gr`5MN*oV|^bNhZScHQ-Vc~fQFzrO2}iJW}-in`4OTj$;2daU8T
z!73I8h6R5srs_<r@t-l}@8gC`$!BL3p7xzp`B`MC>yFS*KabzDtF=x45_gR&*ZN^t
zcl<ie-98g1I&aDNo;fwr`-|&2v%f`I{jXS~-yGG_vRF7deYMEt<;#Wq<iqnS&lbWf
zzHJNVGeoI}{B{2lo^mj;HjTdoJOY`#+INZps7zl_TQOH>;woXa=xsR{UtC;lTKDIN
z*lX*Y)K_w{%eK5bdFrg~l4kbesq?0-(pK7iDp5Q8UqbMnWp?2K+tWM?zZvm<DO+%S
zrRlEMx06dt_q!bZI&Ve$|4FM_&#%AocDi@y&;6J8^b}SV?2g?ixUeYnM(B6Twa`lN
z%ga=z2dyiz<t_ymD{o2t$|k#(t0ezI-jD6p3=9stl><R-pnp`V^IDg9ZI;opDB1Ar
zyYsC-pLu5$A6M#?6<m0KfBn9+>Zv*4`fzm~n?muDL(Vc*_3s{;1~P1sKYgJ7dVc(l
z=w;9MuUEZ&j%62U2B0DFs@^9)28M=jPfItcsH(bhi|d7CX0F_{X;aGf>UsL<#MFd8
z>)7_aND33TOtF8Svtj2OkA3IPykDFc7?ikk$&R{LQwySg^HqC1VaPD{ULRTY@9I;p
z>eqI)?=RYJV%r6paA<J6+NY7ZCyeu?Fatxww$H@Xj@7CqSA6c4el{rOo+@G-u~~lh
z`qkX_SH8)#>+F4Zg<Jmp#r4ZvSK_Eb4T_l<>n7UxYRA=j9o{USb?nhwE72GK7X01#
zOal~ShBJM9#XyC`+;C;@sxL1dmM(Ic6r(r&+WP3_+*7A|y}uo<w><cGpX}vhz0yyM
zwi+A_eLG9_{FN=Kw^tr@PRnQ(-&{0v@%l@$tLI%kHT}@lqp8<l?%%gI_4D+Xhd)nJ
zwTU~qa^)+j+=*+Vw|;3fytSifIoEuSz@y?fqqaWqtbLO4x0o?Qx4TU@Vx4^Ni)Gxk
z<}WwzoW06?_rIlUzxQ3)V{dQ_T5UNTH}H*|_4wY$A02$vPMdG)cotdB)xGj%E~ps&
zm$D}AZSCH$=@R>67Z@jcH9UBvd2j1%U$xMFy@&P8;8L7HVn+Dog01Y!FTcF*(y4Ot
z=@r)4#qOWay?S!3TIBjOw#!>m(l>h9MXpgi=Vhn~>rXPwb#L$2VZ5-@aFfc8Em<j@
zM_zzh_Y4dS5>X%th|vrT211}IjQ^`+cSGH4py0j0nt_2sNyP`ECcyzTDO18DtU4hz
z{M)*Q?~P72JyAF-P$x*&&FgOkXjTYf1Ot)tA(^Kx$$alS?#{AHp23Q9`|Z0AHl9r3
z3h=)mXmfOTS#k3R^EII9N02Alz%y<vf<@^ND-0C&t9dV4yja?1-HH_fsi{j<G*whw
z5)%`7zunF;t1n|`5YWh*r~zqbHzfWvjWo)BSJSGlcHLIbuFyw6H%8xcmgR4DuQ!#c
zckQY_YObx#+iJfX90d-`1FU7WF5hEfVA#UHUaaE$i4y^7X=$rpm-lvEZRouG%Int*
z&R6CI6Mpf^Ecs$6EcNEKlxTG!bKvDIitB^=*00?Zwc7jU^z|>#S$LilF8P1V{?&<>
zMmJBLf0gp?byY^p9F>!o%6ERVl(9;;T|GJaeV|W9+q`pY16(U}ty8W3J<>TE^QA@X
z>rQ3w-JiWuHcwso;XvBoijbBipeB^g>bG-bp4(qo#F8Ip%CJ_@>Y4bh>TOQd(_>Hm
zX_(8q7}j(sAgA_>6k=a7zjFIDKcC=|M;W#gtUc_jvnIA(tvU5--Ho;GRmWHT{<3vb
zn~J94ry1Ao&xyJ0H|N)x+Q@6i&X@jtTa|RQB(mPtbLGe8()aiNMeVBE*w!wVarB9c
zYEO+9yWWqIR}8a+t-S1OtF}#ATXu~BG({Tqplr7!xHLYu$7`C1sHmp4ws$i-|2NIV
zB<)k-MM37Os;Vc$ro8|9@oOmdx^GYCscG6%1adFWTy(0toVUh9zNXYk|9ofgl^@b3
z$NtT`mS?4r9lCJa`de$xv)@_rvi9dZ>j(A=rFNz)tqb_M=->AZ-HaU2kh>+?3CcGA
zKWIfN{hVi@`TIEI(&MvCA5WWY^7)yjS9ihcC!hQG)>iR5U9ESicNLSlmi|qxtUY$w
zi4%%ZTYhgjwMO-qQu^#V%dPHTL+rmLiHgp-aB_2K*UQVnoXh*Qx9@qjW0LYI{kQAB
ztX8_caz29;_ugNQYiE=@z7|`5S#t9A?J5im3<?=n)&(<x>VW5$)hD~Uy58E9+U?da
zxAx=X<J%+dCu#?Oe_^n+)ITimouaJGjMZPZgv1Ko4CRZC{LDW4k?Gf+O}k9JyEaeH
zOjz6~7kJ%f$?|7z8K+<IT18!TkzRi7#k&0dt5^RDiC(W>B=^sIm6+ZBpzZN`p-=z&
zeKtDw^5EMtNS`u1cHhxTzi+1kTwOjf>OBx&c=+pquF6$W+ap#N&)!v0c=EA#KLZ29
z+jDQruB-ZaEC7|x{PUkp_ww`%OiNqV)z!77{(oKnSFN?GRzIF~bG^^H_(gMa>8B@}
zwN(o4lcvm>vt-`g-I>3Czd!M?=wzz>^%CWGLAmh;4%_pxtX3vT{&@fEEqD3pFMqyo
zO}Q<}Z(F#c;B0&dY%Rcp3kPT2=g<6n-aO-+Y`007OH1AVe?Mov4c#=i{Dg?ux?MB(
zoSDeQU-;sWWq7eZJHz~ztQ(6&e=YpHeb!H(buwNYCGDV+#5vpMuUK#qBLl-0`Sp`k
zH8nM(b`&i1^7fuOb*k#_y(=r%uUZ@Zd5y}-`2YWAnS6^<Ra4V2GYb>zcK!JAqn3`&
zrRe-Ui~j7fxo>qpd)mDE(w&#??ef20U2^^?_qOUwN7v{Tov1r^GokqA#G1J?@4Fvf
zwB%5cXW`!~+7GvUll?Z~1mgqi(++3nSccjD?!Pqu-&c>X%Ql?8@^u%pmc*|GZ1=xK
zZ#8(w19B(>1H-M>@Xy|rlQmYppYPtE^?jbL(d@Ik-d=n8>z?jqmC2s+Z||-aW@X*?
zCE9D=GST&ROCR3kHckFmHaBZo){7N0cfEd=_)1JmGi^hfy;*#?c-@M(mVA*_cUOwr
z-CG>*+a2_CUGA;qd26bl@rF-X+P+Nh-@djdsoBR9m$5V5ioJUO>zTL8roV0#N}1=)
zIo3OS&HsDfxALu>xlVd|j%whgNCRya1_ngqljJUK)rVD)ry@7R*h^jX75|lYDZSYI
z>YG2)x81W2DwoZ<(PH^a>+Z%^k!x!-V`5{<=KgzqJIbtnrk#y`<e|q?=geBQ?xNVq
zU7_Cc5{rAa%U6Bq6bP-ExHgn~-WB)0Yx~_8qE0Vd-&ebA{VO^3j5&vwa&OZwvDmND
z@E6)o6Ugxip7v}<xsBbT!}BiWn0Zf{<Co5DTATiIcg${k$<^W2@$0X?{V{X({#&e8
zlaF!xe9GN^?riX$Z1dSZtK?!2{fCZP85pcz_ArazZ~5i*okE_IPOs$N<<|dfE_lpt
z-C17n0NvD@O%cv>rX~f!7aUmi^T|gtEhtV-@hr%^dP1o4B6LBC!4{ANH2N75R6t$T
zf8o2!K)ntI28IL&=n$vJ42YTo4WPvqFC^5w6i%;u6U+FXxwS%KE7CYB)@pp}ua642
z)=nVzdr?+Gz(x!>O6(a*gz~oUKA1fDq{xDK3zRFm%HKX?dzc>q8s=bNU@+i;wlJQY
zgSaxmq0V=ji@UpdMNDAe!c(WbJcB$uIu0E=B=u(d%^c8(szSgWB~YaRQh)eU_NJ6;
zcYbpD`>d;usd+Rb?$(aDNhZbL_@~_Xd}>$iw@1NIzwcy$s(c0ph6ZmbaK-(jJ)C<_
zdP<6hvGL}sUw6A5%{p)-vsAVA49iz(3y;5Sd_livaPodD?d{sTqj5#%tqI|p$FGM)
zuD!bS&FSkU>2pL3E4Iz6`y4Df$5MOt*;SY4?_Yd8Z<XJfh+lfw?b@B6uSqX0dTraq
zdG^BPouaEW*5@wwIUYY}>5T4q7Z%x-r(~ALb>?g{5|z8LM!vsm!jxsI*4?RF=T3h7
zhdpY_gR`sI4s5oF{r=$euP>*AZl223viqj~H|?FnGxj$*(DB(jdE0%|f}1Z{RDzP|
z{fenNnmRfmZf<SQ&dhv#d9vzP`Bkrv`Clp(R?}Sh|H3udCwf=Ev1WF4b_V+R^gIem
z&v2gkgr_su<KBCd{0ei|<NR0e?%tJsaI&|F*_LM;y6#pjDPOb8KVCcAW0H_^f9Bt_
zCr#fTS$Y1>^wiuxF}Q|z8^e73LJpl+Uh<&He>vX*&T0F;zv`UN8+L9{;4Yh0)0eF)
z&-nGYU*q#5A2m?aF)%Rv=nX$PY0)C3)YR0>4-XF7srux&{`B&DwQR`}m786k_S)^U
zJKp$w%Zq=ezmgX^uhI(mwr>BcoS02jEvA7ti&}*i<yr-YRDN97$p5l=z2A$cmW5qX
z_07j_+`oLwmpyf@)|GFev%mgqm~FCG|9&z1pMx^8t53(ozqoMkanTBw`n&)6r<U;s
zw}h9gNl1a4Mz?(5dQAG&nh9DrbgTWkyyvf3IBWS|YDal@xZ3~yxPPVA@7%ZhGIn$<
z*>GA!($b~7Y<}3xtuFCTaxUvH|F&Y@tK)HN7BvZ5Rc`Y#tgX^|_@(gawCghAMJ_*=
z-kn+(nzH+gu!D2oo#|h8_y5W~DSz$7zxx0FYvU{T?yLG2nXAiPavl_1j}xUpMZ*7&
zFMr=Aq1NY~-!%)R)<5ge-|>D;nBUFoR)24<-esLOJuGeS>P5?LS!{JHudQCQ?%nhi
zJMIa8{SY6t^~2ApyZ#of)cRjOYr3Hyf8KU&Go_GqT)W?FJ#KmaWSr!x4v&4$_<p!v
zO|SY~|8If*?|Tc|<?3C6o$W8m-?OavC{nqj<fE%Q$oUMQQRGuhOO`CrFg6Ybd0>D2
z{^M0suL;T4e0F{M|7Yyd()Y_ItNXva%xq~jp*SGE_Uly8K=R}IDtGq#HGxyFO<E?M
zxh2i>QOof!-&ehgeQqDKM6htWrcvdVch%dMPs{zi_T8I{A<Ce^<P|lmmrMe8w{M9X
zIwiZiTv;8gdb_gSC-EWI`nxH8Ar&3Y&(H7NQ`gI|MW^J9{+ITz*R{XjTRrtwcFVK9
zlUJp#Uw@f@zvzp3kS+oPhgzKev5Au=Eo$W!U$tdRNMvN>rrU4jUaupn_CN0sbake4
zRr1yBUk_{fwURTY#QjL;W-l>Z-7T|eezDn&m@<|4^k?5MZqQh{Ators{;QY8+S>N>
zTUXXI%t{J;^>uRT)r)6O-I=yt%QITO)<<~x-}QMi;){Fr4flaMYAe>S%-jxI#|9ZL
zJ~G?Iv-ZDDRmkq|ZzkT%xt6=#@A~(=nQAkAer3Fmo2#MmVc~7DrM1t??w0xLAN2^z
zn5FhVWtp2*|BDCdPsOkD$(jb2-?j0%>!f;==h~a&&tAVe#J|<{xT5!Z>#v87SASWZ
z8K`xCt8ew0$))G_JnY)^<-(Pt_peXblk?Uh=Bcwhqse-&RCT{scN$)Q{eMiwgDZ6Y
z`+KWi@0uHQZ)2B3Ip5n>%`J=!47Wlbn}U|YU9j6D6?ti~JO6rN)k#l^c5bP=YZbd<
zO-R-KeMOdYt;*iaFw486F@1Hb=DMuwI+e?o>E8CUt+=Z5_I2@Mxw<EndnaT}+`KC2
z+Mn90kM?4jf5j*LGRrw6Q#<ut#Md=p`$X31>FxIW|20>4_I@AvdDC?se)RJ54ZWVx
zopN{8QvRNc$EI3`{&4Bg+IQmGDpvnj%I)Usg&MAD-Q45D-}|d~+TO~T(}#U_6@2R`
z`*;0s)hqp&5=e4pu<C>LP)lwI&pMmtIqCAtoQ2EY>(oxq{;r<<HS7KBt+x&bX}D#H
zzwntMrm&*|+o<?uruVrIORWCR(wL~SbIz^>*<Uj5Mb5ob)&J<$orGD_-sps#RPQ!D
z5~->76jaoM>|XHs#fOQi3|8iD(^#g5_3BKNh=ocyzXnNw)PS&?(<T;%2lZRCr-OK)
zG58edcykN1iNMn_QI(;gFL4perZ=bViGHvP=x7h*#yQUlZ6B<Z=LZcFKnzt_LDWh|
zW7x2V!*^x}^@nA<rESb+`Y^uYv}#&jaXl|j;9pxPG_M`rz_N>(p+Uh3*0g1Nay`Yf
zq@<+zxTvV;sx@n-sI<1UXz1vyIaoLQ>@(2tHq%jEAy}hGXTO?v+S$45KOA|o>-bUi
zzL!gTU#of=r~h~8v|YdEcDlaZ(yiy$eoHS0yMIT@mHD5pT>i4nr<t39;ey?ss0X&n
z%FZ)q&Ro0hzNuCivzp&(?U%`FEAAg~1a;~!9rwxDus%EF%~4(#-^*=#ye#)^JC(Io
zFExJOGV8}uVcfExx!>AGFWh^2-4xeJpiv!a435tdUy2xXH{G>EdEKQs>G!VR_nUSy
z&3g6Z=yzc;mwOMK05=XE2v0tFCFj%Ow`JF_X08NP8MB^;Zd$ToLx7~D<SgU#K7apd
zSL#=+leYJ~?mJ`2l7G(8<r8*?+!kM=six*;XlU5wJ$E5*>ep}WeV6>_&s&?adhfwo
z2j5YrO`ti=Zq~;$zpH8!&8Ld(mU`QB(bs?fB6Z_`D?=}{YuQ<a-8H_xa?Qy$P+RRm
z&}`5Mjl=H`1g3i9bwZS<uerjn6@TTwTv_G6N1L8<sk+=QS+?TSqgZD7OYHG;FPtuZ
zB!Xi?IDY@RFgBL;NE5%?+Agzeq;9L5@^h=%-R}#`-q|l*b>NFY?$H&X?*IOx-FHh_
z85kJ$ea1fy@Qcl9eO-X|v)Bu}j=nBAcIC{<7OX=7O}Yez0<QQo%$swuI#PSf+m`6w
z{UYL}Z%w62zIn%PtLW$II=|}6Y38b*|FT6yXY_#zg0{;QQhGw5HrBq+R*_1f>OR|Y
zZZ5jG*ggBtkB_?7%5R*yVr%7-d3W;E+0`EG{Li#(bwk5l%BCK^c4&#!vI^hYbqn&&
zPI~kvRVrhmh*b>#ni<Pim3D8n+TQwp&itswyZ70Kg@5-BiFw~y^+SJU)Q>-2ck6bB
zO#N?t_O!%u_U*Str3JOZTVuc7dOYWO%6gtqmnHuC!VP8|FYozI|Gq0~@BeQb)_Hqf
z-SO1D<m;!YQ6^I+#!VLiPxAcW1utaZB0t}*)Q1WK1sei7+iZ6@*_ZqaGoF8Ps<ZCe
z9q$4TE^Id4FMsLT{QL`Zti>|lba4Fr_xH2u?bRvP?<Z*L#5~;EK2up#?$L#x#l6>q
z7#`%uo=Mx;pL@A0{%ug=)Q}(T&%eHFUZ4GBg~m$(ZCKHoVIQaN9TXI_rQo5{)M?YS
zw6(p<cHd3DTbey@s>;{b_v0rYi(7r<2+Pr9$4(_})UdOQYi)I{dfgj7W&ZT%sq5LZ
zo}JnF>Sq0ve_z(SuQG3qUAFGJY{0<_?501`b!F}!T|;=Jz+5z5WaW=dE~>4bMIJ%n
zk-K6hEN*%NDixcY|7LE@s$Vbbd+ExS=pGYB28IuFi5V=o_kGu^_f^lnO_ZvAYtbuh
z?)UhZ@3s2(zoXi(`CRYy-nME_;MWU*#--QK9=@U*5_BfvY+cU2b$WjSZWqgJ`m!rn
zzh;m7{#jy6KgHhOa@;2J`x)tV8s7ZAv43iLPoBQkcUVW4w`9vF{<zEMPMwVUa{2Yt
zq|S>Uc7A`^_9N!+%k$S9%6ac4+{$5KV3>b3zJJL!lkM{0T$h#N*)M0i$8U<qq|;9?
z{VIK3vT#$-%i7<ko|e42u`&79j>5$;>%{h{#_di!X>6K%vn=c51j$<kZ;We0!!;cr
z%jm^!s9kvYr)tpsHs2W(jQW3F$&{ggZTr{x-`8Ec6`z0UzL<-*>dvaC3wLkbrf@+P
zJX*}aaN*_*&_bv86*VsHwhM3OOjEI(drmCt*SSmOJIm^g!q$J=7q{BG=f2*BnFgW`
z1s_G;-#V){d6oP05Bs4NBF~xCnLBPZUw-)|dy&heCq=KC)?Hj&3F%^H7dM0FQdQ^c
zToXuNI%5iKsaC_e#fROeF}%1V5h)ZCWxB~FsRUN(uLVhfYd_G~IVWhp!~d)6VnIa*
zNMHhVFdbZifO_Z(ZqpbTtlBz-PHfVy4nOeQK~c_47jqRBv~>VoqP4Wn2IuGiuGYg@
zlyze*w;>x~SXZdO;F$L)cK1%lAJSo<f|r4Tf#)!Ef`6wm#3vFH;wP(Kym*mUE<7Y;
z#imU`lSG7s7H!zDf$ev$*=*3*J%`A4k0}tv2R8hfr8CpCeBUAA$*<+a=UXhBZnkc^
z%Go)8nN`2-*_1bb?~f_h?6%(m&A2l#Fg%!a>5j>EpGHs%p^mZ^f=X-Ji<ft}LZ@7R
zr+xXOc)F$=dLtq2m03#QeCthHtk=7kMbG5o&$-s`?&{%ndD7gYn{J&uS@@4x*XzTx
zQ051oM;_d)`gPVnwBXsA9JAGa_5b?hcCS=BILpTId;XureRK3Cul=*e@7ca}?Z=L?
zZae$|GX7ulsBCwt(M+i+w^%%jL3@K9*sh<X+S=NB>coi)b1aK%sx~e8=UjUChv%-m
zLn^9Y<!|k3zO(e!J_TP;<Dj!MFy<9cuEu1MNlT8LNRFy6E;_r<;_J-co7QYao==Zn
z5&itr?XO3=UT*qVH7V#Z`|Epq|86b$n#9Ymd!g%Or<cUfDSR;>US44|IVdT#e8Qgl
znfE^Ab@j=w+Ff3Hy-9s~M$U>9PniXE&+bN98TwxAT>RDHox<NYD_y0Id4c*3971P%
zMG{3g!E5RWv=Gb_b~<rhG@GlrDknJh^nvy-2gB9Be41n7+PmK|`OW*xx67J0g^6bU
zUUl|Y%>mz8_on-&Gu9W#RQPqji(hOnX0>D$Q|kL)tIr)%UF|+2<m*kTyVm79<9_cB
zEt0vq+qx*l*DrYf2Z!%cao|aIhuJ&szGFKYJgFrRRQ%>X4&CJP$u=?QTR*c`ziIX{
zUGvOmXM?ARJq$bfT)z7Em-`&p=GT{rCuXi&w>QF-RlVd>&eWt|UqTMOe0)lGz2&+m
z9iO~+YyVy4asM~xg3w27cNWe6_v3!Z)W6%x;!WOYBTc!xya%<U4y>?0JMBtI(2_Fg
z#l8#-3^mUwTUT~L>E#uvyI<dK+_fuy(W5H=D~IbnuO50H{_5N5*%^yx?!q$n9=Qf-
z?)?In;Qh$8b2hCn{k1u~wET?T+A~$*uD!2ZVn0uqH%oe<?rQTF8KDllIO9LQFJ)k8
zD7zeD)Zzk;=?|LR6DCbsbmokYsHkY>|9^kwUWZ0`mwY%YKK1|e@~PMM&i0#Uv(%se
z$qR>bj<sK}hQGSGcXr?Zm$INyhR`VQS$Rt?&Qk3Zmb-j^waUqbP0s97^QVRsdCacg
z=lEO6E#pl`hniHT?_I5%=d4q>xWn)2*gx}VZMP`-H|KPFIHyDQoc{$A-HyaAJMrY=
zuJ?E6MQwY#eb?sW=D&5B|HtmHeV8|M-u`IsZF4ze+!+}d8u+eVx)SwOypo-P!Qpnr
zUI}+EuP!mYm=%{U1*N8@p3M7hqke9e>3Oe7zrMa-@0q+lG%2YmDLFZHV}yvj{Q5(O
zl=gl-rhV%C>Cb<8vPz-D1;1jpW1X{~pI!Z*!DRKTGm3jRzB2v$VITWcLlZA)uG6V6
zO})?W-xZUYe|PzN+g<MBXU~C3(UK&!$uAeRcs_o)!{#kJ14Bdk9;wJjzKfRZtCzD~
zb+`K4i5#=n+oG4huHNo5*+;E*OZj>~5s@F4@>~06t=yd_w=8erq$IZNZ^@raOCPr1
zn)mp_s&z}R&#ij+*!I`eEgDnb-&$t-?BuTWdj+nMRT-}yz0dZD+g2u%c29o6-^eN7
z=H4#7ZMs)P*>hp$tUr@yO1-pM)I5Kx_sPp!9$j5-VpF{^P3PXa;Kp})HHWPhGcYjZ
z2GyUP^s95ivD=b~p!FGMalJY(FD`Ds-YMi+v@<4Zf8JcZkT9*i`SCmF_{mzA`AD1R
zO*wt_kVyE}>}fW^m#5_}7vrC~a5}CEUwr<QojZ3C7#&#L{aK&kmSe?y>8~G@&%bVU
z>7Elhb<?x=m%IOO^!Wd|)%EZ^)HMM71%JJx-^;G7tWW5H*1rwkl4n7eh{=9yN!uJb
z=}F19q+L4l$P4PWwl59nxdLhv@H!NHw9$2Wn;hDYyb8ddd(ZaP5zr)nRP!y?7i2l|
zgiR+(u*_OHv-XJEf*p1|4X+Pxz(pN6{MB(23&VxNS-+EcUz~~h+-`84y-D;s`vXZN
z9BF*Lorq?`4`-f(XI0K$XS~kdB#WdSWE9kh&Ckw-S0okPef&uH_?Jt^zD`;)b94QI
zBlE(qz1=K6|I)4JVZS$9Gcd5oZr}YdT+K)ApBCGaw(D%ySqlvB*)yEqVb?J2YMgqE
zyu;RG?`4Iqw+mKoJy7lD)@EdE938tqJ7f);&z!LEOUHcz_BS?P$$y}*w14J>g!t<#
zBKX&qTy9}Ed)5EnVy)5b>sH@OU;fX3e{S*ORqyTk>XX`7geD725^-vBnds|ygsVd-
z_3|wRjT1^<E8SOKxfZ0A5Y4@1YnLmF$*&a(VoSL+b}tID;I&csEmW~$Q4Z&%4IW}?
zPC{yGGoSYhc&HVtOHRAP{r#`i^Xjak=|3l0&%bB=d2e^$n_mw8TWxPYFWho%g68S1
z%F5Ax)4kWtdB3IjrwDImZCRCz{od*oE=zCD&j_ixYHEGU_tLQ@qn+meLvv*r&qXUf
zsyl1<;#y;*eQ?B6R;6Dr?>gI`*!uJRE2*eV+qG($$=}kew00%UOWNsg{j_A~l=n6Z
zYo+Zk-Dlfkb^qupoBqvvKK1Eb5x)5J^{ppms}EFqxliv43aRn`TX!z1w%W#j-Jf8q
zUD*@oy_I|WIR8~ZcYE-bcL9Ad>ugfr%~*cFjBE0gB_CIBdRiiSB6deqa#GJWor0AT
zd&LVwAFdI-9P+Ors8Hb9YX;uZC6C@rJ$0fzQ|mdCn1h|p(Z?U>oc3_t_}@VA=LV5a
ziH1*@4czQ>j#vL@6qbCVydcdpEp6GZl9!7f9&V4nATevp%LRG1zg9$_x68V;%vpCD
z$5mNj@mG)2qcguhsmr?jBI@W?&ucqoYHxWP(78n8Z_Y8LvobPkmhRu_+&OV#*XtkL
ze_#95@7?*s^ltn`bq(8P%B-<p4me*AjVy0ZteyJdub^n?zr!p>>zBNElK4ut#O3-I
zxn)l@)<3NN6ng8I`K({<eq2)-{nv%r%w4kLgoo>PuWL)LaP<CoUbNR#W8W{Ez`(Aq
zuAq|52dm8Azgc4Z`pa`^sZ}o<W@>fhuD*8gwaLQpNlMAquV==Zzuu{tT(bK6SLZ_K
zuaB-U7M**sIIZ{A>u~AY8HZLszG7(N9Q?jF^vv7fEsxn3Gcr$~@UZ&gn?}a){!{D;
zGChn8S<Qc06MAYGOjl=UF&&tywjj+jENog(P|%fqwZFNuX6)E>N%a1bjk8QLmBPcS
z&T=2IUG#B(-rBYLJr{25yj`-$MeEF+HU0OZ7QD^#<m`X-GVx;6-|6wMTF>)o#83LY
z+jQ2)lRN_F7#PHFdb&7<tbV>lDQAY8{j`vMa{b=3ugP7?<>v|N?ka7+w=VI!>+927
z+e>_({{PRH5_k0F!Gm|F?*5S<_3YI`#lL&?j%wI0dip-^V(;SRS#SEY|9#v3<OrYc
z3<Dp3eV%I<(&vS=GvrSS+w|P`Z`KhN-9NW9cYc4_eZKsZ<oqRKyeh|i&u4w@JUq+Z
zCQ8uu-DO!<ZPU+tO)R~QwkF-OslB>wJ=>ODYsyoZS3TUD!8~VclH{C^8G=*K$0^D?
zgg!aE=|+15!_N&O{l|ls{s_8}^5k&PiI$@i_`N^gt3Qxp_en5dv&*gzZJzhct*>lK
z+`wjkA>8?wy0+$-#dFqg`xUFU==I%K5%tr<^lVlL#b35Ay>|BC?yY4{4cCiDuilzz
z|Kgcmxt7zUlHQor_Sd&>|8o7o=Izh9c7IO%Ym(DD?G5**U&<^7`HvE8uYBzYtJx7D
zUsvW+&L_8|MeFsd<xN*7f4Md9+poV<E^f`Kv)KCX{_H8Yw{^e!v@b|iVPRHrv~+9w
zo2&DbJY8>|I%TnD@p3PbtBZCf^~qmZ_h<9wXEm$8+*y`&Q}0hwoB)T!d)Ec;J~Xm2
z6e#X^ZWA!~yKcyjL);qfVhqXq@(#MDtx<oF)){ioAaYYmr&am8HFCC9S1k75p1OS5
z#IIAYn8fWadm9#YOK+=B)-w6l>yvL!P2Vv`dD+54+(lDsk0n%<ERhn~F(v$s?NXPW
z=Pq+~_vqDsbD!|!WaJY6?^~|^b-s0Cp~2sj2>Hse^Vhcpt#{3~UGJ>h#&;q2xBb;U
z|HZPt@9{5r@vYtD$FEbbxq=EVgwOhSC)(;t=A30VwUe8&|73eERrg=1pb{haRr}gy
zkMH{@7QUOQdNW(?)iNn5eZkoISEmRjMtyp<`&PKp)FuCe`%7Q0+o;`j#Xu({HN7jO
z<Q*$_NQlg;4Ij9xtQo{l9IU>`_alyVg`UT&tDD7tGSn!_Pjgy)B<V!E>O}r-1^H<~
zKi5?5<JrdWDbe$dz0M(RdGRm3DNasJY<w~+)~wOVy}9XV!M>#QzDv{2hyI!#udy;S
zS=P47=XUv<D}8e_tqLEt%rehi)BOMUOpuC=#>;PgQmxbzZFARNwy%Hc{Mm9#UkJR{
z<U8sTyV@!I-cRiyo0(BxJZI&-u?~5=xPH|_Tdu7||I~ign7HRYE8TKw17ob{^{1A(
zXA8B`FUw!mT(_EyFI)S)U0d~K5#JfbPIv!(*yQ%_g!21;J=?237Ix{J3HD1oTCvJ*
zv&y#T_rGpk@13jFR(4t6^!HzN(J$X7OYMKN<oXq%om_XhR^4~t)v6PfS;wZBCn`4O
zs)5miphtT-uC$(#_xrJciDAXsQ~Vp*3gnIlHyFmJpW7_YSfI+eBXZJ&2@5VRcGosH
z57*Sx)Y8&2np1vl_WIXvGyh+Bep*b^{{NPwB&n5&hugY(dqWqy^_E!u-(nZJ$06VU
zcYE62pH*9*e%_vY_4?B7ujc*hw7VW}x3{_K&h;IyT3*DxDvY+R-I_Z2)A_%fLL|GV
zXIFnt>6I`IDSuwKu)k~<uUp*bJqx$nt*SH6yR^ja?v=gO<)!cM`P$E(vuxYn_La3;
zSKEEs`s=;0sr~-#|NcBbx9a`(8>=?HdtJVK-QCTOuRr}g`+WA}Ux`(}AA5TJdZKCj
z=TDVo?U~8nb9ecfWiE_XKKD;)*Vc9Ymg}6WxtZ!6A1Hl@W6@iGYWlaLGJ}Ar-zEEN
zezMMaK9|>sX^yyv$Pr`EnJl00m2ddJVOhg0)kYI9t=s$a=U-bJzy8641m3EQ2DzFA
z^F>6K@JgG%DsXH2*c2)Ms`sb*)#OXnvusw(U8H(aaCup*wbsQzL)-A$e^%c0e=@mS
zJ-PO-TYhZM-=<$;XM#^v$MH`*AGK)dY~e3I=2yMCk<Pv4_KNVJ!+TOy7ry%95pQ~b
zpG&d%n``gxKHd6l?^3Vqi~jv3pFSFVpY!zB>-g!bc9d_jy7u|+B*E+D*H2uxyY}Tt
z%-PDyZQp+GtU9v%N8PMnA4N)IjH8;5uMK#rzSCk|z0>>r*!3^}DovTc%jb3T`>QLC
zTWKAdxZ?M5+eOiQYcD>pX0CO7@aRL_7RG(yhI7|%-ko67KV_HN)S4M*tfikERyxtH
z`r{P4<VJ>1iIORYo8UR?z{aVrl}|zSc2ZK(fnFg`Ep6?~)|=BZ@9p_{Ye(kheSeCY
zpVeCUZ@ypYo|^hEZ~Nmb;``+n1#)*yu}R+B_hk2zQ+HR3>hlJzp3wEO`OB50%oEnp
zrFWiQ`kwjzwK%Wc)qFXr#iCc`+KZe{Pg@h-xbNC>`P#>y7k=D2%lwUc)suAJEvY|+
zQbYgM{&Ld2KW)Lj{<6vaWu2Ss=B=2%@5jDZwoKad+#eNbX8&&gb@wd0No|3>?PjC(
zziiUyM@}kFSvarbTikBv?RK`F`}eKg7}a0lUw!V}>od34e{Xa1<dxFg7inzTS>kbS
z>8uZDn6Aq2^_sae{^i}c`<b_HOy6=a{xio8nF@XADBy;sC!E%{TJPsx@nmIZuWo8?
ze*MLC{k5Vkv!2|15%X43xHxsq^iA%S#?>}cEN}0Av?|C`uJTn~j7aKDtJ&>Wu3XWw
z4;E4V<QVv`t0rJd;Y9u}BfU?KfybUI%Zq<$_}(2D6}9TprCD-?eNmd)SNUJ9dd@%h
z(#55VL*6D$ZcxqqvnuClj`Z?qukP#}pP;L!7#dbPZ*`ye_*7ug1J}PQua0`}IL70<
z*E}p(|DhD4gQM2_o5j|CH|DOFnZUn5<|jkXME(O35Nd{_-K#&RK$AC+@jvZ_kxUFb
z{%D<xV|;V!{>|to%w_I&49Vd38<={gE`O(un<1dwLV+2{9EfoXq}J&$GF<6ZICaJ=
zc5>D)iJbU<Gi=Rfi~ro<@H6?_jhwhAhnb%C-%Q?IIgy`xihWxD*=MeHIstG+k_!+E
zs&abMp#4Gyh7G2(Km$f#Hy*f_0pC=_5M4aOmVu$cx8jUk!``n!`r8_B{;_fC%T3n%
zl*stx@TL>(tP}W8E6IaerQJ24R)fx`L`JrsAbVC=|Kq#XusSlAujM5Bo30v$V<*@_
zjV};&#@|jad$Wp>(WX=9)6dNbEG*mzUMc<c_4V~ecb^u;o_ol^aORO9d(wvO3X;zo
zY7V?ZXzr<DIQHks<4tDXZM_vSyh)GG|D99&qrq;*=RTFp6N~o;<b0Ms@2h>vZrRNI
zJyn+N%nS`{D{P+oPv_uzlp*mU%u-p%lCfsx3C^Dk=aT<3&IzA=UM7XD?AiOj{$^{`
z7qIUse|gE3nVoOV*FRsCq*mpwuY11gsjThQU(05L@u$9dq06pzXS_(}EqwKNdF!o0
zi|FN_xJ#_movqXCm%Uc8jPkvtwmq8lT(H%yk|~RvcE5NUn_i-JUvv3o&E>}a?9cgv
zL$;P$U3v6SWUciS<>}h~Gp(P!+&=e^3OE5;#<CWPue&y5hQmK22ECY;y!uznf4_%p
zo7UfBR&B6D#v=QuiKC;VOirZd(VN;+C-Pseu$fmZxl-djzxE1ea9Yx7{o8(Y0{`W!
zUuGT-PYZBA(f;z!TjLw^XPi-xXEgOTGB&=t)LWca-tJG+u?tIl*-KwvbiZ5mEpW1#
zY}Oq6HQ!a1_T;ZK-T!96jSh>gJM-7vi`wa~e$MavoXF+&_b&wavY(k^yreVfF#n6W
z|2~3R?;#;uqIzcNFaPfKxb4-^mCR4(Ty#Gxwe|XXvuzh!!jG>^&S+b9PkQx?+siYK
z^NW8u&n%$f&dpo*_I{Mfu8l>TPoI(FWjNsWV*%flHIJNa7#PHx{&KuIcmMbNoQPnD
zvy;}W(OJ4=$%@+F-^8yN6s9iK-shQYnt91(-I^~_&-wSRTbcBE|HWs%ky?QZjkfDv
zd*f>T<eTZ{$L?L7B`;IL3cq@q+pfN<&X@h~Lx$^@9scDaYp<O7e(8zM$tzu%pTC6O
z-Y0dTEB!8W>AE9J|1^7>K5Oi}^=46ZUFf4Vq6=1?N?$*L-}|AC9=C%0v_s-CV$OCt
ziyxi2f66t?$IVV>eNkM%@e}PYvv!|XkXQRKvA<!K>ZMk5pHKU4E}X{OZ2F^dpVTG$
zNY6yMr!`xzzBl^$IxM^RMDr}0{7r4;S#SQczKUP{;X|}Z+TFsZmc?K0o=g38`)}t{
z{#4CVVPVG-Wo<(jtq?maTKs20+0*&4de5VmJ~s<JUG{M6zwHb=Lj1B)Plf1OzY(0T
z&%luOa3+sKXiWrrPvLD51%rDt74<hrzmLA~^Ay8;_ch8SH)mr`9^C%*#{&Dzw_BaR
z{MwcO<?X8Qub=r6_odr?TjqAJbbH+$tFVjaUoOd;n_qshJ^tbai<K&W=U1)qF7J&E
zT+)`fP|G@a$)c{OUa+R-uV4m-KZ*AIA>t}uU)}7kiC~fU(P^uR@Orwr;b+llCU7o~
z5IY_LDp3xH%l)uPGFo2~6VR@psOZSd&KD9EHf>wZ%_NKXjmGYor=M&6J*_|G%9f3N
za<<FfT9;)VKW8%6qR{E=Y_n?z|Nr&LJpFvtjhT;&Wi6%ux%+?H6S(%B+*(mZ=P&lf
z@d5tx{|aq4DqF63S5q^6`?J4KV{^H7`<CAit9*u~8TerjXvLHD%9>@$4WDalJEqS8
zoiw*>*<{}zHc7UO_A8VX6&)A5_lM=>tqTeY3JD2Gv3S46Jbt>$*1XGZweR)?Z`^2@
zbz{Rq8NPNY)2t<D&gj%`s%X5#FT3hvsej)8>GOUEvww~Jn|xPJaqard#_Xoj-aA@a
z8f!nj-jjd1^wUSNU8YW_&P*4%8+HA-%=Kk^Sxx&G85*=bpE4Vt3u9bmWEDNHhk@bG
z$5Ls<Z?YSV>*rgZ;C^#1p6!QCk}qRyq>#trC7b^K{+4<7*H<n+zH1BG*<KuE|K;iE
zcxyx9V!reg2|e-h>kPd6c27-`ndCJ2O8SG?tg0u{Z%?kb%g<P@D0TCHm?_^>k*jh2
z|Na*KwfJlwwehm<@vr_b{;qv(x;MV%Q}Fc6+7ElBzDBsaS_qZ?-{D?zdZFpBntV@%
zdM*Zs+=AnL!IkqR&p1wZtypr!bmf!7Df>P-y6H63L<rs7!0{<j@{P4lN6n07%N}cf
zN@9F+IK)lz!RHRwil@p8w7rFdg_-lFcmzd8&b&9%)Z1P}e0A#TFfDD}tBuU;Ch6y7
zrcRZ-|4(brTZg|-e_B@A-&#1y*!9%EvaNA-SxsSLVe%CPDb}_&Z%g-na%7GT4qNj0
z|M!(@c6NdPHK)ix+9+4Mp=}h|S)Wg7rS6khWPE?^#VyO@FR_&?)Wa*wf~_1YA~&U;
z7TbSb<D<H{x%=hi{=o$W8&p<q*|McgbxF@n`|#a2w?@}LtXEs<f7;V-`P3TQ(C^3m
zZNC>k`(*!O-qZROce@y4>fY%}-QT$J=HdfS1(tkzXQS@U@Ko#51g%eu70U7rhMyQE
zpw#Dy{oGXtejnA))m<C9c!^u;(qE3TU;iwG)Gqo}t)5c*wW2L=AA33NQc__sXypyK
zCV!*&fPGK2>&Zs(uaj4Z>pzq-Hu-ul!gp<@8-s&_MK!C&b8Yq~%o?Z-khBT?R{IfW
z%N_6nwFdO|cWn-5*!`*eo7m5WyNKous9Ey!<Z;nzX+4Gmq8-deuyzkf0-|iy!&5>G
z4tF^gomq3mbmg-CgWvEqP_VRO7;!aC7UO8kY+V3qLC)V_F#G0XhJvXdDr`dQ__#iO
zzM>NIv1eQP(e*cfi5+I!$mf4{^MbyK{I3<{uQ}VTX|9Rj|G9zhQ=;vY!&WESzfRzP
zy<!S~d*xyVhM2E*M%4@xRNhWEIrzqLfz+o&-Y17=ooF|mz@M!of6c*eO=nF6^Un=x
z5DB|UTmBoFdke|uEIh(!KJRzs_hRXgDWy@oLhC}?PnL)Nwq<yr^=08r<_4?TwhR(V
z^3RmzuesWtakpF3T{EM<CPMtDf&9-6dY=;QJ|$*O|2k9kc(`oXtsAAcet#;PfBEwL
z|Gyr^DWvs;?JmnGazB3a|Gh=eZx?QaZO33(vG?>X9ZgN0iPpP4jxN7rxBUMDt#wDE
zq*gySF5dEO_Ty8<bHfh4jFG+hwQ*jScQDHiE0-7FmfKyZ_fO6Kc_L#oJ9PcPfi}JD
z%}*Y+zUu4b{`ztA+AY;Z_FFSc);_wWl6~il=&iC9N8TJ{+!4_yCiUmm{;e4$$xqX!
z&$N{Sr#%J+v(%`~Dl5#4kK!Cd+ovC<`Fuy9{r~IcR-4kA-gWJ8VP-3MId#XB`hu0m
zYzzWt$I5MXhq-3it3PpJfq}eJ?^s8A?$o`q^1H89?HZ5j*SX;nSJ^+Xg^oI<>Da8e
z74I&zwmR;|^te|W!uEUDIZA)hfBJ$kCQ5y)QR*s@Tdw6-S2aVo@G(q}n``Fn^s4p6
z!}j*9Cnt`J3JEEB7Cqe^QgmSL&dtw5<YRoCTg9Jf{q}hqul(y^<SffCOP1OEu+}}d
zCqx;vR}Adm@YPm(d9UlP&A;f(&pYMz+iRCnPj4-Hs@2-wy1M-PJTDKAHD>jm^SoOh
zUhG;Ke_DLUvbA!5bLEdtd-C#kPW|_n(B2ONgGTuBvy;+3o)r-nU#;wJG<EXi)o0e|
zJbm^|%TO`!y6OIPxBmRRz3tWOOVOqN|6lcr%(pqdB_k!?*RpDUTvh6hRqG*#P=Zcy
zSY?$v?TN@U4R!Ny^E(B5C(SYspM7nusI>HI;rsJ1Tzuaj7O(Kyd9UIBB~?CE{*&3a
z25(WZd~{`9w!7-DU5zuIPw88pe?@!$>}6l#CY%246f6B70NU#c_HTjf%FAh<|7zvd
z-u}5%Wu^c8xL4QS`dQA^dwcFr)Y7+2wK@-R^-%>LUoU(=Yn|c$8>@HEo4-&!`ZDbB
z1O|p<ua-<McvjJP>Dao-DsTDkue`G+=BRn+^yOC;_b9)N+K|(DZU<Mbe$1wD?qlCC
zH+{5QCc1A`wNkw8Z|A4~r+qu~W96gtm|OMi4X2Onb$x7YW>zf%b}j=$&MLoIs`G;S
z@7#2qv}E#4*Q&=?ufKYf3SH^Kz>pQPeEUOqENqa%RqCvsoIZ_#At!79l5=VNOwTLM
z$T6%^kiX_+x2Clwg8SzNu}_J*PYy?&Xx}=4KU)zjk^2AUf8Ti<E-(Ic);Un5^y1UA
zZ$1V*%gOIwzUPdbeCfWR;9y^Q=A+*>WNoxv6Pm-HEqeQK)X{Gn!mx0sZf8ew<~Fy@
zphgMUi#Mds!uy#C*(RWs3SjYuwHI~Zz8uv+St$MI|Mf@x<`QY8-Jr1bboFyt=akR{
E05WDN2LJ#7

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.cache/sim/ssm.db b/project_loto/project_loto.cache/sim/ssm.db
new file mode 100644
index 0000000..139abfe
--- /dev/null
+++ b/project_loto/project_loto.cache/sim/ssm.db
@@ -0,0 +1,10 @@
+################################################################################
+#                            DONOT REMOVE THIS FILE
+# Unified simulation database file for selected simulation model for IP
+#
+# File: ssm.db (Wed Feb 12 10:34:45 2025)
+#
+# This file is generated by the unified simulation automation and contains the
+# selected simulation model information for the IP/BD instances.
+#                            DONOT REMOVE THIS FILE
+################################################################################
diff --git a/project_loto/project_loto.cache/wt/project.wpc b/project_loto/project_loto.cache/wt/project.wpc
new file mode 100644
index 0000000..6888ede
--- /dev/null
+++ b/project_loto/project_loto.cache/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:2
+eof:
diff --git a/project_loto/project_loto.cache/wt/xsim.wdf b/project_loto/project_loto.cache/wt/xsim.wdf
new file mode 100644
index 0000000..51d5206
--- /dev/null
+++ b/project_loto/project_loto.cache/wt/xsim.wdf
@@ -0,0 +1,4 @@
+version:1
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
+eof:2427094519
diff --git a/project_loto/project_loto.hw/project_loto.lpr b/project_loto/project_loto.hw/project_loto.lpr
new file mode 100644
index 0000000..afc0a86
--- /dev/null
+++ b/project_loto/project_loto.hw/project_loto.lpr
@@ -0,0 +1,7 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/project_loto/project_loto.ip_user_files/README.txt b/project_loto/project_loto.ip_user_files/README.txt
new file mode 100644
index 0000000..023052c
--- /dev/null
+++ b/project_loto/project_loto.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/.nfs0000000000db0c1100000067 b/project_loto/project_loto.sim/sim_1/behav/xsim/.nfs0000000000db0c1100000067
new file mode 100644
index 0000000..e69de29
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh
new file mode 100755
index 0000000..10d4320
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/compile.sh
@@ -0,0 +1,24 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : compile.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for compiling the simulation design source files
+#
+# Generated by Vivado on Wed Feb 12 12:17:00 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: compile.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# compile VHDL design sources
+echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj"
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log
+
+echo "Waiting for jobs to finish..."
+echo "No pending jobs, compilation finished."
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..55b429b3226e19b0756fa9b6c7eb74766d5a6d0b
GIT binary patch
literal 6719
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-{iSd$KAf++(=
zFvW162}1V^KxlGF0|o{L7{+G?GgNXv)V_LAr~nS?B{Ks93rLOwL@+RXk%rJGAQWy=
zgMlH1fq^01#YxY#EH$qLO(oR(3@@PK9#9$@j-J7pxe6hX0j>&eo<6P$1_p)<T=RG;
z)}*t7%w%L>@M2(KU}j)g5FFwX@8j?8>C6BMNDv13kduLdK|NHRK|Mm9LES)|LETWD
zK|M;HK|NfZLET54LES^0L0wm!0ptpAB$GlZGfIJhfq|8QVS%4xNNA9w57<c{fBpae
zzaC_jBLf420J5Xw!(5$1{DZ&>85tIU-2+kx@&||pX$Ikc|NsA=`~UxcOQ->%e!-sZ
zey%P=Yt#Ul!oa`)OP710{JT(E4r&`T_=7@1JfSiW3M$Qz0A+x^!@vMb_TcDpadKBM
zFfd~PMbnr6|Nrk`U|_hx$iUFS&A{-3iGiVmg@NG$7Hq-Fz%YT8fnfy~1pnY-VDR8(
zV5op%P|5>gP^5w|D1srbLXc?;3=F{x3=E>6^ufTuzy-xTP$eK|GcqzTfG`6%m>C%U
z|Ns9VBn_5hK=46gAPhAM!~|ynP^5r3AX<_EVu~D;hKaK=K(Y%+jVc2JIDTxA)PU51
z>;++v8XZXDV{pTxMi*+PKOQxDkd(&|0ksok4+w+o0bx+Mi7_xRfZP|0M~ylI0|PrW
zEI|5%L546eFet=|U<5lTd_ds<G8<Gx@I%d&fzlv3B?bltV+IBWkQ$KsfEW>G5F1qb
zfM}3=K=yzzFVwCFF(S;cumOpKgh4b2!_+K*ssV)!NF1LUP}ty819Ar_YzV0Vg$+y%
z$UYDTxf3}JfW$y*_@ME@AXC8u3Qv$YNUc1UI7C(p3Ky7KP-y}RbPxumQII%XZOkMd
zkXaxxkhzKk%vA#^gvJ9XFTu<M3B%kA7L%#q0i{WhI4E2|7%UDFfTjzO8^B^R6+A{z
zagaJto&$?R#n8>Qf{KIGazoQS%x@rlAag-}VQ`tm1FH@|YK5R`L1_%64x|R87L=YC
z94CRZI7kd?JeUI64KkZl@%WPb0*2)L+=7zS(xUj>{FKt1e6#qHB!<MI<O~Lv&=3qs
z2AHBmhMdg2)cAN46Bxr3#xMgZGK2DrL4|m*b9_;82}7`Rd{Rz+ayE$JoL`z(l3L`O
zpJK)k92D=Ilg;26pA05JN<E<}{o~OULiB;X&7hx=pPO2&pKD~2UYePcqhC^>o0DIX
z52JNcOG;BR6Z1-R;VO!YlJ&88Sg$N2g+V{RphQ12H(ft0vn(+s-^jqoM9&b)(TDO<
z5=#>G%Q8}O^g$*Sm!!lS85mgT7ndaFr6d-mK+Gv9%FoiTNGi?DNh#L1w6wI;Gl=)}
z3yC){F))ocurM*u&&(?>NzBP9)=$jIi7&`WEJ@2R$}NW31<|5UlwIVzxg@osBr~5L
zE=IPAWcOyKrl!IIIVC<PKRq)!-q6s@1my4>27Q`@H32)R<_F!R{FKUp@CdTS6!<2u
zG&i*<GdaGv1f23|A03z$Qpfek;X&gRh2#ZfTd7i#fszuaWCYa*$QUFB!qXTS82lI*
z7(5sm7??nqiGg7gBLl-}CI*HwCI*Iy%nS@J%nS@6EDQ`xEDQ{%pcrHpNI#4Qu|f3>
zj87~L(gUjFKy@ytEdy$+NH8!kfZ9${3=9mQ-WI4m1!`k}>U&T<4{C>i>_f&J&_;#;
zlm^w4$nwZ+jxq#K0Mr;@U|^7d(&+k-`N;YtK#m5r3!yZ!I*{qe^)9kLaKoH|fq?_m
zSU_vDfb@aF14M%`K7GjMgVciB1|S-Qk@ca63%WjV8v>*W<R(1fg02tLZiQ-NK(-G(
zT+sDFqX(=NS)T!@LBznoU;(Ak^+BTtLm#xi=HlcImVy(o_~3^IXalU_3|BGA7!85Z
f5Eu=Cp%Vg{HABa(qb?l{fzc2c4FTj30F_?=N;+AH

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log
new file mode 100644
index 0000000..605c691
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.log
@@ -0,0 +1,28 @@
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh
new file mode 100755
index 0000000..d5501de
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/elaborate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : elaborate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for elaborating the compiled design
+#
+# Generated by Vivado on Wed Feb 12 12:17:02 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: elaborate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# elaborate design
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..e2e7acfbe2fb6352a8d977224b3cc0fca393e0e1
GIT binary patch
literal 33587
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C%ywUXval5*YyH
zGR$Cvus$<G=);K+nq1O=fq?;r@tFa&j^Q)ZzRhV6)tf6Jba5So{#*i~jSC<&j4aNE
zv8YSGWM*Ix1R2QzA{ZDL8X@!v2!)%}U|>jLU|<M$anf@wOU;8i8?GK2%nSigh6hvz
z8eg8lnYjufkpZp>Zk|4_3I+y-3=1R-n%AVWGcYiGW@KRSVqjokW?)zl9O4r1<L~b2
z%)rFJ0LGw@<YZuAP!CmSP>)b&P&ZI#P&ZU(P>)h)P!CsUQ1?-1Q1?)0P}fyw09o#h
zWKt+)Mkz2bFt9Q(Ebwy-2@P`e0XqleumAu5*MqEbWME(rKz4L|n5%P$e-Kz9Bf|o)
zdq4_7egV-S%^>{m|NsAU|NsAQ2{j<pFWA%F&((!!jWCya`h~c<yFx5vU|?u~xvT~n
z2%sR3cMWk20h<hR1}g&t11QjoOXABDGfU#r5;JpBQy5^};?m^g)Z$_gKRLfNuOu@s
z9m38nNGt-eic9i~QbCN=yp;I#qSVwp7^f&T1?*uBkPjIc7+`sGFO+{5O3Oh*A{<JW
zLuqJI2?`1EgsOy4P-%t}P=+?h8w?Dvq5zz<T%6n$3=GT|KuPM$|NsAYFfcI8;9y{Q
zV9&r%A;7?JL!E))fG#GU0m{oJ3=9l55WK>Mfg!+_f#HHZ1Y0mLFo19e0|NsH+e4g$
zLSABGV8~!*VE73NRt5$JQ7E1dRRwZ3BO?O?2s3~~h=Jk%|Ns9%(qK6T1Ro>@!k}0J
z(I5<BL%j@+MQH|zDFRR$q=pw7t6b1bV+5t;kko+Gf$Rlgka<p!G{T^cM~w>u0|N`R
z$OE|*WCjR>%m87KIj)dg!T?IlxYW2aFfgz&Fff4Z1nB{p!Nb77Ai}`Fpv=I)0LtK?
z5)TxF(NMR8)PU52(mJSk2dSxMU|;~1Tn$KSKm~*n0|Nud9UwK$Q1ezIsR6l5m4Si5
z2P)Ucz`y_st3ya?K;f*$z`zg!RWpl$fq|QWf#D638jxMu3=9lWP&Eq~7#KkQ;9!Il
zRv<TnFeq$5804N+3=9mQ_z}XRW-|i=10MqegA5)uTNoG^_!$@&)bOa;3Mo(+4DhJg
z#sH}TtnjD-<sTsi1_l>AYIZR&FbFd+F!(V-N)(X4LE)^&z`zg>4c}sD9Ot5`(Pv;_
z$bzZ?#TO{g^dqSOg)=B?mO|A`W?*0tV_;x7fTRYLHjEh<7(i(P6qj=u7#PGE7#JQS
zsR5Z|3Mv?(<}71iV31&7VEBrp2IOu~T!ApioDB>N43eNciAT+L1_lNx1_lOD7=qF)
z$P5q$nE}Eeb3kDT%B!4Ai10yIvlA5O&~V0O4k(;uL1B%@oZSoz44}L&i$~2K1_lOs
zP({iF$wMHwfH26tAPg!`Kw%8ZZ#sC?fXWL+1_lOGCP=;onE}EeGe8*Bya0tUC{Nnq
zQ3Emql=t27r~#Rw!oa`~zy!&!AbUU<WDf{~(kLjdKzTco36fty@*oV72Vqbe1vN)N
zc{>S@8c?kR@>@0@HK1k+sD3NKqXtyQXfiM`)Z$SS!N9<v#lXPO#sn!pK<))$kb6NG
zR4#(z0#w%Y<52?&KOInAher)4Y;+kI7#1=?%3F{<APlkxgh6E-D2ze*b`=w({sPH^
zFi0MRL1i2$ErasyW+q5p1j&OiNFIbiWgI9UgYqrNE|B{{@*oV72VsyJkX?pQH{((R
zax<t7+rtFO(;zcI7-R+rgUU*fUQqr&fJY4|&Oz1tNhV0X2blrFATvN1RGxy;52%a)
zxfzshLGmCBk_Tas8jzdKpl-&c2IOXQP`Lrp^#A{VP`U$|qrhPR%EBNf9E0i_P*{NK
z2T)l7vIA6}f${{128A^!4S?JRQUjs`*bR1p*q|^5(I7LRR{aN=1v2~ryTLAyeo#FN
zk^_l>Xb^^}SpZc7s@p;0_|$;vR6=S%bvsNAsPP>Hts6mQRsy%dE|C8~?g!DJHb5!^
z149{94ag55e}T##7zU}Cz`(#T52^-KE`rp6$`w$42hkvXn-~}vK;<VWEI@4nkQ$IY
zDBM6asNHgwfq~&Z)EtnxAT^+P0ktPUG{~H93=9naplU#TkQ$H~AoqZ1kUo(AKo}N=
zp!fpW3#!{e;<&;Pqy|*C6H)`J+X<-w)$N4Tfa-QaYC!c8AvK@`3sV!x$iR@q2r279
zWd$fsK{O~Gf@o0qR5CI!G$W}2g(0ZS0M*AJ8WgSz7#SGWL)C!vfYgA(6BL#p8l>hl
zBLl+?s2UI-qz07NL2&`1LHgb@Lh5Hw*uvr+WCkeCK{QAo$n78uG8Ys#AaPLo1J&&y
zagZ2@24R>QP`&`E0oCmwaeQhBg(1isP}(G94stky)PgX&dq8zNNF1MgKy^DlHK6bV
zm6wFnfa*VdYCw4pRQ?fC11iS|sR7mP_|$;n6I5>CQv=Gwpt_xq8c^L%NDZiNC!_{c
zw-ZtWs@w6Y0hK9);sT@wRJRi{2UO?aQv=GMpt=j68c_ZO)i?OmfYKx=zY|hJ$S#mM
zgxn0u=Y+xsqy|*C<8u!v&l5^NAT@;C3{r#aW>7u^VNg8_Y72t;JRm*@gWLmh8>kFo
z5dFXfs=Gkq#Ha=7BUUY_TqRa5C~jeDLG4nIn?U^{n7cvtg54Y9!41l{FtwoeDNGGW
z4Bc;_vH~Oy@*5$wpf)N@EvQWkDz{;70NDX|!(UHsP#Y7b78Ez2dK_d2NDSl#P@V_7
zmw|x+)FuOogXBSRgioz4NFlVI2bIqtd!a($@feUBz+$2wxZI!;pgIPWhrr@cF_0UO
z)%rojL25yH37^_XB(<QtfKM%`4-HZdG8beYa=d~33lam-41Ybj3qcB@egmaf<nRTB
zGhA(m2X_;aT2Q@!%?)64ML%$X#=JnvL2dw*{rJ>^+H5ejAb(<03ywF@4_u(O9ZW4~
zECrw1r67e+zk$YvKy3h!pFny*@eT4D*xbLK+-s54#z4oMU}`~X;A(?CxIyhHkaCdU
zV$sxs?0~EN>&Xop!vv{~LsJWi7r5GB5ALHNL!s^k#UaQnm>WRx0$2OjlN;1N1t|xa
zn}B96NDQtv*n|5jNFmf*(5wQ;Ob`Zz11LSi)&BM5evYIz3C&zkdWNeF_TUD!e?iJY
z_9a8d5n<+n(lcD`Oiyl5I~k@HG#vo)J4`JoJ;T)odvLRY90qkSsLhY87Nib@L2<$`
z)03MINiArm1X(R8J;T)odvL?Xk3sHDhmOs`+yF|?aJ4fLWeG?v$V^ar0jF(fKMmBz
z0J{OHECHzn#S=cY`cU_R!X2a@$^``tMp**N3m^%QS`fyk))XoUQU{7BC>Nw1!(3Q-
z22u-(Cwyw1p^_lApm;(~lc0PJ3NvuH1bc9MA*lt4BdZ047f1}O_MazrAd*^8JRz$E
zmD6yw!5-WZNNPdxgsc|S-hr$A=gA$9q!#2pWVN7n2wZKj2X`8hT9EsY)q>g)aJBzD
zxk2NQpuhx$1IT?)tso(I_=5c=`hlwyDhW~xavzk7RV{cr2s*|H%JbONg3}qOOod8<
z%mw9nC>O<CnA#~&NswAlo`-U=s$B+^1gQn(c_<gF+D%YNkXlflhjOv1-3gThsRiYE
zP+kLJkT9qn0t#QSd;fWIgT`k;hJe(9@;q`}fcgz^wf9oFFM$kzh8ZZ&BdZ0q+u&*=
zqqsqRLYTRrJddmvG!6?_yD^>n9+J5kXk{O$Ed*B^8O8ksNo^*YS{dk=JlJm=)45+E
zsRfN)Bf9}K)`4v92PCzic@1Q>pmAEb+KuVl-;mViV3~`7s}=pg^%qHPE&;VH;Dihr
zH_e02(SXV{kP)D9V7R%FQQQJZYV*<5g2sd4YB#2H!^%ET9tM?@AoD<R1H$NSBUsr7
zQcI|ugtfUqYC-7-pScQ9_kz@d%1Kaq1YwXKP#YHHUa*pl>D+o?26(2H0aQMK)WO05
z)CUEr1;_E!Fu319We&((ka-|~fiNz=fz%Qze=VVI0I3C)zu3$LD-r#`<p5?t=4e1}
z!>1NBb`EkI$Xrkv1xnvAzk&LXApKy!f#MCM5IXlmY+VR47Zk@JGoj7`my@7y1e?pi
zzz_tL0EvOpIS7No802nH`yJ#45Sw9Y7<U+wT96x%)q>jZaJ3uLxucNOg4}?t7S#8H
ztDPFg9fzbAl+KXVg8C_NwHwp9L3040pa8iSl+KXVg8FlCwNt~m(~!&sr3YlSpuQAb
z?Z$NOEF`s{^Z*J25C-`j)IWl&of^hnfTR|b9+1ri^^ZVm!Adr!bC-h|khwPWFa!0g
zKx#pDFl<ccZa`8C3Nw&;m>WQI03fwsC5z*^+rbQoxu7sZRtuU7fUDh@&fSZo7BsdD
zN)NF3hvf?}U-SdlOeD3=(D`Gq9JI{^G8fDj{lK*ZNiAqR8lSnaatD;oL4E`K85EI7
z=7PmUKXAdy9gtd(-@xKH)UJoR0i+g`9za}Jn1TADAoqgA7#7EKZv!(R^C+P70CF!(
zEoj~Yt`@1>0htR*Yap#4^&q!^=08Ac!Qrqt9$xN%%p}%tAhm@225V1()Pnp5vKtx<
z;Cu{n16WM-0~f442~rEfU~#BoSR8}JL_cut19=8IKMqRkU~wF3L31x4DUg|<w2n{h
zc_ee;ZUbpXies=6(GOg=zzoQoAE@n#&0Me&(GOg(b|1(MpgIGeT3EXeq!v{E;Zyqv
zYyrd#pn4Ld7L=DkPKJdUIF3a>aKX|qD7=V`V~|=xar_$U29R1par^;EEy#bMv<t!@
zcZ25J;9(AGmmsMHnGNz6Of6{M4X$=^JU6U=0a6EwV`R0U`8SYSuyGsH;r$DcT97!h
zTF^WkNDQoYaXh?#0a6Q!V`R0Uu^+hFjp^|I1xPI@j*-=Z=H=jO7stc<7a+BuI7U_r
zTJHl_yD=T!zW}KP<zr;EpfxXWwMh9G6b7L36cnzYv;e}e^Z*t^Dx*MZ38ja>-~fTF
zBO#O?I6#pEU2_6T4<IfGgY30}wrRn}xdd?Yfh3@6LFoac2IN){hPfB4R`dfGtQ`e%
zFDT4FWf?eaBjrsnA1SSa!iJFFU}ZH(Eg`?b!U3cf<Tq^Y1&fJ(;1YrQ4Wt(2H{^J;
zMh=JiAZ}SCwXiq_`440kXlWS846xr^0^nseC_NJEH;`IFe$#-u0i>3Y-}I5xg8T+@
zCn(N97#43}G0_iPW=Lv5X&5XHQiv39usC)^QVWV_d}@7=)Pm|bQ24;Y3{;oE!>m4t
zI|4~9sQ-?v)(#pMATb7)0B%q`L7fMtK>h*cB@hM+KnajIDEvuP54Hzt28aTg12SWv
z#KB<?atIWI+ye?OT<!t66{-|Wfz*S-hFaptaSpNoWIo7^xZE>P;vhGH+y}!Tcj61T
zfpRA<dqH^x=5`Pbaz98dE^%D`#U&0J7Xg_I!yxlP{X>u)FfkAf5(lN7ffC2%ep1~7
z@&m{}Fbwh+zI=fzorBU4%nT3>G6xhVxYSe2{iOPrTI#9geoz>J!V88$;RbReF7f!1
z`~rrY{F40mk|c)2A_kYx5HOb^u_!r%Aty60H9p?V492j4F)X1Bb3=xb%%a5f)OZ+!
zAu%2z!jPMvQks)*7H`OqoS$1zl3H364;6w-B{HOBmSq-$q|%B~3*!w@`3z2}8Hr_?
z`9+C247sHhX7PschEN7WVmw5Ip`<7=uQ)kB1>^!KGqtqHyf{83z7!#unO6d})g;~s
z#)8rDiBRttnj64bFfSTfz{M;KVX_uRaFz+2WyX+LT9TieSON+S7?U9}9?mREP0uVY
zDM~GlH)4RZ84}|WY<NhOCFW#;yo4&ikQk390Ouk?*)ZP35)tHy3^}PO@df3%@rE!K
z%)#cccr~;zhKX62!dd2UmIWxFV2X`l0b>O7xiL()F-*h=W{Np1oXlZr%wcNawp+kb
zl@Uy_F-*HL%nimc+l^o%MurT@1tm}?8e4$;Rt)kcG~p-ZmzLz`F(l>W7nY_nB<Ezu
z8yXnoG87e;Fcilprlm0y<(H<XGL)qjl`tgcG33RkB^H-3<i!`~<d-ve#wV4QfV6wY
zC*|ZPXES)l!%gsv2btj?Z(f|5o|~Fi;*wchkds)+fDj2z%}GrzVepR!?ZxniZqEpI
z1{ncU4B;^ZJA<O$07@G|X(K3Y45dw=v?-JhN=;00Oi3vM?GOoejxPpVmzolknhsJ>
znwMDuqDxZqKvZsKUTHCikyn}<0?QsCehS3hP{)Ej2=yjZ2JC@!0|;dZp^PAuF@!RK
zP^Jw2@i|b><{-RVl$xBM3$h8EL=)3fLG08#SXu{#9Y`cI51iD&vT2!MHz%ePfn@SZ
zb5o1*<CF7CixTs|eky_l6(pb_!2}5;NDzSoC?`G)q&X)(2t*|pl*H$xrhr2L#s-Bs
zgMYj;XlG4ok#ByA8AEUojLYB;NeTXtln@*QvOljRwTQtp-hja~-jKmF-iX07-k8BN
z-h{z3-ju-;6ax(Y;NpnEGd{00Hz~D<!9N}x5&rSecmVO@L4{DTb9_o>6^xlznhR$7
z$NT1|fWk8+H90?p!80BnES~YHc_|G35NCik0{O>7cK-wi#RrEthPX0##+QR!2MRUM
z_>{zwL{L0}N?cHw`^SUC{o_GF>mOg7UsRHr3i2)}Fv=2h7(7A269l2cKoOam5)>a0
z?h9dtftjE{fQMsHJV+}jOu^x(pOK%NTCAUIWRhN*nUkYmQlJZ}O<=TcYDsBIW@286
zE?h-%QL;WrNqk9?URg#8gMNNNiGF5ox_($@Sz=1Qk%5tko*|T@59NV;rC*kjlA{mO
zU0jk9Z)9L#p<i5*n3s}RlmaoQpeR2}zaptLGbg23-_p|3QqLgX(=R06z{J2b-oV1d
zKtD6DxFj(rr&vERCnvrjC$S_gzbLmDW*0<@K2dg&@8*)!ijvHHdbk+bCX(HonVOmk
z3*?meoc#36<ak3vGZT=*a~Sk#64nIlq?#Xelk!t42f`!B7E|CGaKV_F9A8`lPWiNt
z4onNF<9g)qpmB;q@&dB0WS3r`<ikK-;Rk6RQ(yqBJxPHPuv)m70yDt%I;iOmuE42a
z5UAlwfici_E(IpQeFW{NP+$~FzlH*HAe|fv41jfy;C&(r%z^|9xDJ3ev%&LMpgtxH
z!^FFw`%G;Z85lro+d%6pKx<?6GchoL=4e})85k^>85lfR7#O}WGcfF9f#AO^3=AM_
z$I8F}!i}s93?O`zm4N|-`Pdj3Ksc0*fdPc4voSD$@FO+`1`yU_XJ7!~Y<30)5Z=zt
zzyQJ^_kr97qd{y?pBTm`mImnojUj`^WkKT_pfOj_+zM#@J7_!}G>#6E2d(`9jf;ZT
zsDNnDK5URUXrCl#j0CjfAEY0&wi876L-&k==5;}Hu%JCZpgldHy)CO57#KkNS3vty
zK<gzz`%6H3A3*ySK>HFv`zb*CB|u`J{SGj3(0&Ba96f069W<v7nkxs*frI9@L37ri
zxoFUQDQF%PH17nOPXf*TfaY{Sa}=QQdC<5!XdD@|=NhzM2j(`=IuVfjKx_IzYxY5F
z@<Hc41cKHgFfcF#GcYiOGB7ZNf%b?qFfhb0Fff4DcY@Y!g4Sk%)=`1N2(;!1w3Z08
zMhLX_2eeKHwEhNk5(8*GEhyYT`&U7GP(k~ZKx=$JYj;3vXFzj(pmipo^&_Bl7NB(w
zp!EwNe}Liy<`>Ys6KFmOG*1Nb7ihf{XdM)2eG_PWP8z!Z6J##T40Jh|y&yY5VG7d&
z6NkA6rXQF2Aax)&gW?3)Zjd=3agbj?W`p=JwIIKN`~}hjN@vJn?h6fHP`blqCrCd?
zFRnBIT4w;7V+Z94bj$%toS?J`rP0UV(AC5E0-!_>+UE<U(e<J8VfubR)q^*qqpht1
zsX-qn1BrpK257Pdv_~FFqnnRDo(R$d!Umv;8_@XzP#RqyD8GZ$p<@ZqBn|@ug94OB
z*9RJNL)Qc5g9;AN{&^^kt`Af;fYqT9FQDo_KxuS+(C9(a#lWBen%F@SMb-xjOIUCt
zDFHKe2<QWqWnhJ91bTV_*@3JC8a>EDU^aSsLDvV39<W+u0zJK;>w`uQvKBBKJ-wjo
zg9bBLEi!?gUeNV{=G&0f!`SHYhprDa-w0ESOrxhWbbX*Xc4YN%Hq-+gP#RqysIG#m
zMPjUgI%ETsM%M?Lmqk(zXYPPH<N%aL*9ThX3s;Q9I01FY1t^WK4^+P*DTgyRKpnCJ
zN~7xo&2PdLBQa(`9kKvQqw52$RYp<{XEs0`(gCH>^?~XXxMCzm2Gk)1P#RqysLV!E
z4rc~H9TEYh(e;7qC%9rHh6U6i4p16hAE?ejQVwS-Kpmn1rP1|)>Lj>gBnAi6Ap%eu
zT_0%uE|PLM^8-}<4=9bU4^;ob6(ccjKt1pPN~7xo)!|6W;miY251fF~==wl)EnG1Y
zV+GU$8=y40K2UoANjaP;0BXR&d8p@-fXo2Z^+?iirU(Ijp!NY=F%knk|Do3hpf&)K
zayS#c97NX#YR|wGBQem+33Pp+_6m}6I1{~oK-ULqTfr40G0@8ibbX-q4U%#=6TO^3
z*9U47!4)Gh(8~#QeW129l5#i`y_`VT2Wo%A6(cdw%L#OSpf)>_ayS#coIuwHYUjfh
zBQenH6?A=|z5$YQ1QS}H!0HureW11^LNS6h0h*y_KxuS+xZ0l{&<q^_rP1|)`Y#Au
z5G(^|hPHsx==wl?9)xNHO9Gmq6`(Y_K2Sdip&E$=&CncB8eJc#PlBWx&U^t?{{c#)
z>jU*;;EItL7oY{f4JeJS57hTTQVwVCfEEM?pftKZP~Q)(7>Tg}S`e&&(&+j?eJmv9
zaApUzAeaE9(e;7)WpKqvi~?vuPywaU^?~|wNXp^N2xvi&0Hx9Of%<=N#YhYXXhGls
zrP1|)`oc)c;Y<x^L0|x-(e;7)&v3;^3;}3CAOWS(^?~}_NXp^NA5irS&;kKnA6l~=
zu5SZW{SGLNt`F3|hbu;6pqKOL{RPmN0FrVz6TMzR*9SVa53U%Af!+^5*9RIOK~fH9
zqPKg{^?}yF!xbYjK0phQA5a=yA85P-NjaQ}-tR!~5201#aDC|Eg02rVt^!w##6Yj#
z(e;7GVvv->ndtF{t`9Vx09TB}xB)F7e?Vz;eV{Q2B;|0X1!y1#&V!s+0^@?(J)p57
z7$2FoA)pU*rVX-s7#qD^fZo3ZjZ4AQBGc&Y0(5<#aTR3sFgAL-09_w!A397uj7D!4
zpzHI8DMX{u+Xd+QKx1xbTEJZNb^*FR&^R4fAsT_6kI?mj&J{w_0_LLkAJFxI#sk3$
z(Fk;X=>2@qIe=(dz+Cix2f9Ac*dtgW8iC&LK-UKvuSC-V=H7r-{12csy1sC*Doo-4
zwBkPjrP1|)&MCpv#lWxvTJdjy(&+j?<9*olp_dcr;~$`X{@C=PmrLmSKzshN=|k@y
zq3Z*U`(o3FJ{|(nhdkB>8Y{-8ZvwPoGXqM4^dYMQjV~kfVQlnpfp+6z(%`ca;T$9e
zdbptL1MM9~QVwULm%HftK;z$V#YhbF{t>!9(3m-rayS#c-HNUcw7(dx7?}ZWcf#7O
z==wl=jgghZ*y!zYbbX+G$1t_XG<v%nT_0#Z09ieZjow~D*9V$IfT=~M(c5R}`p~K!
zxYi74$Eg5Hqw53BRlpS^G0@W)bl3;30yG~4=O8iA(;2!x(7XteaySz`Jkj-m=2GB_
zkr?Rx4s?B>ea%S9;Y{>?2f9Ac9%r~>BnEoF16?0zzcZ3@I1|0!fvyiUPXt$t#6a(N
zpz8z8Eg>m~Gtv7U==wnOQE<gb4D@~nx<1ex7Lsx}6TRPot`Gf)Z<t2(bOs$Jgh?}i
z=F8w5BnEmqL)QnIV?$C7XQHPwbbaM;m8cB#bcU|40#!MJhgL43>w}))j!+L}1waQb
zBA_(7zAC6XbP7E@p~I(eWuSRmI0uP=9-ip>Kxcp>DTg!B%TIKDwQ!ZF4D|emt`B;m
zJ*p<q{1$Xz#sNyB>#N7m0TDnC7wGUXL=t+YFPwwKKo1vmeW3YoB;{}>dO44-4|Z-6
zTmzJWUe2TI1D$USRf<BPkAtD>Ye7+s#6cSeL)X`eq!gK{03GPkfYRvt+K?3^u{ogq
zQvoQAuCE<QEiw~&p1X?^S_cs}52y@nbS=Qu;6gTRlsy^(qaiRF0)sRJG;vk7gVepF
z&KeDY(GVC70ayr(mS3=t7^O!;U^E1VS_q7mUqda%M%_Ca0;3@S3xUz{3l<Wi^k@i-
qhQLq@fzk47sKwZ*dq+cHGz4HFFj{`WLSmF24S~@R7-}H^D!%~gG|Nl?

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
new file mode 100644
index 0000000..81dda96
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
@@ -0,0 +1,18 @@
+# compile vhdl design source files
+vhdl xil_defaultlib  \
+"../../../../../src/automate.vhd" \
+"../../../../../src/compteur1_49.vhd" \
+"../../../../../src/compteur_modulo6.vhd" \
+"../../../../../src/compteur_valid.vhd" \
+"../../../../../src/diviseur_freq.vhd" \
+"../../../../../src/led_pwm.vhd" \
+"../../../../../src/registres.vhd" \
+"../../../../../src/tirage.vhd" \
+"../../../../../src/mux6_1.vhd" \
+"../../../../../src/transcodeur7s_d_u.vhd" \
+"../../../../../src/modulo4.vhd" \
+"../../../../../src/loto.vhd" \
+"../../../../../src/loto_tb.vhd" \
+
+# Do not sort compile order
+nosort
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/project_loto/project_loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..a7e1fdae05daa06375f0bf1ab32cb21a9339018c
GIT binary patch
literal 7802
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*{QTaz9i5*YyH
zGO#g0SZ2Zy`W%#o@JJ^O7#J8}7@uxts3g?Ap23;93L%jJt_p6RKCTJ|28Il)9{tH)
zlg`4xz#zxSz~Ifmz`)GFupl_ZB|g;0-`&%h0pxNJ1{uZ4z`&p$s?MMuq0XRgpw6If
zsLr4srOu!puFjzDqt2l2q0XSLtIoj4z`&ruz`(%Dz_7s2F(fp|(Fbe}$Tk1}|E~wh
zJ2EgZ2tcg@+Y}$>>Kx)91Xjq%umGeMY!FB>2!jO}7#RNj|NnpP|NsBJklYG30IZW7
zr)ofrE{4*Rq4X6f4Gq1ZkPuI(2!w*U>I8(#U<Va|st1R*i<7&8fq@wVD3rha|Nnmn
z0|UbZMg|5CUIvB?CI*HGRtAO}Y?xSroq-{Noq-{P2ZDF-FfefNGB8*`F&hH|0|*N;
zFff3y0Mrf0lpO;DgBt?_10yR$l#79Z0fc!VTuA6LGB7ZL-3=xf{{R2~A0!RpfiR2@
z5(8nVQ6MHLrGUd6!~uo749G491_lu*4H5^L0n!6XIv_Q=3=CjBpfCr?fz*I7$Q}>|
zsj+~>EQ2AEIp}Jv7#J8psn!%~9!MU9L1ut3$UaF(5(N7RBnHcL58VG>2dPEIAUE<e
zFff4hfiQ>-atkOKgJ_W7Bp4VN<e_RfK*`tr|8<aBkbglm$UPu?K$sV5_yYI;*FpM0
zegcVugh4b2!_*`|)qwm2633+mWCzGkFf|}`APh2J37Q^2Y*@JRLH*AlaYrANePL>q
z(bR&}!_`JV&<CX}m|7JywIK81Y8@WvgWLpDtBR%;WG7s0!~=a;x&xV^hNc!2c5t;4
zcl1Hw4>MOCO)V&{;A$ft=nH`qLel{#U4iTc*#Ytw$S-iU5_j~ak<@CUnaj?=zyMbp
z@jzb|Nv#$%ErQGj34`JQuGas*z9L8vnl?aof-uYtptJ%~3vv%AE<oV~QVt3`ke$eC
zL1J*V5fAi1ZiJ}?nS-nrl$YUZCGO~h@)k@j$Q)#~+)%%P-4O9WAC$LXYC+~8s|Cdq
zvbmr<22%?%2U#sB4a3z&JkYlUDTIat$Q)33!NLKg4urvOkhr67kE9l44zjtR_y?&4
z>1Bv`pbt_CigyqV!=N|-=@}?-u-jmcVPF84Ga&yClzT|EmsI!1m*f{P<d#;L#T&+#
zBrzlwC1)_WgoZ$c7!u<latt|{d8zU7re+Mu1tn095hxA08ZfvTGPoKsxEeFKnlQMU
zGC2GD`a1f#xH1GYIOk+Dc*Ywrc*Ywtc*Ywsc*Ywuc*dJBc*dJDc*Yl}<}mn!&1Ya>
z(9g)vO)b{XH8M#r&CJQsFDcN?$uG%=(YmQ6r74+-c_q4V6~#r#`Vhy(mn7+xWu!3Z
z=NFXdXXd8shh>%}rsNwL7@6o9LOJ?SUP@v~qJCLMN{&8Ae{o4lype%{g?@2KVqQvO
zQ3}MIf};E^{fea0%$$^BeM?JAOFe^lPrs0O0}}(&cmoR)1O3dr;*!LioMQdNoSgWA
zoWzo}{G!}qm|YMp`b619zMD%@D@ro+>EU8zn@DzVW@>6GERa*;bMn(Olj98y%}hWJ
z&tcG~NmvuGlWKm@P0CNH90-pfTTFp(@=9}4i!zhri%Y;MpZ3v#X(4r7j~pH}PEkl+
zK(>{F@(YxJU{wyN{zJwv@g4>S1{VefaDU_n0|UbkMh1ozj0_A1nHU&ym>3w^nHd<Y
zm>C$nSQr?7Ff%Y5V1ZzeSs*iDG>8qVQ($~zX^<XJy9U(ewP9djum!n=fq?<kI|jAC
zK<z3}I~vqx0<}d!?IBPb4`d%Q<^UN2YG*@faJvCn0TMgA6u~(FReu6Xqw7QFBkQXG
zHLw^M7#g57vO19I$n_<%J_Ar=i-Cc`0!pLn1GNc2`au|3-wUYv4^SFiAE-?X(gVWC
z`WAp1YzzzxE1)#GK2Z3B^nft3z6em`je&t70ZOCm1GS|<dO#Rip982N$H2hg0j1IP
zL4z5jjR9Go2B=X78mWNN==z|+jG+%b-jTx{q!=1pASQ}^=<$xO4;ow;`q1MYT^}^K
zF!Z6vJGwq-aAD{}k9Tx^(BQ(*haT_f`atbvuqFlulyE_hcXWNAwl+3>=<$xO4|&W1
zIo_e;A1+SrFjFBkEdI@427v}HKqETPj`==N2OFXkEBTTc(&uM@CY%+Zf`oyAAq}b@
zrU_<G9aNq{gMlH1fq^01#YxY#EH$qLrVvWQ)VD#+@qmiMs6LoEFo98eGz3ON03HGf
zaMmbeFoXc8Jky2GNiZ<H8!f*EL$r*#VUUIZsJ}Ewoi-w!g<gI^n|llujBhV&<YiFc
zU~yQ~x$D16-!d^Zi-x<k&T=dC9{GBfe(Bg&ZMpKh!R2kwzW+`TQgCQsU}R$95Fp5y
z&nmX6i{U@W{DZuQ40u>CT+!XY<?fcy(|5?C=eR_vv}IQM1J|yk{}Fb3uC04sm}MV%
z|Fhc>nLzpVD=+c5|5{zb@BZs-*8PGO<=E#wzwSl-iu;xD^>6LHsMC9ofB5R|POM8<
N{zWA)vp6uP0{}vGt>*v$

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.log b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000..e69de29
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh
new file mode 100755
index 0000000..7821eda
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/simulate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : simulate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for simulating the design by launching the simulator
+#
+# Generated by Vivado on Wed Feb 12 12:04:43 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: simulate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# simulate design
+echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log"
+xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log
+
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb b/project_loto/project_loto.sim/sim_1/behav/xsim/xelab.pb
new file mode 100644
index 0000000000000000000000000000000000000000..e96f1a9e120e79894d59ae04c782374cc444d5fb
GIT binary patch
literal 3218
zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv
z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC
zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e
z-^}Eqd<B=(vdrYvVmziGJFl0CizlcwFE2AM-3sPuV`F1v$KGJ%y2_}ZUr?f-nVYT;
z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|(
zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEKtzZ
zEzV0UD9*?)QOL<J$&W8dicc(xPfkmZPfE>5EJM?(hpI+FHzz+`0pynaqQsI^JrEBP
zVJ0S)$RXmyB_3LwnU}7hTC7l<lUSCjP?AxUnwSDf5XR=_$YI0G#b^OF#nKqn6q(?X
z#G(?ALB%DBC7H=^yEF6iz-i0a#0beKs41ov$fh`R$vWrf7UZOsq^2m~FviFNIf+7y
zF}5^AHpZ7r9pM;A!p+RjQ%FfHNmNM7$uCz(%uCFvEY5^_(ZmpoyHFCfAD0G_y9k<S
zWNwLJqOqkZvJ->2R6{azQ$a~RKc}<=<h-=}A_ZvH193CqnbO$A1j#rfRxW14f?|lr
zP0S3CP4(vD1-TQHtw8Y!33dx)#~6umi4-IzXD6noDioKb=oObF=A|SSr9g}`H9&Es
zCt>46xP*|6D@m;=$;`)USP)^uWVxhahGnLvrs@@!q{Qdsr)MU|8ycFKU^UgBu&Gj9
z5-6tTmFA`vWhTcLmtgmGGb3R${kVJ*i;^=kOHz|dN{dnz65|s~OY(CQOHvi`(-czk
zN-|3-(eo!<MKp{B%lNTaz1BvAI|8}<(cDp#nx0u)Qj}VZ+aU-g(Qqbyr%WZnDG6M0
zXiiDa&n+lPEiH;KOU%hk!R;P2b<wDN{4VPz!ewDxAt)|`o1aM7O@{F%mI`nefq3}c
zQ%yMSxN*6lI0wYR?U0<*l=y=3T!m;T1HbjPgsu1Bas%0jlyplni$KKz&V&n<kA~3r
z9WaTA_=x3-MsY!IeoARhzF9mG(Gd?-i-;1K2!3bHCc;^1Tq!8d0#~w``9+C2xML?J
zvn;b1l*H4DQVSKLV^H`SdGTq9#U-`|I(hNMIr-(b#(H{s*z-DB@s?X!VHR(Q+i_6&
zXb6ozfTj}>KuKH)C;?Pbl$ckXoSzb(nOB0_6);t)rA6k&@hS183egxs_}$h(gxkEi
zJW<>RNhc=w(iBvQLNt_t-w{njIKr398^sYI4(@;fwbvA)V?Y#UO3{qLo=^%2XK))X
zE0D#A3|@ra4e=#O3eg}}#Fr#tw=|kd)~Pfzrv$lq0&kjv8~aksM&=gCjTA915vY+U
eZB|G{XKZPL+-l+D;&RPPQ3y&+&M!(4U<3fGspaed

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..5ae6a8b
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..0c3f85501eb954cbee00dd1a1c934c9638f6bc15
GIT binary patch
literal 9816
zcmb<-^>JfjWMqH=Mg}_u1P><4z;Htu!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_6QmdzCO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY
ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna
zk2yIp@NaW+@aSbZz`xDQ(Sz}4R}14qDF%iPC#S;`CSaF0lEyFJj3n;}HovRI;tE*M
z@i4?=P%;!A-~k?;m%FnPTn*p0zIEjHc-#3N>_^AWV;)eZXXkOlZyue;Jvv{3rMj~d
zTHiYE0f#xi=hOdZeLA0mB|JKv3p_fH`*i;E>3jqh>CCP;?py;_*}1rZfq~(8a|;6l
z!~g&P{~v4a0Eu@yC-i#!Z$03`@A;y$y9caf0!V^^fx)G7^%Stc45%KDZs!V*&gKOS
z3=AIK%^>qUI-6H8Ffeq3#X6hkfY{w@7#JA9k=wn5fq}vD1b_ceaB{E(nF|R3kM8V%
z?%g2kx;KNY>z)j<qq`eq0NCXaX6Fg*W{@KO_01r8{%tO-3@ra_Sj+SIw;k|IW@-0f
z{PF*RM|XBXcXdT~aZUGRkoO=4fE}alT*1E{?B`=HtPD)A-67UbAX*FaYY~tZNN6#F
zm|)xuHoY6_!4jG7$skWNUVsDvEYb1ziTwZn-={abqG2~UwE0`+F)%QAcDpiocKdR8
zbT)4R1!ZS9C^EajoX+MA;4nM@55pY{3=FT8!L}lZ?#UnpAp1bdVfNKKqx-A78zc`6
z5D*g)ApG0BGdg@&8M>i1g6y$8QM%NpySf13LT3k$PHzv7<k^tez7ICaqw^>voq2TL
z0t>iweuvVql=#A<`3MI*zr~`aeo+2$WCZ2Et`>{CIP*uaN8=k%w0m@3_U!!U(_7_W
z_}1{-E>OAV)A=84p$%hcut#T%N`ObVb3nIuL>CK3M~ez5MS1jws08>x-DSgEss&QU
zVfYQEvx}j_Ma2W8iUY2S$$@{$(br6dZ#!RjG#&w29StfiVh>M1<X4ZzHx-Nw3=lVU
zXC?Hy{_ku8r8-0oZUV*i@g`8h1*Q1TRUr2qZvy2dP|oXY0-1cg36$0$@}LZLya|+9
z!19n1pwmT#1yKYXK`8=Ux_5)DbnJC!bZz~=6J!d%=LyH=|NmV0{a<wQsCXp5gz1H5
zQE-GkgOwp3z2Jo5(zzRyD2{`Z9>^4z&efoFaJ(6mB0-Mn0%!A%W>9iF-h2Y229!R*
zS*o-747hl>!oUEoY?^=k^XT+Z;o$Fk%EZ9X>%rLBeF3cC2vl3^0sfAAOrV+}MMc1;
zH%BD`mZCwC69FOw__u-W>Tm(YDX5SD1!nhVP+)dX1_fbvH^?|}>~}YVnA+fyjDLMI
zNETc~bhxMl`gDGWm4Y2DDxeVe=+4gQ-VI`PZw7^Z_he9rV=)e-gnxZANETvTi^@z;
z0R}P=QUp789{1>84KDe>0oc8H3n)}3gF+39fuJzvUk?sbmyQ}%29QS~zV+ywqhi3w
zzyR}gi%J0~ae+%may;ImQpm`_;M4itqjw6}U~o8r1G96E3W$TSNdsaN*<qrIunA-^
z2!rwzwvg|fqXJ6fkWwF?zrb+|D)m8%P@=R&<pBez+QAlwV5?z?0ZZ&Xgv1^wOhC>6
zEAPOFR7fI+7}MPiO4^nuN{qTEgOm4#PH<X-m9+eQUVs1pe=Tyn8I;07$@@519>ntL
z-V90^9^F1F93I__9^IZC9-Z)V6qLO@I#J8fZ?JN-yGDft?7D6naAYxffJ-7!frDC>
zdURJgcyt#<bUOufdu2H80F{ZIhhBoL2WKfnc@^N=dEBw{oJZ$pkIui2oo9V}m)J6J
zfzrrxm(D|A%UTbVq<i%46%b=!0P{V1_x=z<uoE~z#hs0cN9R44&QC6#KOu@b4}13R
z(Ukxj^~|U97eurhU7b(obC1q@aQVig5aZs%O+&3Hpk9EuKLk_*B!H4>=V#B(f1dj#
z7#J8h85kH4#kfbeb4Is!L4yqkbE&rH|KkW5$F8;)psJ<$5F-PFBmefcXVMG|X`Rgn
z7#SE|7kKv8GZ}t^xVg7l!|<EoCBu_oZp#7wmOqRP44%F9%rF&@_Dy#;C|<!<MR_(K
zXF=HI(cKIx0lT|7KzVt)2uE7yWRN{wE*wl9U<Y{g?*0Kvzm11iFfuUgVg|80?|C#H
z0eKG;w{ec~v0%@8_SUmO?e*<l_CNsCx&j&N!3?&;qq9W?R1A9@Z&3lIJtmMzdqL)b
ziffO~H7YkiO^n{Qm(rkUgJv60s$&2v?Q8+3ERP)^EudrrGVlY)klraOpj-zoIG;g-
zqQuLy`8YemqmEr|?@$8u4I)sndl78V%hez^H6Q0hnAF{j;wcxE43Fb3Dg_WX=BQL0
zZ&3j?IlwOL4N;Lma+YW3F_-Qdl?0F85|s#$E)JM30gv7q6%KF<0c@`$|8_QLlsk60
z;f)HgdKYN30xez+PY4F(pZ)6a<Of!bE!H!b7#JY2p2ft#;L*Dq6h|J7hXa@pu^tVM
zXK+4+5sqQO9?fq&JizJPW4{gq130#z>A<5KT=RB=t5Z-D$3?}#qw^80-O|xK15_0E
zZU$A-9*u_^7#SE0FYN>co#T!OX;5DO?$LP<?B-n{{!S19sw6t^c{CsKh<1!acM+(2
z0`aphs#A_NJ1{XYF!}TrYxwl;)?k9$<Z5^T97X@Y)<Bdo!(H)13+xJ?PGo5&xU@$h
z7HNd;iU*9KIt`a@3p*yLG`P8j?iWxPBmAR>?w=P>|0MYI?!JKAKOkiY7rkgeb1+C6
z;hz~MXbuKRBXnC>Fhd;-4J?o}LU+X#m^8vappqRA7J<q!Rs}IIRtPXk^RRPFU}Rvh
zU|?X7fy#k0IfE0QfD@mD6Q6<;pN1o!K{HzqYcES5b3YT)Jw6Svumo5M2Lpo#0|P?@
zRPRSn4s%4(>%?c^$Y<fm=g`dF!`92%$I{Q-#>9M^2_$CVgk+Q>9|r@(9ia9U$T-m0
z00Z1CM?MXAz5|TSP$QVCLGDrjyHNn57vv65-4D{+%fi5b&<nN)<PLYf4a_JGDP+O!
z5CH}T1|3kwU|?X71{nzI<}xraI6%erfyCf$gPV`$Po^c<Ob3Nk2h^N?^zd@zGjQjd
zzzhm+NLVr52ZbOa$Q}7OT=^z2Gj}s$h%+!SfGWNxP_u)fZfs^^@&yI13WyJ~kAZ=q
z6~uPp6L92{aN$d6X6|ERD&vy?dx%4Wfq?;(VL?g`f(UR#OE~f=G(%FrW>CTqZ~~bL
zDu6<uW)e~hG71-FV`N}pVfgqTn>;hPh{Kd&W&lMpsyIJ01A`C)6T<{9h&VWW85kHI
zFhRr>cp>88uwh_e*bfzNfQo~|g@J)#9#mX_527CIHwFfVTB!H~H1R;FxB@FgJvjX_
zFfdp{#W#RjBS>kf66#KfY6b>yo?~EOFo24~_;OGMQc!ULXxzfYe?rX%^$kEuVdAHt
z;sH?g!XQZo28NYTaZv98Bm~Z{3=9k%FmY&*!qlfj#V0_;!FiH_f#DAW0|O|JfXqO~
zr*VkSW5lkW4~Mu14)Gs2#4~W%dkBa46ddM&f(x6!j^I$QjYAyNc*AB6sE>e6yatCk
zwK&}Gk3)Pe4)Mo0%y(nP9xtHy$L7wjILzn4Ar2~&v6=H4nyxND^98s(Wnf^ihbAUa
za#H}MGf-po|Ns9haftVVB8&l4b}%r2-24Zs9@NkQX#tr7s@GuRpm>3aYay9`5Xl@+
zc@I;68A)6dNqri$%mY!N1~N>2FGv9D9%T2Q!y(QH(u_s@eH`LiAP+&+iz2zl8c7`4
zoz6(&Qb_8(afok05?4Y}e;Y|$5J~(Uk~pY-frSGjv>XIUBdhO65=V}&*+}BZ@wFOB
z967$OBZ(u&*Bc~p<Z#%;28wk^Izf(?n@HlIA|392cI@i4k;IYJ2O)_go4*=|_$?%H
zP~L&rD++1^LE{(M92X>UWc9&F;-D%FW==DbII=nWafrV|5=S;4+>3^~2ig20Xubu-
zFLF9;MiNI3{~jc9<a9U%hxl<MaZsHG^Vd%#aZo!2Ce8`1??LX7L`t8cIK=gk#1)a$
zyC8`pyFUy`TntHl3X(Xo`Yt4KWb?NoiOV9Ha~_BI4;<p`+=%q4ie!!yk~p&Y);Pr5
zk;K)I%$bBFj%<z)C~-j3gE*3U6(n(F_0dS;$mZuEi6g6Di6jnc%fjOKGLpDFlD*8J
zL<w~dviaOd;-Eec%p5}`ab$b*k;IYBnS~^dtbQkwI6snmo+62ZMgd^<GJ*;Vs5?PZ
zt1xj@BynW(eUQYF&B?|g-i1SaB@XekIK;mqi6gs52INVo`;pyajYB*Nhj=9p@wqs}
zA*mcP$N{QC_kswhJ3w+EE(m`C5ey6r&|(bSV*m+hK<iOx@_~!%K*eEg6OdXEJ_{lk
z7#Kil2HAWTsCrOYiYyLdgK!LpfQ}e|jDWSTK?8vxDUcWl!`jhLK!Ql>Vf_YJJ07GK
zgkk*#7ic>KBnHB;c2f_UIIQ3E0!<v&?_mLHMzR;y@6kaMhxL0R(8OW=9)`^9%=qGh
z(&CINhTPQL<bq1DNLfZoPJD54MrulFPHKEfQDR<kVsc4leqMZWW_n&?PJD4remR3)
zQEE=2UP)?22}o~oY6(JbW?pJhNoHbBd{JsvYBEFuZeBrAesXGYF+z1}S!!Mh%;<u|
zqQu<PlGGxEd`@C<NqkviPH8H3Ma2dA1z^=FFb3EI$r-81+3`h*dFiR~`DyW)c`2zC
z@%aTH$0p}OG$iI^rspw~<QL@Rl;uK<sLV^u%}hq|G{`itB#058SX7i)8K0b=TaZ|k
z8lPHNnwSHY%uG#9jn6C1O)bhyjxR1ri7(9qg;r_`RE=I<eo3mHyI-hoaY<rwHdqhX
zK#2E~6LWHsVB+8?0jo#>MGlw+R*PzRab{I2SgyDvw<JD4FDElE6&fw68S!bLfCNi}
zwH9R-XXd5Hr>3Ms^x$@HUSdgnVoC~wUU5lLNn#R%UU6kEm<C69QGQBdNg@MSdqKQO
zqCr|(YO-Z~acNS#C00=jn5bo<d1{(rauQgrIYVkiYI12wYP^v-Rt07V1!h<km?9LI
zVpU**P+-D<oQjRHDmO+b$F9H#t2RSK5W|x=vSpw|keCyn21>-msU@H|Ho$6-0kklo
zfRbZkV1O2JD5iKo3%q_bNoer`SL+0w+J!c!;o^|BLktWHq2)VF0#@$A_@E^pptc)~
z52F`=8e5>gCA44%HUB_G5|j%b?*gecW`ayDgXBPb7=8k^7u1%3iGgTzyY!eKeFKmj
zGTs1cd@?XFfM(`F;voA$deQCAVT9NZQiF{DK<!5s1F_M=?<Pb)cv=G_5694eYC!WC
z%zl`<E8y`n7!N@^fEr7ndJ$?N$o-(M2TVUKT+~2=+X!uNR)Y|PsQ~gcG>$<`bo~IB
CvJh1O

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..ffee61c
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,113 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_25(char*, char *);
+IKI_DLLESPEC extern void execute_26(char*, char *);
+IKI_DLLESPEC extern void execute_27(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_24(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[7] = {(funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_23, (funcp)execute_24, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3};
+const int NumRelocateId= 7;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 7);
+	iki_vhdl_file_variable_register(dp + 3016);
+	iki_vhdl_file_variable_register(dp + 3072);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..5b05482f2aa378ffba9085ddeca40bd34a4d53d7
GIT binary patch
literal 4496
zcmb<-^>JfjWMqH=Mg}_u1P><4z#zbjU^{@B4h%vJf()Pe<$eWwbpGDS&cMLn(Jcy+
z^5``MQ7=G*NAK<v+zbpbHUkfo9eo(2)1&zf2a4+EBOF*Ic7V)+7y?rg8Vu6i`P-xO
z>rMs+hK5}rB~ZgWdTl{Gh=$I0o%bOuWKkqG!tl`GEQSC_kZ}x-U{Q~5u)kp*>jrxa
z#q{PQ3j0$SpuPg@f!Ni2gd;lkFhfOgX0BdJW|4k!er`cYYH3k?ZhlH>PQF=uNm6`b
zQF2Cna$0(PQffwGnLb!;QEE<pG6U)QipxqW3sOnfmt0g<oKl)w017V#GVIMw&1J|e
z&dkltWymdNU;rfps8N(r?#|9u3L2qFrFkW#3Wmmd#(D<2W~E@RQJs;Ao`H!bR2Xaz
z3j+g#00RR9D+2?AyI&{+69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{z
zS%GL*K7nSY5<U(Fh#m`&7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4z
zfng<xcI6XjV{+t^Xl8cdQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWA`8RE
z|JY=ivE)=PutHEMfEjRtAIxF{lgI=lT-Y$;4eVbAR<NIt)ysi7tPHU90_MWPfq|7_
z155x)doeID2qC!>9L5X`3>wh*f+~Ykb_@&*f()S0hX{ef6vT4Fp*|RgcsbNwn0*lc
zFfbJ3P~Qku4>AvAA2?na7#O;6s9%Re{0P*XGLRv#bilyCa1w|5yEw#|7$NByY7CrG
z#3Am4Lp%zHcn%KnW*p+v8A0)a$laj41#;9<9O^gV5Z{eM{1^`Li#WvZ;1K_bLmXT%
z#vAG-GwAs^8-NHy5Mcx&j6sA6h%jY{clYska`cJ!cXJDN4T%qNbn<bHXGkl}OAbj)
zV#v(Sj0YFci6yCEPFY4uPJCKsPHKEvVo_#dQch}oQEGZ-aY<?sSiU$luQ;<LvnrLL
zI5W322d1q!IU_ZtG$%E_peR2%wYWI7I6ko?z9cg@HNGmfC?BjfwIVgSv;;{BLvCVb
z9#|?PHL)N*GcU6wGcgBbHpp4UsU`8C3M3`7C_X1YIk6-&KQBHrExsr<H?g1~H3h5@
zq^P(o9#m66TwRb@k`bSmm<#a@NE%ch#DgjX3<brRxlToyDe0;4>8T~*DM{f)nJ{lA
z7o{eaq{gSD7H6hIoCq?iC^^2QC^Io9J~_WMuLR-%Xehvx`jzGefhxSjl2p$W2AG$P
zOc6{o1k)VBG)6E@7{HNGQk0li42q}t)UwpPlH|mkoTS9$YzDA+Vlp^5j1hTCi-CcG
zn}LDh&wmII2PI|(22j1h0ID-!;;^~_l-_xe)Pu?|kQR_QsEGhmZvqlzU|>KOcZG^G
zF)*N*4=Nu)QXuu9G8$%197qt0dy=8zAoYSs?#V?G7eW%B1QiF_3(^BKe<qSRsJ4KK
z&x4AC)Pm{;nD`1LaZn=<CcYjj4l*B9yTHV^K*d4kh#|RWH&h&?9#opZ)E|I~gVclK
z0w#V6NgPyH!^9s!#X<21s`p{yFOkIgknH_{B+iK>{v9d~au2B1ftm9MDh_fFsP2G?
zbAc*kX#7ecnInQEE{!A(H3nP*%ECAd4DcEbqzzK1LL@<c0kJ^h@*oBjgZvI+g2WX-
z3@C=NLE?%~F%ShypCEB1kN^}LKxvRTtd0W-!OQ`L0|<k}K-dOEK+_>e99Ex(pozok
z%^WmwSUt#~S6rD}l9<GxS6osAp)+8tqSTy3y^_?55(d4L#F9h?y`<t|2EC$u5C^2(
zP|pIDYY5{q=z;Zu6&9uDFz6-c=jNv7l`!b#<(H)Dx%-9c7MFlZ0SG@muT-y~D8C@J
zsH76AH8mqXtq4^9LETL$1qw${*n;u`vRRNm69a=hND@f`jmrQ^JD@ZNQx8-60hBly
z7#Ipbk-)&f04gg$dZEJLb}UG(8Uq73t%1rg5Fdu)L5g7<BpO{<2bwm?)o%#3-w4SL
z5HkU4(Nhoy3B%kEm1Y3>8^lJ}?+W!dq<xDp0HhYggVFv_`=QD~QeYea6##`TSO7|(
z^JAd;(fywQ)emcrLbWr1;v2>W(c#dt0pt%5ABGb^l??*}1FRec#UIG;APiCi%in2G
z`(gPMBnQGXp!S2}2gHYAn7?6kK2$$U9!4L5>WA@RG)y0iU(W#P!=Wob0Tn>^FU<Wg
z{nMfLLzTmX8D2mI7QjUy40QdV`U(^$=;6l!s(cw37!n}b!08`U@51!M!Vlyhs8vvv
z5UK+jp$SkLRL+9x0d)N!F{l!VMkx6LnxWaz3<uc<RRX3!buu>n8Bq5x1gk<2==uRb
C6Tt@n

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..f457fd641ee3dad7655dfa6de615d7ac7e2ac6ac
GIT binary patch
literal 3456
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|4USd;$c|Ns9x7#J9CFfuT7a5FIcU}9kCU}0dm
zfCXEyGB8YFWnfsr1;IbK7#KXb85k;{n1g|V0fadj7#Kj9nE?}|F)%O$GcYiSvO?sz
z7#J8pm<PgTU}RumU}S_~1~89-;s5{t|3T7VIR*qDBnHAzqd-gs76t|eCNK*^OM=W}
zU|^7g(jY02JR1W8T&*fpk1diKkUEgPAPiEk!@$4*@{b!HHM&qU{qd;LV_;waxi<o8
zC&(TU2H69`pl}moU|;~bFBXp)bp{3oc4%0D^a+CuVPIfTh!p`j6Uu{7Ap1b!05TgC
zKKxK~WuP=jPKkkm!5A8EAoT$;BFrH50#GrKdqDPpFfYVdh6gbs%&@QliGzeeGzi1g
zEP$#3g$+m?pBhlu;8O!~4=8L1sR4xzOby6B5C*vuISqisKx+7)@xdTd!2=3UkT^)K
zJeD{_RtpLjm|6vB+5iPSD2;-|;c8<h@qo+%iGj>jBw(%@NFg-LL3s&g9!MDGUa*)<
z1rI1qg2X}L0>WT%kN`AYfZPBUld0e_f{KIGf$|$z94dxxt`$@qq?Q}aZy<djb3uM#
zaGAv8fuvRlsuq;SK<YqhKx#qhiNSFaIE#bCpjyBb$Zk-$kt!Zvl3&1(oS$1zl3H36
zpPQdjnv-u9Uy{U-Sd^T>;1U{wA;|z!l*o{inU@+LZ(;&tn8FxlAVp?Sp0OpUta6So
zDlTCNc8*WV$xqG(F`V;D^GZ^SeDhPx7=nZ1opZ7oJmZtWBuJ?zRHc7Bx<ZIPu(uiX
zGxBp&i}iDjOwvm;b8_@c3UqVwOY&i~ZfZ$sN@ikSi7s44aZ$2977y!{Wu!3Z=NFXd
zXXd8shh>%}rsNwL7@6o9LOJ?SUP@v~qJCLMN{&9rq~em4cq0P?3;p7f#JrTmq7;ZZ
z1x5K;`V~o~nK>!N`j(cKmU;&9o_-<m1||lk@dg$q2Kt$K#U+V3ImP;kIXUqKIf*4{
z`9-<KFuNdH^og>Id^eY*R+MDs)5FEcHj(V!%+%CWSRkjw=j5knCdV5Znwfwcp2MI|
zldvXWC)NC*o0OkYIS?K}wwMCn<dx>87G);K7ngujKJB9e(?aUF9yvT{oT8AtfNU#O
zN-|JV0+o!Q`T!Y&#6Wl&0|SE}0|SExBLf2y2s1G-Y+__!IL*YsP{zc-Fp-&o!G)QD
zA%um2fr*8I;S>~u%mV3$(I7UczJc+Hr9pZ?^&zOv1+`^BZ50Uy1_n^uNs57iL7IVq
z0o0xXwJ|{TJ*b`swL?JmA!80`BSQd6gX&3Sd1N+68G<JOY78(iFi1dYbbZKtWPK7K
zM}yjhP#Res$aLg-7g-;;Q4VU)gBlBHZ5EI|P<Vi75XPqu*?f>%P}=}RgD|o_^l(Af
z2W~@vG=bcNCtT3=f!eK5Z4Aivp@$2)K4|oSwIb^?05ymh7#J*|G`c=$^kC=%0LicR
A$N&HU

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..556274cd51a09d285ee52f1799add4f82263281e
GIT binary patch
literal 2750
zcmZQT12y6hffy(~K?=ejB}YSGGz3ONU^E1169RMo|NjrdFw;3;3@9z2&cMLV0Ks4W
z|Njpa9`w`~X^0d3SRix-<JEBg{>xzk^<`#}MGpeHv=p~a(iiP8`<UmUT(v?gM65An
ztH)j472>C*XCIj)>)y9{!`^_-?De)GtF-buq}}`O{9xGoMY&+fm;S2k=imRR)fEbP
zY&w}WGsDLC{n<0KXFmTvM=;6qhd*;g!wHc;myMkyc4Y_Wyn3`}kLCJDO;sJd5pfsR
zt>}x9G+>{n`9rf*LfiY|eVxj?r7O-vRh%n)a*9FtgU`X}_gsOOYG*!P-)^^+@86ZK
zIZEPeJ6O(({8{y_dQDi=8=JnZ9Pd7ds68|4*Z2NelcV$6;pWO6DhCB5mOY5yWBxI2
z&Fj!`!QRP{?~m$>L@!Uj>uRu~vRmMz*Xw;xJ-zr}u<li`^|i_RYWdJZzk^BW_=DO>
zU8b&U_ut(6>duc>&+fgOQKnZDu;KCF#=F<6cMG@4gg3t_I3BA!M{fE;2Z?2gLK24z
zycm+sTyopFNpq%AV~W;OuVXpWPR~dxj&g9Ex}xsnQ=?U!OP2B|T$|jm)MH9^T5$2p
z6|9|4vQv_0^}JPHvgSnbw5c&(YMt$7rtRlqvb9u0r6bL!#&up&JM-GC|C~(rp_L^z
zE49O?9i3~p-7s12{uIyMdv8bIzJK@Erz+j~vu-fwW|?`jUwGoZc0sE>&#m&#d%GT;
z)RdT`5Ev&?!coAts{6potgAY{<~No+<nr&#y1626L&+k|ZLaH&JM(B9uw@nduJ*0E
zzo5il@W1OFd!Hsh^R05xy=&K=zScW;?%Z9asyw=uHXDTMAE>kM3!lFAUq?<u&3}W`
z`K~iVic=#T8~v6`nMTC-If(lNw)cPV___7_#4m@wZx(s+(1_XVe((MJC)sw`J@+_r
zwz54SS}oX$(f<hBfxu@`ryE`6ub991V95F5udQOudd+ga`C%s<_vg<0+q~w=cX_Q=
zi$eZGCz+jY$fnP!;{W`9_4VVC;f4P^M9Pj!E-#zjeY@VTU-*6XB}q5=RTlL@Q#u67
z?>c+lf5g5&Bi~Bhb?@}_<CB-|Kl`crw{hT=(!0Mo{@V*E>GkzSe0;M0W3A4y%6TOp
z;@^Zj-FbgpQa$U;hfn=B=iE(xm_JMxIm3MDK8Jolkn^GEE#KK3L%ug1$vYls|FykS
z_~<=@o@l>a?{|3ae!b;=$(-8#ujOt8ncKekoit&W?Rj6DiNC&IQc$~Dd}xR8mbq`=
zh6R6Vn>c@h!{I}okN3@Z6w~5(zI;yF<eC06GCNmakqVH|H7$A>oLK(&g#3-b#Wy9{
z5;K<njgnYyczM=*zP$(DF5Noum_nxi>6LXCzP8AI54P^G`nLUG<LjfnYuz^QTgwvd
zIdAqZGtG808%4*ONu4K+>{rcSQ{Y|2S#$q+!IwjaV|TceZT@rhTk`u4FZ{M%Ph5KL
z%ZIQ}btm3F2xd7|Uv^=^!g6PA<!H^DPjxQnKayfxw@&g%qq@s<cA2mG?r*<rnX=RS
mX`c4{pgS3XhObT($)=iSomf$$`fKL09Ucq+^H0)oU;+RH{yu;J

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..d801bdb8fca030804c606cb19944a479de1c404d
GIT binary patch
literal 280
zcmWe&WB`K-#=VoZOAa{*xCY;1`r9E>=NjhbrlhT`J#nIkicn{aWMhYmlCrY$M30n|
z6xGdht((@qO+342_u-R!%sJ0Sf2p?0`m%0LgskTJUs1so76IoTn(k?Oyzm=$1@rU|
ze_Vf?&N<90vp36LGP`$&J?oyCcfLIjPOZMDo^$?po9=m`Z8p|7K3UxObY;WS%!JdI
z5Apq%owMow`kB98f4IvQvmyQXUp~JR@0<3DADp-SU|e>Mj$O$+L9_We@BLTKsGi_=
z)@Qx+v&!0(Y1dhI3GFrKU*!G8^GoZ^f8W2`t*kXS`q5J-u=lC$7nk%me*#O|>x5z_
m{g3?8_$SVK(?+eGKko-WR9u!;q5JWF@_*4|ag3>NjV%Dh%Zw%f

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..a16fb03
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  8780658004807298798  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..f889860d6864ba306fc6fbf39046b1b04252e17a
GIT binary patch
literal 383
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=;F`x%u_m3Bfq@~Mk%7UBfq{XUfnh;#
zh)cYWzq_Y10}}%S7&9|4FmN(3FsO&BGpI+XGpHM=GpHM?GpI+YGpL8FGpPHhGpKv0
zGpOsTGcYnRFnA-G6iS&<3JeSktPBhb{2W6<gB*Rp&S79+VEF(4e?7=5M+OE40c1zV
zhq*e3_y>U%GBPXxy9cBY<QEVP(hS1?{{R0!_y7O@mQVvi{enH+{ajs$)~Eq8g@J*g
P2ukmT(s!Y>9Mm=dpOrp^

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..59528b4e45f7e6d8c1919d8f335d86acf8f4f8e7
GIT binary patch
literal 6824
zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj
z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7
z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y
z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w
zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq}
zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx
z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT
z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T
z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK
z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P
z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4
z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV
ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6
zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN;
z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp>
zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa
zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn
z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa
zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH
z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq
zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J
z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_`
zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~
zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_|
zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB
zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a
zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$
zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l
zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3
z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy
z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf&
zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+
zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$
z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(2k)H5)o6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz
zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD
zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT
ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh
zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d
zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9
z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA
zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk
zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6
z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ
zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t
zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{
zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv=
zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b
zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk
zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9#
zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD&
z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us<
zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A
z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l`
zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK
koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0JKDaOaK4?

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..1b530d985519cb5124eaed5bf1e273a51671ce7e
GIT binary patch
literal 464
zcma#Z%*o8FP>2Z5%vFeRadKBMFl6AVSd;F+z`(G9fq~%yBLZ_WFff2H0}^0_v6DrZ
zL2M9aNETscW?*1o0?9$eS#hvI!XWh^eIN{CBkKjR*+7btML<jjMg|BC5@G=nQ0yQA
zVIa$c?6imyVg6Ik!0-YtU(Ewi$-ux4V=yo<M2JH;j11L0Aa{T;E_sl@Kp49`!hIkI
l!|b0S3^U&gZa>Ih4L|3A`5VL#@*ulG7?(WAZV<*M4*-)hBFF#$

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..228b48625c509acf605a27a2331d425a95543df9
GIT binary patch
literal 26808
zcmb<-^>JfjWMqH=W(GS35O0DKM8p9?F<2x*84L^z4h$9yybKNuatyKzYzzzxEMPH+
zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?=3qeI;v;c$;(#Hy7
zDu4(E1_m_RR|FysqZyF&f$f8N2#uBi8_K`{qmlK2!Y0E9Vs1tZD*XZK?;lVarVkWc
zAbk~3eHBoBFj@hm0OWiq4GT|@8$s9tY92a`=3NGKeGyQ7=(G#O*$glmWCutn_-RQB
zh>dO!jE~E{0H{70N02ED4CwS6h%f_;2H61;3Vd3U0ty!pn-~m@K87HueYoOb2Grj$
z8k9~z=IiHVCYhP&=cMT7WagDt=vG*m>6)4773b?2fuzA{9%Kh7`rZ9P8JHRv4uISW
z(+^^Uk`%~zko>jSyj|6MmxgX|y4!StvCW0s;H)}G4af|T9*`Q4!65a}s0DEuKx}OW
z25_E)_`eY>4iW<~E~cK#1<|<hRz?N}SXMw*bDbHx_;eiNhB(A!L1_`$U?^J@hx#rC
z?Dn=ZVHfYiVa_xh{sM(1EbT#^0;Z1QP`?R>y&rJ6lM{zIIyk~%6Ap7Karny(hxwOr
znDZZp`WzhMZaB=z#^IhG9Om4?A?}GQJaLFG#UU<?!+bX!?mUmfoHQK%mBFF@0uJ+w
zaj2h-L;N`o_pircKB&CImQU~DP_K%^-h<2x4A80@obKU7yl04Sd`fCjYI<gINorAu
zuX9d*UTR2UQcfyEe0+LteqMZWNn%k+d^`hKDOQD<*_rWW87VpOX_+~x@nwlcnIQe~
zFtrRI`OMVR)cCy8+|;7X<oM!}l=#xT;>`5C)Rg$t!qUVXu$tte)Wnk1_>@$T3|J(!
zA~m_RBsIREC_g#1xHz>KEDE+OIU_YWJH99}FFiFrKP^5pFD11iKED8=AhD<@u`)h6
zKer&UC>6;Tu<p#f)S{Bi#GLq|)U4Fx60oksoXqq*Fbk}tI5{IVr8FlszN9EIuQ)Ne
zBoh=Endy0nIq_+U#U=5@sU=B?$=L>AEx7%cmsk>?n34ik1vWUfEH$qr9^}WwocMyo
zqQu<PlGGxwd~rc(aR!8yS`uGe7GF|XkO~Rbg2a-H_`Jm2RETs*Zb^K8UQT8nl%HA>
zUz8kQQUvl-a(-zZ#7kiFs=zvstWHkM$pN_>ES^%CmzbLg(u(jUNG({hxBwcW@W6n_
zM0{dNd`V_*YJ62{Q9f8PNLM^uA(l`p&dDzat1QmUEzLoT>WtLHg80n5Oi-+3RzZA~
z0uPR&)SUd}#FA77uq9x#(@OJ_<H2Ex;-BKwlKAAJvf}uZ(%b@UK?gP=2NZ#2i8-aI
z*dqe02sH&2!(0WHE6Oa+%uA0?O-YA16=ZEiab|8xW>GxYsi4%7nHFD^nwwZqkP3<Z
z;?%t2%o3P6@SuW*DL80Sz#(3ond?-PnUbCwpPpJ0o{|(^lnF{A@$osCNucZl%8|K=
znRyKH@yQj5@oAZPi8&x+b5nDZa|;-9Q*(<`OF&d|K_x>@W>Qf~W;`^|^NZrMQ;YIa
zbM%Vyk))C!)}crxgBmtw4DLRjPR{X0dWI%&rZIwPq-V;YpI=a-pP8GkAC_5`n38W~
zU}U0a2<7NQc{!O$`Z;+OW+r<1`g(dGR8mk35z$XiPS&;5GuAWEPs+>#Nr9VL;Pwp@
z10#hHW&$$<BLfQqBe?Cv$iU1X&B6d{UNcOYIE@X|V^M{QZ2|L{84RHOQmIT%1_mYu
zYf$5ifq?<mZils(3zQ)xY5}xe4ih(kigP4D<YD44z5<#!gCRtn2AcQ;sJH=|cmkx^
z$zXvdE}#c7#{o@zfdNE308KnV7b1Qm9zuiKl%O^&gvY?}07;x1Cdk0R@B&F3)MkZA
zeLxb2wMjv8Kaj-LK>|?h0d)_koyH3l15q2|KqLbLJ2)*s*dTHTk~pX>3=#w314!ba
zHZw>Jgij!eL!%HR%y0oo9OP%PAOi!#4J2`p-7xV7NaC=#1j)TX66XX7K=B78aW1GB
zi28vf&I1yFVQBb(?Bs)qF)%Q2Ac^xMi3=c!3nGb2Ac-Tl9~6+pg^|>2Ac=$eCom}k
zBymxgAOiz~1(LWJlDGqsxHyuy2a>o1l6U};xFnKz1d_NEl6V4=xHOV@29h{zYyc!(
zfFus;lYqoPxB^LB4kQ4@4M^hhP%#kIfh3OHkC=cYt_V^H#WRq^k=tDhki?-y16aoj
zBykn62!z;xB(4e(0+TzC#MQte5aIxmxCTTBOrAgz*940|hzm&KS`eX8ax?@+Ltr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl(g}`TixnCa5Z#X=<Sx?$CFnF{cC}H}4!K3*I
z$6;_N{5P$#V_^8NT4Tq+z%TE>@Lv_g&j882eDMGO|Np8*b_@&|py8aC7r^{15Fa#D
z^YQ?gp9JEAhG<@H0P~|je9+L$%LQP55Qq;Nf_gat%=ZHEK|?ez8^C-g5Fa#5^0ENT
zw*v7&LozQDz<eVRA2by6G62li0`WmZFfSdzd?gScG?ewy0L+&H@j*i|FBQOiArK!l
z6!lU7%;y5}K|?Yx8Nhrd5Fa!Y^YX(#kbi&KGB9L-hQMAv0P{bA_@E(~mlweNS0FxU
zDCXq>F#i#V4;q4bxdF_-1>%E-US2K$^Dlw;pdpu+6TtjaAU<fQ<z)kye+a|}4Y9l|
z0P}Z&_@JScmkD70CJ-Mqr1CNV%wGlKgN9OGI)M3$Kzz^;%1Z+<e-?-j8ajEY0On5u
z@j*i-F9pE-E)X9yRPvGm%x?nmK|>@jKl}yxzY4?$4UN2f0Ol8g_@E(?mlweNED#?w
z6!P)_n4bjVgN8s}ZUFP6Kzz{9$IAs^K1hAq1W<wkVNiNBeCyeHG0vm&kw^2B4*?;r
zh6fB!di2`95MW^VFY0W|!0;tak6*q8q@2N{*LI>U1A|8|E6Cj*mOn~FJ-S)hKtint
zN;v;N;FoUzDLf3NJ$h{uL6W_uAa{6Z{`2Vk;BoMsy~n{H%pQ!#JTCq#5%K6|J!=EW
z3mpF+r18tUFfhRMgWT6(D{ITZz)-3k>d|bw%7%e~!K3qO36Dp&?KT?*h8LRu|NnoH
z^8f$;W2|3o7#J915A(~T=zm%M|NnoedmO_Z!yH2$LxMdzFCTM?U}>%jU@W!s>8^I@
zuJ-6I_UR4|Y5w)U^9R4nvF2Zlj-B6J_+7qRes|&b`{2^~@rCZ+|NmXIi#=TU*B6KQ
zGX8tn&%nUo(_0+j(d+Et(aqt}?ZDyD>7C%w>73E+oY3hU)9szZzy2WqdhZm=6ZI*c
zo&P->k57<dV3+^_{M(!(e0o(5_;hC@T+r<u^WyNI|Npx|+EY3YX$O1ouMZA!<lhz|
z%HYfR$BBQNh*C#zgyo46q3+-u#tWU!G5qTf@vlE+d7^HuV;5VrV~k_0W1M6B;bTrt
z4E)=i96Wki4)AaDa`a&Q+10}MP>O+}!^!FJgbCQ?jim9*HzUbAg3a%0vA6;jbUY04
z7?cc!2Y7%-=jHCK1Xshit#2LqJ>GV{e{ufz|NoAi$2>aUzexG>|G#JFal>yOoyR>o
zU%e3d{r`V=c0%i0$339r<ihXy^#56(&gU<*|NQ^&(dk^^(Rtjb^Pf-WqZgci|NrmI
zt~l;o16J9&7?c2yH-pkEs6aW^+yN5rc24N^_}_ZKh2Qf<XLk<+1H<uVST1nsTs;LW
zFoS^sTnKq|J6CvgHZK4rweDt+c^;k3D;O9Uy1`<d&7kSy?(Q`V3=H7N?Owvbz+icT
zzyBvVIoL|UBiN%mJD__v$hz*$AnUp(gY4+;1{v_;{;&W4A<WJb+RY$E{Og-R^8DLe
zSQ%LU*|3)9^KU!gnatAe!}#O>1CQ?Pg6`^y?&6y6$sq5&m<KWd>=<q53jXzAKOb{p
zWng;k4h??{E!jA<FuxW7X@P_mBZvvc-C)zZp&l%e>7ESoG~)$G5Wo^0f1k+z|Nnh@
zvnv{QgF~CYWgY_qgJ-uZgJ-udhev1g7En-jc7r0b8_el!-T(^E?gQ{J+`+)W@LCyc
zD}v~r3{n8H52PGsU%fNBzq-3Y@-KRS{{P<%HW?8h{M)=UI(%3eAmZKKAbTuNlrHt@
zt}Z~h(AmMG)7!%%c{U`r@4smJ1xjc~A?eJc^VW-nzyAMs>HPk}5==jTVF*_60#tXy
z^II%x>Ida7M@CTo>uRyMi!*-&do;cQMY~7mWzWukKD|{AhHnkO?E+QuKArzxaQyuL
z--fX?*rPK>CBUQGIiTA+qKk#2qeTUjqC9#-R04cDzrUFH|Nnm*=29(?G7iIUAf0+Y
z|Nrk|=x|Z-0IA{tsmcbaVshZ0a`ZKm;oHs^9*svpR!4(Mi`c^x5c$=k@l6FIsHg?G
zsXHs7*Y$sA6DZXoa&QwUu8%i?5-uo<b*=)r=Xet+FM)DiXA{We<4vHn4v`0CsN+qb
z%mS8w|KjZT|NlE(R9HYoK;jQj5pV=v1PFfr|KFv1H^@rIUUx><*8e*}rto{7aBTkn
z&xPOrMJJDnNAgRMUeO<*$O30kaD+Vrl_4KMg1z8`;nKMqls=AwlOD(vm(JCoba1>G
zlp;Zn=>li-j%HADJKlT(qz05e!C9)a`3$&txB{IlYyR=iqti!)gTL=769Yr92V-aV
z1+ao6P;IRT_&e?~fog^n6#<{#9F+)IiUvha1c(se-v+j;!vz$lph5x^nBAK}f!RG7
z6olQ~Amd)_`UXnUAf`6BB;#M-43Y&G5gjfnfj*tzL8V~uumAr$T2w$G?$Mo{(Y+hQ
z>fQ_r`|io05QiF<jbt213IF<LkSxTw7L}Qx0t{s0s~3#FK&A6>kM7mrk`Ek!-J7?7
zLS-^2)Sw1RAsGk?WB&EvFm>suVPyb$6yjTt&N(Uuj0_AgU$>|ffD#wDbj0EDgJ1D@
zyhWvuk%7Ud^Sejy6tKZBgns`24-U-EIVvweg$gu$TU0b4HsKDFa3q_sgo!4?CXm4|
zR{Q|l1Pl3{ATBiIJLjl?(m15l$KkJ+UqGckC_+J{K1dNtl(wimU|?Xt9)~a1g7h|n
z^lC#Y3e?zp2#GyVn1Gz|;@@|0qCkpN9*|?f39lP$Om{aZX<MEsG3uTSPTm(f!Q!xz
zmcP&I@Bja=MUFRvQaC7i9|y~WSU%mGK`Fzd+ed}NqnpvA+mpki6JCyjvX@8a{TEhW
zL0J=0j(!7`qmiJ{u2Erm@d4x+8<iI?zJOGKN+M8!gIbn)bXPfebQeW*I|X!mWjO8t
zm5H5)US9qD|35fOA<C-&*UsaPo##9{KYMikb?iLr+q=Y;feVyIp1X7&dNKLS|NpHA
zO42=g_X>zHFuW-K{Qtj4@7^Cm3=A*QA?yTBP;qCY;?a4}rSp?Z=g${?V3!^C?A@a)
z0XFKHPv@@}GeDx<$m+sA|NrmP`P`%P9!S0dB;R-xV%&SUX{Z&&OQSC!FF@QM0;&NL
zK*_Z8vuEc&&;6kO2B?n(DaOBl{Quvh+c~4#yP&~_gSk}O^Z#*(%-xUw|2uZIy#Q4$
z&4(Bn7##Vxw>^_)U`Xq1KETMp@VdaWx1P!H+lwtABYLYf48Iv(GCcX>>c{{8TMqEI
z{9$BZ@a(N;HvINt5=aH4ebe0yidV2zQJ&4mSs->5LKJ|O#&&mefb#No5stLZ$sl{W
zTsW9Izz*=}-TecUej5+3U}Rv}1?q@;bl&r5JOc6_C~o5%<6~cL{q+C8XKy{5;kOr3
zAOHXN?Opaj0Mxny8SBB^46?(cvqc3|40{}JQ30hrP#4!@FUUMlaqZE$M&$;miP78k
zQW_L(&};)rb>M|4Anl;s>ahc)1(a++BA{iapxgn<b>M>Y*^8)8pr9!6@@ziN4)JKm
z2XLUiLkZM3h(N{e#TO4h{{R1SHONiP$2lP;*?j;NVJMz*QOWQ)?xIov_D*MxO2zRO
z6;P7{?84p<6$z-b9)AGUhR0mGYg7_EdP`IyK)N_!x&%CWYg9PEErb`6Am{RLXM;w$
zW0xD=sCZ%d5!8<P|3dJ?|Nm(5a(F^8DF5sStssFUzZcc-L1_;hBPg++!NkA-iS;Zd
zP=9bYD2_ZD4+k(IVm<oh*$<#Z4$i3%!Z9q^qxp@82RNO3><2C51^LPF+Y2FxMW86}
z23Mz`CXS1WgGc8hP`hRF=l}mZnrDED;@-`mO4_6Ga04R)gW;u}prCWy5g`rA>)$;(
z@4Zm}@c;iV5Pv6#096v5_dJ@9ctktKz4U&M;v!J@1mb7VLLI16+TZ>Ef2`SoiGhL1
zr?*(cr+2po6Wk_O!vo+b`uF0%dzdn2xGR2WfnDL#`R~O-xHJ=7+M^II-2|6L=&pFc
z2&&USx|8A32;CNTOi*cXbM2))s$W1MjPMU=p%T<T+uwp5`U2{o1fSmB7qI!~1K8Uj
zWe69&Xn;HTB3v5bq8TP|2XBH)BXnC>Fhd>uVlrGBp}XP=Od8^!{CEHVgF5`d9-Y5;
zf`*_xdPQIBfKr#~6CMVJ7a)0$-rXm-85mwLzXO%uyBR>@-{1cKAAK046FjumYq||2
z`tj}m|DZA#Sz<0o;>ElF|H0+Ni+vzNKx1zpy`i9#$<g`Sqw_1Mq1mttRL6PrnuhU$
zTwn{LUYvacs;b^~-iO+6+XFK2I!LtFb_a<4`z_4y#5e!{hX!Xc1UQ0>V{imp>CwxZ
z`yb?TQC~1+$^)is_ki@qgUo3@qOd;&v;u768<<JYp+1R@Mfe5eYLEj*@n{H)hQMeD
zjE2By2#kinXb6mkz-S1JhQOc=0njcP%V+=p=REuWe-0GG_#j7vb~@>$WESazw%3)U
zmKMe5=BJeA<eSBpB*iBdC1=DZr=`ayrDi0S>4VjR_iur8LNHj26#d0zpq+3GB<ln1
z1OsgZgIG(t{@m1DhRov3+}vD-++yfH6lrE_(C{m0GN0xC|No$o$&`ox|9@d%U?_R`
z|37HxIpxv+|2&Kg3|Aii|L?)b!0_eS|Nm1M85mlg|Nno1k%2+v&Hw+Py{0B_{{J^%
zVqp04=Kuc+CI*I<_y7N2VPar-^8Ww-4@?XUB_IC(2c3c70vZfqW?*3b^#6YeGXsPD
zr~m&aFf%YjeER=?1v3Lf^{4;;4=^(@fEEydyvkS=#K2e~z$neb&M^UG9|HpeXmWqY
zga7}*`vqLsL2DHlKojmJ3=9kg_x}G+0CmIp1l;%}y!g4xIT{%3rL47#RX}t0U~@rR
zn=&5!{|{PZ0kQ*xEkJ%|U|<M%`2Rm>(2Bu{Pr!*!!ii78iBH3k&!CyDhqafbkGY?T
z=^md3SXctAgoA;>gMonoG!ozP=>PwZAiEur^g8hwIPzII@;NlK_ptS{_ObLcw=pr_
zW&(*BI3XG3$Om!{$Q^$e7#Jd+{{Ih}>t=wP<;bVu&Ub*Z8EOPmHOO5GU^fat^n%=B
z!N|a{=IQ_cy`U8+aJ^uAK<;qo+rW(CkU|#h4gm#f3nK%=hnN5VgBDkS!U%Lm0%$<!
z&&&V+_kjd3%}4Vm(-Lf^M=&rjJYZyCD0%h&e?NM7Ir15}^G#p|g*POunC^o@5E0~#
zd>pQP6PTI188O5`=WH-AFxW6LFsyj<|9>#ljm=C<zM#MbrI83G28K6p{{L?U$vN=}
zIPyuj@Fg@e_c1Y*@kxL^!~xoG(!j*P5c2l_|ASC<P9RBzW=IOy3`!URP9QTu18QrS
z7#MyKp%!EmXrTEH69Yr%yZ`^KKmx9O0?kY%Afv!(^9K_H!}53k|AQ91FnI6@1n_Zy
z#RZrd7*@Rd{~xr_1SB5C$H4$f?;6Yu44dEm|GyGyRvVKepF}gW3!eg$KPZ71IDulo
zp^#4@0^|c|N&zVz#iJoG8UmvsFd71*Aut*Ol!gFo-#l!eJZO##Wad-QY$$XY3y2Rs
zSP6*_+Pe=D2Q9z_(e9vj8v_Gqngzs#pW_8uzzY&^09E=73=H7*0n+|`SQ`!0h6Jet
zEszD#uzmcXHZzD1lmGhfe?Ex60O~%_0%Z{Y1!x+GfdPE(8Hj%ZDi2z44C2p&x(~GA
z7{mv)XFxP)fiH-LVW>8S8PNUVpk^LOt^z6nYu`hyV*o7x2FZ7TRwgq*`X?a10@UL$
zcY{}AGcYiKW=lcxF#BONNDPF3|A+XGkpXfP*gq)$0cd43WYr<q|FC%a0F`fp%KwD&
zVfKK`q$Y-)cZP1J8EEA(0|SE_ln#T^X;8WhO1DAjX;69@l->rVk3s2cQ2H5^{syJl
zKr5OV7#PH$v>KE)gVJtLIt)suLFqCm-3FznLFr{sdK;8J2Boh->1R;-8<b`P_r@3)
z#Gteqls1FXZcsW5N~b~TGANB6ZiM)-b1E>@LC>Rrol5~bhXQu)!~*C!6EJ<S^CjG!
zovjo!LX%4KN=g+BjX_6#=$e&+xkhzHCVB=YnowbwPV6)&{jo57{EsdRN_U`BK5C%(
z1sZJ(poR9>)PokZGcoWp96$>nkUo(32Q+bG2GA)W{0y-23TD42xNMSSh(J2W0wfm-
z78hlJl_wxE5C*LQ2AK~l|3G3O3|jEP$RGkgUj!ru!pp(uB4YY?Jq~eP{sm3$GBOA=
zh`54GMa9^{=NMRt5d1toFqeUW;U?H$;_z|`CjK5QF2;bKPcJipiew1}259)e)PvUG
zfW}c^d>9Q{iz3J%!2mlS1=PO>$q9hZ&*EoT0P1WqFff4o>kJGGYG84Y3RHX@d>$4*
z1MJ*N@Yn}v5j0pmKLadXg8StR3=HmIaa1!vym%bqC5#|{fmER4X0UpG23URo_tzO1
z82X^%u>1n<Co?cG%mj%u2{6FwDVS;VLE;Sj3==?|a0UhjP<jQ)LC){vXZQeG0>i)n
zPTwH$(_r-=7AnSd?$}Qp>UqK8hH3_gCx%1Z6db=G6{t86hkDSm9BlF4%EZ7R$RG$h
zOcZPowAy5tg2SA(IK;Q(aL+|1(3wA&=@7IER)~S0p#+q{85kJA;{^;149~&l^E1H8
zGw|350|Ub+ChX^_@q*7~<7fB)jW6)H7RWrXIM_=lgbNPwNU%6RLjlwrSiI+h#Zim^
zbEe`D--JW_JTn7>Ad>{VyaF4-z`$@Dhx*?*#6j&akU8k}ga!-ta~sWYh&$jA_r@V!
z3Kr*QfSs2F9+zTZU}ylJ*MumCVgBj?tB3Ir^hU5aKf?uRID^VfknC}&cmp&cfX6l&
z7#Qw?#X%}i@hcqSvaHy{!3iwR&tL#r&c(pM0BeUvfW=YG2JtF!h|k3#{t)aQeg;Ko
zdIpb~F)%PJ!=ZjBD=1$`G9;jt&--wwzl1~l4l5-8N<hzXh2>uzsC%NJ?t!(#U*Rx^
zi4Ei)%=F301`1Dp23WfTR(^_r#X%v3iVeZy{0sun`T{&Q3@YcL;v1mx4$EJ$U~yD4
zK)hlc;;mqDeun8#e}Tsn85kJ4K;le17?r~WkT}RdbR3*qRAQ)?&k!G<n3Ne`l9<kr
zTvSqAQks^gm&|}TpE159H$EA3LSr#Qe0)lNe0olPQesYgN=bfEaeQKF1p{b4CMUHd
zHAT+=y9&@*ahdUulkHOTN{T8O(m)3gf=<iJtz?LgN8*7`<3mwel3$RMQ<e*!Mu|7n
zOJ<0VcMEd#b&YrRa{-+Y8Sm~F8t>`>74&ckVu*M5@pp3ciT8JN3w8~O4{>zzagAq)
zcZu|K^!0QGpLkb{bd(|JOuvxCBnI$liUsi|i3VwDsmYe{#idE{7NGO&ic?D*VCT_+
zPM!mw1PDEw(HJ~agYDeBOxOXH$fx$99d8LcYSPG<0nRjM00$S$IhKj$scDAENnqy~
zVHGt4dkK6(AS8@I5du1Y5`KCh<{_8ZPd<blyomc8NbrH2h_r!nb|=Wkpd$&<&V&Rz
z3>;>lkVZQ_G2YWZzPKbMGcUfh7<ynKSUv8uGQlo@9rKA|e|&sOVo4(8>`(^i$%Cng
zfHgroxDX{yp^=Xg+NOwb#dq*0=8=k^V@C_(QOq&~n+3K7>w%;g2X>;yB;veMoJVKI
z$A|bjLo)>^Ccwc6KB^MWX_Gh(PmPaHDbA12NX$#gft@soa)>1Sh)ndeKH*^jj&Eol
zfu1@FK8X{a%uN~M<CBVup|J&bFy1pVv4pD$)*Jyj9u++Q3p&3OZaO~aVIHpvO3R?h
z-xQq3YeF1^Qes$wBO0U?Gz|<Y;;<eFiaRABq8fY<D&%xcl(ZV3ng>1F6U&jNkmF1J
zN^^str<Zy{)FLH^WY|%x@u{E;gUBIf3>b%f!Yww&9@6Gm!`~Pj2(Y+@9yOX;3@S{M
z3o7Ae8k#Ub4ip6)!3x#_k07|7c$6bw8T5)Pb4wDF81#xuiXe0bjFp*Jl3G;2pqH0l
zlB$=USE^S~lwXiqR8ol~lvJ9TlcJlM0u^#}^3(;@dtl`miNzTVdMTB8#g(}bx}=B!
zEK`<RRGgWghr)?3V$dr}%}E4lfU*j5N*MIOUePPaDbY*MFJaItNv$Yh&`ZnA%Vf|i
z$_EFxUTOxk#>+@4Vu14?S|L>*L<fuw&GHO-5ba6D#SD7M`MJ5Nc_rvoIyrn$I}kLs
z0UP%N&HaPSfzhz>NRSu^gVcg(7zQ<0L1Tk3{jhWQVKiu51Ev;4!^Q_eY!Ehsjv>PI
zqo4Z^Z7zY7f-$Up2j+nGl%VN{jl;lbbo<fuhchrReEa`DA7(#noE1jH><1kL0kRX_
z{h;e8Kx3>h{jhOZ7_9)c5EMqBu!HG`je}+~Fo5r%fa!;g>%wT*I4;O;5C)k6qG7lY
zIz|f94;vSS(V#hekXo4gVd`LX9RmaCcm=rsVdJha8g|VDy8A(9f^aW*JP0&K1>!L<
zz{Y`LG;ACgW<R?Br$hBSL9{c##+6|-Y#bV-A2jxiu74qT{0A`(j((p8D1AeX22;@J
z0JA{^XdfsjOhM*@_zKVj1EaxfR6r6?3|emiV!~+9I2p432I%-5jE1=vqz8myd>9Q{
zkAkcpHf{u?H-Jv`0BM9`n7vRg!!|Vk!^U%9G;~-VrW`h{0q27@tb*)^r3VIBghOc=
zkR)6+bdVm25884GQUcSD>mCi57WDAH1a&`5{|-lpN*J909an{jV%mR)fq?-u6#-KQ
zJ0}@-&ju`<(e=Z`L0jQKb2>2nuyNA@ABYZ^ItUM8KTI671`CvSVESR>kg$7GVE3ee
z@(;)im_ArMg7(FM!Vjh&HV-qy52PA;q78%zCSm$v^j~QB!Sut&I|IPV8DRMz!o%nu
zGB86YUSRrR<H-?F{V;oA`ay1mu|YIw+!Q2+HvG;2(~o=>Ge|v1EjkU0dyqH`cR=lb
z0d)YV9s-S(K)DRC@)I=v4s$z9FNiLP1d$93TR@QmVS-7R{a_9QXzTz|{=)b$S_L{T
LA&8~{jmrQ4@>tBS

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..27c953a
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,4 @@
+Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 55815
+Design successfully loaded
+Design Loading Memory Usage: 20148 KB (Peak: 20744 KB)
+Design Loading CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..2fb2e34
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..5bd7754bb4ab491272d7388f7203c5395d383fdf
GIT binary patch
literal 34352
zcmb<-^>JfjWMqH=Mg}_u1P><4z_6hi!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_4Rs6*6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py
zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I
z$DEuP__sMZc=WOy;NRxu=)w52tA+7m9Rov$lhfe|6R^uG)#H~hMv`{~o8Q%9aRe;r
zco^a_C>aV5@Boj_%iUQCu7+=0-#YSpyzP7s_M>CxF%Kxyv-7y&H;>Na9-XhiQr+1J
zt#2LofWw^M^XdPyKAq3O5+0q-1s<KpeLDa7bUp%$bY@o^cdh}e>|ETyz`$_4xrKp&
z;s5{t|Bp3yfW*6<6M8-Vw;pie_k7XW-2+xK0VKh|z~IukdJ0%z22_tnw{wL@XY&FE
z1_qDrW{`Ovoy{v47#O<2Vx7%%K<w@{3=9n5$n9Rjz`$U6g1`SKI62sY%!LGiM|XBW
z_im7N-J3zybx#J_(cKL)0PJ!Iv-5;@Ge{Bt`eu+k|27v^29|#|tmXOq+YWdpv$Xp#
z{`mjEqdU8xySk#gxTbqD$a@e2z>d*&uHat}_VY0pRtBcm?hxxI5UqvzwFpQHB(xYo
zOfc>So8AreV2MokWRRyBFF=9-mgxBVME?K(@6(%I(XbmF+Wal^7#J8lyImPPyL~x4
zI-9qEg0iz46q(&%PG|E5a2Otdhv5ze28P$lU|SJH_hgU)kbNNKF#GDA(f!rk4U&fj
z2#ARY5dLl6867^X4Bb#0LH1alC|&B)U0r~1p|gWWr?-bk@@z<K-v=Az(Rmb-&OADA
zfdyPTze8zQN_^qbe1rp@-(pcyKPZ1WGJ^77SBu42ocSZzqwx(W+C4fidv^Zw>8)}w
zd~5h^7pPqG>HH72(1x)z*rPK>CBUQGIiTA+qKk#2qeTUjqC9#-R04dU?y_Mn)dDHw
zF#HD7*~QS|qT&Hk#Q|5v<iJ1W=xZj!x1BFM8jpaijs}$$v4<ygdpUG^c^r3g0a?BS
zL^S_os*wV-K(W$!?*D;{FPr}{mw)Yaa_RK)K=Uvnzk4*ksbFMafcU99E1}o*e`gaY
z)gnrOCQw>A-ULecpd8b=3gn;TO`yC8%DJ6QAeS9)0_7EmJSamRZvtgDusoy$>2y(H
zK@=fJP>K+j?%g0O9edpwU0eU}1ewC`dBU;z|34Rg{}-J+Djvx%VS1ri930`#U}ca;
zFF0YjbnXTvs^j3K3o^x}b2TU(9d8DuXpm#Nz{NyIGbp(pZ$1H114`fEEZNz723)*c
zVPF7PR?R>Dd35@yaPap%Wny6H^<eDmz5rHm1gfp|0Ds3lCQ!|iq9Wkao1+o|3VRR+
zMQ#L$5a8biwyVPhlqNuh3@9+WH-iGRdom~pySqWgfm2U+Gl;1TE(!V9H-lusMNEf_
zN}x~YcOPge+R>r{3UQC_?2PW+AXfKgP}p}*28B2l<3LLI*EfS?A;z_+%mfvHAQK@)
zxMSyWkM7mrk`o+&-J7?7LS-^2)UX%`3S<8D;4pRRs9|LQc@*MXkIp$N28;|0FkiQ*
z6o3*JxO64Q<1H$Mj0_Auo!>orr+^IxhZ8t3JLjl?I0&0GAU2U5CYlJFKn8;_C{JPw
z`OY~ipfnDtHSqZh9Jioa1EdHgN?TMOFo3EpY;g#-8kQKa#NI<l?191r<P5O#4va{J
zByxx`-QA$1ZF!=^sCzOvd0*%Rr!`nf&EMzs_y7ObBFCFSDIAo%kAvkwET8Vppp@a!
z?W4ls(aq@5?aATM2``61*~_C7wH*EiD~G#lR9L{S>$U+$7J~=4Bm)&VsAaK7ca?)j
zcTq&QQ$V*@h9kHf>pb)lWIZT5O+b`a0j{0L9Xro?bbj{e{Oj0x*0*<w?GFx68hP&0
zc?fJ->w%JVkKVlw84L_yzDMs~13Lsef&*0C*{FDQ-gD{v<kI;QqNww*XYU?e0Zx!n
z&wM(6K}5UJ)%kQj_vpL_mv1}@G44IwG}MX)>IMAnU%?Nu6xsdJ9=&^aq$1qR!H>ty
z2f*4%aWlj?0&WHeJe0ui{v85n?&oir3rgI*do#iiepnERU<bq?*ctH%c0&?^El>ny
z`*iB4`1G!o0Na9aG$evL4|{a8sCe}5(YOGXhX;dCCz?`>m;)K;fuss+%s~RcF)TR1
zqw}-pJ~ajg25trh22jNfX=8YFJ7;uz7c|&#F!Q$_12w05>lh8cfn9g3*?^gWfytwH
zHz>(_^lp}5hWg2~w~h&}C;+Nx1E>V+-E4uRh#9V^0IKK(L{S8iA{Mx!2~b4}ObiSj
zy_+lGikgqHBAk4zc>^;81EWXp?g)^&#=|q1LCNbLBmm;TY1Xs1jty$8M{l);;Wxue
zh9|+EYB|8)a)_0I!LzrH9j2nYIf03Rp}RYSiGiVuh2!4|8&>}Ii!7ju;DARjFDM^-
zB(pSnFrN7Tz_YiG3#t!q_;AA&;RzoexFS5^!wXjg3Lj>V-rX4C(_6<6Rpim#d;?VZ
zb%V-hNUUCAVPNoVJ|^&TD~QosCjwOpaX!dAEYT|pSA@s;VsJ%xoG%Vn1adwoHDPhS
zBvcW)^O^WtMM17^J|=~p0GMC?`uqRCXK$SxR4>FoAPcbgM;@*SkAD>4itzYH5v~Z2
zf0Ut$Ji40&7(q!(f{}rt!G?p0ztxu+933j?{$Y8k1@Vs-R4-otXu}nO`~!+aEZI*7
zt_Y8Rbm5Bd_(vbA$fLX21MHswh<}**Tc<OD{bTTQ7o_xXfGWl7d`Gw<kn=%thQ;|#
za7B2W?+jN2ay}>^up}>6s3H$Y*SfnK)FgmJ$2LZ=^WD&+gYD()-{9nB2Gxt#Kjv^n
zc>H4lR|N78$f;OLLrb_KkbgiSgT+7AP(|qeVdigr28xB|V>amiVSRb}7uY{uP`!Bl
z;|*7Y$3H%BMR@$<3s;24KmJff=-HpSRLZmYSOB_Tm|p(>`Tsw(yg%&Od`t~p1;fj`
zKmY&tY(8f6^6=09{~^^NoCFOQ1%oQY&i|hKWEmJ3cyQN=t)TRQs1qIex3}M_XJAO{
zY~I4ez~I>3eyyH?p|g1ds4D8+tpKUsW-u`@>|$fUtSv#>A3(HUfoQ)2)_wt^9hA2`
zdN=Pt*AA;kLE4`|w10tUe+1V40iqq;Tj||=16@0;h6QPV1JN$R40ikruyz4Z1=_oN
z2E_3{(6zHdV+W-D6GXcSMEg6ic2Fz9qj&cKh;~pT0m<*p$Jh{&12W+U#Doxt317e_
zfD)NU?{08*XgmyRL4Zy0=)4CWGJsX-@CqBVN|%R369?3(STe?6P{!!3gVk9c-J1~=
zr6d3L>AyhkG8W-;>}vm0&%j{h!pFqF?LZpm1^yOLM;qkyzhEUShK^m+|AUl-7&7s1
zyOhRxh`$BYwg4$%XkcJSGX^VRYG7b6LQ=xP$iM(n!i-SDilzkA2?Qx&Lnz@uQvymN
zASIj#CERc&r_wkN^0#Dw!lL<@EI8Z|0SIP+a*H@v0_0-Qz$0j|pt~F7xNZ@S&dH#J
z%)i}*g9VzxLCp<N#5NuVCF++c|G?Qw0-q6}#0)b6l*&DNcY|E*(Rdh?uwN=djF7}<
z1Smnni~yx{kKWzj#NBupl(=8s1J#r$Z32((W;Bn05;e>SP-^#pCh*3?palMMHpB>N
ze69c`Y?u+C6c0<}jfX*r{AC2h2pN1vfKoQh2vCxLDf;*Se|URboPmJ>)VxGC0p1=j
zwe<Xd3?Xy8nS%+`5(PDlcY{VLJQ@#wU}Rv}#RBTAbfPzZVeNB_=5L9sXKx*>K*7i-
zrRtu&b+Gcnqr2GxT-!S^GB7mTaIlsNdNv<peVP6rrSxS-I1)uiHw(xA1D#-Jzx4Qz
zR0@YWhJi*SLA`%)Dg4i4zY07gVCfQJb2ke|r;AF)aTk>wW{_WdLsTR@d$&1QgZgcd
zZj5K=vF;p|1drYll?adHE-K)l^4I}V4jMP}=yXvL@aU~k;Q)_!f~|1m-_Di>DYzZG
z+?YC=H$e(f&=7(LB(?7X>G$Zo2UZWAKk#Tg0&;h>V;o`_7F3sb>{rF(1#sYT9%}~m
zdzfFdLl~gS{RhZHjfWjTC2;4xXmCk~G+yA*_y#nZ;Mw`zv-6+FZb=3P20n0I<pCYE
z@a%TaaP0PncHmZnO#6QrG&%_x(|`t(2gncxP=(~t*`o3Rq^frccn}CSTI<nyxO)wF
zl*6NUiwdao018G0R*=#iAdRqK)Bpve0wNf@nH@XZK}A3Rc4w9}$IkX6pwg#zKgeUA
zjmKAjQYc8jPv<}6V0_61DpY!_Vae39w;sKh22$?PTMbL9p55)BfOqWfhc@1r`CE^I
zoYz|oORv7Y%Rq_3vAZ2qoOv?0gNg)@k3l7($8pGT9>`0cdqGYDdC8}9jS8r{aJ)sO
zfsuj15NzNS6;MG89<zJ~as@-nfs%ZW=EJOrfW;f4KAjM4*aKAn<N}}092E&rPzt=<
z@bCYBkH#aQC_)-m@@PKHj<62XOW+xV<1H$np*e_wYrrG*$05V3ph0!eaJ&JMFF?-8
zQK<lBk^+z8EGiIfIVv0;ozGut{X=9>NO}eh7C;gkI1DgLSWtHvR<`A!WS#(669QC&
zL((+7@ik!rG<}DF$^fu8IzNM^EcZ!+W@*5w8=fkWM<+nDpq@xAv95N31_p+XW{}m6
z-R(RLpmrF@O^5*tuscBB1pC0V`4|(TsB-LT7X|C@fwZIq8$kLyApTeYN}Y{|3qbw=
z>G$Zo=h1is<XBJ?#W}{uf_;Ttl47<=K0#U>u+-Vz+yOF`xfztuKqXQFD2ru)if_+7
zAWI<WF-4`|xC=N<GJqmbz_WKdC{e>^T0A?Ccju@?fFdyf6p0}1KAkDxNJQjH$8Pq{
zW>8o-cDb`af(TcrK+82y!Hx(V(1awo<Oew!+%5nGOqUA>OGh&(ygYh$V+kT~D5HfF
zXzB)1+=H51$i+QBII1Cfko#qzStl122NWkj#2h_3AHkAF2PElxbT@;FnC@;+{}H6B
zMFm`d_f7%l1|-#;;H({@;sF<H2G#E#y}Ln$g$JZghr~0;rO}R%kt9&M#dJTYtVeY}
zNKZF-)CJ@U`ndlFsMhG+4XT|z8V?@;@xbX6<Q8Q2L-I3d-h&Gf=G~h?)noVW2Ow?C
zyTN8LZw3vmf!p3YK_w()C>tC_HjMl&ppb=W<6vQ6@L=A}0H*M315MY!v>AZa@74fQ
z__URz!L&qx)$R@eQ+Tx)!?ZMj)$XnUQ@FLX94L`H)~vwBzyRuugBpw;jfXkdz@v$f
zsu&{6^!foP8Ne|lJhwA2Fd&5|sPD=Q8tMTBC^*ZUU}Io_m5*mYroxlj1~zE_5PSIu
zF&{KHfoeV|u)DiKArCgcfE{6e2|IGTFoK;3^Fb4psOE!WrMnvxMPTzKI1uK`fTkUK
zcSnFqu*Sm-97LE88V^D>9~6b%-Jtjcn|}bw{38(a!9`=^;T6P~zZ)a`LGj()4T^lQ
z`5BxD_vdhe!v|DWcr+dk;3UHRpy@+Y_b*@p#qSCb3F;~da3RbW;R2g~0TMnx@S6{r
zj{w(y2_Oxi`tP6TehCH!1_5veg%$Qlqw}TOp8t=73TVhwy<=CK1i1D(1nE__iGliq
zoz0+@*y{q%-g;QW3Zwnc-|`3CLaT>0tT6ikQJ&4mSrB%i)cpM0ML5zrCxh(ia^Ya=
zXa+gJqjxu`z30(*7!<&;X0u1*5s>%LYRr1rz&y0I*4+#)f0@DkH&9~@)Y}F%)<C5V
zsK?{67t{;~RgNB=Yg9mGn`dvEWCJLdLZ=}?IfVhNw6g`$h6NREpvE5q$Us<o7t~Zj
zY7Vs=DDm=aJ`V1^zynndB~WD$fr{OW;G*#*sM+n=e4G;zWN4mpQON++^`PbigGXnM
zN(HF737w}0Rq_%@&hqR$=F(k*(U<AW!PSESMFpe>gF7m~?sS1J^FWK2!xJFojXFH}
zfmLIRbx?}}66;xzb`mI#K=}#OP6EXe_VNsz-a)NBPz*qt0G|7$7#J7?ai;ca^we$%
zj+jr-)NT%m7*PM$v%5_noEVxx!$+RoZSoDEML~V)V8QMO;0)G$14O~gUr><k0yQ@w
z^*zX0;L#CSs}5i8xe033H6Le2j%?8Az6UdSs2|j@gG4sSgP!|Awt&*EPiGBgv_rdR
zuoj6&=TVpL66B}{nE}#?-g))tc4hE@EP4X_tP|qTZdU=1PH;HzZ+DeQ>ud%EP?xJz
zM>8nk5KSFq|AQkNw&cj8@dzR?KutGrod-!Ditq>nYeAXX=yKtZ>SzXy5P^nSKrOAt
z!wsM$0`Jp;4T2I7^OW$LC*IKv?iKg$h9)u%P(uZ)d7$xJ$B^Iva5wpnC%7Xa1Wj7d
z@qzAk(9o=7cR#3S=g7Z(ii#B|2^ouUICjlZu>p;s#c(k3Z~L6ad5yniD+73_Zi<R6
zL=huMkv&)uBU}-v8wxhb0ZEY)nxfe-lbn$hxuPioEtLVA<c6fk1Fq;Wco4D|obHbC
zfYKe@Q=n4UvAZ8s)V%~n9BLQGv)kRkvD@F`b%AH|F>rwn)94=I*zKS2I>HlWc-FJK
z9n{5f?CuAd_1em_`51VO7fe?>*vsAhpqAuo8PDcpTquqK#gk)qKd6N67U6L0oDLd=
zfi!>|JKK3c^F+P-L5)?<#^a!b32tZlbpC@3<i~&(hk<)l6CmwvkY$j<!ehS&0|SFF
zI7Y!~uiM$egW1^tl-E2!1#1AP`{aQ#P7whrS2@r!7$k3a^zH^t%6T*%236Ov@iVZ&
z9*svp)<!$V!4v<43DEM<6V(kK-OZqE-`x#LSlu=p`#^04upynz6F^D7cQ<If!lUtU
z1*mic_0zDIjxh7R@R;wB%);T3c_2M4EzP6zpvS?N_8td+C^4SsYz7UMd-U!G1-eJ$
zVFhNyfFPs=3(9if`~g~z?AiI%v-7`4^S%sFVO_%Ec&zmVh~>G*7izh0Z;8r(<XCM5
zg@<EzFDTBtWjH*Vf3x$quLYN;pg?EvNamU0!FcfhLy#uOdPT>scK-$j2FH%pcc4Lj
z{_X9)pmgon+4=^=?{4>OU|@I&8Ye>06NaiM1XWJ}NY4aD$l3+CJ+Y{IqEYpPgY?9p
z>q$Y?lZ2`#4x|TENT9ea8&yvxs-9Gko-g3!30pnv*wtQys;2-|PYy^AXdNGlJ(Z|>
z%2D+cgY<yb-l6DeK-E)+s;8=ff#G#DLPPU!j#72Uu69sPM~b0VR9%fAU8;^<?R}_*
z_n<240LgZ?z5q>W_tpk@_HMlZN`UOJnJ<vFkir9+(ilB@S=&Lw=AEseBnWC6LY9z&
zk|`X6+Y8|3^PqttkLG<6pxjf!eykbP!e{W@6Nx>wdUQ8~MlQR%LD{fd2V8bNZ+%;0
z-TaraB%%2)6Mt(X%xR8Y?VvT>9nJGtz-4{=LXg)wo9BSI-R*NhLA?w~@l<g81EzQ~
zisF7yXw`r$WpM0jpM#=u7K+MgAeBL2mCb*dOF<#L3Pt$}6y-}m%9S0v+Bc#oT92Y=
zHF)4^2B@#wTV3JNyBX90+Jz+!Ai)QUg3X{I$IfO@u!5ohG@gZA-oeAa@eQZ}4=IEp
z{Sy&rDu*<fk^3iZs4X`B?QNSN&IFCjfF}1Ktpd;_<1R>B9W<l{G9TsyaN&=?>ynGw
zb%A#wK=q&pb2GT5-`S#q+=T#-gzg2|2x^8Qbs@HZ8;HnV2(VIk7XqXOCIU9NcM5p4
z6{)S>a-hT(wXfvJzrAf6A%BD8|K&n(g?=17JqiiV?q(E!z<Lc3N08cUfayYSI>P2X
zz(x3OkW)aj9iU-)kcVNj9XR_6piByBzbP{?FhG(6$Pmy}A}Ex)yFq^7-_F93*4YeR
zpw$ar<?GRS*a1`zLM=g5P7@|ffY(ppl=jzi52z~wF&b8$9&2V`W?*3U>D>(~GktnD
zzW^Bqs=IcAJnCwAz^C)SPbXyInrCl~3Sto(SR**e;ZO?eDnso6kD}sG3hOgNl^R~c
zp$<0Y>(LEPlaN4h<ljDZ2Pkb=i*S^ff_S?iJjN1d5N{8R7wFl11X6f`Ll$Bgli{V;
zL7vS=z$@V(6(Cds+~0m}=GlA%oR(1KK;ivb%Cq?hxSxwE2MRe<4}h{FxIhNyMNnLW
zFj9E{Zqk6pD?qJ?0$8zp0mSg!1L_BJGB7ZpHzFV-*xit}3NvKbwG%W%dfY|D1LQ!|
znYsY*FfSxabRKQ~!NT9p$;iOa`2u|{9;kYO_{g!V9W*-M(fkcmeDiN_KLiTP&gL&5
zZg=}WP-!lM-VitqE>vMUPNL{I3exckTG+wuxP+qP0*a0^ARVBQ2ozV{MA2~_MaN~3
zjy7~V9-!#Bhoa*as6GfsSkU~J6<mirN73^XMbAT!9#9?f4n@%$6h$w<b;t*B9kTla
zsH4@p`34IE1NLeJ6y2a|gc(wefRX`xS`^%jM@)@^k`J`~yqST40hBtROEiu(&tQcN
zyh10l8(0|_z)2aLB$3<7kn#q!s2@eY19adPs$YYRfdP@tG4+Ewbtw8@pz6N>(htuP
znEJP&yMF>Ziu)_r85p1i0<vaM`37Bo+xgF9KPZJDxx%rl?JGE5>^Q*9khaf|c(DO-
zyW8G_<HZ6pI0|a2gWC8U(0K9aM49vl2Q`?0=T}fh{R3+5b1*P4fZ9(dKn&0QprKQc
zBYb<yksA9R-OUQ1vCVGKI54<B`VHh5P-$fi=Kg?i8B1JU`L{1o`PBd#|I}dwrAJ6^
zO>?w-Q{w2+-TVVQ5)K-#er=DC22JUJB|4ZH7+!-HZ9(*bW^uq0py`L#ydK@npmt<;
zH)v7<Je2zaJX9P4T9q>S4F>~5mkS45NAo*KxPZqGdpBQzg$uaQ1lbB{dLC;A`5Kg7
z|3K1f1_uKJsGx&4dqDlo<~JVT+UK9gekTS7@Mt15p`whB!X|f+CZd`_!+Jiwn?YM0
zJQ@#!>O5#NaNHrF4ql@Gt5tV__&Y%aXj2F{`aF;)YQV7v>kqo1I^$R~XweCiM{jk4
zN8@2or3O!Bp!yS%M_keMfF^~Qe0n#7`sO~pyH_y7(<r#g{0^IzKh_LV$qaY+1$MB*
zQKgyS(i_ZR(%^A3l-dGPpF`Z^j_w}NbSINfZ?T3?FL*`=Y9hL0K*|t~>6ies4IH({
znnBVC$7qN%fi~G7+zOIL=ss``i!?&_j?c_^bsu8Elt$=on8=PPjj&rmjssH~q5DBB
zFQzm?cZ3TcrZhsg#2kK1X@u^EGeVfs2;C9g;+WD1-38T>n9>N{KbT!Hr4hOXtWq(h
z5xN=VDlnxHx)uCtF{Kf@H>fl~r4fk_vNDRsB&bOWvVyTHh=H*}fKi%<odYx^VZp$_
zAOn(MU|;~Xff=0m1f2LJocI)+_%s~(44T<`SbJIenERQS?(u1Wg(bjBK;;($149H<
z??+Hu$Pr1e6Q6-2pM@izLo<61TQ6%LOFwfP6Z35*keGoJl2ML)pn@Ib4$wY1ka3{Z
z5)5#&9Qicd`3^8PLycgn2DwWC>_!2IUeE{|sPhcc+Y4&W!S#ae0lCASZv!)mLkd~2
zI|MX|r30!-85kHq<KG}NL8TdJ><`4=2O==dNAoAs5^Sb}%mFP*02#3fL=ZM-1}<|z
z!+#(nKs^>jSi${^?w)(t%mIbD1SsA>(>b6{w-cX$BcFsLpF%Tp9~09v5L3X3kHeKu
zf%ye1jOWOw0NN7Hz`zg!)eGvhL(>gNFF3U@FJ}a$BA9jyWS%2T#*t6Kgn@x!4%D3Q
zAP=FsArxk-E1v>WI*jAUr(nRqzyMmH3DN@UGDE|_kxv1fSXla)+nAVk@F_s-f}|7B
zBqax^-^IYd;1AO1$S2Uu#N-J|fIbWi3@T7L(4Z&OJaB^7fTU%2z7MEr=mjITP<7-3
zH9|pll|ao4M%d*GvI~@FKr6~Y!f!!o4LKb+@)@}EZD0iDJ4l{kS_{fah^*%bijWPA
z%+ib);tUK78Vn2!prJ~T*`QfqP`o(uNx1WUU<60%Dp(jo)q=)Rh*1kFBV0gvi-Cc`
z8S3U{CT0^xkZS}O7(o6_fy$%%7bFKxBMb}-*yKRtRv<TEliPvEOwjHiT>3!$0c>)h
zu;&4f{xUH1gVG&F*iT?a3j6yQVeHB`ftk4*YuJPQnE^E$-7lc@)dH0REtf;2FL3#`
z0a3n9M=jr6`8F^zGclqHLc;qB)I4X9N5N^i7L>feaq$6%94OE8fY!$_Ffg14#Ur>d
z2bK4ZAS(=-S$iP)cQ>+?PGECEY0Cquw-sb3B(H)q9H_u3M@n0uuqc754FstLyN}5m
zWDrPh0#q&rAr}Uc1G#SvRBkaSe&FsyuaB4~G9lF>AQyu4f;vVZBTGSkLyKEPyfUXU
zBIyN{4xn_Q0xAPR<vz$>G`;S8DCr^rd%Bp0GhH-5%?8cHfa1QHiOCt}-#Jh@(D))K
zzk=&FjQW?k3E9mc|ANkKxdGK{jMdE-kjfEeX(kLuL(&mwfea|jz{hfc<Eb3vG;lfs
z_1|#Gg@7t<1_lOf`U;?ORv^t_GfTi`f)-2nK;_ava*#9xsy9GMC<>N@KykSRst#Nq
zqs0eOT*@Ga3n(r@c6@;9MM|eGd<ozpuncAgC>=_G`jQL`450c15-(X`cY@No2~-X=
z&H;@VkUPQogQ)^mi9yQT1gP3#P&`1=EL<&fDicC6$j?}CBqIX@3&Y3%Sfm)3!Cg8`
z8D>x?6-_vgnSnuwfr()PXkQSlUT0unkYt01F91zNGB7Z}%40cJh`0hMFc=sZz;!SK
z1H(BMs5ofdHUk3#xQ=CDU}%Jje}IaE>rn;<hQCmI9YE9m3=9n5I+THdVK-F#0H^|i
zmH!M3402F$1<)QQ1_lOjJqTJN4t1x1Jj7$*I*fsVVJ6g_7tq9oq2d!jjS^Vh#K6FC
z80yXkiV$<a<uL;TLm5=u0W^h=<Q{vd_yRQXPf&L<fQF2b)Ps8apm0t=6HkV!KY%9A
z4E2`+C~-1C>mCLMhCZnKH-MHbBbg7X_dw=@I@};1fa?qf28L{?J1>9|D9FDcNd^W6
z6R5a?CPV;SConKDEQPwK04feH^FdQkP;m!sh<b27VPIhR0d>y>s5rRnW?*1whKd{L
zK-7cFZ3YGg4ygD9s5rRHW?*1g3w4i!K14mZJZ4~E(1eN~0B1M`25C@!VPIf*4t381
zBZzu%Imy7lFbgVfU<?rlmyw`;2UPq5r~%2qz+enD2h_d;hnqP>J-BQFr7x&E1JJ}P
zpyCtI#Q#Fwc>yX8F2@)c7#2ar1waK90|NuN3}awmNPvnrK*hmj7AT!V#Wz?&+ye{e
zJ5cvKKn;cl2SYzpyZ|Z=E_*=Z(ok^*Ylu1Eat739g{G?y(DDb?XEBGW2XBL5U|@g-
zE5j!yNWM;hwhzGlB?bnD>(Fq3m=5g=GB7YCLB+v+Ly&)<3Z6s#1zL>&5|RTE3=9lC
zP;t<}CP)Y-?gbSGZN3GGLyI8>(27t{e1Wz|fyBZ6NCpOmV^H^l253RzF!hyC@d?m&
zA-JyyYR@x579fJuB4arm;%ZFT)#u?*-+)6ss2>LEGa}mwVlTv@z8i=6Z=fsKKzfn!
zBpl+RILwj3VK1mTgw35kIMi>(VZH$l_3Aj(v*1v_9EZOuaflzsA)biC-fSH9g2oE4
zg~Jk7?CC)hhd3xbVlxM{TM(N#s5-_bUWCJ6H=+3jv``n69>C)cpz;%%{x5)*>@YAe
zfX5LS7#N;F^YsMK85y8*9HfbXfx#Y1gR%>#O#K6zN&f%;|4JO<y`Y4`0BVacFo23I
zn0i>b3o-{ZQVSCYEt&-x1riTqU|@iWA4D<-H0}Wtzl<aviljabT7H8lP`4STz853_
zbq})p&*2bf1Zl>i{yq+IEs(>Z>On;-%wB6Gab$NoBZ-6RSeSZm9O7G$#J!RHdmBj{
zRK>u|d50tpTG#{=XM~p5AZcXv=aIyb<Lfq(IC6ZwMiNJkFL7v}3uHcWeCZ&GBZtE$
zByr?;k%aa~K<0p|W|;dOk;IYJXCsLttDl4<j;#JQ4sj`Hdmm&ksIG(A8;T^3Y)%W3
zII{Z5NaCO-2h5zqNaD!m{Kp}#2d$6M-Q$2Hj%@xWByr?)co<0>IsDHci6f`OD>%g2
zq2&e0J)oHln7=HM#6ytMrzes)Xi^ELJ`{&|9+Eg{H6ToV3z9gp`==p^+aTGy1W6oO
z{V61IWb=O_i6gt86Iu>}{EO^<B_wfVb1aa=k?r+D5=VAt1d=$i`Z^?WWb=0-i6gu7
zERr~~J8$9;e~Lr=BM$MuIK(+Y6%w@EL$+5HNgUbz_DJH${tZA9M-HDjBynW*ElA?X
z<}XJQcSA}KhjEDCMG_A}QvU);9N8Qu&|Y<@f05PmB8elbS4R>@R&RtPj;!7XNgP>y
z6p}cy`Z^?WWc8g$;>hY}Ac=$e+_3z$8c7^k{duT3sG0;-eX#kT$53%lzCc$07fBr1
zJshBIw9s%vR<DF4j;vl6NgP?dJCZoE`XD56Wc4{n;>haDk;IYJFTx?d2T2^+oa0F1
z$mTpm5=U1521y)QJsW5%B{Y1H)e9ntBdga&5=T~Vh9r)xJ{pI35f1SlBynW(rz43Y
zo4*lB99jJyBynW**O0`K)jvcMM^^tENgP={8?-Tuo({Efh&$mBk3kYgHa{In9N9gM
zNaD!qdyvGD)h|O5M^?WPNgP@IX(VxE_1BQZk<~MT_7OqT53;y4k~p$CYDnV9>K%~8
zk=1)6i6g5|MG{9=Uw|ZztiB6L99jKTBynW*yKsnK#3B9~NgUbyZ%E?E<_mx}$w0#m
zS-mupII?<EBynW*4oKq2>Z6dvk=3Uni6g7;!Xds8hxl$Jab)w4A&DcK{{TrGS^aAy
zab)$ZpbjdQ@DV@~M^>+eB#vypDUvv{`Y;^g6*$Cq;}E}&L!290VS&mA&=@4Fz7s<d
zM|O`Fk~p&ZP$Y3=^_@uK$m*vci6g5&fh3Ns{xXs{vU+w=P_G!$k49E6iX@J#J`hP9
zS$zzWII{X|Byr^SKpm1evib!$#3zX%+=;Ay84mH6IK*{8=K(>}8?rh1IK(&M5dVrp
z+#EVT0ZI?Z<_F>suSF6^4$lrGab$C*BZ(u2=Q1R5Wc5dIh=0Q&ZU>z&L3e*1k~nCr
z8kWu%B8h{>tYG37k;IYxbpuHpG;|D8&j_85LATc$NgO%9rz43Y`?n2;_<AI9WcR;7
z5=VBw1ayEJ<Q`=A%Oi;+yI&tk9N9f~NaD!x6^JB`tiA+?_*o=z(0nQ^-0mZZBb&nl
zoyP*XAKAZtNaD!qCnJf2#^PY+Uqli|R?iQe4+EKx96qi{;>hX~afnYw5=S;?A(A+<
zIZu(qk=1`d5=U15A4wcJ{Dq(c{vh`wtB*huM-GQNByr?)vJy!g**zPO#F5j<J|uBu
zdw(K{Bb%cOokv7>X9AKq^0-hRlDH{Se{&I%II{W^NaD!(>n)NvvO5)^^Oqp^Ae-Zd
zB#vxv5|TKw`VJ&<WP2APi6h&40ZANrT!<YyZwhh`vbY@%@j@KpOK^xELlQ@J=SL)Q
zWOwRA=Vd|eL5{~jBynW*{Yc`->Ng^ZBddRpB#x|J5IT<wvKLvsKax1I`a&deWcBNj
z#F5osL=s0<&kvm^2HA_O-W5q4S$#YX@eUl~(~-oH^Y1Doab)vP;}91I9VY~>_mSPH
zgd~n^&LSjnJEVNH1xXxP{c9v~Wb^+ai6g7GgwCUb!VS5cNk$S!E@vhqi6fgo8%Z3w
zoH>Xjj%@FLBynVOj6wUspy7k8-WP}XZX|JJbB-a2Bb##-NgO#IUn7Ylt2cqJ8$b`A
zI3#i8@L7i>j_jUoNaD!h^AJfK*<OC=`U8-?$obt6NgP>yJd!xF`aUFaWcA5f*xRu!
zIK=1R5Z{7B{1OiF4>-iFq3b6={zVSASR`>|_fJI<cSOn;+mOVO&3T9<j%?0vBym3^
zb7Z0GGtk}Rh$N0|P6v`Wvc1QU#F5p%fQrM$8=+i=-%tj~US#$9y4d3@8Hf0E9O9>O
zi2uhSZmfsh-gF$|GjNErLf5r`{DmAo{7B-+{w={Fz5s{#SsdcuafmBI7m$G5gKTdn
zk~p$ECnJd?tAC0^{67wH3(&EX(DDu0d}kzaWb=cN#F5LZR3vd^^-qz+k?V`^NaD!q
z6+w+5EbcKu5=T~_k0g#<U(7@jM=lTdBZ(vX_c)R`a(Va>NgUZ;RnX)g7I*q1i6g78
zK@tb8WrOu2I*`PX)h|a9M^?WXNgP@IB_wfV_4km(k=6e~5=U0g2Aaf$`U_dTHxBU>
z9O4Z)#OL4;KY}EV>>h4VqZx~P+>ykQ%iCzEIIJ84t=k5b7<o`}^!&8|hxjEN;w)w$
z(;(wQ$l+s#Lp&9S_yr_!<aBroNgUaHMbM%FEaBjZBp!fNUnC-lBb(ESB#x|pA(A+<
z`jbfF$m$;=i6g6LgRY+gg)_2xbtG|Q^+ibH$l<UVNgP@IR~+IZpv4nd!bcZ}cmt9+
zvb|kM;>h-%!Xf?=NgR}9VEI}URER*`gPfiXk;Fl16Q({8NgUbU93*k%c2p~pII{Zn
zNaD!$p2H#j35U2SXb}U{{mACqAc-TJAB{u23WxYi9O650h+oGc{tbt?C}=Vp>V9N*
zn&1!*#vxvWLwq6*@eMe{&*Kn(heMnfG&qID{W>_ry>W==;}D0GVvx<opt2HtN(t0H
zkQ_)1gh40ZgZR*8GT@c$AaT%%XdrRubUj>L2Q*oYv?dOu7KG1&2<WLDAblV%2(v)d
zLx)}A=G=kKXG4eC;NtV4^SIDq54d<Sbe<C0&4r7*L+9<F-5R(!7j#|<+PsB}--C)n
zn@e!<uTX{1Y6>o{3vH)DizT>tDpVXAy>RilP;t;$C2}}ig^GjLZXt{FLffyPHVm@3
zD^wg*h9HaALd8L4FS2+BsBi-9`2z_;cSeK4e;!mEw0{O91rh_{D^PLRI&qK~2y;Q}
zrv#8<By${~;^^@LRmOmB4s5+J=!h?n8j#r_3|klc1SE)LK5V@+Y+W))EeONbO@r_A
zL{bl1ci977uLx2L!mxFhSJ1>^>n=g-Z$Var)PgW<9|mY^J+e4#AI1WZA|!iZ`!GOv
zMIftJfR69;K+`)&8wkVpVZibeNDPGG`!LYd!}eiJK@*4V!vO7FM79^U4?_l2AtSjH
zwhzMtO&qol1GJAF*&NtDj21NYuzeVy^J$UQ!}ek9K~oRghXFd_16e(69|q{u6l8JO
zJ`C{5^+@iA?Ze1{u7?DL83@DnVRWF0!}ej^Kof`U!}x+G4%>$z09_{uG8=?p`!Iaa
z#9{j|D$vAX`!J@UiNp3`fR1BE_AhK7#v3&CuzeUB(Djobw}3EgA4UtBIBXxr0yJ^h
zK8!nP;;?-f3ebWcWHty3K-+nsLqU+;3EPJOy2KG#9JUXm1kD`SK8y)y;;?-fJJ7^o
z`!K$siNp3`$UxUgg4_tguzeU7XyUMa7%6DtuzeT|nc11~#Ra9s8C49qskzAom0*#w
zjFg=C;^d6fl+v8k_>!W;yyC><lFa<P_~Oj;yu_UN;+*_)2EC%xoJ75n)QS?~G^HgL
zmw;?3PDRp?nU`8rl9`wjUzD1anhcSE+gwnTpPX7;j4(d6EH$qL=7@sCqQu<PlGGxE
zd`@C<NqkviPH8H3MVYCosquNGxv52&$??S{De<LwARncs#Fym9XXcfprbA6DF32wc
z8=C@SfWss?BQ-fYz9=y-JvBZ*Ej}|ZCAA_xzX0T`<Xnh`#GK6ZJcg3|f}EVPT(A*f
zokgh-PD*87Vs2(KN}z%ifK}plUS48Jd}?87Vh&gxNJD&LQBh)Ld~$wnL1IxVk|b_J
zAO`5=<(H)Dx%-9c7MCO@XCn-R1a5L-PEHa`9Go=3DpEj+1kA!>d2wb{Dp;<#B)23!
zKQAXUFBO_RQZwSyK+y!225T+KEY8eJk55fWhv>m@Z%IBpTEMz66u=`56e@`+DGYkW
zB}FBPNep_$mAPOVoHC2@QxZ!O8IY5&B?H*7f_RfegS52NWXt&C(xiAxhSZAG<kFJV
zcrygk6u~sML@~qyt05L}L(CCOGX&EF!8C%KV3}y1nr4`s1a^iw!UP1<48b%-Fij9l
zV{@2uPz*Ce7=~b)A($ozrm-1T6HE~%Aed$drYVAHVv5xS6NCu}rYVAHf?yh(U^T%Q
zVFH3_hG3c^m?j9Ou`x<~8DTZr2w^mWX@+2$AehER;B*L1z3{RLIaz_qki?vLPyrWT
zoLU0PJ_hliOks%CYD0w82&Nf=X^LQ)7?NQ%R!a>KmLiyD2&O55X@X!H8^8=h$uWko
z4g#q1qW~XgVgQ||gkeHKEkwu~+75v5AlIwI*dQ9T_8ZicMN`HA>t7T@`+p#{APnse
zftX+nl7=?Xz<d}X0PR3TK<C9k)hDQ)g;vMlz2zXacbFh<0?C2+FuVn1FarZa3QPb>
zquX_z2{M)jm4;IpWe_H;-3_uIq!-=(FN_fTA*vCi1Zc1s!G*IxVFl+fFfb%S+z&cO
z3t5-}roRJZF_H|-ewa`U4)-6ZfT-92ay0bxHc*obrXSYdJ<kN`gF{p!$OWKDdj<vu
O*gkxayFo^w>jwbm2>HSQ

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..0f21118
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,152 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_75(char*, char *);
+IKI_DLLESPEC extern void execute_76(char*, char *);
+IKI_DLLESPEC extern void execute_77(char*, char *);
+IKI_DLLESPEC extern void execute_78(char*, char *);
+IKI_DLLESPEC extern void execute_68(char*, char *);
+IKI_DLLESPEC extern void execute_69(char*, char *);
+IKI_DLLESPEC extern void execute_70(char*, char *);
+IKI_DLLESPEC extern void execute_71(char*, char *);
+IKI_DLLESPEC extern void execute_72(char*, char *);
+IKI_DLLESPEC extern void execute_73(char*, char *);
+IKI_DLLESPEC extern void execute_74(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_28(char*, char *);
+IKI_DLLESPEC extern void execute_30(char*, char *);
+IKI_DLLESPEC extern void execute_32(char*, char *);
+IKI_DLLESPEC extern void execute_33(char*, char *);
+IKI_DLLESPEC extern void execute_34(char*, char *);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_51(char*, char *);
+IKI_DLLESPEC extern void execute_53(char*, char *);
+IKI_DLLESPEC extern void execute_54(char*, char *);
+IKI_DLLESPEC extern void execute_55(char*, char *);
+IKI_DLLESPEC extern void execute_57(char*, char *);
+IKI_DLLESPEC extern void execute_59(char*, char *);
+IKI_DLLESPEC extern void execute_60(char*, char *);
+IKI_DLLESPEC extern void execute_61(char*, char *);
+IKI_DLLESPEC extern void execute_62(char*, char *);
+IKI_DLLESPEC extern void execute_63(char*, char *);
+IKI_DLLESPEC extern void execute_65(char*, char *);
+IKI_DLLESPEC extern void execute_66(char*, char *);
+IKI_DLLESPEC extern void execute_67(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[46] = {(funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_28, (funcp)execute_30, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_36, (funcp)execute_37, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_50, (funcp)execute_51, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_57, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9};
+const int NumRelocateId= 46;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc",  (void **)funcTab, 46);
+	iki_vhdl_file_variable_register(dp + 13864);
+	iki_vhdl_file_variable_register(dp + 13920);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..0a03bc1b006d514728558952df39beeca889fd80
GIT binary patch
literal 7088
zcmb<-^>JfjWMqH=Mg}_u1P><4z+fPbU^{@B4h%vJf()Pe<$eWwbpGC{$H2hg(Jcy+
z^5``MQ7=G*NAGS8GX@42I|0UyJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l
zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp
zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`wnUy>hRk`$j<6rY@y9-ow&kyxe=
zmMu!n$xjB^0>WSs{OXI#N-7IdL5dj|h%rC8sH`}pG`9e(g9!6;Q*#+Ii!*a`a~X1r
zL7G5O3!+EyAP50>XJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&40bFF0|SEq
z0|Nsq0|SG*Unm0;1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAg
zfo7%>J`M(m9t)5d0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m
z<r8RQa^#a}W_ICIVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fQg!7KV@ivB@%H
zDZ#kF3PGU&X21!4FpCXLA`_5sVPjxN7KHhiftA4kO<WGlXJtqL(+C0_4h*ae6A(gR
zmKOs9gAkHC!C}n6z@Pz*FR&sc!j6G~L689y`VheaPyjG6Fu37RAB;o19BMDjK5+ao
zFff2JIw+k$Oh=H7Q1u}5K%x-;FferCFn=8m@gq=k%0Py|(g6bl!$}<K@8S?=VuYk;
zs4;L#5r?=F4)G`);yF0Pn{kLwX9UFyB9(yh7RXUcaj4&bLwq+5@nbl|FX9ltgG2l|
z4)ISo#Q)+D=U~Df{=zuK<#32=;t)5%A#RUD+zW?zC=T%i9OBtH#LIAqH{uZQ!67~!
zhxj5K;%jk;@4z8`7>D>d9O5@|h(Ez0{vL<;FC5~m%-GY301k0!9O7y?#0_zX+u#s)
z#~~hsLp&CTcm@vfVjSWVaEQ;tA-)EOIJlt~Z>X2dpy%Uk03r-Qgb|1^1`#G8!jvK2
z-N)a_(I?*D%`Mn9BtFE^$;UOGA+0noIV3TOAu~HO9^BkaEJ+1($}&=N;?pv7Qsc`K
zi!u|Fa#G`qQqwbwOHzx#^2Mon#hE3URjCZcnYpDoFm1)j8L26yIjQjlMfu68#l@+`
z@rfnzC7HRY@l~ls`CzT76{*RkB}hsbauYN2z)~5hi3RbQd6^}di8&y%LCz{pEr|y;
zPE#_A;&bwo6H7Al^Wrnp;)_yq6AKDbQ@|QQii*qPLG53Ns|ylKGUD?Rb0NL~NrPIu
z@u21|hJxbET&JSUl=Rg2^wg5@l%(*YOqe&5i&7IyQsYxni!;+9P6QcMlpJ4Dl$n?l
zpPXNsR|0VWG!$S;{YrC#K+X5Wl2p$W2AG%4O%Y5p1k)VBw16|skeHTmQF8+X(-6Tl
zLNJXHOcOZM1fjqLp}+)50m5Y_2$z{4TxNoBjuC=sjBt)I!U|)A6~+iFj1lG<Bg{2M
z_{{`im<hsfrU+3}BvFJFrU)xc5xy`*SYe8=!VF=U8Nx6#gwxFs=9(c4GebDt%$xz7
zH%f{U^NK;)CqA_-HLoN&F()S}F*%z7ES{JQPNhaDJPQ<_C8#lg)Rxg=U|`^8U|{(3
z9|B<AY9<B-22h(ECJt+RgW3~3Na{iDLy(amaZuM3rrrc3$iTpWF765yM>ikT{sc*Z
z)Pvf<FmvKSf(#6xegcYnU>#JDdO;-j<U-Yh#D$Q=CqczQ_JZVK=FdbD2ermw;`5;5
zAhn>jH%xp5k~nB^0Vcj4Dh@Iq)Ov@BZ-I(~%n?I!&u*wVNIj^v22+0kDh^T)iVK+d
zDI{@FUkE1t2r3SWM^JkiCjJshoDa#~4@lyiNaEk2;vn~cTJ<n<{y@b+?g6#UVd7lS
z_C82l3dtN1BynjZai}ri9)>K8!@vOVL4dSD`YaGhkY7M7khnaE0mUG{gP0(31rP&@
zVQi4NB2)}S!O|y4TnQuq#jySoNF3IO07-%Rh9DXwt^#5}F=UL10qlNNs2GUy01*rj
zaWyC#M8W!<Aam3~0#F<Qr9t8_w}FIU{WOrcCP)B^Vf|E)I4F*x!VHkn6NtUqFhS7x
z3zQ8~uLEU+s0I)Lb*C<r4Wc?g1XLV(Tm{xY1ev1`RRf}CfC#91SQvtY7Jvw-xFM7c
zqG0(2WR4L?0E#z2X^^-vlntW5BUVszU}XqM9F{LY>cN9vAVCHOh7(X4ByI*}gQyE2
z0&0#qlntV8fC#8KEUrO94?qM|99BkxgusJmP;pov6(kPJM<9ExK>|?x14@I$ZJ=y0
z1rmUo10D+m3o<ZpAc=$e)KFmt0VHvIm>>fKg9MT|EKP!>6_CUoK>|>$fh6t(6$4QQ
zNaD^Q0VuXW5_f@$fhY$gaab7+68At72lf3yVjvuVB<>CpfZ_-waai5~NhKhOdx8X@
zI0H!>R!4xOVEG+XUV$)341{4}4iW=lSe%7`B%$>PNE|kPkb@=;8xLU6E3V8fNlaqU
zD=sO5&>1jRQEE=2UP)?234>lrVo4%{UQ%%}gI-ZShyzk?sAqx7HH2{)^uT(-3X4*6
z81$0!b8}PkN*MI=@=H?n-2Fmzi%US&IfS2{SE^S~lwXiqR8k4mnwk-xRs?EaLfuU%
z1qw${5QFM0WV2vtOCBVNB!R|d0G08eIsm2~rZfXoi8C-T6o3Mofq?<U1?dIVEg&_p
zavW4<g2X{>4Ujkt$Abi693&cD7*xiA%p_MosH_5+iCi{;tb?V;?H~>k2H6GTg7koB
zkiS7}bp7H`e?!LkKq?^^q!z@2(f-gf0jeA%1;#2+0Z`b21)u~vKL)BF-Tw|y{jhO4
zsCEWWe8bovIvm<Y1Nj5Qhv6HbMim1CLjcr5Q2c@X4#FTcu=q=Z+K*fpfaEto9R!LW
z5FdtN{)W-{Q2j7@7<~t-AI68#Fnut7Jp%)HOcd%}m@vZwsD5<+!rTv2I2~#~x_)rO
zm4Sf)R<FU-qw5E?D?veo9)232#vTI$eEby@exUX-Og}9AK>oq78)PrYP*D2_)HsB;
y*Fo(ybp0SPs8?Z51k)=(jd}(KhFxfqAp5`ypadwI(UgE?I-u@f2<9OObo~Hj;BDLh

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..79553d20c92b935ea0c8f371818860fc46413945
GIT binary patch
literal 23968
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk>)iUX%Xi|Ns9x7#J94a4;}DuxDVX5MW@qq0Yc?
zKo=9wFkoO{FkxU|uz}zeHVg~_whRmx>>=2Kfq?;pI~W)kK-iuE6I^0qV8~!*VED-n
zkrQQLU;yFy5H15F0|NsiBLp*mc?=By|Ns9Fk_O8$Aow6L5M~7tPz+)-LD?W$8e|>=
z1A_pR21)TUFff3u=VD-B0I4y8(sD>@K<YsDf-p#p69WSSGXn#IIvzDH3=9k`3=9mQ
zU;?=nWCjR>%m87KIj#&03?MgJ;xWgafq{XIfq?;JCrA&-3?2ps1`!4Z24w~Y22KVB
zhHR)GqM>dFsR5}~U|?WyfU2oxU|`^2U|?uKQUmgX5(5JR$Q>YanxSe}BB=qnU6p}>
z!3QeW$H2e<3adj%YCwKaV_;wifvTCsz`(%Gz`*bZNe##@Z3YI0D5#o+3=9k)e{e8D
z!X4yh5C(+}2!q_Sih+Rv6hA_E)NE#8VBlk5V35J1W(xxY13v=;gBl(+TcPQ~0FRn&
z3=9l{3=9lbc+`OMj}QX`g9{!tyBHW4gc%qZ{1_o=59Du9IO{PmFvLT{w-_47xoB$i
z85kI{plU$z1<Eh|NNPag3`%pQP&Jbo7#PGD7#I#9sR5-8V+IBWP?`Y6<y-~^25|-k
zhQ~;1K<1c2(+fz=G6n_)2?hp+uSjY@?gqsb2!qsYU|?X71m#IQYPK^lFi1he5R~sg
zW`Hor3=jsH0}4Y>Ugcy$gb%u!ouD{}hBGd6K;bM43Tr&(>}FtK0OfUAJZknZFfho2
z@;ehG4}shQ!XWp8FsM8Mg)u0<>EKZVDlZfn7#K{MAo&(#1_*=90AWzt0);UsPuk&8
z12O}Y_ucWR0hyt~z`zi|1j(-;dq5au4+w+OC@8K#c{`E`l3zjcAPkZRVNe?N0OcD7
z28JX&YCJ(<11g8`sPST8V9;P-U?{<(22{pqGB7aI;!zX9z`&rzz`)SP1Svm2?ge3x
zdqEgfE`s6$RMzz4Q3DD;9Z+3|M-3=!bQu^J7BWG~TaY~<46+A=L1i2$j6wN!6%(ZH
z0?C6gNFIbiWgI9igYxZWCP-cc$%8OR9)v+<94H@y@-4_Nko!UMAPkZRVUQY-U4~FM
z<5B~1GpG*R!vx9GATvN1WCjR>%1V%4Q2sxFM-8Yv2UYJUnIQQdWCjR>%m86fc?wED
zpfUpFW>C5X$%8OR9)v+^KyEgJx*3-mkekgx<pxO8|Ns9%=?-L$0*3)83xk+&4618D
zVF9WiKxGBU4p4ap$`c?O6xN_L0CF2h4Tuh4H`oPYgTfd@gUo<h^&eyw$nXd32D?D|
zLG>(14kQMmK^UfH0aOjBZU>3uQv<3~38?|q?Jzao3=9lG(7F*+W+iYN>;m}@<bDth
zY6GM)Fff!s)qwl}@)xM=fnkuE2@DJj^Pp-#<swK8s9XW%cMuKIw~2v)0aSj1!UEJL
z0I31VgTf6&gW4@;85kJuL(Ku13sM7$7f^cwM1#!v#=yYv52^;l2dM#>0dfzB2I&L&
z4}@W12#PO|y`Z`sB#tW#L25vCJ0Ufox}A_3P~A>Q4XAD>qy|(k5mEz6urM`|j0_A(
zjF7S(R91lE6hwp4A&3TrPbDJ*Lo<>ZP#A*B3{ZUxqCw%hfRTY=JyZ=y4@eCtJV9Xz
zqCsj-GcqvTfT{uUL25vG9TXQJ8l>+nBcy%?g)J=JL1uvB97Kckf!q$lAag-+0}=<N
zKTzEc5(kNaXb^^}0p$yj8c^L1633^8P#A*D0i{hs<{*bNNG%AXy9ZRagT(Q<2UNG?
zQv(V=P<cs64XAF%rv{YwK;<7HHK1~gkQz|kj!z9JK0)OMJ~f~`4654+sR7mPgw%lQ
zc0y`Ebvq$7pt>EO8c>-+C@w&1Ky^DIb3k<tJ~g2H397sBsR89rP<?|>4Jb{5@;f0l
zgzN&DL&(jbd`>8QKx#mBJ3jY-@;ssR15!iC%^)?%ZU*H;5C+w=ptc~W&jaFvFvvY1
zw}Hwa2GI{(pt=hrPK;WRK4R5^%2i_3g5nmY7St{Uxe3%Sg1H-HFW9{y9^9aO3sVbf
zpTg9D#L)c)Dl0(ZAioh(3u>dn)PmZypmH1L29O<aH~jVF2DLF^YC&-Ws>eZgfW$y<
z0OfhGdl?uQKy5ORI7l88NBGp*f)qmQc~JQbvKJ}@9*+UJ0W2o^fy)gl0jgs_c?c{H
z6$7~eS*;&b9HbVMm++~LL{baN3;5K6`p_WdAag<XA;%lYzaTLX&G6TgyAY%h>Nik&
zMGjw3IK$P3cyKo%sRh*w*xUd%SM&oHXv_<w9OMR2*^f^xsLcjb3-Tv6wcvOY{lEok
z+riX=#!~R9T?$eN^&4nx2-F4u`3a;46yG4ffzAEv$-NdyZ47kG38ogL2Cg>PgB#SI
z0x1XiEf!5J$PT#Lzn<KCK?<R6h(l8giWj)rU=Qx2NNPcG2r>)i22i}f)&BM52DMK?
z%0X^OKr<I423H&G!F?5^5bB0R=-3_1Tu^$3tNrWA{TxYc5}LW7^bA)U?7<Cc|ALf*
z>`R7@!NJT0rDwR>nV#IBb}~$D3YuC_dWNeF_TXj(MG(}zpf*1!oM7gH)PXQ4P8eo-
za`PdnO+zyml%C;ggFU$AkkqC_$L3(>g3>cw?My^j0`eQkeo%S=r)_9I4b;W}y8)>z
z0jUMW6F#;2P&a_W9i$%01qBU8Spv!nAPJCK5XPt06e<Z)2Z|>s7o;7-Tv&MqQVWVF
zd}^Jck|4F9ctTE-pnMGqGjO;BdvJRpsRfB6s|AG@NDQp@pC@-9l3GwaA*%(I({Q!H
z9^4T~YC-XYtQOSXfvf%J$sLcR7UVu;wV-wgTy3xicN&sfko%C;g4z*qwf{W1LF161
zzyyT@$bC?)AR&18g8e4?fvXfM2~rDkAC!w#Z9P;Hq!yItp<EQT;B*EmQ=yU|wV*r?
z<ziJk1u6+r3(E6QE>^Y6ppqc9pga%dVpY2dDhW~x%JWbzR<%2!k|4F9JP*oiAPf=)
zwL?JR3-;STPj1loEXWX$T2P)xjtfw~0j~C5D)%Lj0nqVXP@YFt3u?E))ka2fgZhLp
zb3u6?SuJQB7Or+<I`=&!b2HG&K2Tc-t~N4?`w5cTOf<DJ&@p+i-!`Umzd}+A8oNez
z18A%R+1w9EYC-cF$ZA33v~aZ>)49JPsm;MMhXPkC`hn{&lG<DXYFWSu88U8~2c4q<
zm1!U&K;yu0b0ed;1(4L{qp1ar2gB8FOy`D`eV{xHDknkaf#L>)(c4C_vJa$|P&o-}
zbAi-?(hoj!6`<|~sRfmjp!5jBAU&WqEXciJB^%SZ^}r0sd>N>G0I7q81E>!QQVWjb
zsbO%xfyx|^xghgE{sLiKegml`RQ_5*-2hSxDu1z=3sxfffy)8RfXvZ=+=fprXzU#1
zHjufXG76NwVSWSkA3^%TegnlDNFj9YhuFFhWG*O<L1sdo1uiE+;RrStG~Nf50EvOp
zIS7No802nH`yJ#45Sw9Y7<U+wT96x%)q>jZaJ3uLxucNOg4}?t7S#8HtDPFg9fzbA
zl+KXVg8C_NwHwp9L3040pa8iSl+KXVg8FlCwNt~m(~!&sr3YlSpuQAb?Z$NOEF`s{
z^Z*J25C-`j)IWl&of^hnfTR|b9+1ri^^ZVm!Adr!bC-h|khwPWFa!0gKx#pDFl<cc
zZa`8C3Nw&;m>WQI03fwsC5z*^+rbQoxu7sZRtuU7fUDh@&fSZo7BsdDN)NF3hvf?}
zU-SdlOeD3=(D`Gq9JI{^G8fDj{lK*ZNiAqR8lSnaatD;oL4E`K85EI7=7PmUKXAdy
z9gtd(-@xKH)UJoR0i+g`9za}Jn1TADAoqgA7#7EKZv!(R^C+P70CF!(Eoj~Yt`@1>
z0htR*Yap#4^&q!^=08Ac!Qrqt9$xN%%p}%tAhm@225V1()Pnp5vKtx<;Cu{n16WM-
z0~f442~rEfU~#BoSR8}JL_cut19=8IKMqRkU~wF3L31x4DUg|<w2n{hc_ee;ZUbpX
zies=6(GOg=zzoQoAE@n#&0Me&(GOg(b|1(MpgIGeT3EXeq!v{E;ZyqvYyrd#pn4Ld
z7L=DkPKJdUIF3a>aKX|qD7=V`V~|=xar_$U29R1par^;EEy#bMv<t!@cZ25J;9(AG
zmmsMHnGNz6Of6{M4X$=^JU6U=0a6EwV`R0U`8SYSuyGsH;r$DcT97!hTF^WkNDQoY
zaXh?#0a6Q!V`R0Uu^+hFjp^|I1xPI@j*-=Z=H=jO7stc<7a+BuI7U_rTJHl_yD=T!
zzW}KP<zr;EpfxXWwMh9G6b7L36cnzYv;e}e^Z*t^Dx*MZ38ja>-~fTFBO#O?I6#pE
zU2_6T4<IfGgY30}wrRn}xdd?Yfh3@6LFoac2IN){hPfB4R`dfGtQ`e%FDT4FWf?ea
zBjrsnA1SSa!iJFFU}ZH(Eg`?b!U3cf<Tq^Y1&fJ(;1YrQ4Wt(2H{^J;Mh=JiAZ}SC
zwXiq_`440kXlWS846xr^0^nseC_NJEH;`IFe$#-u0i>3Y-}I5xg8T+@Cn(N97#43}
zG0_iPW=Lv5X&5XHQiv39usC)^QVWV_d}@7=)Pm|bQ24;Y3{;oE!>m4tI|4~9sQ-?v
z)(#pMATb7)0B%q`L7fMtK>h*cB@hM+KnajIDEvuP54Hzt28aTg12SWv#KB<?atIWI
z+ye?OT<!t66{-|Wfz*S-hFaptaSpNoWIo7^xZE>P;vhGH+y}!Tcj61TfpRA<dqH^x
z=5`Pbaz98dE^%D`#U&0J7Xg_I!yxlP{X>u)FfkAf5(lN7ffC2%ep1~7@&m{}Fbwh+
zzI=fzorBU4%nT3>G6xhVxYSe2{iOPrTI#9geoz>J!V88$;RbReF7f!1`~rrY{F40m
zk|c)2A_kYx5HOb^u_!r%Aty60H9p?V492j4F)X1Bb3=xb%%a5f)OZ+!Au%2z!jPMv
zQks)*7H`OqoS$1zl3H364;6w-B{HOBmSq-$q|%B~3*!w@`3z2}8Hr_?`9+C247sHh
zX7PschEN7WVmw5Ip`<7=uQ)kB1>^!KGqtqHyf{83z7!#unO6d})g;~s#)8rDiBRtt
znj64bFfSTfz{M;KVX_uRaFz+2WyX+LT9TieSON+S7?U9}9?mREP0uVYDM~GlH)4RZ
z84}|WY<NhOCFW#;yo4&ikQk390Ouk?*)ZP35)tHy3^}PO@df3%@rE!K%)#cccr~;z
zhKX62!dd2UmIWxFV2X`l0b>O7xiL()F-*h=W{Np1oXlZr%wcNawp+kbl@Uy_F-*HL
z%nimc+l^o%MurT@1tm}?8e4$;Rt)kcG~p-ZmzLz`F(l>W7nY_nB<Ezu8yXnoG87e;
zFcilprlm0y<(H<XGL)qjl`tgcG33RkB^H-3<i!`~<d-ve#wV4QfV6wYC*|ZPXES)l
z!%gsv2btj?Z(f|5o|~Fi;*wchkds)+fDj2z%}GrzVepSHN=;$#k54a3P0eEnb_N*%
zQw-sO1dCGB4WP6kls1CW#!%V>N}EFIpwz?^$CQ+!)Z${0NHN&D)RdsqbP%^RFS7(h
zmq0Ad&CDwWE6Xd*4S{73kbDZn-B8DZJqYzCR0iyUbOQ)w2%(H1lre-dfl#Il{_#0b
z&*mV!Ta=odp9``HoJ14TQ$g(1JXl%>g&jyFGY_29!Ln(Y;DAa@DFVslmFA`v<;N%I
zmlh@Ff&Ekj2`WfHL4pYqNRS``2T)FY7)WzYd=Q9AE+~o5NlgKV0E`XFZVdkM&iSQz
zC8<Te`6*@$!9g%CgFhrC_(M`ca1h9TkU9p>cmoE{ctZxycq0bScw+|7coPQCcvA+?
z_~O(Y27hpI#NZj9SDKrYTEyTV4~_``cxXI;c=4b@DA+kZC9?{~%qz_WGyUUz^HV_K
znUb2EpTgi74-Xd4_|!a5GD-$HH#0At!9TvZB)=#X<mTWI#}HQr&-n5p2G4j<sCmYx
zB$gzC;t^Ehg2LP%Ebbo<3R?g8;{2kLOt4l^V3Z~1FnEH3CkR4?fg&<BB`7{1+!w+O
z12aK^01wBYc#u|5n1aJmKO;XkwOBvb$RxcqGbcyCq(B!`o4{z@)RNMa%*4DBUAT(k
zqGWxLlK7G&y|RoH2L1ek68+5Fbp5c*vc!~pBLgE7Jwqr*AIbyyO1~^4B}X5mySOAJ
z-pIhfLch2qF)t;tC<S6pK~a8|ennDgW==}6zNMw5rJg~&r(a0Cfr)`>yn%&@fqrIQ
zaY<rMPO*MsPELG5PGU(~eo<~Q%r1x)eWL6l-_0ed6(yPZ^l&k<O(eTFGc`387RV{_
zIr-_C$?=AUW+otq=P>BgB&-S8Ni{#{CgrD84unULEvCRX;DRwTIlj0AobqWO9heqU
z$MwkJLE{vK<OO6~$u7M>$%lcw!Vl6sroaGLdy)bpV6|{D1!jQjbx_kCT!B-;AW*}V
z0%M@<TnbEp`v}@kp};7VehmfYKsq@T7y#=Y!TUrMm<0(Ia2)_`W`pOiKz&RYhKYAU
z_nF!-GBAMFwt?1HfY!$BXJTLg&C#|pGcZ^%Gcb6tFfe>$W?<OI0>OV-7#Kj<j+KD{
zgd15I7(n<aD+2=v^RY27fN&@q0|N+8XJcRh;YVx?3?Qt<&cFb|+3XAqAiSNOfdPa;
z?gP0EMuXU(J~50>EDh2F8bbz+%Yw!=Kx3|;xfRg*chGn|XdE3R4_f;J8W#nvQ326K
z&^36VeUhLtl1AwII?&oqkU9O(J)@v`UC<mXXwMI5PY-Bs%W4J&2GIT$(Eb$AdP&g!
z642fU(7pxGz68*I3ebKDkQivc156yW9|1H+51M-i&8dUt%0YABpt)_(oHb}J8Z=)D
zng<2VJAvktKyyE!IUUd(1!#O8H0}->M+WV=2JP2@xec^V1mr%@ntsrlebAcx00stz
zK+swQ1_p*;1_p*u1_p*O1_lPuTKpIW1_sdjPSCnd(Aq4}Ix0{Yfz}*>))Il%2!YoA
zfY#}N*54E`Fff4D(}Kbcw0{+}2Nkqm3ADxsv~~xyb_O)p2U=$WT0a6>X8~I009wBQ
z@&_nRV15D3JAvktK=VW(e}UFZf!0BR);EF1=cJ+gKSAcg%s`ie*$c806s9meFmafB
zVES>H4^jtmGbm1w?FN|x5(oJOWHyKoQw#DN$X_5mpmc^D=DyJI1*JP&c7pVS^x{eb
zpmheIId)K<K*t=Q#0g59P#S&w4P8BqF91sPpnbkj8eJbcAExgIR6TezI@;PQkQ(%H
zGLRSuYk($eKzrn&G`jid<B1?WAZ!4dxB;Cn0Hx9Of$}>@9Xgf(P2w;xFepH2bbX*P
zH*`H<KB(XT?VpFz==wlq16Umz@dB#;1C&PB2aO&yT?`BwpotwMQDl9fu!IFSk`gde
zhk!m%83$H~Mxdt`kR8ZMpwWXY1ZJbB7j%8l=mD!mCeYIhx;|+1AZr1$(bEgMK4>t5
z)glw<=>=UMXub_uJ&cVWf9U!^^NldI$TWI7L)QnIV@FmGXG1-}0j1IPf$A!_S|r8_
zs6#eDX>@&{d08aoaOMuELk>V`bbX+8zHr4zj1y3YT!7N(`atz7l5#k61JofqpftKZ
z(EKJ`F%n}2)FBI?G`c>}T4f~VaApJ4AstW}T_31Ufh$I0WI!EK0Hx9Ofy!(o<#1*I
z)FBa28eJc#eu67TVpu>O;sB-5^?~XfB;|0X0@NWIP#Rqys7`__Mq+S49U=gw(e;7W
z?;<IOGe1Dp|A5ly`aty`Trm>k2Gj!&pftKZP#un>9L_ud^}q=zjjj(=*TNMeF;+l5
zumMV=>jSk1kd(uj0-y#QoQHZY3CIjkU5_LUXNnNe2WlU{6(cdw^B;PB0BQpuDTg!B
z%RzK~p!N)0F%kp4oIuwHYOf$EhcnUZ2XuX)wiR445(B-QK-ULq-ykW6GttWlbbX*U
z5nM461HGI;*9U4#BPoY7(aQ;ReW3O?Trm;@y_`VT2Wqn;DTg!B%L#OSpmsi7F%kp4
zUP0Ff>Kh;_M=+uF39Md0*9U4#A`~N76QCJ-29!qEhpYYR0nN|>P#RqysQ-en1;H|a
zW@rm2jjj*W=Rv4Ouq2=vS^-L<>jU+Z5UP<_&<xE1rP1|)`XorI;mj9M^&g-#x;{`p
z2Cf*1aRFKo+<?;P`apdjB;|1C4roDe07|3l1NHsjijf!#pasDSD2=WU)W<?n4rg{i
z3xWwy8eJc#Uj|o<#3+Ck1Qk#kT_30~hol_NjDQvd2~ZkcAE^HaSB%7PfEENEP#Rqy
zs4tA99M05$76b-R8eJc#{|r}*#1McM1QJjhT_32=jiemT`~g+Z04)&E^`SM};rcc}
z)$f4P==wnYd$?jG26{P<-d_NX2_PwlGtui6bbXF+m8cB#egL{Y(D(?d4hRpu-Gi<V
zv<4oc5|#V_EkJ%iX>@&{@eWiS5FUEJ1HC_lR*ge6fzB<0c7P0^G`c>}xC&e`5(B+{
zN7n}$i$PKjXQIa+x<1f&0$ec?;|8>V`~juW^?}A9kd(uj7NCI~I1h4O35*MB_khNV
zV0>iShJZfMnKsDkVQlnv0W?@)(%`e^;2b0ddb<E!A81?!NjaQ}-Y!7b2iu1Z*8pXp
zw+qnq`9syAQ|RpibbX*PH*`H9K6<+VT_0$i4x|npqvs=ZeV}uN(Di`$==}$DeW39`
zkUDgXt`EJR4>|`BT@Q$l-tR!y2O4_>sYA!;{SI_}pz%s{Js|!KXvO~kN~7xw2PwjW
z4?rva6HppmA82e8i#CQ8(29Qplt$MF8t=oV551f~AO8UD^T(zSy}U-(2io(GO&@yy
z2wfj&+!vcZ^zjgoKIE}B&{#1xeG{M!n;B3Vqz_pgXnYx&4`ZW;3$z;#lLnua2<IR%
z(8C2?A879|l5#i`z1&6D2O9r|D@J0V_m9x^fyT^{l*5_m?N)Ssp#8;g#mEe3yA#%K
zMb`(~YmBTM#zt?Kqw53hJBF!6rqSEw==wnO0m$lMZ1naLx<1ex0!%G3jov;(*N0Z^
zz_n&TJ5B{q8eJb~t^%$YiGiNZpu;|J6`=VbI0uP=p3czqf#yY!l*5_m;fbyfG?xNb
zjKo0iccAM7?Q2F-4rikGJJ9uk_Bg{8BQenX9q9T%`<;=L!<p#)4s?B>c_O%CBnEoF
z16?0zZV5>_oQdA=K-UMFkAf>kVxads(Di}lu#l9)ndtovbbTdom8cB#bOs$JgewBg
zm%%wm4D@t{t`9WFhNK+ML{Dev`pV%dQ5opz3|(IZs&WJmtz1IaSBX%G!U}*6Ttq-=
zbbVDQsu3LY@PrPZ!WDq#ZQ&dw26}j+>#IRhi_AnXKhgEoA}dB>qvt<#eRW7`k(n0I
zff)xVjjpdASuqkDJzSu}!*CU#GoaxdBnEo8pz8z8e<LY}GttX=bbYXMli(Vl4D@my
zT_5OtW2jOT3Vj?5U0(}|Y9tQYI2gLVRwSj!Oa<sbmj;wZ*Vl%u7>UgR?Vk!jX>@(<
INNSOp03<dq$N&HU

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..f7cf2f68e489ece2c94a65f7d267c5a769c8d354
GIT binary patch
literal 4838
zcmZQT11#kbfgNQKx}gq2kCLMyFd71*Aut*Ov<ZPZ|Ns97VVLQ{Fb0$sP-kFZXMo@@
z|NsAo3J-p&5#q!$9td5*_%<dsC&pcLU)+BcHZSMsTMn8zKYTOZe!bPVccKH!tPU1e
z0Zq={Uk9ol-@V~ozW4UsZ1up2S)6iODhf^0S7ilOHmH3N3aN8q<jiPfSgO_G6hGm?
z+6&V;I5j)if9$J%zth_I-fsJQUvGSTH}Tv&W8?I+eKRdT@BU_W?$?WYu8k~gu0i{&
zW<Tax;d=bbf>~EQ`c1vwDD>Vq@!MLXIr3(hB4>BXpS16X{hD4fc}i<W&e2+#Ut)cf
zKSKOPVCE$GHLa?3dxQQY742nZ`N<SBFF{0f;+5B5u4hcxs;l_-QrI%Fo~823qBrEG
z9NNZpv2T~htB<#3)7zsCSY7Ab+EMmpc5dC4%Vt-%-S^vSKI`=tU&W|kanHGRtG{NR
zk<5(C`mVEOSF}di>YMJmWzM==mWm4ZO%!;$vU^Ef`roIMu9qgoE^uF(U?0KlT{9)8
z{LZ3NoUgvJ<gVyga_>F=UVDrETRCNm&9YXnwNBY~Z14SZyYAlqdg5Qjw(Cn{Cb!I(
zyvyTN?f%^L_Sds>FXyv|PB2^^?asLMipSkF>*~~a!*`{(%l^OFZd?>qs(bL+pEpxK
zUQv5uW_$nEuIpP~zpy#XVW?j^@rt?h_O(B+<)`nSx7qGD$n5+%%}z%8u152|^FQ9R
zOYyyX;ELSdbpHFBtDgS5n4f+{<+Vbc@bmO~8*7Q}E7r`mn0L$2mMebyqui~V&+YWz
zT~@l<Ht^|}+mcs}zdnAd<KoF38dU$*@3>^x=BR&i@oS^5cKUXFyy6<PKeEtzckll7
zQNkhB3xDrg`%}1n^J06Jev80(+4B7pH7<r(zii+8K`$nKU*_p!Z9I#DR-FBKMM~`Q
zba&QEZ7a-fXWnVv{<N~Su6nyw(6s;e+}B23&Fw9oTUrr4?|Rjaxwp&WuZk_v{j~Sf
zWQ%G&oulUkrLUjgn=sFP@6Oo1blXn;TTiEddZ&A#a-)Bf^X?kM{snID9Zu9RJUINM
zb8`D7cl*jeS3J+|65Db7gnIq|(>3$0N{f8m4j$b<`I+5xrt8N|1tu5^NOv@{&1r0O
z6q<75!G#Ye`1~c=Do>sG(6RoFgk0LB6n9p}i-A{<UyGWt*V8SOIpx}O!PQO|R$und
zI;ploU{P4R{PGsdnuIM}s=wEMTJ=flB){rP*4fiu@$@w9`}j*Y<DSAS-gRH2was*|
zoOIgTbp2M9Y4zM_`&<pBwPqUGpXXZHPOSORnCyNm%QO3!gJ)ORM#p1Yn7z(Uk)BcX
ztnK<t<K=0)ubSj9JfQNxMk2Sptgr7F+w1fjjV}Z}i`?4T-P%>QELA=3_O^eyq@cic
z%~kS@#e3}e_^xZd_&)uVxYo~BSMxecJ4?ffUGlayKR#6aS={P$r+eKo?VVZcHcdL+
zS6y4H|7k+%UqQ{(S&1{Q^~~&Mn=#k%O~Z|9!!1jbZk-U}>y=*T9;bOJPrspGcoB=y
zSH*Zi>v_35i{`OuU;W```$O|JQ?1*vGVK!Pj}t21iO0m;d)D+ZMe1d8Lf><l884+x
zmaR$RUHRX7>#yiVJm*X|m=wx6$#9=+-udg+p_5k24>(<4XL_tsqU}sD<L5oe-0G`}
zuKYjfW%|;8UH*}u95d{4gqQ!?Kjmrs)%X7E%x%73a_{)e-Plqf^YqT^*6J6FuYO&2
z@6Y>%d0ex#^0VKVS4GW8(71iHuekNd);-d1bFbbvJMDK(cU$zW)7$pf2*`8QN?W~8
z>Tj%cpH>sF+w;=jsF`afbK3B8`Tm)ik^L{@QLR|pk>8;P`)+M$n{M%*nOoN6o3-%s
z?+G4r{G0MwZ@&tE6!N~Ndw<@Y`S;sx3+uS|aOVg=w|FJIE8|UhTKzwUwj-6Sf5bNU
z&f&bGUh;K%@9)oAJe{8{KjbFNwong<_o&b5nf#;UGpoTrk@Hf1Ay3zuehJ=plr#2M
zW$m7#{Z$-oM}7-Md@DG4ySr%jo6~EzEfzmsp}lS9=V@2g7Kf~Uyg&BMpL?6XpEPF_
z`rWU+MtsHR#9M#gtjIf3`<?f}{)YQ(_g>WYK3(7RGXKXbizP>=f2}=q<fn6l(DVBT
z^Vx47sT6-DtR?+>X6NMRERUYpo!Ra@+oF82-9l~Of`1%sM}At(xZiv8h^M{qu6r!%
zzb9H$&U;v1XjXXXe2ZG$#T)kZJkF2)nE#lYFx%o~y3<oNi+U#KM^BnQ>TU3y^Tl|f
zwxGq+n21)z!ak-4vXfTo|F%t7$sT9BF#Jm#%YBZ0%>PdOUVFAuIo()EOZt1=vX5uf
zrWoDRpP`q|aO;l2yMCYP#uAs;|96akK0_}**604$u6cUD=byQK{&3spZ*nCUqGu+~
z7WmzG#a{mRUxoRPtiK3W9Xrada&-Eg+4orPvDZJS?mk<&c%ORAuikgtW&G>HHA2kx
z*(X`uS8WN}A3b}1o!q7F?8`gjIAT{%K36BW>qoWieyjE8<`o#nH!HrL?ZUq5dD_YP
z&8NbiUv=C1ek=ReNjuX%?)_8u#rXc+oL}0nMR#S~Y4@3Pr$6KT-;VdWW`&<><1)Uo
z-p%)&^S)WJP>%V4r^jrIpLY5sdrww=)|4o!o6F?zb)Wjr{IJY*=L6pwyzQ%T+x}$M
z;llaO|9u>9$sCX6nzD0xNxgC5KKlbRSsnh>Hu%o@^Uvu;g>e1j??zv?D>1D4UUu^S
zbm`m7MQY3LUtYW@%lPfOb1$dWoPJ<c%=dVQ{H104KUQlj?6i8`eOp!VQ`^M6ZOy+q
zZy)@d!geXm`pWOWcT{WZW?Sr>FQEQ?a^z`?tG~}&X7l?Q7yQn#pF#S3|LOxP=NSu@
z1_!TxZhHCM$2IqI-l@l2-{0}C)7dG9g_CFfpU^7@IQA)X#TuXct9Fk6zyyo^Obyiv
zxsRS$FS_tZv^H+Wzhl+rf8Q1|guK_CwEy~&J$LW*ww?ZUZujqVtb5gWd@s7cucfZq
ze9765Pk*nz;P|*s>*F$$kMA29Kh}#MxX+v^TrcyLbL#wye=i?7xli@nbA}1ky}om*
zSyY}}&3|Qaw(?8rje>tR{0$HP*12jP2sm53d7=#4_U^elE8gyFmi?b|Lv-GuV&U`p
z`iti8Tz>lt`>#b8df%ITYgbS3sIOpUIQ)H%-jC)zD?jymT~j}}<W$((D>l)N^R-`S
z^eLS9{NnzF{ymFt%H*%>c6xSy@l1>ULlZ3iGdA4$o%`s?E{!d>E5Z#6>zLY({M2W-
z!<{Lt{(SX?mueRM2Patk=Wh6|U@o=%!J-<TGhe&@sg>Nd*gpGjl~mgi>y{q*mODIq
zzF05ZJ6(Fc|NREV>&v4X!fXAf{h6)1^uGn)*K0c!k4)Y<f5M9En?DPE+_-E{yXw*D
zd%{21Rf=ov5f6Iv_sY83O_p*S|AZPSTkyA**{<)Oo&4a*ESEWjDSP-Ysrj9AUKo0K
zzt5aGuaBJk{Y_$t?wmF4pZ#B4RsZtuPG`$Pw%;3`Y*uw{&j?Cff77#k%dK~1Z-0jQ
z_kHrd$9yvIW#EoQj{>K-t-bZO`JwZJ^n?Ey<&QRfFa7ZP0e|wv-!eaz$NVV>v|#xs
z^uzywbs@u<2L0y$obwJgeylN#mpT7TyVL2U?$W!)FMU*P>&p~t)wa)w^sHF<uC?gg
z%3U*;<}903dgo51dAyUwY`rOBpFY1#DN4H)8Kw73i$md-=kBGOghif<eDdB|QkCX5
zWr_wnQ>xiZnM3+&Kgv$t><kcYPSNV|R#i1MmCU-l(CqP!pI&K-)A`P(ExnhLrDbwk
zK5O&BX+=h-^H$C%%$~VCZH`x-Vtnbx^CvS`<`rF=sV{muFK_3hxRWBEZf;oTxw6E|
z(9`O3s`-i(F5mO1m!B59K0BGZI%IB==I$7cvkF|Z7R<GJkn&mkr&hLQ^hw*8)h<%j
zs%K};D(gD^eB%DJ&G!qnXD)qJvyv?@?8AAleUX})Zx)4UF&M{YuT7bK>%EGY_t6;B
zz&Uf`BF>3(ac;LcW+-Mkt$6z8pJygni$#TKe|{ADSi3t?Jy2_RXHB8v$CrP+)S_q2
znK4JspRLhF;8fZjAEsliEX9{k{@{NcQ1kxv7Mc5%*CV~`rl01Nx%x9QCQ){6w^sfe
zAI<88pPi;^-n@D!q~i5A&U%h(Q}51yEGwd5yti5DQ0u~Gqc5dPxLH*%UuL(`P>Yyj
zrJ<M;xM4+Uz?5x&N(1`#o0SDL=q!!sN?-jlr)p>OrayTzzj<9St9#m>V)f^*+#I$&
zubscw&0$aHid(Vk-)ZYl)zVw#S8&$<T{cZ5gEzP7{u$1C1^2qX6)R<Wc#kFhc&Shm
z5PX71+p}xcv+s+3TDv$V>hv>Cl7AU0=CUDdt((5UEOVWs+nYRJZ{-wv_Tkmh<MD=T
L{<B{^Y7q<oi7Sdo

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..33d7d9aba9d1e849b1c0d3a9195b1f4ab283bd06
GIT binary patch
literal 2526
zcmcb{$p8iwjC*HT-?*J7di?C#(pyt3&Mwu{U0W4irTD7X-8qxTH;9!ha8l5jt&1)!
z67X^fuCS@P@}kjkv3tLqY1Wq)7eNf$MW5&1xBr<FCilhWo8|uEd*M}IU(NY0_HRRR
z$<h-%kzV_r|NEmjU3kau4aLmjADG^i-re8aUhv%g#zPK0{~fk%hu!BCS1^eed|B~Z
z{R79k2md_3>p$R$J2dzBo%@ydQ<=&i^3FLp^WN`C)5Xv8S6JNm{yyPr{PW}Q!vDK&
z_@&==wcc{gKkWyyf6ZI3)*DCs-QIMyK0D&?^`D*Fpa1)Pxaz+^*nhVTzs#Gj?zdd?
zZ|#G3n)T;I<{k9jaliOK*Sd%A*z@@PcfUEvZP8TSzuUg;`3He}2PI?Xe*BbN!8`rK
zk2Sy3KQPTZ{L}Kg{zJ<>O_x8vyMIvh&dxXAesE4_v}tp;c(L2QvDl(@xBssF4Z#&G
z`474J_CJ5R`~z3sg9n=5^&ha^J8*aT-TkfU1w}{So^CvSm(hRyyWf-fzgJct2;KBv
zKIZmw-Zd3B4|Pp{uskmAr@*08?TTwE&LkXt%o4UQOl$o|-Y^@z#G{YJ!ff6p98Lb8
ze$Vb`GK01p`-Vq`jiUXQYbtcWYHh+cJlfbc^*ryIntO*%J(pcm!)O2LTmH{~f;K<*
z-8QaZdtUIV=ePFM_T>d%*8HCSfg|qV-vfW|&fk6Jf!v+Sb8nd~8igyEpBH@DGhP3I
zs!ii>{T=(8vUjvu>+jm%xcr0Ey#vxaYEGUmuYXv?`TfD8)a~vCyvIL$5dF^oP;<_~
z<_mw5ceHq0?0N7{O78*NyS=ae>DsiEf8cu;|GjAM^%%AfGI|f(DrZ$$78W1)*;`xx
z{+Zo%_BnTNeJ{5wyH;_b)l}}thGWf)Yd$V$FqP{`=w&yKcziJCsU-ik4UbBhwc~#8
z|ME>UjydAvtV5@!E3T>0e8~CJsBx-3@0#~J8@}3>Z+NuubLV#Vj{*m|_r>!(UthhG
zEAHa^uj{}4-rachessj&z0FteKfLhzb*)mw`^g7S-IodbH%)7OP3zSC#SuTF51+a(
zy5>*p;Zyg|t>0UF>b~BZKfH-g^I5d(gCl-gw@lry9P!h-ZR&pS3a9;F>vrG2UmNjv
z?txeDMZ)U)6Tg;ouHK&=@%Qe5SMN>N{J49d>;Av@duodxsWx0Tzhl>CzQ2&K{(aCM
zn+K2h--X-tC+vOCbM5!J#J%sO!t8Pro(BI9-oO9Ye}=F>JP*3QsTcfVULSst^Sf+H
zp7{F2t@X^S|NgbFs@!VdaP^-^m>qxO)8duCdfp3Py<WkWT^D~~*8TDg&%U2azbAM0
zy`asr+GL9zFP^p)@TC`+7yXx6XZ-x){Ftt0`}f7n*?(dWNY$5b__g};&g<dzt{?vX
z6|SlO&yxK&_CQp<|AQ&t!as=JTVeNhM$N<X>mR((KX+^X(GB0yE!TV#-*C&mRaD<N
z;^U^KtM=Iue=nc@`}5;$z26_W^d9JaU35FnZ;#c3i}82Ye|;-)?N=FBcCB^u)_t)D
zqVDS@uFc=R;o5fVh}XCO$=2_?ww-a!*S8GUewj6H-FNok@B7mG{_jnEyIm{d_gdaL
zm)3pCzb7|!{sArf_O14-6W^W>+wd(tJL31|bJOeo|InQtTfus~;KR1x>;=r?1>a@A
z_dk%_(_}o)`rq#9!tLeXD=QB?PP#Au?{MTtse@hDdBXNQ-|%Q})7JTO4&CxM+i*@<
zY{NNavk%k0-##?Cd~a;?`k1ni_YLabzx{pceC}zro;v^TD?6{Pc)g~0&A;pCq}MKf
z(C|~YZTgw&&Qr$uJP-LEC-EKc(N^A}nD1S2sQQZfpP#XBj3b_Be0IOHzIgMi!1TG3
zd;P6m$K-sGsCzg4u$4Hs|IYa57KP^{pPL=Ne9rLW)B{%AC2jV-?@yR}yCwbG-`a@h
zW_vgP{>Qhbc=p}Kdgj-6(rWYe{}Z~l^X!|~we`II>vz-^-b-!W+g7^Hxc<;z#Y)rG
z(sjXKSJt0R<$N8J{^!ZQ{SBq-CKvk!2i9xsd-L_u@^7#C6Xw1?;{X1)bHsBi+ix##
zUDr=|`}q0!fd9%*HtTKmmuEkBYx?u^mf7<2Y~SCBDW7@hR=fVo@SoQU=2iWC!ul$?
zKk@D5a`vyg|9|<kS6Xkecx;}A_<>u;*{59pth{4c-G9}0?R&Yi=g*h9B7f+n@A~T(
z?c{z>`(?$h!S|~F;XB=1zJGasb6vAM_(@dXe0dG?>p2Vf?#6G3n|aVmeMVft|E7-_
zOt0r8d|CCoR8L^<vo*yHylZMxT&>;LyiPATP*wSN*LD4k`iXCq#qxL5PujUAaqVgG
zE5X&_8}8+w+f~|E%at9w+j_<QztipAzpm~+mwjsLhHHD1ueD|$d(Cvs%IHa*;_G%6
zx%=OK^F5R^a&|lM$5(&)A?JwKd;aKtZ)g49ytU8ce%WuX{|wixl8)3)?+I76+4cJC
zqw<(%H=HA0_h^3mF>m_p!`T)U*WU`iv5ok=t@{7({dFgDH;0*=Ik@cnF8(*dUv`=P
zmEN2Am8<sVtJT79<Ezi!x<6~@g)gk17rgvD`E7jl%s9jQGwp0r!?yEZcpEwW;_NX0
z3vVMU*Z<AdUp;$K^w0i_v%};U=||U=?E0InuRY(8f7ZM?hO2Kc-pv2y-%j?b=YN=M
zi+$pa?%$}*dM0*x`5EP9)6YmQ6F<YhjDIG#kG#RV+54=Qt(W|Nc3;99^IEwr^>0(F
zj?T#cHRI#mFLO5D`ZA^P`j;7o7rr!nJo7~_dHT!S$-lI6c;BXeYx{Wei)8ZTmy(Ys
zysSMYa@o2^ep!CsOYdW>o3D$nU2wl%%JzbH(fUkZ)ylM|+(oCpDE>_R)evF+_kZov
z_x2S@?<c*!pW)j3?`+t4w!Hsg+LyG~)!#l6dVT-pF3DX>lYcF|tt(sp;%?Y{wY=>i
z-s^Vetu)*9Zr9SN3A^%EZgbckqMf(n@9woP?w8yRTIKkDYgOIpx6|}4`^RiAi7Yfr
zdl|H8-?oy@8QD6qVK1gBUG~4d|Jx=JPovAX(zosZwOM&<vZhhmz0D$=GnI8+x6QAX
z^q%;N`?{{K+s@b1#6IR;*VVoD!f|!}`yF>LCH-CcdYYJO^17w2CD-3h6Vop7?%q`J
z`}A6meMNVJ61(NLRh6E9EAF$M|7zScuHfGRZ&Rx><yY<1(Utmb@iz6_%-T8MjA{>A
zWK`wIFWjqBD)gJ<ZR$%Q1F?viR}J?j##roHUg}USd)L?^sQ-)L<EES5zq9p)nX^Nx
zXYR9JCNKH_c)et8=;eCb6fb-Km%nB2tGS;0k@r<L|3c6E+m3u4%{@0(bWYd(I7Q+3
zktqGozn>g=GR5Mq;Bn0&ub$^eYD-SPo@ySu?&N$4OV7LecD^fWcoSQEPIx-+&)e=|
h;dl4#c(kdXhyC1RZ@usy?TZ^f9<}+;cw_OuivYU;hB*KL

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..f1df39b
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  5620293627139536342  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\"   \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..329a653ed7f2dfa411ffdd3d375dae756f671fa1
GIT binary patch
literal 555
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=SRi50ye6HUfq~&OBLjmM0|Nsy1H*#g
z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0
zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz
z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)EujX4`UQKs`?<Ohtr6xjPrndX
zcUOpo3=9knFqhRp0|6A|@vb3`Az+h1&R}I=U;qVraY=l6VrEHvT4H8SY6=64TU?r)
zoLXEA;wR^q=9OgTr9;@c1&Ku<R&hywQ7VX$nwJuvUX+@e2jdi_rhq-H0rDXO149v%
T-V3GgLTNc@NQ6V_awrV|N6%~l

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..d267d7098871297a273af3840767db6b9216eb02
GIT binary patch
literal 7016
zcmXqHU|>j)U|>*TU|`UYWMIgUW?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe
z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5
z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz
zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s
zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k
zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn
z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh
z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno
z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM
z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a
z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04
z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t
zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3`
zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E
zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll
z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN(
zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R
z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+
zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y
zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3
z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au
z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;!
z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W
zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N
z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~;
zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHhLrWa
z%nS^w3=9mN%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L
z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^mx+RjC
zfx(D@fgzNcfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`-
zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm-
zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5
zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R
zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1
zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8
zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM
zihm{shD1>OGchnEf#RQufgu?b|4a-FDGUq@y-W-Ysi630Vqi!E#Xl1RLpmt_nHU%{
zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb
z@z2D-P{_c*5Xr>APy~v9CI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9
zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D?
z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p<
zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I)
zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@
z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+
zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9
zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9
zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9
zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV
zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp
ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE
zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{
z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=;
z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj
z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ)
zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak
znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ
zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q
z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z
zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ
z!Ri<oJ~)H)gLI`8GZYjv<P|fN7Bl1)GZd#LGvsFGF=T-12#|hI9S$-J<Q5Pgqz`H*
z$Vv4e0)(OB;I<Bk4^j)lpf(YR50eMAok08-AVWd*0VtjP|Nq~?3DjDHw8cR345wy+
zyaH~sf%yVUuYmFaD89k`1M9C%G=sDW!F&e8K)q8u3?Mc#2DJr1R>AtUAU7dns9Fqv
zfZ71K^g+YB0p=%U^FVC{Z2CZILHa-##75QwVuRWcAbp_p0OG?iNG%A1^noyl4Uz-3
zF>t8|$%E8_;t8f6)W#r1Jt$j}q8`*{Aw@l?Z9|HBP}?08HlT40kpEy97H;VA3-UK8
z3_uvf2DSM?`ayjPm|hTFl$j11w@6I`HG&u*eFBg=kQ@wy^n=nrhz8|HkT|H{1L8x|
z&wo%K0;CV!9U!$JHz3CaNDPL-g8`sH0O<G(cpv~YFaR1z01Ynaf_edvwm7(d1k(tm
zLFFyT9iVapBm^2a0`WoRCCJU7z6mItL46pI`#|mlnFI0%C@evJCs3Gx+zrAo|A5jl
zNF7KI$Xpl(nGIs2`w7&R1*r#_0g^|@Fn6MhgVF&=AF=j;+PEO~*z7@e6R7;cr4Hl=
zP?&&dboYST#JKc<)PmfJO&=)v;L-<Dk4qn@&5cVR$Ucx9NI!^;j6r=iQ2c?+MHWX^
ziyY>lz8y8xgVGetK4kMjV#xe8Opty&vF=+-qWX0ts3#_mK`L+thWXI(2atbY800Tl
zeh2lnLE@nN1`2CXeglOGD8GTy6ez!e{0z!(ptu9&H&D2P@*60hf$|$D?Se4KTv&cv
z0JRsS7i2yRgY1B@LF!@rg#^@t+Fqar0ZjcO64itH+#vVjau0G|1Gx{>?j%J$s9pxC
z2ZaMjKQcx(8`Os<MqL&^WSj_>dqL(ShaWEWptuC71z}|M$o%4x`0~Wel6X)9HZ_F-
z#w{*QPEIW@2Jw^gOY=%VE#2ah_~iWDg2W<_XmLq?Q7VW5YK*2ArKaY=I7O+T`Wa*g
zXv_&Tt_5PlFev?i!T=PPp!yCZ4jStK@ok}F|DdrQQ2GPa7N9TywOc{y4^(f0^uy8_
zD4l}FBQ~%wF#O<QV94NQV7S4{z@Wg#z~I2gz%YT2fgymOfuVz+f#Co@1H%t~1_liQ
z28IX$28Ip+28JC13=A&>7#Ji385j%%85kl285kM_85nj5GB8L8F)(xpF)-{9Vqo|n
z#K52+%)sCw%)rnf%)qcin1SJgFav{z2m?ce2m?ch2m`|j5e9}IA`A=~q6`cTq6`c_
zL>U+~#26SN#26Sl#26TMh%qp{5My8v5NBYp5NBY>00j;xV}a&KAk9UvdqLqb1Ih>a
z1H`|8#^(TKKn4Z|kiS9l7EnGYEI|AWC?6C)ApQ&(A2gT<>Vrc0pfCc-|A6vA;RWJr
zfC3uSSAyE>fX2^&@<Cw+Qhx%<hq-qKsDZ)&2}7_v8Xr7Z2^yz>iW`7BB%r<>lpg`*
zgW>?Be*zl+1R5Va=!s;X1*o7x;%A`oXQ1(KK>48f1=+^|8axFxa-jBDK>46}2g{3r
yNTl$G@?q}Hfbv1<0HksTln;|XfyVy<<%7}*NWB6mZGgfDD((U0!{W07jSm3zyQ)tB

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..e60afc75709dd2d8eacd9876e58afa87630b2fb7
GIT binary patch
literal 8160
zcma#Z%*o8FP>2Z5%vFeRadKBMFk~=jUX$*?z`(G8je+5TJOXzxFfg2AKn092E}y|J
z5F3OUpll|P9F)xrWwSFdFt9+`Ahp~`Y#u0^gMop87s>`v|NsC04>A*(4bltZgTz5>
z5Qeb{*^6vGNFO#gfW$>X7C_xE24%A`FffQCv1O6i%1CTYB(^>h+Z4(Mg@-ki4KmXX
z$_9mx3liHKi5-N*j)by7eocU~K@_gAg1H~$FOc1^utN4DNIys%<Q{7H5o8y(um#xz
zb0<hm5=a0V&dEsZ6eM;klnruw8k7xkXC@LmABkOx#I8nSHzKjyk=VUZHpo4bk=Rq9
zY!C%=Gcp^b9yts_?gND(NF0PgY>*f%u0ianAOoQO1;rmo4M;6W4#q}~FPQn#3=9l2
zpn5?RHur$Sgc@-NatqAOATwd<6Pb-Gt%Cfr8RS5yAGaW}w<58T{RpxT<abbbz|u2v
zJ_U(yBcK;#FD$G;Y>;x0nIJZ{v;-2zmG)rq4pM`h9(I5Xh58Gd`$6hqX&B@uTBlV~
z+yHVLEFXc`JBjcMEPO!mj-1za5uq1X7-B0ESQ!`?4nWNWv5!L8j0^@~t3X_k8$dJ&
zp8!=*3=9m&@}PRfg4JNx|9S?73VV<$RC!Q*z~nE06Evzk$X-x71L;(NoBxdq#0Ozv
z5CO$IJRuB5hHqS;umoXT@*p!o7?(WAT_B809^@|&#w8DOHwfdB2Zb95W0yySuNeaa
z11x-AfD<ul_?RQfPXK2qkUS!M1Q{3@BtksE(VO6b8a^PN0FwL;caR7~KPYTKJbom3
z0VH`)*ns5ukmNJmKq3(Rps)e)bdls4N<bnIc~IDZ;s=*JC~QD(z$FhV=Rj`2E{_O5
z6$S<da2>?Jz~GSuvmYT3Y9GMDcL6vvf!t>d4lj^uDFz0H2LXv-en$XE1R@V|I|$>F
z2e}=Damj<+4#K$PLE#6&xa2|M2g11IAueTL0M$=0{}}{AL>U>3xj}9RVO;Vcw}UV)
zd63&d7?(WA?I4Ux9^`fq#x8FK4sVcaWsuVM4Y>QQ;O@sI4{|#Q<B|ut9fWbogWL|n
zxa2`@2Vq?DAh&}ss4WT${|0bl5tM!q?#Cq$aytm)k_WjRgmKA(+z!IH<Uwu+VO;Vc
zw}Y@80|SErQ#v?4G=f1QsP4xl4{|#Q<B|ut9fWbogWL|nxa2`@2Vq?D5SKDA$Rnkn
z3s~HbOCIEQ5XL1Baytm)k_WjRgmKA(+z!IH<YDetL~=hvIz*I_0g--i$%EVu!noui
zCNVImBAFkN0}(|wAD2AHY!JpJ4+<L)#w8C58xY1N4+<L)#w8DNDFXwjPY26i9t99l
zWcTBe2e}=Damj<+4#K$PL2d_OT=F2dgD@_6Q2hqNxa1)|VPMcgik}}?{D(^(<Tnt;
zB@gl&2;-6m`3;0|$%Fg`!nou?egk1#@-Y7iA^DF3-hM-*Z(Q;qzkx6=d63^g7?(WA
zZy=0I9^^L=#w8E(8wlf)hxrdQW&%r}2V5bd$mtW8Jjib#j7uKmHxR}p5Aqub<B|vY
z4TN#YgZu`<xa1)|VPMc<U|>jKi3ZmX5ycQuWdC88N3_56kmElbrXRb!1{QfqB>fNk
zG4&fE$vecs<Qc*41@R1#<Y&Z!L_qZ$BfNcqOCA(AAdE{MViE&`36l96z@2DR^Kr?8
z%m!gx@}Rf}VO;W{xCdce@}Rf}VO;Vs_k+gCVCmN(3L?tLfCwL4@*uZ^FfMtJ+d&wY
zJjm@Jj7uKmb`ZuT4{<321G0Pq0rw-zgWL|nxb%bE4#K$PL2d_OT=F2dgD@_6Z0>I$
z;C^IzklR5R*?eSqklR5RmpsVrAdE{M<aQ9oC6CSh3kbL$Ssvte5Jom1Ssvte5XL1B
zaytm)k_WjRgmKAZbN>MX?njmfxgCU&%}168xgCUY$%EVu!nou?ZU<pp^4Q$}fPnjv
z<w0%-VPx}><w0%-VO;Vcw}UV)d63&d7`r_7@;?Jh`Hx(Gc);Za;pMvm0|P@mG%zB-
z1An0MR}fyl<B|vY9fYyV3&YJ<LMq=MWI!xpWDtg%k4qk8HVEUA2Zb#N<B|u3EePY1
z2Zb#N<B|u}?I4Ux9yGoJ!nou?<G>({OP-T~fdPbZ$%6*)Kp2-iD1bp2mpo`*3WRaV
zgW4@1j7uIgZUw@)<U!+JAdE{MG;RjMxa2|OZXk?H9@K6GVO;W{aX}EqB`?CjzyQLy
z<UwQZAdE{MG)4`=xa2|e6(EdDUIH|($H2gVOCHoN17Te9pm8e@#x9S@|LREj>q8za
ze<9>GkmP4T2l|l5pVg4$J>dEg`BxiBenSz=d_?}mE|0Jux%}yXmp=%310?f5#K6oK
z0wrxQ#~4YT1KvIqf|nn-<UwTz2;-6ml^r0AOCD5qfG{q3P}u>(*yR!BD`@U?KiDS>
z3<~h^X+-&oOCIEQ5XL1Baytm)k_WjRgt5yb!WSeDZ@<FbhX`L>@*uZ?FfMtJ+dvqX
LJjiVzj7=T@73i;v

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000..3ab592b
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=199
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=209
+OBJECT_NAME_COLUMN_WIDTH=122
+OBJECT_VALUE_COLUMN_WIDTH=79
+OBJECT_DATA_TYPE_COLUMN_WIDTH=91
+PROCESS_NAME_COLUMN_WIDTH=0
+PROCESS_TYPE_COLUMN_WIDTH=0
+FRAME_INDEX_COLUMN_WIDTH=0
+FRAME_NAME_COLUMN_WIDTH=0
+FRAME_FILE_NAME_COLUMN_WIDTH=0
+FRAME_LINE_NUM_COLUMN_WIDTH=0
+LOCAL_NAME_COLUMN_WIDTH=0
+LOCAL_VALUE_COLUMN_WIDTH=0
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..821e04107c0a4175f8f2feadb68e602bdbb87e4e
GIT binary patch
literal 45296
zcmb<-^>JfjWMqH=W(GS35buK$M8p9?F%+zXG8h;b92hJZco`fR<QQZb*cccXSioWs
zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful-XRVVh0zQG5I#sB
zD~PE8A{ZDL(C9`dh&YT!)(5t)03y$TMi=lx#9=hDK2X?L^g!g%=?Ji67#I?uG)x~T
zxIp?mp!(2h1&{)e^Pw~>JV9;*VGC$@qSI*JWkA;#0o8|2yFi@J0HZ;6fP{jdmZX5#
z==Q+)xa<pn>N6+=nZm$;PG12<6axbTj0V{OQW^NPBn1>MAT}`=8tn{0Q2WrsAI3ic
z^*4-$TF;=LlbK{@qMwtZo0FMWTA^EEVWw+lqF0=+X9Tt$<SvjMpy+e=3uRzxU^oC0
zgXsscMHm>s=^P|)D_=i*(Fw&F|CYE0Zhj;r<oLqZ4WtHS21pM`4ai`SbD&WR;xd5P
zh71hgJPGlCBUl_H24Y-HJ(mljap6OZ3=Be8BzLl47q7%2E{sE57L*pTn16^FyZOs-
zh)-w0u6_y=cJTrn?pch(zo0ONrAcJxUc#YX5{Eng;&7)J4s&#Hgijg{bN1s9kH+EO
zU>x>7z+ny#j_|C+VU8OPb9`~QXC@AFUg8iB!{MGr9O1tShx%7I)GOeyR|ALp_v0`>
z0f+g;IKoF0hdG;Y*lUKv{01E6tiU1u1&4np;;<J~tz#=6K!q7L@gF$sHNqjjn1z7>
z+LS=fb_@*ho*};RDXB%N>6yhPsYM~a&N=ycsUe9;IjIcs@#(qwdGW<1iA5#x@erk0
z6=r5<#+PNJ<iw|C=A_1#B^G6Z^vA>0GQj1FQggwa%+%D>_`K5G)S}Gf_~Me3_|m-M
z%=Em}l=#%b(!?CFn&hI?#FEtblvI!mSR}O~HMz7THNK!IKRLCyIJFoo3br^oBQ-fY
zz9=y-JvBZ*Ej}|ZCAA_xzW|{iv8X7qGCny!w;-`770DK`?##T@qLR$SocN;DtkmQZ
zu&%_M%=A1k3#_C#IU_ZtG$%E_q$n}3I5D{-6BII;>3NAc@o9<0CGo|nB}s|N*#=-O
zxc!%xSQ4L@k^)u*HaN8`HLoNd<j2IE_=3cu#N5=9)FQBaaY1Qu285Mb5?@>vUs73+
z3JK$a#FC8oyu{p8h;&JANql}@PG%mIpIQ=MlpJ4D1oBgIerX=WOJMV=z&enuPEO3p
z0l6G3o>G~Yn41aGitr^!Em*R+02-q3z<|d@d}2v_NoH<pd{t^uK3FkGS3F!HmQX9s
z$u9@1EY8d=%|VOmjMT(}_{_XaP^@HDL41`0500YLoc!d(5{NKCi%_B`zBscg)evki
zMkJNw!_y%H*i^8q(@OJ_<H50n5>j9V`1}X57Hm&(YDs)@QCV?(N@;EZw&(*Jl><sd
zWr;bZsn`<(SP^O}26+W+1BOrF*$I@~5LpVhx|00(%)FA+bZE*0TUL}=oSBy%pPG^m
z322Z%DvC36Q!<O<!Ql_e0-0&?MX9-o1qG>)G+msUSDaY_i!yllKobhswJG3aRGgXX
zRFs*Lo*JK?S`wa;6ke1GO84>cIhjeIG67WP<R)h3F~r9wS0u)#W#%R3fQ-#e%}vfN
zV8~6)Elw=~QOO0B3^|!eMJbu_(3F&46rY`1l$V;LSDcR|l?1U4MJgH8lr&>-_wjUc
zjyKXXG=VdX5lkaJQwII~f)f4A+;si0%(BFkd?N!R6FoyHM<2?|$xPDE$*V9k(aYD@
z(*vQBf?|k>etL4UuBD!_o`HT+W*$fi+^_|=D47@-sRR)wF*7hSuz*bkwOm2%R%V93
zEDW&j4a1a))7U^gMs`++*cLFKnL!B3FO|yVWME)okOeiY85kH~?Q~dw<$^M#8gYR3
zk6_{xpyC`8Ao4JA7+(QRd_pWlod%k?LL5Zg08KmqDsF)${s1cOfF`~n0%DE_n)rt(
zh<E^+xIr{TJOWL;04kn<CcXhGo`EL*0V-aACY}%jF~0&$ya3v<Yd{k};0jUSfhMj1
zY7jCoFib!bZ-9!=Kofre6<>fR?%)nFe+8QO0;u=~H1Prhi25C9;tl2y@dIe$3^ow)
z6X@c$5b+CW;uoOeH_*ft>>%nNpouSl4sg6c6K8OQsQ-W_{sB6^@B>YJgEK@u!$b%T
zOScRjP(GUY1TToV0Gjv*sJH~0_y!+{dIdCb249G{2AX&PRNMefT)`ir-U3a01614r
zO<W)VqTT~dyZ|a5fF`aG3{f9}CcYp9BA$RIejp4Yo`EJV5DpQqKokF<3lVQX6W^c*
z5$`|~FVKgG-{^<XpuRV>NCFEyKoaKxi$I7MNaBJJAu#y?NgUR92TA-u5(o7aL1G|W
z0Cf+j-^vFPfZ~Qe2!kD5f<xFKvI9vRQu2aC85kxYiG#)#AfgNmGmykV;}9_M1xVr`
zKf}aVAc=$QhKX-L5{IW<1_p*5NaCC@#S9D#2av?Mki<_QiE|@~UqBLv4z+=0Zy<^D
zgGC_310-?K*a}3Hf#C&`IC8({1CqEfOfdrk!w)2J&^QiU3L0OaFc5_cGB9u;iHjkL
z3m}O@hbF<Y5=i0_U=awRfFv#n5dxDMNa9jp5eQ*`BrXjR0+SX<;;=DPkc0!0IB4t!
zBnHABNaAuJ0VobY5|@XHfv5;1apZB81SD}qkU}WVKoUpp4;CPaL#uGGjtV4k6|e||
zXh0HIg$RMk4kU3kun2^hfF!OC5dxDlki<2>A`oH$lDH;B2u!X(64wHYK!^=U;@S`)
zFu4Os99r~%#Sb8fLyI1;_z5I&Xwd@}zknnTtpdT~qr_+kjE2By2#kinXb6mkz-S1N
z8v>vC<$ifIzv1xcW<8nDz~Iq(poHoF1&`(<9EZV1{x|i?V_^8N>XXO7z%TE>@Lv_g
z&j882eDMGO|Np8^c?=90pl-^`3t+w#h!5(5ygUHr8-e(suE)y_V7?ZJ59)HfTma@P
zf%u@V)yoNBz7&WL>Uz9v0P}@Fd{A@yWdWGa1>%Fc8ZQ&Td?pYd)Wvui0OtS7Wnjnv
zbuC^xfcc+5d{CF-r2&}#3d9F>*<LDu`Hw(+P}kz60GNLZ#0Pb`UNV6Bmq2__7vtrJ
ze<1&!0`Wmzi<b|;{6io<sH^w#0+_!G#0PaTULFARH-Y$|uEom@VE!r)AJnCIxd6;x
z1mc6b5-%r!`LjTLP#5B51DHPv#0Pa9UKW7)T_8TF%kVM*%x?nmL0yHH0bqU=h!5%_
zymSEbi$Hu(*WjfAn4bmWgSrGS6~O!?5FgYPcqstpM}hdDF2G9$Fh2;y2Q~d)e)tRW
zzZZxPYVyB)0OmV^_@Ji#%L`z>6^IXN;=eoq<{N?dpr-xH4Pd?&h!1Mgzgz(3D}nf+
zru@qZV7?TH4{E}{Yyk6xKzvZs{bd1|&jsRxn(QwVz<eeUAJkNT835-0%3)y005#EH
zI)M2g^=Z&i7X}7UdN+LQ*?BR}qw|qR^OFw&A+Cl83{QIW+U~MrVE8ZkIER7ZOPU_P
zd<!UzGkEmcX67+4c=WO+fhfx#C88ePtjlsBS%vfe1Ah4ikix@I+N0Oj5~Q%#6qN2g
zH2-;Ye(*T>&feqT4`vU>V;&d(m56wBv*tn#;Q0R_jbGk{fdQr;<h};mub?^oQteQW
zW?Qcu1_lO?&Zi|j9^JNKISdRhH2?qq|03o8|NqBW&*m~PFvcF{mq*e6vi$%5|4{ch
zhC7BihB}4>dvsnt<`luwTou4rYUk5k?a*EA(OvA*9URj9>wo7DewSm-zZe}mzq#<c
ze7F4W!teLNrSs#9w}1ZschN5PaN%EH9OBFP?<J@^;?r9k;nC~t;nB_E(e1$D(dnJw
z(dnGg?VQl*9MkQc!@vF@|9bBf%M<k}o}K?a8;>{Cfd&jGfB^qC=Lnx(l><KA*$5YO
zd&j(J{`3ETH%NO*=OOK25B~MRA&&gpLPQyS8UHx(Zxd1K2#&BkQ6khGoWppb(>aEJ
z{UQGKrz}s@t##~Ti*}50jCG82j6ZzL$%%n~o0Ee_FUtY`ZC;KZj6b_t7%$c_FmyOM
z9iA`&yS!38e)(b~c}KAMT`d+zz=Dp4LEeJnP<Vg`cywOw&Ps4KeB1igk>BHO=ld7+
zzyJSt>^$bt`Tm8<pa1_oJC7TF^XNS8(fR7dmS6w>cV{QGzIEIKN=`2Po=^Xu_33>6
z;`Q(U|2;aL3p_fH`*i;E>3sC!=b!)oJF_c}JJ*0!b}j}bz~jxJ^a?7ljx~3H#Jimn
zdOiNP9&q9Je9_qr8ZAHG49f*BovWuXFfbf%p25HXF62DAohv*#n-_qRT6Z(ZJde)i
z6$}gv-C(iK<~bmC_ZkKU25{tdFJWL{usp%v|C51%!Kc@DmnSTOJ-V|4x_5)D>)s5q
zu6r`bj_z)d0Wao*JPBrYp3rUvDdJxbb_4%57gh$Ae>SY;`TW}scqX&7`!N3a|G=X=
zyP&(eqPw`Jdosv-FXBK3fE}alT*1E{?B`=HtPD)A-Jvmnp+y^q7UtI?AT5y4Vgxb4
zxEpMGH`IeAGToCwo@TrN2?AK6<L?vs|Np;FZ+1n)Zg6Pxx6ET;VDRjAW$^6w<?!fi
z-U15B&Tdd-c7r*c%^SdBcmN)TI~W)kUMqubMG)PSK?*?jft17St9M5CS9do^{)O+)
z|NpzeCL;obf17tkhYu?QM7+BjWRK;E(xpD#)ddI_Iy-oDdV6>z&xXYI{TD^QKnd+A
zB%OJ5-g+_d*Z==6o!?(bg6Zck1i=bkfZ7J|{1%Iv`a${2kr9;tx>_vG;>;hx9*u86
z$-<-avS;T%pWZ45!?%Xtc7YlZKArzxocQtozYSw)ut#T%N`ObVb3nIuL>CK3M~ez5
zMS1jws08?Qet$9X|Ns9s%%xf&WgLdzKsw+3`2W9)p~FSR1Eh)rq$(Sviphb0%F)+M
zhHpDxcr+dXSse{3En*K(==O5x^zu0F<N~sM2Z(6?$y6f+W`Sa*^W6Uf7hg92V=n*N
z>EzPs<$>m5M1J>Zd{e;)Dyl(#>ds2&b^YJj1WL7t5}*l`R*pA;5<V!4cCG^X=Xet+
zFM@JzXA{U}$D2TT1tJg1kjI-qnGG!e{)O%L|NlE(R9HYo$iwfTBIF3X2r2yb|G!K3
zZjhCZz3z;zt^ap|OyT!D;n@8Dp9{bLi%uRDkK~siy;Hw~A{(5=!4dupR0b{h@&CU^
zFF0YjbnXVFujAmP3o^x}b2TU(9d8DuXpm#Nz{NyIGbp(pZ$1H114`fEEZNz723)*c
zfeunP|M=(8>7&BI-}jV>fuYxfv9tRESiupfw$=mu9ru_(MM;W^fKP9ZN(3nEK^PRd
z5g<Z<e;e4Y4i`|G02MNz!0g@(3e4`wpdjq-1{wFl>KiCafSB6gl8}FWGe{O(#B{i*
z1p0J-_vw7}LipGJ{~awVpb+=y&d%uG4Ptd~28Dh1WKf7hjeGqSVH`*a|N3T-EX24L
zm6@Od5M<)37YBcWO84U)-K)VRCpZARH*W!j%4ATeK@FURWFRPv`PYNP)TN__l>y{Y
zh;KbQ=cpJkGBCh=-J((eN?hR56^F+ikqibYLGgHtN+BZygHPvokKQR@gI`?w@&7+K
zFgxd{yZ{wS(C}?h(SX>5J4~*B!5b!;2%A6#zsP{t1Pl2}5EmNqopV$`X&h2(fE?Y8
z8KIdV+rV)Psx?50(0m43>xMlJU+9ALHbW8vme_j;i9Jx5fSmDS-*<4LK#J5eAQ5oF
z>joRs-3?0GmM2P#x+jB^_k~WdIIN`R@ALZm|Nm=|<ISKH4ocp~!SW!MPxod}%JAs+
zQQ`3DX7uRx<nZW(m&2g!<<WWn#j7u%tO+THzk$kOPEfqosIa`40P>8D%8L%L3Q$P~
zDsWKCVvp`B2aoQeh;FBVZm$eSa5>g_=w;~V|NlYRX#%3W3UKW_?$~+Gqw}*z=U>Op
zv%bAcY=3Zo(#Ug{&O<MZzx@B-dY~lTqj#@E1_Q&3&!0er!(IbB28I{UA?yeaP;qCY
z;?a4}rSp?Z=g${*V3!^C?A@a)zzH(ynNR1h7akzdZe(@WKK=ji)A`(^^BzcE10>&g
z6k^<axM`>r&C5q%FW`6o3Vx8KVD}e)2D?Anqj&F)R0f6@#t=7i@T0rA>oX|4!EQbP
z)(&>_6p$#2o0U-9Tmq7BJPI)mr<?V_ZUzTDl)&%)9RhIoZ~g>wKYz<y22k%~Z$=mc
z!;Acn;5b+i$-wX;8Nv>TVPJR>4q<1+GcdgHhOirw7#LpIL)ZdEApd^^1-XujPw!d@
zuq_Bjzi0=AP3K{cZWa}f-aQ%@!1CZ==mmQkNvRCj7+B2ZfiyNA1sUkkiBN?Wa~hwZ
ziEqM$365dG0Un*7J@<inc%WW6sN(+qV(I(;|2?{$GrGMC8f-Y2`CE^Hnp3@XjE3J{
zbiV)p|5&pDGXn#YNAGS>lK1G{4B9X7!vEv{|DL^dOorcHq<|F#KoxBOm0-P_L5tB}
zXh9S)8-9CX4^~tFRrCU)2(;q;1uH}mi{ZByQeZ_Bpo&22?LB%oS1>a$ym;{8|9{Ws
zW2_J-e|iUU)&^z<21bwG-4RR-3?7Y#XE1}3*F8u8#JyYx($QPTX87&J;dh|8tJX05
zW_Zc)<clZo{{L?|z~6F+m4U&tw~pQL+lz%D72VAVObiU&-5E>_3|%Z7|4!Jj^0!}P
z0aXMCJbHOE?HCw5l35x(7*G6v;MrTpW%%tyCfFg^!bcxsJ-6Yv7cO8$*usYkqKL=v
z+Y5QHB5dLF^gTGFc@4k4`1Ka#Z&3I!d-U!`4xa-MMf`@}UR-?(iqGa7pvtcsR6avu
z^$H6EgJ<(GftOoBjNUpC!*4HEfz1OsA7mbK^cFzO6E*zyq7|$NoAbRPio^`Ry+{Bn
z!sdK6h$3;rZ!fIDia^c>r6y$OGeQ(e8h(2rhQ;|z{H>xOS2rJ%f+T>CZ$JS7N&w6+
zfBglAkDTGR7q`H|Apd|YK=#jqcVI>GhTmRn1uMelpK6FA1;cMICV&-T^G_H=k)q+Z
z7ujG%*!*J%QKW46?S<PLaC8VTf|8a5BLhQ&4F?l{t1mM+I#eM3Q3RU=@(;^PEr@@#
z48OhL0t;jF&xN;O|7aV2d-3!&C@et!0YxHm_FD~6q+|H)#R0G)Z2oD3DAG0j_F^_z
z5jOuMLKNv6etS{&8tfkruzvy|{$b{Coz4XIkHO1bkkZ4!@Y@S-uzA>=F9<Qu(eT>~
zHLxO(^FeWj?EE)xz<zWx{PuzotO%R)k3$qW8-9Cn=M^X*K+XpR1ak6P1X1K_`0d5^
zS77IZnjGETpe6w%I<_%_o$m&Tj!9sXK+(bWa`tbqE6fbPy{HBYWAl$A!~%1}Z!f~Y
zim>@d2BOHq@Y@SRup*FuKu$$24ZptzTW4wb?FA255y(HFkU{p(C5R$x!*4I1zr^$p
zGk@zdP%Jbbvw`^M&`VIrfc(Sy^7JpT1zv{VUaSTSWAjfT!~$=_Z!g-wim>^|2cpQw
z@Y{<-up(^!QHLn<HT?F%2CN91f0!VO{0+ao5Qq2&we%{L@@zg90P)MW7cjptz5M_4
z|9@zCf7rA6m>NXI6}Sq9mv?`H>kXrqhkqg}LTGycG+Y!6st`N>d+q~`!h%MoAx2Dm
z0SZ;5I<Xa$J|J~s>5KpW9r?Gn->PR|Nb79g!o<Mf*xi1uo`IpWc>|~_>fH_6`QXvJ
zc?J^$!!FQp`U}Qa;6Mk}mS#}x4<OpFK(yZhYrg=|4$4~|y_<KywS(f%v$qaZkA8m+
zvHclD`xl7zM_}zAAlkvbmEO&uZGRBkn_q%$2i34UpxWO+w2Lr<9sdHXT>w;p_U@hm
zshdH&4ItWGAlg|CzrCo0YX1b$ZUWK%4y+xNjy!sIAAo2FH4-4&SwY&HkFh~Q)*NcW
z4~PjN5EH(DO#me_kKWzj?9g}^)Pew;;L&*xJY?{4_Y1H;Ko$0fXDC&=;B!coE)R(&
z4#RIR&Vf`w#?asyoteKCw28>Gw+>WitpTgpj7VyZ{M)Dh0=dgrgwL_7{ZBmugOLj#
z6aTgYX`C1MTR<IcP{jWQD`7Eo?3(@`q$I?UiGSOrG|of(EucOZNC`s&14EiISP4@D
z1A`Hg5)MWN29OeFgc4RXC7@0qNC_K42?v@IP!a(t;Y29mhATOh#(9vx1vD|_*?deE
z9PW?+-1YSTe=rM_Tg1T<FP1$8CG}>|F1PM(kmI^VI65bT5;FgG7Y-I^3I{beKoQ$`
z7?h}Aru+kED+!1Z$ykj5C1#irpj7VByBp+ckH*8Gg#A(xVuU2b2nDQ0fD$y!2vAD*
z=-mxY+>M7piTmX}P)!MM6Wo1*%_E>h4Ko6i+C88Nyzww7fxny$F+v*Ridk5V03~dg
z5ug+gOXQ7*L5cij1jGm#h!NpfjR2)=m=T~P|5Eht|NrpzIB13mGz$hXK@@BPyzD8p
z^!$GeBJ=z4|NqCEIha5lT2RkqH)xc?qw(+uMh1pmplLmiPI&XT|0y`HfJ&Srk5Tf<
z)5oB^QsN3NP?mvY(ep{Ex@T`4sJ!Ta$XbAFdk01ahGrWM)>1)GQ~YK6f0WXf9pcC^
zbRFF+9RCk=f}Q=+<3Ca<9O@Vb8jS>1#o$u-pT~aC+!Q1vUhrWtr_)6x<G71T4rodf
zG|DL9*}Kib8q{z5{vr?L;m%{-IVuSry(KCU9>-l&z(M7)1Ed@@ZsyVHq9WkYTcg4O
z9`Ahd`w_S-WlMt;+>TvtOdZXjU2Yz|yFo(;piTv-3<l}<=)CvB`pN(Qo$p_KdG!Ck
zN8=HYyQ3ZB5W}#by2N8YXgUq*1#ghT?q;;W<2=?3>i00eW`{69mHQ7+PqXo`1E>V<
zychlQ<KzGTk;V%=8sC6M6FfV=dv^Zw*bSO-1kI9yh9B?0c>3`Ff6s3B499MNXa{aJ
z$h7~5A=1Y{(vV>C02u<_Htx~cqVfTxs&@)_5C}9{s|!kZork;EfQO_!dbg;6IuD>=
zQ~*s8d+Y$!WUye=00pB0BpA0m{Qtk3*|D=7RP^(2cV<a*>})>*E{XSpJm%SWd<7_l
zg7o`z{sV_!=ld6HAO8RUk_%L*^j3qCsVvx`^{`%=-9u0^g%qhCz15()@Am_cPuoEO
z@7UcBZM-q_w;l!iq#Bf7Z#?+_-?w)eC{Z|ew}Xl^Pv&+|*#`14s6_NQ4jIk^dC7Ax
z$VnhC`E;&P0d*ISx2QBQGBAKv34;cvKm{>)%<|cb{SQFSDarR}KFkUU*xU#I|Kkl&
zpU!75Zh(>i)<6{ixxlA0M@0e@lmg%xYLCVvpeRBbR`O^*%nq^c-~IppF}(zyK{(!`
z0veiw7`O&JQhyvW$Ojr!2W1oks4sSc+}wG%J4dAglt~Iaj<cvhwB@L9cyvC0sr3($
zK_TfGG*|#hY%i+sV=G}n-DOzWmIG;lKr>GODD!}V7*vD5{QCf5G`Ix@8IK78l>uOH
zbbba+S?&YPmxJc~A;k$h*iLw9$lnT@1@(lq#9rU~|KG8zU7&%1p`#gOwPSZXPXnkO
z267W(zyj<JkT+jcf>KcPF(!!Ft0DSD!TNh3Eh#~;{tk#g7JyP`<KY63KS26DI`4Tj
z9sxNP6h(24@v$$}K_)_)W-<3r%Gug`pcKR3@(I)whm@vP_dtWU9UxPgn?VT;R3asS
zvRDSF`1afbvILSIQ&b9$yMWUq11JIoJbSl;5;bV1<;y+L<iqjq9F+)ABnE&Y5v1Lx
zGX)%pkX$JT@?1B2XEP`)9J}0EAVGvJRFdz5%QYF0x$rTTzPsSa2D!4k8{}kgy8sk0
zT`n9f9nGNd^61@-C5T=KgW?P|oIq1Ikm4TH+(ItyAyNH89%3CRZ$p}Epjjsu6$g)Q
za6mzv01<Qa=zIh!uzDVXM~p#9-=n)3RK#?5gZhskRV^yuG}t=@oEt!@_d!&5g3F2!
z6%UY@7f7rbRKI)l?gkYW9*{a663-x)Mmxs6Ot}Y1xR~w-mGw~f$KL@57f4Sxc+>^t
z3jFRr^MDlh|AVMTaeo&`toa70*67_0s+~O=4<7*W!08m^7Lfaw+=aLwlAl5I9-t*U
zp#I<O|Npx;gQ~~w-48(8n0JHCV%`kuDuUbIJ3-kOGL-$I=MHFYkiP{KvM_BNEDQ`D
z%)3G7ka*zG77En{8rg?wGk|CV?F7fAO%ANBBn_q|0-_}VOksE6>)Rj)mKejdG=SCa
zt^iZmwd}YJ%A_T7$C?$`7#KjEaZrQNqwz2Y8+bG^`eoW3P=6C7%k=sIxZHvfknr5j
zz`y_v&*)o_G{6iR>H!5PILn-1V_<-lk7q!p!jswtHfaA4Tt42t4T&b0@e?5CgXSin
z=KsD4HXjt&-QA#&2b*8OjxfK39l2c?!H#TxEq3!k6O~Z&XG6>f#Y%TKD2l-5OK>2}
zmjO*X^zM!Tm0*pBK_{7jQwiApve?ZBjR!%^w}zMxio))0P<(>TKY(QZ5s3MqLdT==
z@CpuO_wT)hHGFm>!vFRSaQJ}YySp0{`C#)iI1%p8;RJ^dsI2g4JRHD@Y<?_u^Fh;x
zQ1@3u%wNC)ir*C=64X@^;6j)$!UZ<}0wjEXpqT&nCYt+^>c0e#22lO?&vQR$eVG6Q
z0|Tgz`u~C*Y$v?_E7kV=e;gw7_ByEcYm)%iUZAr^9Qn7miGliqoz0+@*y{q%-g;2O
z>d1AJdg<wPP?G0w`2%jD)q@&Vi$E$c`T$X$&Bs|Fb~Qp2fErSeP9pzy5stLZ$sl{W
zTsW9Inn4cm=-mx!?}1_t)ZT+On>`wjfV>B<F~8mb^-StP1M^zf;jOjqW^nn-4DN`6
z8f&25HmI=%Ds4bL9*?~s^FWoON9P(9P}%0$+a}on%B3wT;Fc;Vr+^P_0ci*I#33zz
za2p7zy$h-@pv|FGH$XvA;^o<V9Nc^P|6;~9aG=Ve1gZ=oP_cXQh2o9>|6hWd-JZ?I
zIUzyjcMVk6qj<_iB?DB~gPIcz9-TQV6`<xObe<km$xA?;C43!J!5?$!uEFTbbmpM+
zU@Sq-<=+nK!GNLy(u2Vr6)!5UgVvS&e_?nH+=W4om&4HV2DID{lKftDUj^qUj93S?
zC?K((1!*UN;s}(VK<y+@EP=}d-s{-XJE*k>iUCLyz;i!nwIpZ-CnV4$!8RgNdo?_@
z|Gxr`7)x-(e1eRjw3$O92Gsxc>~7NsCx&Lw@R4VC8)$8yXLp}ESg`v6ID<9c08#Mr
z7ZhZ>K+R1^eGhULcyuK8W#Bb%8U^K^wks&PXZaOSlHqT;32N0fA7_TRJMjuAvO%N!
z9?Z?4p?*-q4iecQ4|?tg*#b(tKAkm~(T>!Ml>h}m=TVpL66B}{nE}#?+<CPFxvSfi
z!2`1B3GA~@h(Ehs1w1;z;lRJ$RU)mk85BTWu2LP%pnyX(b&&o4BJL_EX?%Yn1~Rwt
z2qG{*O*e3z1gVce%S|B>_9FW-IDLTp+uaTFe3uJ{R7W#tga|au0%~bB9&P|75m292
z_9|L>fS3nbt_n3z8jE@29nIigF}z2v0cxm#%sYDp%{<U}u471W0Jxj{#}nL<04)Xv
zMbY;c`!0bykD#Gh$L@Ym&(4v5`xF%`P!cj0;c)DlqhbRZL5tyF;@|c;jq@6R%T@;P
zP~8+2TZke?kRp4qB1X6(P&X88k^_<=Cp1N~VJ0~vDRM<q1X?NsHpvZ1kq2DSVelYi
zFF4&D<AHeU<wdZkK&7r@cRwhjz63>_C%lVu0<6H@z_Huk;&p*%^D%IN{{6)Uh<Jo!
zw|~Ox2v7L%><qAYJE)7}*xe5@>$R0<^D*!mukSCaAhKXDclU!@lCNbvn~!lp91{bP
z1r-L4-Tj~vx?6<9v2!|T6b8}&a_npe9fIW9yC2k8^=v#2N|@jlgiq%`$UuG!XmQxf
zi<kcY2hCS|G`;~@1}Q8&_JcNNgO+m}etYr$0%*;ug$J{<0VuC|fC|<CQ1=OSoFW2L
zu5ut{u=Gp+|3mVINAGS>%JpbG463eS<7Y3zE`f_ikhRf{aqz@HVFI*#^kiUQfVv_3
z0yzDHQhs+gC}DNmaO?xM6<#P_1lckHl=ORdgZeZcjfX2hr6Z`H1}+`nUqnm2F!Mp1
z$)V<Rqnq!M%);T3c_2M4EzP6zpvS?Npta3Pj3+vqL4)NUy}Lny?$LNyff+F%82z#Z
zY85ztfYu{>c7FBj{O{4cF9TFqmvA^9YXzUd=D7#7107_!Z*Pgpe@Lvhod+ecR#132
zcK3qfyjzCDqxm;GfBRZ+Y08=*51JU~nc=~B@c%=QrsppL&x1<qcK-$j2FH%pcc4Lj
z{_X9)pmgon+4=^=?{4>OU|@I&8YhD2k%j09L)8<4swV)XX96Rnb@cqj%X46RVo~)(
zqv{C<>4|~s*$UB<f~qG8RZkp952%oUxUCVQCmU5yCaRuPke)BlmfQ0ez7Rb{sCo)e
z_2hu`fY$Lr?2&}%sYKONj;f~^qzAP24x;DjS#TIMpz5hZ)l=2L!0<X6qG8L~|NonR
zbCjw(cC~|YI#LX^qUve{=~8v<YVSieya!cT2S~QF^#y23ySFyLvv=zSPyz&P9C^Wh
z{y#J!*@D~&NokB8y{zq^Ve`&bP!a?+4IxX&!SxJ`fVYo94P#Jpl>p_Q682-wpcX!Z
z=blJtRzymzH_m{wB&a>u-3@93cI$x4uIH_9ORSs!GL|GX|7GHD1s&w~V$B(Fz=76q
zcQnsq0hjgd3qfA%Y@P$+cDK(31@$rp28I{)5XDo$?GKpZ$ta5ZL7`RiA{3%>4vNZI
zC@QCcR0h4!KJ)*7^IzstPzbL=QN992`4W(FWyh}ejVOxNqbOPp9=MtT>g)DaS9tVp
z26cdTf#ab0EG!Ooo(6ShLBR)#g3bRx%deV2!3v53(0CSdc?S>w#y6mbBBT(8^iM#0
zXh4bS|BLj~pa4g-*xcYPHs90W(e<`X5NCo$W<ZmBkX8X`l5rQfSq~nn0GSVS!pkdX
z;EgX%km<efl{;FeA&swGMAzl{DWon0s2=oSZU&ERcebb?cOk&NfW06aLCr9vF2oja
z0};6k0an`C0vQGe%~gTwRR&O}0&H;a6!2&(w5^_g2IQm?TSQ+e^c2M3+X(sl#p~1m
z|G!)auF#Kzr$_(4kT?ZuNTT=y)@y(`3wN*K?kQ}&2AD47rXw@Ng`i#o?pS#Nia(dm
z|1Vfifh$DhD1xLCNc#=6y$F&VUPPY+r3G;CcXxyQz`vb^BdxO;yg;iLyvo<3@vsA^
z9t1@MxE=(>A2h?m>nCtZ`|G&})D?jk4cd+I_XH^If==~h_UYXXDl>h0H@^TG2CBt&
zf;{SKc)+Lgzfb447XhHa=&ex!En+)@&<IX)Xi8O~N<oeNnMg`O2BInbbrO^^Yg9me
z<~)Q_!%JxDE<x3SW+dEBpaqH}|MsanKxxBTgrme1#M=eoF_t)kcza;HK+onQkizQ)
zBiu43!%MG&Je!YzSHeAi@!~ivP?&asg5kB9XY&zoT6+HC43ZouykARsHXi}^bDzK1
zfFy_MQBYO{7sxL;P9mBqNaX>zNdqgELFa)ofQsb{pz_&s52zmu+7yUXEIS?t1sr$;
zyBpG0VQvNwyLN(xNRPXycz_%T>90fbCupfTXqfl^i>)XA|L;87{DXzRos*G)q4R}D
zFR!RLsL26ciw7!*p1-(v3|yLnM&~=4zk!Nx{_X9DKw;V0`~}4AZr=wg&1K*Xfi(~v
zr@@6POvgzS9Y;YrUcsyMDu|9tC^{~n=r{w?0UC*bxXJ^f<0guZ>nJ)dgLJgP?GS_L
zcz~kg9*T}zp!y&jV!`vHpgM#VT!%bI(eo5V&qI(NP#y9PMbR4+MK8d0$Omv8vik$5
zqt(0l1`7iNq#Cg~4y#6rj)GDhD7rz_2s5M_0VM<Yv?#b4kC++-=Ws}Qv6+E^0hBrn
zzrD}~8#99yGVltW%x++1U;rm&aGnB}5yr>Ci4dZH3qt?vBOqruKnHH2`ZYj<s-V<_
z(Es@ul73L94r>2uu>KdQ`Y(X=!?Og~{>{kxw;}A$2J4@|j^h3bb_ND$fdJNA2GNYP
z{<ia<$9_->fx1HV2q@vUeFevh9S67>()Jk=FE$`<ciVe#yjVa6M?p<>P#d2E8ZRE5
z@Jat!N5LKihxP>M{4r?z;b+gzKcMD5XzMO0qn-dUJokf!PC<_F?JbA4(Pkb77gh?O
zvCVGKI54<B`VHh5P-$fi=Kg?i8B1JU`L{1o`PBd#|I}dwrN{p-!VmxdpXO-!ro_>s
zyZHxrBpfte{n{QPZ2_^M0W8tM%)sy(ylCtH3wemd3a|ud`r$RNM|U%*9ogLtnv?(!
z<-Pz96^DRUrA&Uq!NAbv!ok+j{0<T>;GwqO%@<(d@}lPmEWI8&1WG6%UxU)?A4q!5
z;9y_?6?E`s4`_a;`Hcs-_W9?rA9QLMC><God(m_V94w$x4|Q@1R6>1!k$n9B|BhzR
zu%1uvX3$m#kH*8GIuDu*9Crw)gV!j4)(~tz{Qv(h5Pv6#0Bs5ZN1q31qUQ7=<miL;
zH$jKQL7nmYAjlh_MJG%iz10aGjfX+CAvl#CIQ;)VsQ!fH5zqm0P(8=NdO(vxOg_Dv
zL49+d-rb;3hB^*hWqt=u%gY`HnGRCP43%@-ae*D|aFFyra2SH5nc&hJ%wW>sakH1V
zP&|Ne59nYysC&%8?g34AGWqltYxwl;22BS*O++|m0@yZ?GK6D5=iz}{UH@Jb!le<8
z(GX_>ZL$HmH3%+^(0$+>T-q2ejnKX0Gc!!L2wWOT_aPRT^jCxrpw4#O(J+x6CVdSq
zjnJ(i#{rYx4wpvQ{UDYXCOrc#jnEz8!UvPCgi9lIOU&ViNk_q@5xN`B2*ISS;nE1*
z5#8c2X&JaQLU%#6Bux760Z{q?MF~Rp4`x@G^gXyVLbrfbDolDmTpFR9L9PNOy#Owa
z(5>KC3zKeyOCxk|P-%clLlR%?f&c$OTeyQgI)Cp3o$ut)D+;1LdQE@vF)+LU$$Rwf
z)-YpWc=2&RXi#@|0*L)||NsBdhe0~QhcWb;o&l-84^ja-iUCPtGe|=0;Q#-i(wpJM
zodb~dPhh>F!64n8zdbs?f@ahjK+|3f9=)b!piM8mwjk=o>V5zJdvw0*y#Im`q_x*}
z63D=<AkkjiZ6G%10hr<X`~LqA4bEZ+a0D60;0U(Tqn9@qwCJi=)E7*d@_;GZRUo~#
zAak0JDC|!G^;h%v!AxQW+0}f6BRcjlWDh>bp`gJw$SD($#bl%8Xb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1Jh5+qCfRTZTVdl2~|Icju|NjpZ!}tph{{J6;=>LEH!~g&P
zKm7myz9awt_Z|KJf8m4w|F1sy|DW^W|NpiR|Nk$1`2YXXhyVZIeE9!A@1y_!zr6VW
zU+v}p{}C_$|L=PF|Nows|Nn#T@6b!hEYi=(FUgNDNs3P_icd~Uk55X?NG#I_%YrXg
zf%z0Hf?s`c8R%LXs0Jd`gRVjW-Gl<xL4^8T(49fWnYp>S47s3do)|#=c}8hwYtY?v
zmJAFGAJ+Z<4_a5UVdMY*222bL2R8oy4_ZvJVblNrpt%HvE&u<wFflMVZ2$isv=L2U
z$N&F4%nS?*_Wb`3+I@3i&;S3RZA1-w|Nq~@%)sEV@BjZlAbS7*|1K;H3<1af{|8N=
zUO4st{|Ocbh6|_v|7T!jU|4YG|9=x!28Iu3{{IIpHz_#(|NjD328Ijg|NjTA-al~h
z|9=TK28M=9|NpzNF)%n>{{O##je%hTXt5F-1H*?a|NpOHV_*ol`u{&@Vep2l|NlQ>
zV_*=t_WwTvI|D<(wg3NR*cliuT>Jmuf}MdO;pYGUQ`i|84&40ze*-%ML&NR=|3S-c
zFWmnBAG8=(;m-g6pmE_3cmMw{;b35BxcC460uBa-2lxK}-^0Pc;Bf!{{~H_(3?Cl;
z|1ZMHz)<k`|9{Z3*9lMm|6jw&!0_Pd|NkdA85kO#|Nqaz#lUa?wEY&egyq%$|0!Gy
z3=XgU|8L-8U}$*t|Nk72{Hy=}cW^N<7`*=f{{a^RL&BT?|7Ext7(TrD|KEk1fkENz
z|NjZx3=A9I{{P>@&A_1i;s5^~+zbq{AO8Qp!p*=?_Tm5kH{1*iGe7+Q&jQ+S%fP?@
zn&JZ`492P;2F3~jMrj^)4$u-0kT~eD#1rfP{|D_tVQ^swElgwpZ4xtKU|@K#=Kuc#
zpkYNm0XIGgFMjTFjs^yMDQhib70{wGu(_bCH!9Zu{|_4Q2H63^77PpwB@7G<2^;?Z
z2hHd*IPnQM@ku!GDLC<IIPw`Zv-Pm{vh*?cGcn!c(*O%gfR%v8nHd-uKx<&8Z2bTK
zBgk$?B)v|229A6dj(iTy>^*F~tbHu~%xz4}x0yg<22MyuIr4$r19Hb71_p+VE&u<6
zcC|3T&2r?^aOXR~*bFs-sT$-i1+W_hAbLS7p+Nh}{%raGzZW#^2G<L=2jmWSz75PM
z4k={8?hsI*wlFd<IPCoYAGA&p6h@#?XV4tI&(8n<_kjd3%}4Vm(-Lf^gUkV~y*#q>
z|Nl+InKJ{IIT}n13^u#|{|C)1Bf<*qUv&4}!)6XB%s~V7Uv~Zf&j?x^;lwB4$S2{*
zr_jvY$Hepu#1wGi<8b9uV1B_0<2mwy4&4O#WepPp!-<2CvH_ZIKzhNcg?Tw6C>6o9
zTOjisVKR<<3ZS$L+Re&v?EnAopfEvoLnzEvS3U)%bQs5xPXTlWy$&-2!--@6|AUq`
zK*PY1PXU}*So)aTn3#6(DM0Lkq!Z9$a?t&GDaZf+2VWQB$S2Uu#N-J|fIbWi3^mLQ
z3^R`Z{|{Q61~m_y;58s=*`4nLY8raMh%Hnd`9PC}AiK_h+;{T-fAEze5W9Rqc7d+s
z0j&?>IrablThQzpa(Z&)GjQkIzzE8BkUYb*7L=0^S<ev^AsZN(r5Q2A85kHq2a<xy
z>;<R){|Bws2gQpcpM*Q#2S#wDu7ZUjR4r(t(&P+bwV<*Gv<~RRng9Qtp>A$wVm4s}
zxkiA20p#C3EDQ`k&SLr(BnO%~wK<1f4m2a(fI|+nGw=uwIUQC829ERC&GcYpVDP~r
z2MYTXRtAO%=l}ojM=!I$VLyQxDeUiKgt05%1ZL)LtYHuG=K)p*h9eg--2_TscR=pF
z^#4C-TO%TUfy=iIi1KYZYWe2Mw}FwFi4j#065cv&3=9>Q|NjSH-2+ZvwV>n$jtdVq
z28K0Q<Un~Ibb;-I%m4qM2gM_}Fb9?Qjvy-xnpt}w`FA(6l}=!DL1}9N8v{eh)&Kun
z5qTAy;XnmOIa1mJg#~DX&yB19{|AE9g5AgD4KfHM2byS>x%U4*s7?ZfMKcpq7)TD}
zJ{C}2f9?PO#i00syAQoSVxGu^REvOI2-54o&cJZx#{d7NAitsID@439r!pex1(gn<
zbWy|3z#wt!|9@$ay=Z#f`B2hD0`_z<4QINz!Op;N=l1{qpcS)F|2o6``-Po>LEz5+
z|DZYslwZMh8%F)h+=T3Akbgl}KN)Z^FqGW=|KAv^n=c@hBh1oF7><UdBT!qZ;Qs&r
z;H#&=@l+0S8aN$I;9y{wf<tZ%2Lr<n9CD!f<vaKP|F?q2eF@l1P<!PGsKS5n|3B#N
zS&)B`>YON85(32~4`_qZga7|^@W-VLa=3uv5@d%5Cj*1cLzHyt!j}Lp0?S}_fYM<B
zCj-NhhyVYB>Jv!3WP#lYO6xtG3=BIS{{IhJj{<V16G)>%GdO=RRlq7SNSV8XlYv3z
z(f|L&pm>0!Swvl!$^=&ovhxWi14Hr?XxO-d>L5tifZBMV?Q@M!{{IJUYiID_6A0kr
z0Jq&FxEL6kp8Wq0T44qfkK*HC0M(raTnr4oPyYW0-Ji<f$|umq<j5z{%<RIaz~m3k
z&`zK_%At@?Ap+!jXp0FHDWiBa1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1V
zP6)v6VTawj4jL)|x&An49sqir1c?6#jSspD9wg2WA{ZDLG(p>?85kI#(=ZGSph0d1
z1_sdSbs!}rNg$Ge0o*S~x(^;UM*teq0I2|-P5`1|_rin5O+kE^{MUc~^FjOt%pj5h
zG>rykR6rGgju-+7fHpROXwdW%hz9Kh0nwnN%0V<}905dwF6;u)Fbp-8Ap?4EFlaae
zBnLVn2SkI%7#J8p(`z6u1L(L#5Mu*qr!$iH0?;vM3=E*@HIOs|XdD*A0Bw-~(J=dA
zG)N4DfB%R0j}fZ%AC#W}HSa%^4~wS{Q2q~SK>vjD!Q(L?1F4KXKzoI;m}v%$Z#O6%
z2Bp)WbQzRxgVNKW^fD;D4N4z_($}E$GbsHHO0y|KEEj{)YEaq?O1nYnFese{rOTjn
z8<d^~rI$hJZBY6cl)eU~pF!zwP?`-q8_2*Q2Bp=Yv>B9kgVJG8It@yfLFqOqJq=1P
zgVNie^f4%X4N60NOFYQ|x{3yi@(HmJL4`O79RQ^tK<N#j0bI~rcoan5AR0m!K<N!o
z`U8|s01rGfFcg3$vOxENgSLq<Ffb^%LG(31=?75S0kmy{fq`KGlrDfK&<1medIlRP
z4IV^gV7LIK73?753qXUlAf_XTU|{$F+ED|VKLroogZ2SK#3z7`JOKFvN^by79Dt-i
zrx7qPFa$tp1@HtG0|V^dd)Phq1<-r#VfWa>?yZO2QxChB9%Lj4!|tPp-9HbzZ{FS6
z*-AkpG^sSNq*TGsSkG9`K-a7k%r&YrGSM?I(S!<vOu>yo`J9E}<9~D&pmKzffdxG0
z29kqj5AbOc*wlkA0%T&~XHWnSfP!>DFi0OrJOEAHn1O)-Bo3<=AgUP{K;!R>43Z2J
zknXhy$%TUJ3Q-1FxeXEnVbI-XAah{#3`h)wL8pN*GKer(pp_@f85kI_xqm$laZs^~
zO+9Ff10#blLryKoHdKsFJ?Nea1_mJpgF;lbprd&h8N}iB0ZjHi*uP>7=;h&JW>D29
z!N7o)4?*+Hpz~v3`e8I^qnRLs1cL%pJ?KbckR0eV5g`VC1_scLhztx2Mj$~31_m`o
zkSqD&`3O8W3fk`p6^G>u@Z2Q>1A`4z9F{L(>fOQOP(zWZ<;)QCKS0YL@LCB528JlG
zdVYBR0ndFgFfgP-#Xmsv8+dMxfq?<!50Lv|`3XE1#lXN&4OWk2A(S~8hdAhTHBcIb
zDuYwoaj3r!7UySx<yTO8Wnf@ncm)-Qm0#ew69xu`uOM+I0R~w61f&*(e}lvs_!&Nc
zCPNq)7(n?4BreDV37-Ja1PAoU2#~lsSRBMc#m+dyLve^_g2nk6VC6G-?gX^P1S$?I
zC&6?53=9nQP;po}37&grU|{G1i=(;)#G8ym9CT7KD84p8%TrkT0iALH5{H#*pmYo}
z=QP+Heg;@M4@+0qm_X?tqyiOx0;@+A1MxV)=?%m}#cIq941x@T3=9PjacJ|C!4!vj
zKOEwq^;sbIGk_MkFfcHH=d2kR7?Q#2`59p4Jb1p7fq@|pDh{hRpuxdV2^EKx_uzFb
z3=9nI;QS78BQm*=nSnt7Gy@3D*D$$tU~^zR1ic$9&d;zc5h4aFj*mdaVf7_=oe2X2
z!+EGUtUdzIeKIgG++t>6fM#8YK~VB5R6VR-hgPqk!j=IPKCt>6JXgrTz`)G{P3NFv
zNf{Uz!0VG37#I}6;!s18C?_1^;b3uoh6`x<C>Jb_WB`;o8He}=usA;htQ`PLZ@Z!5
zuyzc1{*Zxz;TTvPYA6!*7%a}uzyJ*Z@Y)v!28MSm3=D!y67YHrrsWq{J&cE-rC34X
zgW$thR;&<n(A#0|VD<bAu=Wtl{XtN1SUU>5HiUtJAr>qSGZjH+;}9>!Ar9*IfZ`X{
z-T|*W0Nv&TR?pAC0BsM!()l!~IIJB7bLT>^IKmw;)@iUfKfE0UUaP>sz;F#F4sBP0
z=hqn+7#^~M@&%&(05j(;R6VS{35_0xA7F8qDg-Ud1`2<E23Y$Mw7wD~3)-;{@-M8t
z3-hlrRJ{Q-J%ic}AoZS5@dwZh2VTR+z`zg>76++7#W^^{r{WL?t;@t#@4p4B=ZCj*
zq0Lc-A7F7*J3%}{c8Gr+pzQ(hIz0vk26wPHNChg+z#-m)Lp&L5FF!*twEO|DJ78d7
zm<m?U&j4$`!_vb7usEunAl_!MI6uPyXnz2vejjL!27@HS3~0L%q!xq^gVlprsQ4`o
zaYhbEI9$+$sE3UoNPxv5V#uTt2c#a+fwt>l^$2L)2PmDu+U?-=gbWM}pfeLd;;{Yz
zw41|_2u_Fm4873sfsJE$;;=W71C-7&%M;K+0U+~X{R;3}83qQ1Vz4><3?HEVFIf7o
z1B)a32*REX7UySx^~+%KwG1j=0BxUu*Mc%IFo4$Yg6tK5rhiy_<`P&v#7txobUFgI
zbo&d3dTCBbyf8rfXW(^tp!O<M9M<oIcKa9%q2ec@;R#-U!N9;^1`=oD!DvL-fW(m<
z2xA8)7nK<5<uk;`Cnjaamn5b$Bo~zwmz1WZ=_NCyq!y*7XBL;F7R8t3#wX|G=cN`i
z#K))P$EWAyCne^@r<CLu6~`x*Rxl*z=N9ComZYZW8DLitpO%@I8J}2Glvo*`npaX(
z$&gl*n421(Qkt7v$q*lp#EZ|&%Pc`rT9RLolT((<09vjdZ>X2d5FhUr<ml@f@9O6g
zAI}gU@9q~G@9F^+^l%Ach<Eq#cXITJ_jhv(b`6OSadh%=jc15=iS%>y^>k*)%+8E2
zF3By4&(F)r%u8iRE6qy|NlaqMOifLVFNiluG)PNJO}30LE=`KJU`VY<O)f1-jW<DJ
z8X!bX7;;l{i&IM++<iQqoa2r3jPy+5vZm$;rZJppX2g(LoSExXl$nyA8lRq85}uM2
zUX)pqS_F1lSw>1ud{Jg`W?p)HYD#)4LwtOCZhl^TaY<rPNqjt54cMu9rMam^naT0R
zB`NWxdBvIOd8sM!d5I<QsfDG9ISA{_;7oI425?lsylR<fo|<NuoCNl&5mr$%uzg@R
zLE;Y-*@;D|@x_@{sbIO}qSVBa)cBNCkPE;f8L5c{;CReT%mJx_1)(`2IE;<pjxvT=
zky4Of0M=ccS`uGe77yCk5TBNrlNw);SdtN+mzbLh*J5JGP*RkbSDct!l9`_uZ;6mF
zWr+6-@r_3$-Vk4BP|^)aOv-^c7i4@<a(qcqW@1i!a(-!E2?N-7U;{x(AwIP%HLoN&
zF()S}F*%zd-qRlx!kKyTrNyZ!U>AbbXXd3Am4M7BO3g}5E&)p<=47Vl!5w3YNG4{8
z*f%vnak~-3!LYoB;@tT7l*E!mNW_E92U}c}n#)j}nOmBZSb_)<BSh*nGiQj8Pp(J=
z#WXnlu_jG(gyYOju*SS8!ph`~)a2~=qQt!P)cE|g_{_YN)QWgmbR}n`rj&xBsVF}=
zwYV6oS%zS<@Tc0u6r|8aO>3wL+8B|H%q_qMr&Q)8=4OH-6_F@FVFiy0V`GN+_z+)b
zXqf>j0YLJQsE!9E+{B#tf<#cEgpv)x>8~uYC=--?;$e{lD&&gu<1-TTQgRScV1meJ
zCPrXq6{qGEXO@6+0La4Pg3{s)h&YH<1$Ue&BK?{ol^u{U13R-A7RKO23QhG;R~P5x
zm&1)RL&U0?1w(v%QgJaf`M~oalCe37#U=4&i8-a9+?<|=5(F^y#t0M5O|ix;_S9nl
z_9=Kj6DXa60swdPm*mH1=9Q$TBbR{q{G0}IcyVe8D1$;Q0_AE@1(A|j6rYoyoCq!#
zGSlLVQgagv3Q|+xA!KX<b{N56MX>^0*crlnk5WNcf{g=N587J=s?iG2OD+6<hoo(g
znqp812n%-;b5O;SoLhi3_nH_p#HZ#V<rhMs1#u;`)PR@OSSmb2NO-1zk|={;X>L#|
zI5<;1Q&5U6q~ZoCL4ixoctp{e3M#+gL2rgg+-8;x@$osCNubILRIBACX6C^?YHR}6
zVvfC1GKWP4N(E*N_9QF-3n0Z&YB4w*3o7Bp8$wb5Mrtg{hu5NT`;8G<)7*>!<Vpr`
z#Rj$s9_DbX;uA~aOEPm)<Ev7O@);QPiYs$V5|bG8ic5+hbOwwCO72Ak40?I_C8>Jp
zd8K*<MfnA(MJ1I;LP@2WIVrlCDNrFtCr@2a8x5>HBe6JxK`*5;uedT7LYEXVfMv>3
zi;6Sz^H4bPMGSgHsX2)t4Nz7=P6>k^sIA4ISCCVpm!4n3pjVPwQNo}HYO68m73G8D
zPA@eB+B(ZfDPn;0AX*{KG>8ru8(I`I=s~n66&Ew;CFkenrsjdRdcj(r6w#o53utT~
zHopiuhzn#OjE2p7g2X@=q!vWOFsQo<+HwTb4?43MBnP9>$4sHqqaY<<YzCdHgy{#3
zlYt~)G<3KIBm~B=eiN7jI%O11KWOg{NDfA$+mEh4oPmMi+yDRhF#BQixiA`LKWsi1
z-Tk0$186Q6rXM!n3!_2fMIiTp!Uz<0F#WLk)=UNl(0OOzD;_}VVDrZ?8Z>SK5{F@s
z889}826ZPvbFnb{Ve_vr8gy<FNFB`mFm*7xj)8#zG`9=m!{&EkG;F#H-TfdlK^Sy&
zJ19(H`eF0UFd8=B46`5I|I@+qDGUtIX*34d{56b*&3A+JgXXx=^@C2D1%(Mn9^@X_
zd_Rl^rEjn}lmNLE$^=t$!1E^z3^4r)&;$dc!Fw^mDj@`DUm=JEqe1gT$o4;g4tm09
zn0rBbKp4h{(V%^o$ogUP9Wc7d9;6F_VfMmAKqogM>xa!Bz-Z`nJWM(0*g`lP!~l)2
zgY?7V4>ryXqd^Byg4Dq<%-t~l5oq|q^uy+@U^HlNGfXXrMi2i>X!<$8JEIvGU~~p-
z;RHxC(mDnxm*EZr0|RJD0&KkmY#t9rqq`TzhtZ(pv_We}VESS6hA<kY4rU*W52Hax
zql3~8Oh0TM4n~7+I0oqj<sT3Y(+7)3(7Cdp@Q3M#t@nV@u<>+|UJ!=qhtZ&`Uy=30
z=lh`J{h&R^Abl_lP2Mmr12c4i2h4uhd@78F*#px6vJ1ur(V%%lkQi({1tbQ-F#Xyf
z4iW~b1#yvSSlR)J!|(y<_?!ha0fXux&{!$VJ+ShV6Iy=4^ug#K^B}AQkOBx3Ov3C1
aa~MEla**;D#)r`npt}J;@@N{+xC{VAyVl15

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..54d6c6c
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
@@ -0,0 +1,4 @@
+Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 39909
+Design successfully loaded
+Design Loading Memory Usage: 20192 KB (Peak: 20756 KB)
+Design Loading CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..3523bba
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" 
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..9df998487bd36c639b4e0ff47213aa7b573f27c2
GIT binary patch
literal 8744
zcmb<-^>JfjWMqH=Mg}_u1P><4z_3Cd!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_7BUPB6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py
zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I
z$DEuP__sMZc=WOy;NRxu=)w52tA(*shJm5O$?5Qf3E1Ut5s=rGMd)_~o8Q%9Q3Doq
zJPh#|lnjLjcz{Re<?gHmSHrihZyotP-gdqR`_ZxUm<N>U*?HXXn@8tykIq+MsqXBA
z*0+v(z+uks`Skx;pU&rC36D<a0*}t)KAr!3Iv;^WI<qT|JJ*0!b}nvUU|=}j+`_=X
z@c;k+|Hqm;K;qrb3B4ZwTMxMKd%o!G?g1;A0Fq!}U~uVNJq0W<1FFZP+quG{vv~mn
z1A|9*GsrxT&gK;i3=G|1vCif>Aa?f}1_lOj<aRG%U|_I3!QcNAoE&UH=0XC%qdPmG
zdpF3s?#&?Ux+jC|=<Ws?0CqWq*?B^{8Kj7ReKSa&f13*{1Is@f*7AJ*Z3jG)S=xOV
zfBb*o(Vbn;U0u;#T+=-n<UNQ1V8>`XSMaX~`}vp)D+AMOcZl^9h}OdVS_Gs85?YKP
zCKz{vP49+!utcVNGRV`67a&0ZOLY8wBLDyY_vy{9XxI%7ZT^;d3=9mO-L4Ft-M$<i
zoy}W7LD|_2ip*{>r?YtjI1CTK!*B-!1H)@&u&oH9dooA?$UcyAn0@um=>F>N2FXJM
z1jIxH2>&+kj1C`GhHj{hAbTuNlrHt@t}Z~h(AmMG)7!%%c{U`r?}Lr<=sXHZXC9rm
zzydCv-=Q=tCBE=zKEeUdZ?UMUAC$iw8A184tHq)fXZ{HGXnX^Tc8|`>o}K@EdaE1^
z-x_|~1uEBkI{$+$v|%g__UMdJ3GnE44(Rrd=wjjMXi)*BD39I{l>i^8yKI<CwLr=^
z48Os2b}@9gsCa->allnEIq*+8`kKk`ZRZP*#v>rBqd}!b?BNNB{OZy8rh<`y0ph0a
ztb|_I|D8>sRENmHO`y0w-ULdxpcLP^3gn*SO`yC4%6XklAd`<bfzmoe9+aVuH-Rz>
zSRPUWbh@apAc}w^C`Euv_im7tj=k=TuC4!ff=uD}JmJ{<|DOxL|BFr@6_4bXFul+$
z3XZU6urkD>7o0F$I(LH-#c^=b1DWE|xf+xXjyHo+B*-yc;B4N}3`%atn@@n$fYK*8
zOLaD%0T&Nf7#P5nP4ka`9-Tfa9Q=JxnHU&)Js3N?FMt&sfof|#z~6C?2~;zrs0jG<
z=BPx#QZy)XB0z)y|2D8)9WJ0a1r-vY!0g@(3e4`wpdjq-1{nvA{qANEQyW~8@vm<N
z$%2cB4i}X`pU&^FQm~^%1r*{Q-Psx4yFsk(&7iRFo(u|cEXIM9@UL$M$wG{4QJD!U
zz(6KKieSgi;~w3s!6hF!0J}GD0fowBP^e)s5ERDz>%n2_(ow_80P-lrw;r8yR16py
z7+}6`Q7Hf=E^z5cj>lV63K<y~d^*2-^iBaA3=Su7V0O+?0dWvEX+UfuJ4`eYHh~NV
zVNjmJ7V@2QR6uDQQtIRL7dUP~r9MazN|d&!JYWD-JJ{k7Y&9$~V2Qnlkk|u-3CI~>
z<sBH23Q6P;W4gORN!#*7iBb1taPq#;2~KOUl9s>E>+k>nuSJeGgHkvsc^?PMgIGS@
zn?WhVquWP?!=szgquZ0iqZ3|^g0h!KCu%wR4OWhJ*Ql_7UDs^`jw}Wba7hFza8S!q
zM0ph8+Iifu^PETLXOGUmj-6+HdzaX1@Pg97bC=FTV8dDul%#v~?tLK2zyRiZ^zQ8t
zLt^uQiZdG(kIs88ou6Dfe?k;>9`@|rqw4@R>X}dHFNkP2x;mfE=N_H+;PQ<}JHW=h
zhnt345xfM2(1Z!#=1)j)fM@4-&(1%d`=l8d7&sXi7(nGSq$=_3ZdYJrU~ug22c=U-
z{_Rs#`eYdx(u_qo9J}VIOps+@FpA+|;@|c;jq@6R%T@*k2C$-u5Jik2MU%mb7~zUQ
z(E?U91xe8~G)1#vCQV0DG!sn`sHg#(Gz&@59Jr#xX`C1MTY5otee*FM6i@vC8Q<Lx
zDllJy61ivdF(zaM?gozC{uZwbJe!ZPpom8}cKav1j__<g#)d534yu?PyZb?Ay|(gf
zJ_fGi;9&^%a(6$d1bQvw*?f!(MK37vI(GNp02N*$9FCpSIhYt2__w=ou%tP5w(~GC
zFnIRvcVJ{-@N7K(fsuh>7aKSy{e#76oMSvBLLI|`J(}NmcyxaD?EL4k--3aGfe9R)
z&{We5D%QHYK?xaDMZ2gtcyvC3)w><d4&X{*a|WnHYCNpL#K2&9X(y<jcibVM4ob`4
zJv#4!joJm`?*tK`Lay_kNAnSnXva8+D`2(B1W0`ia#97vNuK*{;7)?I06cnkgDf=s
zW_Zc)B-rql1N<!_Am8@ZGa7ya3wic#n=8w}(7hX!3O$&2gVN+KW(Ed^ogl(-2gs3N
zg)Ik4{5_kGgX0p?HgW7~TLfy`G{0g3r_HtnptRE2{DO&r!J~J#1jMr!m>3wq26})!
zQvqplfc@jyd>otvAjWz2Zc`8jHMJowX$HB(qtitt!sED$N&+afJ$8WH1gf<`;u*(X
zR6wE5fYQe4&QS^I@?h%d204m<J3|^i_kcYH?S*(WAA!2<FenS-z#>p_#;PC&#tH#O
zX&!ct35*O3padZUmHPmqo%jTt_#~Y86rA`p9Qh2I*?L%eS^Aj!nV9bJX@G?#z)Cn6
z7(5sl7$QJ=7#J8ng8b=-q}Pehz>&|wk<X!-y@#!rwU4Erxs8eWHWNt9zzNAHM?MY)
zh&w>_I><OsAC&=amLs2rJKq7uW~dQN)gX5%fZZqn(F<}1s15?@?PURFV+?n=^KD>8
zaY!Kxc89nyFfizV(hdUy!#<FKaCd;+jKxn(OR$*)3fB^-Ip;w%IM6`h>IibbK{IO)
zI8>Q;GlKX~|2ToeLWO~WVFO4H0|P@V$PG?>0*-tVE_?~i%zaEuWqcA~M{xu&FfiPJ
zs*45DU}2~oE_@3RVYUIu4o5x?SH1;|OcPPXK=$ClY>W&HEDRt2V^hKmE>bXMm>EEk
zfhvBUiGe|gfr+7j3nC5<8wLi3bx?5!9*8*D-wX^4y-@KBP;sz7L3I*TT!9s$9vtrs
z3=F)?5c46T3=H5j4Js9&>S262sDc?#aRE^HF)%Q|#LJ=Lpf(^#DNH;ODjon;FAS1o
zU|{fuii0yYEI%+XFqp!`p+NytFAo);02K$Pc?JfCKMV{E0#GxNsna;bs~EAX=ffcm
zDi^VtpMk^tLpa1i{={YuC|IzGx8QJ(HV$!6+YXyK5jfP>;&7)w4)M7-#24c*{}vPW
za0bOEHg|r-VLm7sV^a?*!?20}W`v~Y4WLFCtW08HV6cZK4p8y|wbA~7YM1~2|F6U$
z-V2Ht22dV`_yeYX29mf0DE&drS%D<3iX?szNn9LB{4$a_sQiMNp9ZQIpfx<G5`>9^
z@-@h*=<YuURgW&t2+|C7KeB)C;}F*Z830u;gyc?ZBynVSIwOgTA*uJqA-)AkToy_F
zZ6t9%B=L7h;-H~kSU503%P){Lvig1`aZtK|sh^D`jvQaBk;IYX>pGG+a(ul(5=Rb)
zO`wJ(G~AHG`6iM$H<G^?*+H=gNmt0~wUNY;)dwMoBdcGHL;Mz!I4BFi!a)?&Xu#r5
z7bJ0H^}$HupsELEPBW4?vU~R95Pydxj%+@-lLU1SviU_IMNo0%bl8j}jvW3yNaD!p
za0(9bn@HlyNb&L#Nn8|3{3{M|K9HlK?gX_5Vd0~KB#!J(GbC|P83<GFf+UWtJ_|`4
z+5A2vaY-b1CW8_U)IG@JEjYyI;1J(}L;MmB@eeq}tw9Ah)IEww?u<ebM|Ni=k~oM7
z3!kMR4%8eaBy$cTiG$i2F!djh#F5Qs;zi^;1tfDsk;IYJ+aZZdA*l~Q5=T})5lI}`
zzw?pAk<~v%5(o7)VD1+O6>3obBAX+JBrb^L9*D0Xom5a&uopx?^@HR<ToC>OA{ZDL
zpxF`J0tE?aK<fi&u))Q3pyHsi6xp1!AWaMm3?Mfni?cx0gTfYB9K;6U7!U!i*FZ*q
z+Cv~AP`4gLgTz1>*1mfJ5=2rDYq!GMmmswu46Els9deKskQfNV+RIy@^(;sXgkkOF
zJ80st_A*0ec4mBWL1}SD6+>=nZgN2-Sfnf?B`3Z(IU_ZtG$%E_q$n}3I5D{-Ge0lB
zI5RyjF(<w_C%>FQuP8MqQLiMmq6DP3IJE?!H#0A_s3bEnC%z~(D>WG+0XMIpC_g#1
zxEP^2wJbHS1ZH$WVo_plYDsDlLOv(4xFo(TF{d;YyQ1QP`~tA*6c_{Sf#i(T<m~vO
z#Ju#>`24i^%)FG;iun8jkYkf`AsP~MGSl-IO7aVGa>{ZcMpWh{=4K|Ncp79HSQ5mD
zPb?}*tc*|2&n-wSN{vq~EKST|(96p&N!4@r3)L+yNlea0D28|~IWZ?E2__B>Zm^0J
zP{4y(#U;5V@%ec<nR%(uU`@@4PXh%6SQ>0^QD$*wUV40LN_r|-Ff%nZH9oI2H?=4;
zIlj0gCB8Hd6g#OY@p*|Q@rfxZ40^>SMJ0(z40^?txnLR`VMX~Vi6w~)$gyg{05+^3
z-Xzf=EiE<KGQPMpDIP9rnP{GxW|*7=7Bz>dMG-Z_Dr$;V)C8-jF+*xaYI12wYP^v#
zRs}`~1x8pE7$OuHf<p)#Z17}<>|szqCFaDZff816Y6&QA46vGM0Lo>Q<9mz@4A3G2
z#jplYWyiq4po}I7&8~2@PSB|uXfq2g4p|Gpz@Q5)FJTg}aty`?P2qytkT5=sj(`?y
zH=qWCs((<q0V_8_ZAg&X&y0|%B9I)255omedtvHe;^=n01CI~DWD&Fhs8PkhzyO+Q
z1Brs{2kAw(-veqtNDVUXfZC5N24bU!-%N=90(AGo^n->jLHb}AW<QKS1&8|&K-~|T
lW&^1OxgXRdh3SWd%QHquodr^Zj5k0dY6Ud@LGH%pe*k(K?(+Zu

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..8998a5e
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,111 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_22(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_21(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8};
+const int NumRelocateId= 5;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 5);
+	iki_vhdl_file_variable_register(dp + 3592);
+	iki_vhdl_file_variable_register(dp + 3648);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef
GIT binary patch
literal 4336
zcmb<-^>JfjWMqH=Mg}_u1P><4z)--0U^{@B4h%vJf()Pe<$eWwbpGDS%D}+j(Jcy+
z^5``MQ7=G*NAGS9J_ZID+X2RoJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l
zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp
zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`v^T45G%7+;bUpIDTf5ucov9-ow&
zkyxe=R#B9ilb;N-3Cts`zPPNUvLKa#fmrjCi^_^qN^=W{RiB%h%aB=|nVXx-kXsCL
z7bto`G}+kQ+1W}#BQ&WrucTDL&{)q{&p_9#6wEcMGcwULFwukxgRNj;U|<knU|;~*
z>+ToIz{J3C03^l?mStcNfzpgsK@5x)0*ul;>>Lvq85krO7#L)ra#kSPl~16Vsf3S%
z0iwqOB*wtNAPJ&9_yhv@IKXBFfcOjy49XzdgHIrekAnfEHUq?GU|?7YqFwm}+L#>q
zB$}CB_!OA@`7|8)44n8Z9Qhmy`4l4fBpmq!9Kkk$6l2Gryv4%s@jo_MW-K|63#<?n
z3Sb7D;0LqVz$7vO2^Tg7c4R@Ae;HUA4A8{oz<gGQ1Tc*tz~R8a$}j;T1ZH_LFfa%q
zxf2}53=9k!(D(u?LL%%K7#IW@K%oy21gQhD+;FH5#vxt~wHIa|IQ|$I7(j^=lukfq
zBI8D=dXRY_afp8y7`kwnzYd4^5vVz3AVXm3fPsPGBo6g=afmZ9LeewT7&xVfL);06
zcoYut930}!IK-zjg5m{{N<euF<fx@M)NjBcz8i=5F&yHLafpKprg%fWWClGSX9Eyn
z2qKI?gfWOP0THGQ@$NqUPL4kD{%&r;t|9Ruj!r(V@eFCDdC4J(Ner3UnepJFG_fQV
z%qh!A$%#+P%t?(eODxJvOv*`(FG@|%EG|hc0?QYt<`rj_WLBjz6ldm^=D@TSCugLl
zl;)(y7Zl|urxq8d7RM)+#Fu2|rp8yL7UhGrrdFgTmzE$YVaQF)%mYhhq$U=`XXa&=
zWG3c-%mz8DIJG1mRJf;P7RBe}CnuI<=I6y{ro|Vf<|Y;tq^5v1f)o{(#e>Rrh^q?{
zOETi~5_2KG0ZD^O@_0~Dj-jA9GuNpoGbKGWK0UQ0JS8c-C==$*<f7EXlGON=)Z)x^
zh!a6Z6(z@)6lEsn#3$#M=9NGk01XA0QoqvNAW+qmSd!|Q!T|HKkr9Gvj9?lvfP=fF
zC^4@X6eIDeWvO{3$%#2RNr}nX3}ErZWU$9UMJp(|fy;OhLyLidft!JW;m>~v5C;h|
zFo4Q^22hy~6Ni=Up!5oAlEB1a<qAj~RAs}&O`ztWi@QR_nHU&Q%m<ZkASsY~P#Fs|
zCk`Zt#XaCkn}LA=q+Sro{kc%}AaNli@kvl|ki8%|nE5l2#6hJ!One?x9HbUhw!_3%
zAc=z-T`=+WP;rp?pxOZ@z6B}{GDi%_J-eaeAoZZq0H*!`R2-xp6c;e@Q%K^Vx)vt>
z2r3SWM^JeT6Mu;$&WB|02PAP$B=PT1agcjJwFb<bKTvUydq8DBOq>f;p+du73dtN1
zBynjZai}ri8cY_(VPJsQP#|rPIuRlX@(YLs5|;-tpcv$L5ECS>0AfHfj13Z3go=SE
zm^jE^APf=%VH*$uO;;dsSp61)CJw8|a?r$K^%8?#ab<2vViJR1aY+$`&VaFsQgagZ
zN>VFI81zySOA;CMl8TEN^osI99FTHDJquK>A&kqQ2i6N#Sd^N>pqHGVo12<f!l0Lz
zUy`cl?iZ?CTms755Po`Isa`=*enDzcNhMTkYDRoo5vcrvx|>o86po;<1*L6dvmkvE
z1_pVMB$5OgmjRR(Kxqi39;UPbl-L*;7z#j<z`(!&%KIR_P+@Sp5~Nm*fdQO$KxGn$
z55w^w#V`&MjV`PMO>5-pH-y@6gk%SZnE<s2mY+dlAPjRqRGI<gZx9<@zckd}koG1>
zCj^7kf><!xA8J2TIY<hOHJ}2ZumuZ133Pr8R6n}^9iaMQ<v3J311P>>Y!Dp|&Fdh4
zfcP-{0qXDyr~oMbKz;{dkPs}tra|pTZwF^U?FYpVNIeY0{0*b?q55I+FnS79Ka3Bf
zVftYFdIkn?+Z<i_45$FQe_`&2>7Nd@AF3QC%&-G0umCOsVW8^=)jyy(K@YzSQ02?O
z0PhEY!VgrB!t}$!59A-HRS*lHWCS!q4WKlr+ys^D==wooP$f{E5UK;3p+ABwfCzy}
WG%f?Ej>V?`1l0Wt!MYFxx_$uA+@wDM

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..209ff01dc5df65da9051bd0a10fc3a23b93d129c
GIT binary patch
literal 4352
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|?Wy(az3|NsAYFfcGoU}RwM;ALRQU}9j1U}a#q
z!G?(?*cliS*cliycp!KO4+8@SF9U-G6tgifFo3Wi0|NsH3ou{;I|c>@HwFd<MplR%
z7Xt$W2=hR=42&Q-Mg|5(5ChC(VEF(4|9_A)NC<>se2^FjLyZD48JHOu7?{8;2rUCL
zkAZ<f1WJRXKxTmSa6;AULTL>oH6V2$dq5auo&^H~*la^QYOEL-7+4q>7)+t&fy@A5
zkQpEhvQLtMfdOPUh!4WTAO#Ez3=iD@UxzZ`6i6LE0|Ns{9|(ikAh#$$%?J5Sf`NfS
z9;$|efq_B5{r`24T9AK1G{`+5dq9{MYVrd2|JOn0fcyj!2ML2{5QeEqfT{uc2_%k7
z4ag3VpI~Z0>OdG|z7jM&fY_ig2Z{4R{m&qAM;{bdATf}<GMZYDdbrx?2l}9N1yien
zrWT|huGZm!KFCclwW?@pL3YB`Mm*4mr8|%rYG`UfVFy<$aYr8%{xEaZ(bR(C3a&Qd
zfxZw(AvB&q=?Y{o$PSRdKz@O%mAIoXjigo+&0KZ{1_rp=hzI(zNNTm9X%S>LNEj3c
zaJByb^%X&a(6j-v6NF)I0Hqa>T9A7{aRCY^kaAGif$T(93lf8?jd-9BawAMF$Q)#~
zpu7xMD{)63l(%4NLFOQ<<%aqV?1qR3`k=f8QwuT&SuH4@kj(|<F_>DAIml{3X&A0H
z;(@*;NFg*FK<0qL3l<I_bs!9OgTx(udnC0WbCAsi#Xm?bNH0Ug1AUNEP`ra^7zV`w
zNY6ltgWU#m3<IR>1o?NM+(W9pq`E)8B)@<mx3t16-Y~u-i6OBlIfKC^Gz2QdkQfh<
zW5~(OOO1~=HDgFFD1mZ}KxxR;fWg&}!PSVt)tJH6gu&I6!P(!}*U`_#l_8kHIVYRJ
zGv0u~Gv1KFGv0{7Gv1iNGv0*3Gv1WJGrl-Ahru6gJ_7@Tenx(7YO#K<kx6=KW=@WN
zNr7%oen~!z)=e!bP038mE766kC@xCYhd3_2BuTF<BZWafzo0}vGdEp7EVC>zCEv)v
z$VAT&%F&1NQW8rN^~*9+a`Zv^i%U}CjSLJd^ovUp^HLIvQXu9O6y;~>S0t5Y=A;zs
zTUuIL>KVj)`h~<Bm>8JG8(5ea=x62?mn7!o6zeDE<ir=`B$lM*7v&bi?1E^~C(17J
z-CUAdQIeTY4;LfbM6!D`Q&Ur6ft(Vblb@cM9B*i7W&(0}4ud{T!kU1cRP%#wQhrM1
zKzIb%VhVhdSDKqzl$jh~Tmnw{w2ux<3#sFJ<nW+zibC=NvaJ-9U!Vj8t8zf~A2NoC
z_b@OpxG*p<*fBCN{9s^U_`%4)u!50+;UE(OLk<%ILpw7AgB3FagBJ?}!w+T#h65}R
z3^EI328;%=L3Ij@Pb>}618UcR+PpRl3=Fm)w=gg;I503UfZAW6b`_`{4Qexi+M=NL
z5U7m@vJV+^fD8e(v!OJ&-GHnBiJe`F;2eOeKLMrD^&#_-^;LixSPTpd4Nw|c9msU#
z`Vv{60jROXz`$SurP1|)+5{l|AdIZ<1yub9D2=WU)FuY$0byi)3qTDv1_p)|P#Rqy
zDEvWsKp0tH1gP=Gz`&3IrP1|)+EO4rAdIZf0o0IVU|{fo(&+l2!3@&IfUHjg)Tm=%
zU@(Bv==z|+jG+%b-jTx{q!=1pASQ}^=<$xO4;ow;`q1MYT^}^KF!Z6vJGwq-aAD{}
uk9Tx^(BQ(*haT_f`atbvuqFlulyE_hcXWNAwl+3>=<$xO4|&W1Io<)2m;2uU

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..9e502caa2c8bc3f1766d1ec776022404e6323717
GIT binary patch
literal 2708
zcmZQT0|}B40S72;Ap_x$lA|Fo8UmvsFd72134uBP|NjSJnCToa29y?1XJBAwfZ#9x
z|Nn;y4|-~aEX0W_ED*Ya@ovQ3yvHsga@M}PCO%-BAf_^*rdy#<XlrQe1cr-VYaALD
zqzXD2Wxny%u`HZo@pos^{3dNZf$}|!{0F2Pw@m*~n=D^_$tv2M_i9;xN!mHf;=1Sh
z^E9@~{mEvqoiKIYme0F_crR~Vn=^T>*X@?5(6_I@&X~=x)zjO*YI5cEYpZtbVSA>g
z@YBoxC)?+BH@_R@FR7LPoObKGLH^utvd{OH@UE$!KSh2+_7eVelIyK>(r4J_o|#v2
z(sJ9XU-w$>#a{jSJljiGG);eVXm;c+;kJn<ESERTPt{1}j(hU@+nPp=k4Mh0=P;RL
zk#3_TQ!RhuMpezLAG_S0_ZA4Lt#7)=^p2@`Z-GoxY}59Gf#+F{{r~pjK=qfW*N?kD
zYjE7pd~3_(J(=IHe!M8^d~!AWZmSQ@36*hSY^OHq-b<afX^j+POYH4c=G#mI*)tXe
z<}W!?w)dQ(p?d?*tQRxqI~*)F>*Pokl=NqIZoF!Ed7+n;kmE`vH!b4|J$Zr9gT+x(
z`IdI4B=dw`SSey0eCnCo`RK4X-c;u9%GHa471icu9S<)pO|jkiG&x%PSeNV0tnxp#
z%YPq!tZ$bs>KuOUfJBt<qeD?&Yj^N!Kb98Rea?n)b?iP4<zI^qC_b>vxW^)AGP`W;
zodoGeZ9FT}?q=n2es}sF!(VJyw^)JsUa*QiQ_)^~_GjNkUid$Lc~nQHPv|-S^6M|(
zSoibs^WQ$F9{42V<DHqTpA*WYe&pI-e}Aw|<9q*?N1u)OuR6^P3pw!e%#vv@vN=mV
zW3Ek9o}+F5-R@G{le%j>vyMA$IR5_F?EEE6Gv)u~eR%dqFr&qDs#ny#pDgp_SH^Pd
znd*1TJ#Ra3x=#3OR{Hr&yK~pvL%um0w5vNj3rOu<P!>GFX!;uo{r2=VcE9}^KNQWA
zcW_fToUr|6?9-Dop1u8h+5Tx|(f;#o-G=qy8OG<UO1$QN)h~&^v`6Rnjx5<tf_b~{
zTk}s>f3LgYPnZ?6(YOD5<zM{Qobc0|SK;cv`=@_D)J&;ojBh+>e&BxN`381_U#t~&
z{Ks?c=j?oZ^yj?mUndE#x0mkOb8zy)a-p7I%hz4=&|B6xOZ{)>{rNw&IL}QsV0&L3
zXv4!Ew|)2a#cJJ!2F%PGKTWDwRWx0TOTF>=+jlDungp`{u<&Qy8~e2HQK3Qk@x5~&
zD)lIt&)l}iqH}3J>&Zj>zb7x5ntdj{S?T7tmnXvWY<%w&*p%$&_WxaX=k=GeTX%a3
zjnBPTuN6Nv(YreBT)d+|rswvrlh2))6?aI1Lq)dO?WJDS;$5NtqSQCm7gjGnW|e<s
z=FEG#Wvy{6Zz>pnu6W?wk*{JW`DL=}ezm+0dXpD#+vzT@FRKtAeB{sEg4^O#12^qq
tdm0+dlNury@>GAmlltaU@^-&^BR79sE*|9Kd@AhyqMrW@te=-Y0sz7@93ub#

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..fbca764fc991a899f7572b2c1e4ced82b2f89096
GIT binary patch
literal 358
zcma!JWB`K-#=VoZ3z{87TrZ#B^2J*4?-IUFmoOz|Wo6}wCr)^zsB99F?2HK%l<ag-
z(pKJmyZoI`+Unb)d#Wo=zqxHa{Yl}c%|%&`x}o#e#K%RheemQ~1^4m-X6qfz)i(~l
zKC(~#fv(M=pS@ovr>`!q;OZ~n^Uuq@Z~cc+{~=%8!PC~a_g}2r(bir4dV2xy`v-3h
z{<<u_nzguGP(OaH{{OHIe{LW6w7%_WJooASvO53yHvD;=__Ms_>HU<n&nNbaOskjF
z`TuOgpXdXh)?cdo6MgtoKL7JG7bh&fIs0tjVby=SCpv$zu9>6y#N}`D6l3wP<$>p}
zJ#o7~J@8yn(V{vo?eyd;?-QS%aow4<Smx&1dVA}djM~+Y{2bHI$bTtVB>jx<z4#e{
zl0!co>*v0REB^7F?{4Ma&a$}o7unbUuRi-D|Ikjp+Gk%I|IA@OTdTL{-Hfl7Uhj|K
TeSYnX(TDr5*W_P(;Nt@Tewx7q

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..d477372
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  2089160778769986251  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..be6b2929cf6007ac106dc5393b4c3e4394b2f924
GIT binary patch
literal 318
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=SoP>n_L_7S1_lN>Mg|6N1_lOZ28IQ}
zAujQuKK|~W&J0Wp3}DR6z`(%Cz`&p$s?MMuq0XRgpw6IfsLr4srOu!puFjzDqt2l2
zq0XSLtIoj4z`&ruz`(%Dz_7s2F(fp|(Fbe>0|NuY|NsB%LGq3a3=9HLtH3tJhq*e3
z_y>U%GBPXxsRbJZQVhai0R{$!fB*mgpZov+e=j7rf(-!c1UZ*fr)ofrE{4*Rq4X6f
F4FKi5G&}$R

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..74cba7c61d28a16a329a6aa3551aea6616e1de41
GIT binary patch
literal 6824
zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj
z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7
z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y
z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w
zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq}
zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx
z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT
z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T
z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK
z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P
z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4
z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV
ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6
zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN;
z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp>
zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa
zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn
z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa
zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH
z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq
zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J
z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_`
zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~
zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_|
zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB
zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a
zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$
zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l
zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3
z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy
z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf&
zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+
zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$
z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(2k)-y1q6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz
zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD
zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT
ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh
zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d
zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9
z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA
zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk
zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6
z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ
zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t
zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{
zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv=
zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b
zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk
zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9#
zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD&
z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us<
zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A
z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l`
zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK
koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0KkBNO#lD@

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..a258739ec19fbe5595e7cdd550b08bb699cafd3b
GIT binary patch
literal 592
zcma#Z%*o8FP>2Z5%vFeRadKBMFl6|Xy(Zm(fq_AQk%1wA34sL}7#O%1Fv0)-|Nk@i
z{l5;9A(zbz(hS0W|F5$#Fff4me*dpCGB7Z3Ld8K8x#lD51)B{LK(h-Z#K^#I0HQ&d
zg@J+Lhs*!#f9n|-G{iw73=AN7kefglmpl^#0|N--l82bYz`%-RzJ(-26xn=S@*uN8
p7?(WAe;|xY9^@_%#w8CDhhdoi5$=P;Gsv|dJ7Dq+VjvL)1^}Q%lKTJv

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000..c9e7b63
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=118
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=125
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=151
+OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..20316ad635e51286ad321e072acc0eeec5242787
GIT binary patch
literal 26568
zcmb<-^>JfjWMqH=W(GS35U)T9BH{p{7(5c73<d@U2L=lUUIqsSIR;q<HU<U;7O)sZ
z9;O~f-(Z4>!)Oi&mw_3oPXfeXU|>L}WuWR{G{{XLArK9+55&fX4Fn;gFq%OC!UySN
z1u+#s1Oo#D8og5pA`YXG^?~h!c?gZJ08273z-VNBps=a%ftXtngGyh3`uhfyhUo(Z
z7f4?RR9^>FAB<K2DF8ViO2fhv<O~qDfSQL+qj{GBU0(!LA3E&<aW(^t2H61;3VvFW
z0%D`v1LNbeF953V4Ky6l=@yXT3=9k~8e|7ZDDY`X3MgDaY+^7p+8KhN_Th?$4yeCj
zG$@^b%-7G!Ofoak&q>kE$;>OQ(5<jA(={{EE6&$50!f3@Jjf1EnsWCGWngMxH~?}h
zOh1S%!oUDd=OFnPJhuOTgv^`oXe?@FI9KWDF5cEokQ$H~AUz;8AcH~9fkrKe%K&0)
zGBAMiB*g!XU~!Nbh;cFXTrP;lg(osHFhG+wijwKf*u}$fh<{|lu3i?D7Ew%waMBpC
zt53#Zjvo$ti*UFf6lSnA2C)%BF2td}4~M;HaJcg+4sjhE{_Vq|-V%qulyJCnJq~kj
z<52H`L);CAIodeflYzsW9XP~QafK%i@kSit?{T=34Tn2d<1pt34tGw#VU9lzbINgu
zAI4#RJ`VFMaQJH;4)u&U>}_ObV1QP|kOU4R<2^%s<5N<LQqwbwOHzwMe4TUh^HM_+
zlX6lS;^WhE^Yh}1OA?Dp;^P^>O0g=;%+8E2%Sg$IPs_|njW0_q$^_|;hpA-%$tM@3
zCYGeer=%8Vrssi0QY%uEOG{GY3ySiSQ;UmJi@~B`bCNSsle6QC67$ki<MY$vGxJhX
zE8_DD5DF5DiV`d1lk;;65{pvfQwvKIa}bi5d8tJunTa{^MX6b-$t7Tk#2lElU<-<q
zGg4DZb5i3=iW2jR6O&6aK_1Oa&r8gSPfIK=i7!qqNlHx4HUMkROifLV&nwMMEy_%e
zFD^-mFU<q_A~hvGFR>&(F(n183T$v{S!!NMJV-V%C%z!DC^0v+B((@EUtCaHoB?5_
zmc$pA#g|kTq(XwMAh9GPJ})sh6(U`dTN0n2my?+X<)@a!7bVA+6oLGdoL`y;@e<g)
zDzFYDtCJIRazHKzi>FlPCFW*=v?6>7QVW(WE`Ww8JTTxf5uaERUy_-d8ef%Kln+)6
z(iIO^h$YmDbMni<DvL97OLNeoIwLi)AU-oM6BH|%RS;jLz=NYGH77qgu_ToNYzf%x
zw9>rfcyL&v_@_9vBtE&QtT;ZUG`9d-(1A_J0YzY0Voqr)_J{y0D#|R*%uA0?O-YA1
z2V`_bab|8xW>GxYIiM7gnHFD^nwwZqkP3<7;?%t2%o3On;K2b6H?a3pz@b{4nd?-P
znUbCwpPpJ0o{|(^lnF`(@$osCNuVSI%2BzAnRyKH@yQj5@oAZPi8&x+b5nDZa|;-9
zQ*(<`OF&d|K_x>@W>Qf~W;`@t^NZrMQ;YIabM%Vyk))C!)}crxgBluU4DLRjPR{X0
zdWI%&rZIwPq-V;YpI=a-pP8GkAC_5`n38W~U}U0a2<7NQc{!O$`Z;+OW+r<1`g(dG
zR8mk35z$XiPS&;5GuAWEPs+>#Nr9U^;PwU+10w|xrkk08k%5JQ5!{Xe6<v%B%nY}g
z8DPy_hA9)Lv4MIJPoZL4z<g$g4^V!oR3;|_0~5n<P{W9UfdSTzhP7V<ltHF4Ff>5h
z$uMyNs5l3--vSed@fFa-7wALG(LfV7Fo1{~pow45g@`+#i3>o*Z^T37L2Wir8xg`|
zV0eHe&IJ=>U|@KGB+ic{{sBoG*5(6A|3DH~1qnd01=KyD_7M+M3`8A>1Cb02?BKYC
zutDSrBymt%6C?)07m&oEK@SpUxPc@NYQKU785kHIAc=$g3=@BWBo4A0CjJ3Q92Peq
zxgSX4oFD-hhWZy|1~*KMfq{VoNt_o+TmVU&4@q1CNgUMnhDj+Pi9@@PU?~kGapZQi
z0g|{dSS5t8KoSSF{~@9b3=T-*qA)=Q1_lo#aWN$E03>m7B=HC&aS0^x1SD}uB=HO+
zaVaG60wi&1B=HI)aai9QB;9}{4r=p*#6Y+MNn8#j0L2rK#O0x4AZiAZICA@a0g|{P
zNFfxjKoUo82W>zShh}xKjvYwiDqs-^aR5nN4I%_4Pauh_gGC_31tf6|h|nlG8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OLpB6H^UMA6Xnw=t(an0&o`J!m^*{;J
z{|g??M>r0H{q*0o%Z`EJziN*i0|URj1H*q+5I+MX_wvF2|NsB1HrX*SfM$;vUS0t6
zt3Z6vP}0i-V15yZ4;n&xxdF`20`WmZM=uwE`AHx?Xo&3P1Ta4e#0L!_y=(yUgFt-H
zu+Pf^Fy9Nr2Mrm$OaSwpKzz_p(aQiZ-wMPB4XwR&0P~GNe9(~5O9L=p3&aNvjlEO=
z^OZn+(9qFK0We<*#0L!-y<`CMg+P4Jklf1;|3Lob0`WmZM=u|M`Ai@_Xvpa01u*}Y
zEdxUaXsGDr0Wkj)hz}Yfdbt72e+A-$hK61)0P`P#_@E)7mlMGJTOdAYDClJan12bx
z2MqzeECBOQf%u@IpO*<>{vi+_H01L#0L<S7;)8~IUOIsJn?QWf5YI~kFn<+@4;tEe
zsQ~6L0`WmZIxhvl{8=DAXej3;1DHPv#0L%Gy!`MN<o_-ZA2f9H@&TCN1mc5+Y+haf
z^Q%C7&`{0G17JQ#ecA+2f&pPrdNX|M*?BR}qw|qR^OFw&A+Cl83{QIW+LrJ$F#H!y
zwq;=WlBUNm4_N`=(QCWVmVv>emlfnL56d4Vq8{C>Y9OK310|gQAMndJfXqD%r9FCW
z3qg{-rdc3L^PflO2akjA>^%<tVD?}<=5g^~iHJux>suR0TIcxxAdO$%g@FO4ALPCU
zTU}cQ28L4YP>*KYQ#PPcy3VI1JRaS)*K8OVUTFUR|NlkG|NsAwv9j7SFfhg*=9fp&
z|FZo5|Nl_;IEFihIfgoh1bcK|KIRm`(p(k5SZe3fUG30a?a^KA(;Xbr{Of<`4}O<p
z&A%8OJHNT`yL`9&?!xc)!KL%#3){c{|GQ`xd${ngFAni#{P(h-fq}uNw>ZM1*V)6P
zo5Q2qfy1NIJHeyVIiuS-q0>30+dGGU{Xzcq-YJ$R>Qg*B|9dtbw~%3Am;eF%+nghO
zdQ}eibY~-6(Cr=b;_{#W|GPojQ#uc62Yc|Z4-RqU-xeau;LG^OiGQ1jQb%xv<%trZ
z?%*883!Tm}{Ob?#uRmpZqHe8Y7hAMrjAN{0oMZgqV@^&C{M(!yJbGCU@Ne^S^kDqi
z)xy{*!@$tt<aBt#1nly+2*_*8BJ?|g&F^Zlr~wN)9)@@fN`}G%Jiw##a(7mOtKr+$
zw~qWCZ#&<=xc~eAf5*;a9-Z%Bl>GVs-?Q_$;Wv-Y;~t%_UYPv;|Gzssq4llf9#C>}
z;rD#{|Ey2v^B2~C{{Q#rbT07dJnqx^&!_Xz3(mj)|956r9Cxk(tL$71N`S|kLFpA#
zU>s}i0Eu@yC-i#!Z$03`@A;y$yN7{+;dnDF7r1n;o&pw_!N346WIVc^D?B=z7l4vl
zcQeR5kIv>53=9n2V6o2TIUsiT8U_XiaO8F`VPIgeJi*`p6Pz4ut)yTP?9rVa(7hXE
zUH4{?b={Lec64`x40!SW*Z=<zX6Fg*W{@KO_01r8{%tO-3@ra_Sj+SIw;k|IW@-0f
z{PF*RM|XBXcXdT~aZUGRkoR7!0~r8zjJ9(H|9Y^WkGZfiFuit%hChauY8+aaUyFdW
zKthWV#02ARu<6}U50=PuPX>9K@d6|WV2O^uPvrmq|31Ch6%D(=q0QeikAZ=~v)h%y
zv)h-$qqBJnC@4F-L6O-F=5#i10EgiLco^<rU|@Ky47L?PbWa8;0NDpp4zsV`8Qovq
z-5~iFbASH--wiey5g`2AyfZp{SQ#MV-Q6I2EKig!_35rIK)BG^!K2gL!y|b%B)0Fr
zX!-?8Xh$LG%%k(xi-o`b|99#9{=yPWKYw8eR`3E;H^cK=ENbcp<u6A@Q2y&`v1rAa
zKY~3P-+-dsqw}(7=Rcp`DhI>2hTnF9=8Swg|G$v<`TxHSV`;EQXN*dKN4Il8w|7Jr
z3r9zb3MfT+^oFPe_;h}MG4cQZ|2E8}S|DW{hTlLs?SB6M-^I}3qT&Hk#Q{>44N}GA
zz(3{aYbL|Doi98ZkASR>29*}EhbJKNt4HIT3PuJ7h?}~z5_(<#cQ%1i9U=!ef#Ujj
z6DZ+=vRLOTkb90df$|b4=XExLOg`QOO6w4LP=-3*1j;O6`S&mGe*gc!(?x{^R0I_M
z02Kj8;6;Gp_y7N0x_5)DbnJC!bZz~=6J!d%=LyH=|NmV0{a<wQsCXp51nE`%0g5be
z76nJxGf)}w0VLQ9P8cqoyFuyWI5_EnOmXR44N3>cn?WfO<d`mSHt%Q#CAZ_vCqQaI
z=@Xo#I-5bul8!fDflk&n|M=(8>7&BI-}jV>fuYxfv9tRExcoQ*)z*4|zvCVgsAfn}
z5%B5FQHg-1Xi(%tfCvHpZD6}PTtIOODkMOG*}WMQnB9{>LD=05GVaBxZ=fU%Vrqj+
zGXC|=AX#t`(cz*J=+pTfR0;<F`v1S9MFkY%9^Kg)-Mc}o?#-aE@16__aj0?CNXCJb
z@UL$M$wG{4QJD!Uz(6LxdcpV$R5~B`=w1yj`M?3#y?F~LR3?K$4Qikkl7XNw=3fsE
zQ<sh!RtAtqA-?tKoTFmE$iM*eb&E;?C~<*HM;so%_!W=GTT}`e85n#zzkBpf0UP{6
z=;#0c;K1yhqw)e&s6fNFMMVQ*6YelcN3sb^m}nww0vY^b#SgGeu#n#g;zC2dbB+oq
zjYCR(9RB+G1yt&TA{12WgA}1eX^YAO1_lP~arj~{NN+PpuQsHjK#jeJkk|u-3CI~Q
z{(T203ZzKo0XY_&@Vddqba#W2w&jTuqwdMz<b9zNEDkGa`TM;7{{R14<ajeEg@cm!
zaj-my<<q?xlrlWJeN;F+x*0vXJvlr&;pHeOdwF!;e_{0%lr<sc=r>R~8VL&R8Wolo
zA3&b5QF-y=3rGd1BmxyUsAValJPL5_Jnq<e&ZG0QN9SM1&a=L~OKdfGL22N*OXr~%
zo4@@3-+G`V-J^H!15pNs7mGjt|L@Vew?mA9;l*?)n+H^!*{FDQ-gD{v<kI=`#X7Lf
zhdq1u=sJLndgjyl>%|U`Xg9LDw$K0n`*c3{=)4D#p8=9@JlX*^?mgTz)QaF`(3k)J
zCrki0e?o!-JUhR8cK-3)2Wt3(I!B=L`TGmIPyhdWcDE}qGB7xH_k+@@Bmed(Dt)pH
z3~9z99FARcR3^wWFc`&fF!68uoW^;Lzhx@}0|QvmM2I3rkfO<8MT~GoplAUrnu4Tg
z8k(ZnFq5VuDVm9<2vpR7O`3(IXbxP_;WW+*{4Kqpy1w}s55!ZMAHkmb0W!Y3AGA{T
zB`A@5HXmbxDDVI)a5r%5_P2Ol;MshP1tM+$5sz@}_D^^n;n{qQ4I(Z97H<bt%#Pjt
zAhTXuc{U#d*Kyxp{Q3a44(#RbeozVYTE?^a7#BqE9f&L_@j7<*-vAX}A{>sL(>a(J
z82GolaImB~cDC~{F)(=c?ss5hVDM}_{(+H!VHc>w>(luU7N>EJ@h=5G{r^9~F)Y}l
z`HhE1=V#B(e;)fS7(k0*7#Iw{y(s$d|G!6fGpJbW?gk}fP!;W>;^5Kw2vqN0{__8S
zN3#RCQrHaYpL;YO)?i{_Fub%ARL?u^5KsrD<?kMy_g<8L{QrL!h`$p=fC{<Jdmhb4
zJfa=rUe5jiN>;Gy1X5pvoKyjElIMO<{|MCG2l@a1i#zW@*<d%wLc?!{mkdw7`1St(
z|CR&%Eg~S__SQ2RetWU~{r~@-z1!x>GB9-S2Bks|=G~w)xeGK*uoFZ$g4UffyvX|i
z(p%#1*?b%vm;YbXy#N2-v8!znsBP2yiV2)H+ZKS*N@w#6CQwIlw*;v8Z9IH|iGcxZ
zpa<A96_6Ik%iW*|YCaB50{>s=LR_LC3~FjaT+$43iASf4N`%L87nKB%*F1KB+ytt%
zLE;(5T~t7!&H!)Yfc(>)qY}{N!PL<Wauok|hBSQcd13nD|NqYSFZe*A)qDi%w!@&#
zXRt@-@13CG5RYEbdOeVbOe^>p7+!n^Dec|O0b;j9*bX3e_51(-qrp1C!#KUBULes@
zkP6Ts4#-HTgds>G=EML0ppuf|g%K$Iz?6i7qLicaw@2q!P@|_|7pT1T=r!HJ2XcWe
zh<XwD4peNu>%9L0V!y2b$iQfjXs@jah+Y34X86~)|Nn;uXE6jgf{bHu1Y7CR%bWWj
zlsrUz!IUWvn6lLX>3#h6|9_9>BMSRdK&zod-@#0ZhWaEr7U4;dt3eJN#iJoG8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd70xhX812j^wld|9zhQ|6c>eFh0l-(9SBol*}Ui
z+|mlOc*FRTr1-?5<c#>_wDkC-)QrS3eXt7fPB0SG7ngzd(1G^z6ldlVVLoW@7-;Jl
z1K2jg?#WHfWymbf%+1YZ$SnrB3)G`#lxDUD4eEd<^G)vm{|_2kE_wL>{~geN^N0Wc
zgT}5)9{vCSg@J+L$>ab3LF30P&;S3gVPs&K^8EjQ(3phEoB#h=m>3wIy!rp%hlzn<
z%e(*oJD3<4T;Bixzk!K?Vd01W|5=zB7;b;~|6hTbf#J)C|NlXI;>ADy{~y52zyO-~
z2f2r_Du{uxLV!`4hn-^rBSaiDDgWTX|Nr3q2`=oQH3kfzJq#wGaJu*ZKWH$Aflt7V
zPr{3zyPTte!CuN*%UA_8*A6xpv;}Iyga7|Qiz7gGfG}vls05_{;s5_1KmtyD0#1Aq
zPJ9YZd>W2?2F+|eti3FK%>7JE_xLox!V+L591IK|3=9mQ@vSwF{{IK<|7LJR((A-$
z;K*m;$mh_^-ow_*+Q-t*+{VOwn+YUl;Dlt9BOk~;Ab0#>U|?u?`u{&@4jW;XBcFyl
z-vP#Es1Z!nAa^N%-6#Oj3v!1ABLl;gr~m)=f>w@Ty2G7s12c+43R$o_1ayu;3nK%A
z#H;`R_kqF#(=0SUF)hJn639Pi7#SEQy!!wDJZL}-9B80$bp*NJpqaG?9IDK_89{ug
zf1JQ!0g6-5cpxY(wSwH>#3$g$C*i`E(9GP&#8k#70d^Ee00RSq0TTm5&zt}MV?lO+
zg`sx1@GU@u*#;y#9Qin0`4%uTO+*y~*#kOf1C-X87#OC${r}$zB<9K|(9Bc<b{8mZ
zE?{C{*!=eYf6#&w1`j@g06q?I+S&n1>u>-62k*7<;1h`A<6r=#OVB9W{<r`CuY{V_
z#^lH+(ah|^r@-V7a)5yoDD)f(`4l3+-h#v^Nbx8h4S~@R7!85Z5Eu=C(GVDEApqOA
z58I~?YTkgtU@2&(4Z7?F#6N(>zYXPs+VCKGc2Ikefq?<Epcll4o$Cc!&<o<PfgIPw
z0B+BK+QFbkG6MqxXkHv74{Do&XwZUL5Dhy=0Mr%-@nP~`|NYMg@fSet2lXdF{2ic`
z$qWqObI?Hi6Hs~30%Q<B4(dM80%Q;$v~U+hgBJXPXc&fSV^{&*{|=gV1j%_oB|vR6
z5FctC186}oi2nlWQJDA!Xh6W+4Qe-o)PdFjfM}TgFd8HV!oUAR{Kv=uIU4LAlwSZf
z??03ei>D7zz8F;gCzKC!2gponV%Yg-=w_OMRw6SnFt|bKFese{rOTjn8<d^~rI$hJ
zZBY6cl)eU~pF!zwP?`<2!kK}AK@3W(L1{B6?FOa8pmZ9PE`!o-P<k4aUIwMNLFr>q
z`Wlpe2Bp72X*O^#kAXo9N~=L>Gbrr_rNf{!dN>i{!_LJ(R|h*619lDu?A!~OI@oy^
z?#|9u3L2qFrFkW#3Wmm@BSm!0O2J&CIwKQ30~1ZCFia<Q8kD|R7(V_-mj$I?&`BaS
z(EI?676#D5dTi=J3)q<$_!$<Ug$GC<Nc;ktxG{KP1wR9<Jc8Np2`-By;pZQM+y{~i
z1&fQq&m94YfiP%IFvxsZ`34dLVbCfPMg|f1`6VDR5MB;G2NBc1pmV^m`3skSK@;qZ
z48ja0pbjp`U?hyqoMT{lAqLp_dtfdD1H(<Qzr^9?5KJ6&P8TDC7z27foW}$@N>PG=
z0ebETOg(5d4rmhuj1QwZ7#SD@86+5B=dZxdRuBN6uf@;M02KiD^BEWz)WG5}-3WRS
z_`EEB2G}{3;4u@>qG%lI196C_F@oHWFc-!u1*_+0fTeqI{~I)Z0TO2tV1U&tF!gO9
zaRz>d0#N6kfq?;({y=hbpyC&xhf9Fs4<x<`EDmC!;v+c3Z{QGrh(jE7;0`FQqnggZ
zAOj9JbWsKdV;tfEOrZD@1ReSb)&#B27~*lLufQSR1WqSlBT$GrOrW!XFw@UUusQq;
z4-6s7!Q&1L3=Er?u%Cx^7OMUNH2lHiRSXOakHO*)GmuHp7#+6sDG5HGjh`U^Y7Q(O
z^}*uECP3JsIK+!^i1#xyFbFb9z{?YeDGUs=aj4&oLmbo&0-1weZoj~x{u>T)&_R~i
z!hxFw`}vKgU~zs1SUmt9`vRT+$^wc<L^%obmk(GyjEA5L!Q%W38=&C~%NOlX@dRju
zgU2ix7#QY)#S!{ptW`L~@8S?=V#V$+WgOxQ!RGKY^g`1ic+8A}fk6j{dV5w#I0T@T
zhb}nON8k`oVuj?p7tnK3Vfn5Ate&3%R_}txFc}ya-hktmpW!&vov`+99audqv=H<(
zusbo+;asqKeg;^*4<4UnU|?7Q7DwoZv5tbp`58Vy%Qx`YE&~I@ZK(JJX#B$R(MPa2
zOgDmNXTu&Zl3;Ou24-kG9z4znDqlh3OgtEcw+cucVJw0boLp35sF%+WAD@_%8DEl^
z&X8PGQe0A+mZq1?fH+4nz9ct38FZ3jF++TON`8ELPJU8iPJBv9eo=9JVrc~f=;*?n
z)RNQ`Jp=42Kxe{b#zW3IOwB7Ps$@t59VQ4m`7XDTAwC|72R>O3MQKTXK~7FtE_j+F
z-cT=@AwJ$M$kEp|-qp_qbdqDdyI*L$s|Qrj!zG9z-rdLF$<Zg?-_0%9H6%X7(aFa(
zo*~{P($CS?(;0lST`|(JgrM{JLK2f0z$YUX#G51<q@|@MTgDfcCdFHT&aEp>EpdRI
zI|n*p4m68`?L@px*wK;5=kcK(JPA7}(#V(r94atdEfdXC(+rc7z_uD;6*U999P{i+
z><1FU&O^j~JR|rFOhh!J9G4053g{F;w4)lqrw!sd)G*%DKfbsmB{MI+v>1BEAXq)_
zBP_u#fSs&~Vt;&mN@7VO<hV`-=wX4NLp+fW7(|T|(6N>U@hHJ)3Jx%^8}J>%iFx!P
z7PAb&W`UCkaffF@QadPXpq}c9^BBwc_z+)bXrcxMKiJ*iV<YjL{D|X_()jq4;{5oG
z#JrRo*olxRhdsiNt3*F{6CM`eNJc$N6nrWsJpCFn#K$KU7ek{6?qIy<RbmNO6Rb%c
za#SgJ1{HLcCfsy<&ci(F6qJBLlcOp4kHI8x3@7+#QOH@AC@C&JH4l1_CYD1&AqRr`
zmF5OP&ja;LVL%R23vhBmisEG0QK#{#pp?%54`(9=jDt4e&M?LvdgfTe)EI0f%v|U(
zpQ**50xr3r5^koU2?OLXPtXykU@h=KhwF()IZ~BDuedU|Br%CWuehWLLTA8OnRz9t
zMFk9cdHE%&dg*zkdId%K1*t_Pl}JKKrI|S?x|u0ZAx9@qT~J*FR-TbqoWY=%Qkhp=
znG2yyiWtB$WvNBQnfZArocJOJy`t2dM34q3t01R@K@aQ|y@H$)z4ZJN2ECHhiV_CB
zw9LFr2EC$uaB%CTW<YD9jFch<I1i#V9-NmUI$&&QHfGR+Xiq9GX3$H{&&^HE15GU>
z>R1X`pz%0R-x@aVh3h^5m=z$kFgAz=H5Wl+PcZ$UId_maj7IMZ!N$ixYCzbG0dh7b
zOh5WL_|RqyNGTY@+GAi2Xgdm;e%Lq#j7GN~U4J+O1H-rf|MOw?!^Rn5G|Ya`J`s?e
z=<ZKsU|;}^F~ao2#vx%e=sZ=BK2R8e!Vab%HV&8xJ*yt3A2zNDqhaHiATvN1WCn<a
z;X=qxX1M!d<AN|c1ga3`ewaNlx{iSXbld=3KWy9)Mnjvy@bgPy?uQ!70NN4+3R9SQ
zuyIfr4I2lA*$>kX^Z#`4I1B@W6VyQ1xGIc>jl+WUgT`Lb^)CdEn;^!4VdKy+8kD}F
zMuRDkTfqz{0a|+k3R95zARz^4f`QTCwI3h}C<ZSMVF2|tAOfIqBxL;w(D5x84RbF<
zHFS^{bbcyS0JP2nSwC!C1xDMzEQG2@<1%bR^FM5S1V%%LsiC?-C;q~jU<PP|C&+$S
z{4u~H97?|dt3nXy{sC=KMAi=**MiZYUA+k1nD$?Sx*ukLfg?mUjOKujJ3>Se;RoY`
z))0XX4S^|xol^|EcLLqLFg}b1ZS(}qX~6Wu<{=t<AU43%L3jxJVd9`QOQ5s^(+?Y`
zgWV$nyEg=s|3PNJ^ugkBHPrtw{jhn66@DPq3=9#Vz=bfuBuqby{)?s`J{|~K!~)u1
z3f6@npvfC9zzm&ufY}ebZ^i?vA7&3s1DbOfK;w!aG3as4Fl7ud{n~I52m_=R#6qTF
zaSsxQ;R>kz1yBco=7m6G6;Lh%to#6tPs7{}(+i?sM1V*J1`kl=K$u_>W-pk-0P5>Q
T?h1kNVKnTVVL>zvXj}#WEZvr%

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..660c7f6
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 48197
+Design successfully loaded
+Design Loading Memory Usage: 20148 KB (Peak: 20744 KB)
+Design Loading CPU Usage: 20 ms
+Simulation completed
+Simulation Memory Usage: 101884 KB (Peak: 159416 KB)
+Simulation CPU Usage: 30 ms
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..70630707070fd589310a308708233f830f3c0bd6
GIT binary patch
literal 9306
zcmWg2k!R*+U|7Jwz`)?}q)MKdL$7&FI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+=u_vmAXBvN9b{KVfM8(H-!~A&P;4;Xf2OI599Vc)4Zw!&EKsNX}T`=jWQ~
zvTCt!Z<fEKt5;^0XKzAQf?sb^)&dv}Q?Ve!(>K9w0hNqlV_;xl0{Mx-fsuh>12YpN
zgEbR#^WudZUdfJL{*GB0D{NHT^SvTYL4?^7T(i<Vv*et)3;!QaPz8m;S~+Vb7Ee!4
zSH4xN7cO-0aCJ%WbMVT_c)aXA!{Nn>511JtsvO?$TPw$e%s~=|Frfx<H!ogj+t{+G
zqi03mvSlh4cvAIFLll6`U|`^L@MmCPV1&9I<XjMDU_cRO@kvfd0TbvFAjh)IoH=tU
z1B1gt1_lOLAiyII&R}40U}6wpU|`^K$YWq&;DFl5+S=Y|+X5y<9NL)$7#JK{m<1S^
zm>3x>7{DqyVJa6dTx8qA3L<f+<btZ?UDD9rzp$m*7Hl>O4~I@}s7?<5gsiMgX9rgp
zV=8tx@j%scd3w3}IcEF2I=CX3IP~yB^{_Vgb=bCmNgS@>gR10m@psMg&rI-eNI)<*
zpty*c!2}XY{7^mY9gBJwFSPB2(z4i9gW>^{R@s|77TLDj_CjeKss*8DbM|#~Eb0WQ
zhqJKgU}O+ra9GbJz~Ha}L~H~Rn?S^75U~YBYy}b9K*V+sv4cy1fq~f}h*^N)zr#{i
z5Xs6Q!0;a=7{DyR;INogfWd(oWbQhUQU?&p>QIlAa2c2!R)dUM!zIALh$_SAuok4r
z3gll9W?<9<Q6LP?tqcqd7y=FrP%k5^0_RLH0X7k<8nldy#$^EeQ50k-2*a%Z|DS<@
z!HOAbB?F@#oC#HdApo`;T@~1AU;^PjbT!E30WzBb9PXm%${{X;WoiaSJvbBQGIRm3
z%g_ZuMG6Q*;s_MB=qli4B%HzE07?U*=n6qDVuaNm4F84Ufu<-5V?xSbMo<9^F66)j
zQlUpeDT=NY$_Eu>0uC+=3=HB>OF0)eEbg%F=wGs!(^$Y*!d61w#F&ABftlT5A_D`1
z1XLBDm#3erqqjqrt7D2oRt6f^;XEjvg6cO0ur5icF8+lJI~p5TEVNzR(y-EY@j`Sy
zL?0sq!+(fADX2c~&c2q#eJgq!Z5J;@W<%67!PQGc)pIUt=<flmMzA1inc-?>plUfh
zlM}Ks9I`Ut42Vh=xJp^5N*;et&tw+|FMm&mtPB(mL<cKeha6M~mzQTUR6P<CqM8k)
zn)&~K16XWx!RmeoM<gZ#gTpycaR4eP9L|DR7eK^C5OE1aTm}(WK*UuLag9rWK@}F4
z%uG5=APh?4Okisv1P8eK0*N~CfhtV62A1Zv3!1?MND_w@aTG1gOzKP^j7I}6ng%^4
zW+re!#el;GeiRKX&1=_z9JQ_)j~$ZeTGoTKtjDKC0;EMl0UB4J>OCvNAvuF%=B|mm
zb~tR_0S+q$28Y`qYZ(~W9BzOD_$G+B1tM;Ph&v$SF1V})<t2yfpp*|LA%!<Ab{Uw!
z?GP9jREB^sv=ssq2DePW1Oo$7*#N2^K*g*BxJCk%Ixr0or!g>qTn}zu!Wj%q4wI0w
zH3Qf;4&lf`><)y}lEZuk1_oFdF)%vZ1Nj%^0=O8|2}tDzyaWN;$-uzKq5=(MP^%f#
zq=c4Xpp*^O&cMY4E^v`lf@Q$%DM+iT1yUD-EXPm<YD+;{R4w4jnt{=whk=1X4Pmbs
z5*utX*gAAUaH9;_AcqG63=9_N%9uG7I6>GU5>%<jGYc@VvZ*8N0vnCQ2iu2e_#m}`
z5*$D+2nI$Abk)q9{G1@{5Wy_Kz@~v_HWD9fHnfcdYb*6SfSM!>j27tXKqi7P#8gc*
zQ<3-(Q&HPgy$+x@4g;eFx;l`FAPg~83(Zs{KG;-vi>nvGasW4+7%kA%f=mTrh{@V$
zCL{5|CWF#GBnx|HIf5DB<jTMZjt_Lz=#>vT-vLy{GCEW-FfiyqE#`zVz}A5hGbk~l
z3vzdKHh0)|_O&c*S%l1nx&vJ~W(r@!BEZ1F!srmsz`&pjwHjs_5+Cdc9DZik(uZn<
zcpk<B>tSGEK=&*<AMANnHUp?3Fx!#%V3Y8tX>`?~m;_-+Y#O4Ojl>6=jVn!~s{@$`
z!Vpu9&`d?*LrleyrqR`bOax(wsh}1Rr~rnA0}>x>Dk3E#Sg<sWt`<~ofH0)&0F_dv
z2seu%vB4&TlP<a-tWO8;+_^e{`z7GA3SBYCE)a&;2P&%=*~}0&f-OMegKfo;s-P(t
zT{Uu(44Lf!YM?MMXjwvSgCs>54{Q+w0|T-SWHwkgE1MNmGc4$l_+S(8CqZ=8pnw2j
zaA2@mqnVAw2b+y638Je5B@_^bBo-SqQ<3-(Q*k6gbafyTK^S7HEt;uFe6XpAgoj|k
zk|4TTkf|UHHW^$|+9OOCLt=wX2B#2oLA*&2T`|Zm5Qf+XNrDat8^IPJ@xiv@PlD*G
z5$${g%K_9hW?;~AhS~&4elQ-`5(Wkagn9%Etdo@u)Gb2J^+<fMZv06PT{S2GKo}ed
zY_3p8!ICu+A8a<Rq=&8!WFiPdOm#yu6^Rcq6-UxTR|hf?gdwK7qnV1t2b+pWa0nJG
z>7lCynF_*Slfg;P6Jfd-5*utXIAx#<BF3kXhN@g0z=Ja25)fSj$UYE;*a=B~UI<&k
zmLT!LHseozn5r>4k)SRa10$OcnmtH-BJ4p|jn>ab<AR&>TA)!-P^yI_cNh<B8ECW;
zv%3p&DJxq5nnRKJV7>SgJGyF6aDy;7$k_tX%tqpa&Bm43(ba)UZV-l)-a%-lBJm-n
z;z;c1>Ok%UVTfCU(M(0+gH1&waRdvN*wNL3Oa)=E$>78uiZERai48UxoDR_i!K15?
zG1e9b@Q@=oiK8n6g$f9RL&gD;#KRDFfsIDugYCng#L-nF4PYQK9YEs^42*0MXm%m-
ziLeV@HF|3loeyrEYDGisgd}tr4{RN1a31^E3&_2!Y%x%+u+kTa57v)Av7@U7MKK72
zqnRxh&1@t-*lb*h9bFyBL=c9U8i!^o5+7nJj>L|x4wOhh7@SbpKs^jlSpf?NBtF<w
zL=s1^V2K@FEy%4P40bO#u_q$jEQZ7en+#5o=z=H{94)9k2k`JaIPs%v1NjGp!G3}!
z{v?FmV5^Y$VEgeWest9+qkSkG2hgY+1A|s7)ILaJgz>;uF)%QoXhGqC^|P|2LAApo
z0*Mbc1Al@<R}G2=5C%sD8)$kGIg*k1V6$;0NOW}|6G0eaY6hB%k@yf(aU@7|bs!T#
z7-DKBnyE;9u&Ib-h+x4IB)VEqk^o^yvH*3ovJs|>A+f<GgHs8*AZijs<sl_VbZsF2
zfH2rk&;*%-up4X@5+7_o{sf7x8mS0IVnRw|2CaOkU68~G<AJSVU|>LNHK1|9jR;n@
z0;mzN1c}54n}a_=qN@f41_*-#gsl+GY$QI|Y+MNvT^-0o5Qdmqgk~xdA7Uzw1c|N=
zlw?2{oNU;N(M(0+gH1&wLj((!Ako!=+zP^A_kv5vQiSPZNNljl;8cPxh_~B?t{CJC
z5C;1MnheVjHi9ic;)89)pA6AeBlp*l+2FoADCs~Zg1Dq$ljIBxjF3@WJ4OZuumcb>
zVEY+38(TVi+ijZ{FGR2)Q@;>-1_lNx*hD(WH1O0c+%$v?L^Z+;1Pk3X*kn7%G;k#Y
zHw_^}ylJorc#vt}K^eGd2pQr{gH6waOapgt;HDvDh&K&3aSt*L+?Ir!hL9oNG}z=m
z$TZL>wnGRkP7yN1n+BWq2bl&My+)XZkRjeQ*s=hSX`oSSglPyF;!T4s8vvOG8mUH@
RhL9oJG>AfE(gC!p2mt1=5B>lE

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..7e5d4a404472257cf59b18f68a135766950a5f51
GIT binary patch
literal 3745
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+?`#u5wtIoavdB<eT6j8pApHz4@K_4p9sY4F93P!Ht1|!OJbXA6exBkK~L6
zetxc*E~^&%_GbAzx_V`1dG;n`CHVCwWi5cwFck|jJbe?~7EsYBHU<U;PLTf?92glG
zHZU_WGFUS)H!ohu;g#&@<?oo4vBE~RJ>M(h6hxRU!8I$*GfU2yyYT<<1XWOotd+B7
zV)69!bmd#Mdf`F`4_B82KL@X@jK|B~GaO#5_<)%aqRQd@zO`~p$Q&eb2oq`$ck|+f
zwv8=|I(k<0EnB8?fhSe(G(-W|3<d@c2Y&_z21cmcLCytX1_l&i7N6vV6fl7<0dg$M
z%$YN%GB7wSWME)`1p-PWqHq`(9GDmc7#J8>9P$_#7&xFdv$nQ3+O~j65r=kW0R{$#
z7G?nkCMHG(3kI-CP}qVn>*9rrY+Jx24wYO`Gr2rn9Kor`!4<)rirsW>s2&ba*R14(
z42J|5V}rwNB-b!An1G^JfWcusmjHvq1`x3kL~P;`U|?W&2x1ms`0uck6-2T!2r&Ey
z2?j6=FgPq`6<}~+W)NU-SO-!HCRrWokpiEA$ze6fs5M*y42-BUj1Fr-nyi?hu4G`;
zgEPTNj)4I~z@dSGfdO3=ICX&uu!&gJpd~&uE(6$)qUZ+y|Ifg{V8x7ZADjtuAG!e8
zZgfGg)4&A6edubC(=;-h0UYk4=*l53gC$G`Mm;za<}!2vu*=W|LD>R?A#nr>TXYrh
zd=6(YIDpcCD7r$Bix^?0A;W(@c%UhQ@&zc3Gk_8q!v;oBAp*{*U;-)Ql28($YlZSb
znUGmS2x>Q%pJTQ|R+5K9at55ad51$38!RI_+-6{4Fo3y_%h3T=LL)I57#vQ35-=!%
zI~?Z{U@#Yh8qd7AzY&C?{(^c0q!=0p4yU;U7`Rwq>cLzF28T0T0t`&x=zz&U)iZ!g
z3P^d;0?CV@D8W#LC@WgPnU#Ujp@)HiK@#dN1_lN(BsSP^uyyEy;Nk{S=s*l|cp$*Q
zV1cfTnNxuigdHM5Sw5awfPs-s3Sk%6Xe2(^K6rQ|1$}ac!%0wvXXHdzjnxS5=8nG3
zmW6#QY<m|Yvl*BiY8e<9(9Hmq_8_aVN`ewASkjbJfPsO9(V>cgfk7JT2Tm9RqymJ&
z&OsOC?&xgpu<h(?S=h1&na#k6RXJu<u3>>#4T>rmsMVmTLSlm~X8;?AE{G`VkxG46
z2XHA3jx=-)pa=tDq(}oN5?Lghk=O{E(FO6uBsjT%!y8?bA=o?y1_xmdP->AwSPJ$X
z5+AG(j~xt*oam~t8iAZbklE1Gf^G&%YC)GoODznHYzk-|K;jejfEc=Jum{iu@q~dx
z0;s?OC3<vCaBsAL$~3T37#P9j3?sNf;&2L-;lL!M@`aUk3{2p*5R40|13(zs5`qbX
zTSs7mfdQ#(*MNqG10$&B2Nh8;4G<SFFrYM!P&f>X4)Ylp7+^&-10%Rh;Dqy`CV+zo
zU68}e)8EB4!#@+w0GH*A4#~(S!b|{#7rF_oFiCwz1_p4-MOOh;zyLNGOmHwTFgctB
zRRN0_7#P%`eg|~`Kt4y}gSEn1Sn#G+f&;h}#lUC^Q_9E*V}W(xGD#pgA<M%v8_{6K
z5CAvgAjJ>5iTF|zsObmR3QA2ZQn1D<0|O(Z&anf94ajc@8HhtU8(TVi+ijZ{FGR2)
z4Nr(Xs8j}3^dJl}4cw4~n+6gEVVGKk83-1-X|P5w$TV<S2R98N12GR_27-ld8mu`C
zG7S_d4k3&T44ep2h)Lk2hc1W__80=-5QQW~bj{#|hAxPi6wy^coWsBXHW^HSA_OLi
JK|6ptrvQ53eT@JB

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..2807eddfb7127a019eee40cb531d65ca967aa080
GIT binary patch
literal 3718
zcmWg2k!R*+U|7Jwz`)?}q)MKdgR5dqI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+;+rC-`N0CHOidXL@EO`6hTIh<;rd|4`h=A&P;4;Xf2Ocrh?Ac)4ZwqpM!v
zk({x>&(Af}Wz}Ne-YkDdSFg-0&)$Tr1i#*-tOYO{reZ;cr*DGW0xBEF#=yY91oA6`
z10w^&24*Hk25Tnf=EVy+ypkQg{2jA0R@kVv=X*t*f(WxExMrn!X305o7ydt<pb83|
zwQ|->ES{d8u6(OjFI?#0;p&p$=irr<@p##LhQo^$A22gQR5`rgw^oh`nS&$_VL}b!
zZeG05wy|YVN6(7BWy@49@TBUUhA04=!N9=b;LpIozzB6a$hjcQz<?sm;**?^0w&NU
zK#paZIdkSz1_p<P3=9mgK){Gl3;_lP2POsq1_lNehdc%b1`enTSX<j0ZCk*kh(kNG
z00V<V3$p+N6B8qY1p`<mCrstyg^O%kSV1HXm0VDj?5&Nx?M=2VP#TA7Zm4Qrt>)gI
zMIDR#R`fR7_JVja5T2l|tix<17cn!KfTCJ}!C^g@0E5E@5U~+NY~m7NU|@C#VisWd
z@352=M6xmnF#HDz1~3aSI4ourU~ph&5MXdv2T}?qSsm(;B7%X*VKvC8HCzG=jHoh<
z4r@W0tUzfEgc%t1KokgrQy&8Z1BQS@1JrZKs=z4?On^<qss=3~qH!6(eiQ{63c@h!
z|Nm!TV6b9_TFJnu2WLW6U<iQiMpp%P8kj)14_ys%`bK6mfWuuBT{*;Mutdths0U}l
zT!t<Hb{V=LD2sqFB#uB~i>?Bm=iv+n2T&RiMOO%N5hJXWWcbeu4>Uzk-hhNExIAG5
z6)50L3nq{<F$tvtx>hJ3lo6RVgrIhFC3|N1XC`<!BxgXGGaNSWaEM}qWk!eF3=9kg
zF!ymb*lP8*uW0V=L9iGY98Q3eFDQ9C9On{XFc*Uw&a&Do!52(0fHDum2B=3s%As-K
zaGFbifr|yE1I%S$a5%#yz`z8K4wwv7Jp;J3fRq_6kh}<r5)4(Kk^)j*w16`!1EWI^
z0|SEu)MX3|3}Q%Zu;F0q&;`Lo4zfWG4+Iz(EYOuPb1HCxutOv$%f~YdFfg)7BJ2Vi
zjl>7r2Muqepa(G>PJ%K#qr)5q1_pE;tW5m;{7euM97T){RSXOaQc#OHVGOYGplTTu
zH0Xld9i7b`ww-+~3tJW;vl$q{u0&Uk8QyDH1Q-}tAmJ$uwHg$jNNlhJz{a5qLW^Zs
z@!aA7E=0j$imntCo*;}IrZNcoz{Vr-!FJ*gQ&4b_rUR5_<Pdg>A+f>sfgOP^h{qA&
z)B}!NbWN;G3j7L85Yk~4sLo*I0Hq>%gzaF<koaI1;IWJWl8VrEK++F{1g9bfMm9w>
zTafsKZ4pCP4R$EHARdQ0B!EhrS_TFNbWNbP3djKtEuaDk#9{;&5scvWgu^LNUICMk
zdJ|SmF))FfG%zlx_y%EUg9auHZsdRo1_q>JSsNM_4ve5m8<b{X8bJ1gFh=_ZLx6$N
zVLk%`1FV!~U<7AtPB<TA3M_-73vzgQ`n$Mh_-Dcy;8<dGNJcghW&$YG&`p5UBn%7=
z`iu+=4%k#cbu)lX1``|%3``DZLFM=&1_lOoXc)lUh{OkL1+|n=+f4|b1Gq`Vz-S87
z#K;L_f%W1tQy@7Z%fmAp(GtcG0Jq2>#T2@U_)-_B9S7E`0BV6s!CIdT42+Np#10fn
zpm;{eKpe{1*wWeCZri+gA%X>Ir$XdGWiPCS3Nj7cDukPckb$U1n1NuSn+9vef=mOK
zjBwKsGQ^t(YvqDW14W8M2qOanCqfir5;(V_3u1&lh5$H3A&C-QGdRhi3t}cpbQKWi
UFff2k1{0tNfr(<!4xk<=0C5b9WB>pF

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..535097b1075e9c355fd1b421513147042583f256
GIT binary patch
literal 4215
zcmWg2k!R*+U|7Jwz`)?}q)MKdgR5dqI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+@V`7Tap|wy$XJ?Xg|7qGNG?Pj92JxKy#|W*J?FC<X?G|4`uI%fP_U+`Wnq
ziw54tMGJY`+gnz2Dl7HyEbeP)X<o6ogQs_KZ#z%_VqO>xQ^C8iqo=o<m-;43GB7Z3
zf;`ROz{tR`ftiVs!J3J=dGSIHuVhCrf5)th6*j8v`CbvHAi``3u32fGS#r+Yh5wHy
zsDgrNt(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR`2M{$NlO5jgTPp`9AYx!9
zGb0j*37LZ=4q-y=;ci~M(6+H<QAf{;zGcf)F7Tx4orWnuiz|WE34WPg3BC@=nVwlm
zz6l-)qF)!rKNR-?Tg|}0;^5D~z#s+<1dzW$n1KOBn8hbKAq7mJOMrY0_BsQD!$JlI
z23TlfO(IxQ0Rw{r6N3OK_#GHQG?PORvjD??2UZ3FhW`u<Ob!9e0t^n!3<3-eJGcZG
zAS8=JJ(8Olm>jlp2{1Tp;}T$CM3rH5*bdTUB@Xo-1EU_C39^%cfdNCnp@D&c0bLc?
zi(mq5B33nMk&nh@0DDXn-QfTK85kI>V4=pqs0U}l+=ngzwi{g#6lWj|2?>x7&{e=w
zGn~Ny4rEbug&-F(!U_V0|5EUvQ<Q-*AvuMK34dAzCopOxdayx|<PBzm2}rtO(~yHY
ziY+T6*})?NOwHKg(9R4>EG?kKbDM#I!2srV7B`P%M=-&_;BW$zY(UA%;W(E7gN8iR
zC=MTw1V3j7j|?aS(>M(Us8YVwUJ1U*o>~5x2_6m}86fUl2zQ3Vj2#ZM5w1ANz`&rP
z2-U{s<LBX!oWbVfH^X5whGWfDp~{#SuV`jAwh(4uV9<eyIh+HfXa<K)1_lP07&NIc
zI2bcBFz8{3f|3KeAUHFF32?pvCn|I`7)1w$0E5F?kZV|E)S)hhy98t>x*%(7d!ua&
zgml;l3VUp-5McufB8Rge3uH8~nU5~Wx_IFt+ZG7vunA^9x+-uA@qi>0j|_*CVDmMx
znU5~W-rCsP-elVXqa8NG>_AruO*rrr<dNZU8f=diHha(od9|8*dlq#p?px8@Xxod*
zbJzm26I~Z5?V%+_B(B35ko}P8Vqjok1ScaVaM|my8f4@tusn!_l%cQ;!@vZt31D1M
zAp^qDdH^O2PDx;bfdQ%TFo1d&T(*PL7)%2!p%7h9usF<TU|@jdb_Pap#DR^3i$Trg
zY;5W5ZMSV+yb!^Hlm`~*N|`zNIYHPV0#s0dEP#~(42<9y16u$WBWwY>Qjp;wjIaP!
zaxgH0ql6RAhZ+vH5nWIqIU&o#GaJ#ezz_fzHH;3)3=9nDnjLDf2r|K}(`RI0z^VeO
zfB|eWnBZVw07n9>6k}in=T5MT;bKs2h@eNXppk&Cl$le36NDWinFSbF9L|GM<04Rz
z2TeSn)+wl<&_VFQmS8I=7#K}q$`~D*Q4IlW5`#&Dc_bL^a6ts5dIz%rgD!>{pb$nE
zWQW=S4jyz#rVM|k41b41jG(5E1IV|!2;YHqAo0NlVJo&67)@cySS?^IuxbVd22ipC
zVMx*gg$23_ELxCaLKj^*IF+Caazm}d>SiQgf+GW6GZqIR<wxQiAWLNjkQ@TlRCWN#
zAuyRp2QV;z6Pg}cLPO$%4Z>A-F)*6Kl(JgDSYRDk6C1h;ELxC*8eKU!v7rlsN)C{X
z;KYV5iJsWd<*_&bIjGT<V|4(it3*u)kQ@S+LAHa+Ay9#c?qqs|z;7ZQ09A%YIe>;7
E0Ozx?f&c&j

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..3d0e996fe76545587b746413ce1c91b03383aee7
GIT binary patch
literal 1181
zcmWg2k!R*+U|7Jwz`)?}q)MKdgR5dqI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+?J+ygeM;Jdzz9oU<HOC-`N0CHOidXL@EO`6hT|&zrGrXIZ1J%6}+u@MU0N
zXzpIc*R<GHtG9hcb8nCBq7@yB`+IsDc^elk<ZW+nS<$Ji)Wfs5uc4)R#o`X0-o?G`
zJpGG#VKhty@4}9r-fmv%n`pwoz`zOeIfDZu1H%Sp25Tnf=EVy+ypkQg{2jA0R@kVv
z=X*t*Vq#(hh09twh&)?@YgU?PmYg$p;s4_asxT=QPft%*zE!IiE_Cp4bxH7Z@XE?~
zyzD*0;l+v%U~15UR2WO(2#ZS<i*A<DWrEx4@P6M~Ifx19OlFwNFeIQZ28-iT1ToW^
z3G8z2=EVzb8(S82^sMMxwoK&$PpaN&m;y9s3!uk-g6P+U@ejp)9KskF7?{yiWAh{n
zC>?p1s%-si?79W)Mg|6E2Y&_z23VYcA_9aN7*K>+e3BDVzyt$>K1?m%l!;f8fx&@^
zL4d(wDXRb|4G1tWFgma@2r&EyIfoe}vlt|^n@fPf;V72?1B7I9s7G=s1CzsHko*xY
z0R~1Zn41_F_25i!5@TS%5O8QfHU_E+<Y^Fw7>Z2=Jbl6$3}8o#qFea?KLZ0JEO#^f
zH%BCQY{p`XCv0L23=Sy_3=F1F)4*8=l29OF2?{KzUl_nXgsFrHf+HVHIP3+5DFc{t
H02NFCw!>Q9

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..60f147d7382f79a6b03121815f92295f1a481ed4
GIT binary patch
literal 3976
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+{fxT>Tug9g;IWvyyxhJQDQGSzVHstapfFU|{$U1r8nz3=Cdw+5M<$7kDIR
zEb#Ml&2(9{*ta*!-_g}8Gt09#AuGYJHz{iYjE1RLkm2c@;I@FOhOsg*FmQo<$>6}q
zz_5XtiIKsYiMe_4LJqHFM=yWJtc(>ls_pq+5vL%+YzeMeX`Wef&fJCnk0+>t!ey<T
zH4}@cr>865s?`e@I(WFcB=|XaWo0~G_MYMJV#No{j1W~0@As{hV?yR2i9?uBgSeX)
zFSKoJS=7<9qHozUl?yzndZ!@@z-BNoa60%iFfcGe-41dt2s1FC2($PkC!~N0bP14S
zS!T|hIhBFIVIczp11u2Gq7seEz~I2dAOKSCkjKElzz(&YwY9y`wgpUzIJ7ehFfcf@
zFbgm+F)=b&Fo0EZz*H_?xX8AJ6-44t$q7}-<>}%GPE8K32<BAmrh{S+gjp9gH`w-q
zNm+;4NbX=}FoC#(8)`ahUrU#5FPM}?F%ztkkwJjLVLg`sgTn?8u@OXU0uh_J1Q-~Y
z9fFtz82&pfWd)I}3<3=QL4pCy0t^m|Sp^szm_gdtfs{IcNLGh>q?lr0a##&AY7LhF
z10$*oqr+N|CMzbWBN-U=;7oA(WMIG$aA;s)U_e&|PKsaxY$8@QXekwq%K-MHD7wM_
z|1&T!STQ5q2WP_Ehb{oN8(k3WG%$g1AG#Xk41mmL0EfFMx^jriV5yjaQ4h|9xeQ$Z
z>@svgP#ywdNF0H}7F`9rP=GTS96)J66kQ?6MU1cll;J-wJkS*RVN6JY!w4#Iz_}Vs
zAmwrriVbwFP(CQPGHD1xE#yk}%<|7n@Nh`ZfHG$|Y~JCJ#t6#N4!0Q?7z|);6F@Dd
z9UL(P7#JK*f)X+)i94JCC0tM{2bT{Hr$JmW2}$BGQyG}R#S@GR3TY6A7EUl>aDfFT
z7#NU3P#x+E2XOWT#SKgYG>RF}N-H!j1Ea%y1_lOLU@$N`oC3KYRKdZ;U?zbR2f8Tx
zqK?jv#eFR>8XRyA$;f6x^*hvJ5roPyIOH)hFkn>yN<tu2V1pSLm{~-jUItajpt=c~
zHbCJHQU}6ZOklSnsRW5KfD2<tk=z0)%0K~zp$b$GLyF@Ta9PK|=+MK!z#xvWR}6^_
zHW_Rkx*)hjM>fdefdB)81-de3P6bX7c8CNOmhsF242*0N2)n>WBk{rZK~pMHq6IO*
zamT<24n}kxU~S;USjE7=APF^}6UKl^gKa|><nHKf?y&9bYgyQ`2${{mh*ddeFt1?|
zU|?W@1fvwxY6b=dF(fwF0bt|M1raqJQl;nW0Iq<*0gA2x6qq246rkV;lt!`{iH)!s
zT@X(wgQF50(CC`r>2)e2C?;hPmV$kU#0Oi9#|~&rqU!)_0}+gDa%hGl@j(h0@ER_L
zt{Ut<bU{2uI3$1?HK1ILt_jov13AE9F1QqBa9G5^z@UQWSR_73Ed#iT0%@r9I)GXh
z3=E8>Fhz`<Fcw%XDDQ*vF1nyVazd7eXEvg-i6H=P0ugB%cXLNyXUoFA6}G*Lk=YE8
z;sxDud_e^YC3N+m>Kf!52UAW^_5iyKOeiowLQEAc#E|$PeGIIK23xNKxEaR4WC~Nn
zXaQq^)iN+Jfbs+ggY$(Lx-2;M(FO5&36%H1IRIS~N)Ev59)3<{PJT{@2vDn(fq_*D
z)^=uKV1$&<cA#1T6wwG7h;KL>TRMB&ZJQS_M6e)jR){<U1A`Q-eGM`V+-8NFhLC}%
zMwo$Mp_>M4gM&;1mpyRP5HiG@25OmuFvv7eT6YLxWMJR~iGVP~90mq(oPY#C7$%63
zq%j1*iHH$g34=6(FibNz!axEb3=;&kXhCcTeNb?Nk}60Rgh8Un7;G|_00kjbMhez}
g0C@(K48fiOyB#3|QHuy(1Pd*oAqtU62T&gz0D|k4g#Z8m

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..abac6253ade4c17250f007948bc6f263d58e2e6d
GIT binary patch
literal 3562
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+?_LbS&z!U9_TOVauwP=Grnj<`wo?iVjf>3=IFFz`>n?fuXs36(5RH-o`}>
zdE47tR&**W_3$k2YiMa+vABb$cX4k!Pyb?G7!6awyRf6Dx0{!mX0b9bFmQvs$l$=p
zz_5XtiIKsYiMe_4LJqHFM=yWJtc(>ls_pq+5vL%+YzeMeX`Wef&fJCnk0+>t0%fh7
zH4}@cr>865s?`e@I(WFcB=|XaWo0~G_MYMJV#No{j1W~0@As{hV?yR2i9?uBgSeX)
zFSKoJS=7<9qHozUl?yzndZ!@@z-BNoa5?xhFfcGe-41dt2s1FC2($PkC!~N0bP14S
zS!T|hIhBFIVIczp11u0w;}Mm|z~I2dAi%)nkjKElzz(&UEi1#(+rb}7IXqw#06B}1
zk-?Gytd0YwE+xS)!@-{oLZPT*U;(QH#Q_MjwzfCgwtz_yhjwNG1_p-~W&s8!CPoGe
zh?zW4Gg%ieTx8n<CUL0bg{p)&F9AYrKrxk>!31I|A51OSi3t#wVo}S;Ai&_To=bqi
zVFQTR2qHFth|M5k3zq-`1G7UAvjD??ho!6_l9fS#;Xg<)fLVaSVKJ)!g99_jjCCNT
z4j_`%p&k@!PzNwDIjja5wT4T8fe}@P(P1q}lNA$8DWe{o2~NTc3>X3q4Gatn=&Hb}
z6-<Cl#Ht1@F{5!Az<v}(H~9a51_lNzW`z6TOql!71;BQr3xb^nCJ^pJSA(2Kkl762
za2G{a4sjVQp))Y*!I?0Zp$mXrhAs%oW*`iSBT(3)tALjqa0Y_|C=H0BD+IZS5mvx5
z{0HS6PzGRNR1|`RAEZoT1Qkl)j1MM|3IY;J7IdvpJ~&Hjh(ImmO7_h1&rI-eNX~#W
zH}7zWVuNKvhuaJc3<fawarrqWC%9%ix_LSyn3EY898Q4}Feqs|oCGCUQ0fL39u8+f
zTrdeq+AxzCn7}0$j0*~35QdgpFkx_61|}F7kU~xc>Usxo-UP)7OanA(8Bj|yR2~DP
z!+Zt?23Qa<Fgl#(5@6tj^Py&dQv<pnhnJ_ni))5|CY%8dD@KQ8WD{W~)M62of=TK#
zGB7w`Qvp@L05%y+a4;}1vq(Ta4XUa^6&EyBfI=RogNq65Xe5<j8F1MODPdb2z~vVx
z$S_nPO4k+#Pyxrl=+MK!zyNYEIGHjqh#|4TCWEa*7X%m3kYXBQki!E31_ldsWz3uk
zoFMEF2`VPznFSaa*`$&D2y!D5A8a2yjUpx2<P329F)%vJU|?WC*TLv88>{FNETaGa
zGgzSOXXfPR1Yw5=kSkZQf{N%+kXs$97#J92pzh^_F~F__#SqB#=z`oGoy{G#oqa6}
zTNWX+85qIgh^`zn+SafLFfgz%vdKcNg;|8e2Ri_7Fo~h723w9Uh{p(r1W@4sO1bEo
zK+O}70~}hw<;Nlh1_nhmry}t|Dj6VEG_<BpZ~)b*3=E8>FlCIKFcw%f%){t{cw7q!
zTXYQ&YvIipaP<x<1;E)2lrceWS3+|;5+CduNRt8Da!7ChHw73NO<~FyIbkfYYM9&6
z1qr$xT?52gc+(2pDnWKTlN7A6#=yV`Zn-dkqZlCyaSwZY!=e?78~R{0q>%<LlF*g%
zLv+ANDOfWPWCO?n5E~Gp5S1{KVKkBr=t@DQ5kwP&WRU`uIUo$O0$ga>f#L;}uRx+8
z3{lCzz}eW++1qa0ym%pk1!?oaR4_=v+K?dAK!uwF+%$v?L^Z+;1Pk3XSUVGB8Ysy+
iguvW}kRjeQSW6US8YmGVOhd>JZ5l)&GU)*7<pBV<zkJUC

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..aaf8ea21ea6aa12d66df5cb7da334a0023f4e034
GIT binary patch
literal 4566
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+`TlR`%OAceIzbm})J%Q@7h8ih+UQKNL7PGB7YScdz1uD&lQiw2-&Gy=6tG
zvQiJv;=YEK<`s)OczPH2w)6Ba=7rHP6}$^OdV0HgsbdN&0|NsO$U_Vcj0_ALn3)(E
zteKdb7cb=SN_O<}cg)IIVWZlf?-g+hBFvWHnw936CFjgt`2To<Dkuon%2_k9czSxe
z@~v9EaG`^Tt4o5PgI89@<7Mv|4lh=Gz|06y<?w#rS~(_U4w5*82{nkjdGSKq#+F4L
zJuCW_EmOI`ld5+bq5y0L0|S?XKLY~;Bh>96=YlW;1Bx(<PjW&Em_U~RIhJMS%$ZXe
z7#tQdFfhOZ0Tyd8nt{QAi9vvYfq~5-kAZ=K18N^@YkQ+@3z!sfXlE8+U~p(*7GPjv
zVq~yj0ITGLsa(8pk!=erh{U0i3#yVW*~`<<!If<@h?<JsRBo8sY>*;Xw%s5Khgwja
zf-skFN|FQE>;$g4TQ)g>&EJ4xJ~M*}#MQh|^TB$+c0lxi?ZKjlkwJjLVLg`sgTn?8
zu@OXU0uh@*#1<|A1_ow_AZ7uE{|-x8K_n}K0K<QfU;wiKgTrE00R{(VkQwVhN*zEX
zt3y3f#4<2BtOgmihD(5f5mkoKVJ%3L6%*9W42*hkCOA1WFklEcG%zqQpsNC>TrdGP
z5vv-sgpI~!0Q*rC-QfTK85kI>m=W%SGhyyS7XaIhE(mrSm_WD>T@7-6L1r_6!(9|z
zImBhKM9;ve2WP@uhAseh8M+`S%YiT?jzD3Ht^!_$z!?k<pfn(gt`OuRMp)6x@E?@r
zK#7-uQBepMevtBs5mZ2d3jr{JR49;8%Ajk7@<CahMMDH?A@{=Oj&|F{{f)MZ79!Y2
zh7M6|ung~Tn}LDB0Omp#H;-gTFu}m!a0--cK?&O7B$oh#hA7lf{?3j?wnzq}@-YoJ
zmxOBM0A=G0FHb)>g8>pC&=3IG2aP<3b6f%pTr4o1U@ilL!+9<N1}1Pk!epT88NdY@
zq&RDVWM@!ZVyFTYVvwS&1)Sd*7#(^T7#O6XE@WU}5JO^v4F_9?E(k6SkqvTqAi%(2
zfv$|1Q-KqN9U?(xMLei{Ws^bJ1vVOq54H~xPsnk$XraStP+7s~FoS`C0bLKH!)&ag
zOR$Lk|Ic87uAiBcpA&=~B0%n3#R@8^LYV~^*ksWhi^K;z7B%h{Ekx%#oJBYqT`$DZ
zn4%y@ql<zZjV=aqFbJbNn$e+(fq_8|>T*sP1MFT<69|+r&;_|WI-5IeJNsG|wk$$s
zGcbbV2wgd5YFoo1z`(%5#3m257G@C=AM5}Eu`7nI9&A0jAYMZp5<pqImVto*T^p#W
z0dj;x3!4A~n*y3kk@z4*4Cqmb&WA-UC^*se;&mX{bVhKNW&}4)9L|6e37CXbkg(E-
zfeG9ef^k9RGzddmLNH-)>j+FRFd&t`>QMhVfNOY=D`6VI4q#wlfVGfdGy{{vd<F&v
zSmDXQ2+nexa6VKYI7-k3IlMgmU0gH#GvN$y8O!L9jBFyz1W@dto4^8-)MsR1aKNSl
zs(=A(GMM0CU;sNARx~p(f@6gf&WCCNI~iRN?ov1dY$n9X=!(G(LKnnzGP(+={isf6
zcDMklSr#!cFsMKy36@=u_+YKzRvfhD2dX_FOb1ZgjDdmC6sDX}48{WM0u|%v0^lG(
z7lbuf!N$5efE#}dLJSO?=nApw#+%9+!6Alj4m`v_g*i017l6uKkgXV=AkG=+855lk
zP7(y111hGW&ba_em<~HYjsm%ifx!V3QL1PWg~SI3IXI%A&23ObL6{EU_A>*cDNH$|
z7>oth1&Szi0dP>G3$lUDbA?h4;08J<$T-mzV%1GVM4_95Kcdi86Xy){h(YHgMHIRP
zaOdEQC<ZnuSnrO3ff3RQvI7++pu!I!0||M~#+J_BcH8E~3lS_xj|w8sz`!5{>j8pH
z1NV;LrXge?su5-&Sm>s~dWj&@z&Q<W8bXG6(_sBbkZIuL05=UGL%eCAhB^p?Oam1z
y4k55`1Brq##4H8|g#QpMbf3cdpdiygIRIfALIz?U!VClp%`}KYWYPgNq5}Y7WFNHv

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..dffbe10ef4ea67891c47a3b9be11e44b62d9f12c
GIT binary patch
literal 22821
zcmWg2k!R*+U|7Jwz`)?}q)MKdL$7&FI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+>(*vJ(6ll;5`Q{orHg5XHd2@E;27yxg+;L9z=xk~0?g`MGAgtXk~bo8|B5
z>Xn)0*$a~DP0CsTqhTr*WO(`}xGkViJ1YYN10Tr!3=WJ83>!F^7#XaYn41?b<nT&%
z^zwJi%2;8e+Me$faS9^Lmf)I|=9wku%w72Zc!Da($7|)RnOHnMJze=$tzNj$!Nb)h
z!Oy`fE93F9_Y8*@D?VUmgs5_Ozi+J^6EX)$9KwVe#NE7jp>1Q!qK=*wean`qT;NI7
zI}K65j7vWWmVn&>G0vI^Et=RoT^y5Lv!0sIk(~B(=R8_lC$KufFVic**C9F6Gb_nA
z!6QNR>%#bl;y$#tn0G<PqAuG-D>@dotZHelEt6wjVV|YQge!D$h1Noc)oGc&H-euW
zy`|^*h1QN1Tw&X6yHIn{ijL;q#)W+iix%$<=N7ORn{t8HHnS`Dwy$XJ?P0jT{`cSh
zoAV$^h=I?+pMima5t@ZSxdwz87*K>+e3BDVzy!JkD9^CWoH=tU1B1gt1_lOLUIdpF
zV1j|cfr&wYfyp6{fq{V?Y7bjhhNHKGKa_HKz$gH61S2DZB?DL;2TWZ`f?tM%KO2NX
zQOCdmR>=ib$>E=nm6hr2;0j|*b!cZ6U|?`)VHRKjB?=3O$=p!&?5&Nx?M=2VP+A1L
zY96R+F5g9q7CQL&c{sQtm^f_Uh3a8lyl|0i3z)=VI;hYBVZkL0?fnZ|niuzV^mKMC
z)U@q|iU`9+WF2NB`G%Rn1mYWhs9geC9-e-l8Cm|GzAl~_OB@m)0z2RW8?fsK1v|(E
ztj!&ZY<t0^EJ{egZ4!jq#NOA@v8dCw7fNGM&B!3Y;P8V>fWhG>i1-B}euIcVAmT5G
z_y;2Xg9rw00R{&~ZUF`cW``hV0fzq$OIblAD}w;Te~@4RvjBs`VpahL2WF7D-?>0>
z3L;q@>OpA+>MRB(hc6)WzH$jLFrvyZI(!3ZvSNZMWz>T+!L<eh1BQS@0|NsCx+-u5
z0VcpEVpW4yf1q(0z<v}(H~9a51_lNzW`z6TOql!71;BQr3xb^nCJ^pJSA$$FA+s64
z;Vz1<9O5!qre<K&gEL_+Ll*$M3|$aZq<}CajzD3Ht^!_F!x;<?pfn(gt`OuRMp%P|
z;lD6E&=f^sOh_|;oyr9&xV#+6W`YV)a3FvQNOg+N94g@hbvLMDl5y~4U|<l3CLU<x
z2}&_Qk`mOf;-F%R2VCn&LY1?&wl~_gfJyW+-T+cUOTkp)t_`3nrJ*W$p|yc6*lZLY
z4m)I^I$>2G&N>iklPpv{+aedwWQPO@g<eBI)yl!tE`+EBQ#jPh!_+Q@s0C9v)GEN#
zu7IcoQ#jNr!ql#Us0C9v)GEQ$u7s!sQ#jNrL)Ef_YQJ{dUMP)Tr$IwP1*)18QUkWz
z_QF}1I>5!Fg9IeSNP#LjX%Ha;B4j~?9Egwy5egte5kx3~2xSnV0wPpFx*aN!QYV9h
zBuD~ctQ0H}GB7YYfUU4&WMBZ7zX%zyI~X_{TRMB&ZJQS_M6e*$JVc&>fk6tEP(h}F
z-3m7iAp=p3FayCtHw~7UL8gH{4L1!TL%eCQ1P(F{9C~om5HiG@221`R)4<UX!pOkD
zi4cXD1P(}aL4o9iEDz6YL<bQ=0Nl=EbVz1kU_jUGP>V$nRKI~NbkGMy9yS#qSr7)B
z3?@LWV~_|a+F<z;<QZ^s0(%A_3Q-6447wnO+c5;dwn03Dt{LnZbU{qdpsRp5hk*fX
zGMGU246FbFc?O&<z@9;fLUe;YgD!~Sb_@ZqZ4l3(YX*A;T@ceV=qe!2!Q~lP2?z2F
zID3OVgAj%2273lw5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6pA{gWua4`k;3_=v58|)c$
zK@7KJ2q1X|T{GA-=z^G@L018B4ld8Y>Ijf$z{M@tGYC<LZm?(21u@)?A%Nr=bj@JT
zpbKJp23-ZjIk-FntHeN_0aqI!&w$;Ikb#(hD6J7J^l}?k$$?A*)jtk!(-1O5n+9*D
z8^GG;EN&jjj$nd;!2#5sasYMZ9K=Ai8mJkj4y|J`29+=bur$qBz)dqvs1e{s8Q!Lu
z7EC3<rkOTWC3LU=*PsEkp`Zg*&8yYi+q0-+ao>vGM%!KxPX@vhv_<dE8$iO;Ap%t8
zvp7V7!Xp|)#DIuckSMqz01F=mhe(hFM7b2q%b-XDYlT;j2pNb4h=@n9(31cxSU{$M
z-2gWYAw#@ru%H8(2KEfxG=vQCron<1WEv<`z{!&nAqp`GochrPF)}KK08-9H*9^|N
z=z^ebJjg;s)s3zKBn!e|lfeX1Z3oXC;0}mBEYYBhYNC#DVoCFC;52Ut)eId^gHlMt
zWzdvq1XBkYPs1EmgQ_!usw13-OkpYs<{>kvN{HhUAk+p(;)4#-F*88zH;1VOyE6ge
zPE56+pk;8#2IUSGhkQ_mC;$<KAfkvHH0GEKV&;JeP%|19#taTQAR&-OCMj6hfszzR
zIiv-R5CvPpz~0`lXvN}&J{S!RTZkkBgA^=eL8gH0gP4L4g(!sShS6xIut>oI9%KyI
zL-5>!kbxM5$iD~{BrqZJptd0_azLhmLk4adLI$E5VFrSQZW=5CL8gI&7+y6XWQaEn
z7R4abKyd}m$>5BOkRjSM)U0F;O+A=n?U*81vJ^8oOW8sV;Yjv$_RaKnaD_3Zg7YzA
zTp5~V?V#$}vRwT$9TFfEj((>-R4r>?$70)FFo~-|XaFT{W``zFdTj;~Eg%7KT>$eY
zgF_=o0-{n1=5A29f^~u7oD(4m2~%)DqYGjbh!_H3uS1&9=$gSLB)TAG+Xh_)#5uUy
zHn2bic?RrzP?F<Bh(dIOJ%cWY;dTrGB+sC0273lwkPTuR1ERP`R{>RkqjLj`b&zL3
zu?SA_oCr~fZm?(21u@)?A%Nr=bj@JTpbKJp23-ZjIXGelHN!bW(=!`nE)7ay$y$&p
z8&{}uW`5fiW`0`{u-h>*lp9Qyf^7@40xnhVFjY#nEzC-|RC&NuDcZI$E8<cGYJh?!
zLztCqTbPw`sq%uErD5B`tbt3FH&hjCd&ffC7BGp!pFU8PY@lgKhXe?PS#W@(!(j#}
z_j5SR0%iEwAYu-Pm<uB2fr$AaVgZO)2vP|yCt$I|;4l*;0Wm@f773u#2sRE}P9Q|V
z_CabfbU}>7hamutQAjy~t{I#f(FHNf33L?@=io?<Qn0iF@(egwz@9;fLUe<(7P=sY
z+c5-?JcF(o>=|@HOwXXJfH()2XJAPh<QZ`Ef<1!}h3E!*23-)t?HB?`o<Y|P_6)ip
zrf1MqK%9fiGq9Wo@(ehcf<1!}h3E!*23-)t?HB?`o<Y|P_6)iprf1MqK%9fiGq3^z
z<QZ^w1A7J`3egSr47wnO+c5-?JcF(o>=|@HOwXXJfH()2XJF+R$TQ$#1MC@uC`32d
zGw6aCZpRQn@(j9WuxHQ(F+GE>0^%H8o`Ds&AkTn{Kd@&Iq7dC+&!7upxE(_P$usDh
z!Ja`E#OxQMtAIEMmuFx#0?0F<VjR*?K!`$ggFS;Th~ah&0VL0$YX*A;T@W*7&{aU3
zgTphZ4TS(`T?bo>0;91s6(EB-K~N2ZJEFlbl>|GYAyAcUt3a!k5<t{k2h0i=+zSYW
zs%3BPZR}_U%@BcUT&?xXph}m;;VP(}y#^w#gNPd-QE*iZ^FM>b6_5l(xfINkp!f!B
z1tnf^^de*+fsE*!Az0|$G+01@Oar?CZW=;{c++5k1u_ln8E^|6Aqp`G>{xU`jHHJl
zfRq-|HG|V4x*%wk639YC3mjbqNEU>_CW8s20T(G)Y=S%kiWEr3LWqKNLTAv>1u@)?
zApo`w(&j<e4E7AVAZV!t$U=l?&{cqBK^SZ@m_YIjJY#7@LenU0rWiDB+_(_R69(}F
z4IzmHJj~*7n}LBr1GIV*)YfGKt!eVe08=w|U?_`*n$6DN*|EsBaUp~jGz7Z_(qxC2
z7XwqM096R4u_%m%DO7?g1k+d)#=#USLKT8(EDGac3YDP>!88_y2{45kP=#O`i^4>x
zLcxU#I~FxJH#9eNEP`l(iU>3}bQpm|uxL(#YUXqCO!o4Gtjlun$l!DF+zjW=*nts%
zpb0}zgs?Ae>01E`X)uk&{1mA9Z2q2E4jvg`3X6HEP-Pq$nf|W64jvg$1{S4hP^J9v
zHHked`fM8)!uiG^J{G5>Lp4Gcn`NXr`Xyz!dO3JxKm>Nc1TgJ1&xUH{Sm*#*t_o)`
zFfi!AWF3BjicSWHP6h@Bm>4KWfUtuxBLjmThA3!p5xO9F<sz7H0Id%LmsaR%pesJ0
z6obQB(3&wGnOvwlK{kRgSTDLDQti~@uo1Kj4de=RRp2}Z&1xPQ4zEF8lL0M?1VuZ@
zY!C*Uk1hyaLkL+(*y6AWW<Fk3pk;OpGWpnCk1hx;DVnjYH)(O$46_ZFE>QUbFKwD3
zJcn0czZGD!9bFJ(&5EnT7MPtxs0FPqWRNMuW)r#~WSI+ewM&A-R+ug5>L6tttgLHX
z=<o*Yn<8v>pbN5rm&YVPDTi$^JJ8j!gNw(;g)rLTE!d7?Y<8dvvVoV?BtR*L?Jzsg
z)v<$1*2aY}+Tk78juLEkpbN5rm*XTrDTf^}JJ8j!gA3)xg)rLTJ=l&?Y<8dvvVoWJ
zBtR*LoiIDl)v<%i^TvfR+TjD(jxua^pbN5rm;WR{DTiG!JJ8j!gR6qZg)rLTBiN2|
zY<8dvLRSsJRuA<$?1tHbt`fYy7P88=*8#Nhl|iNgn+@oK@O4Ir6-T`edtkPptA?)3
zg{{!-g)Fay6lmtvQ2RI)Y_%Zk!4WJ_$qSQrQ04)x4F;FIFfma11j3M#7ef?O=AjFM
z3tcdQD0$J<U`*{|2rxM82bIPwGN9!#7^Nk;AWCU`7#2$Cs$iuaq{KqyLCdc?Xjp;#
z48q{D8C?*iY(4_B4X-Ll*$i5}jNun_L9_|y7KfuS8wjcdExBfp0YwUiE$D)vscF=S
zY6Q>W7|cd=UC_1=X6pz^#NiVtA3>teycrsv{0g=xlbq;$P&$OEbudMwLzoySE<hNP
z4lzVQ?nD;^CqXcQNQdZZQ0H1vc?=FGxda%PWLlwK09g;h;3R=A2$|Z1Z*DjX3qS(u
zKuhKsWZJOVfG!A`5QT4xI0v(VfI856d<GVo4s2GS3!>zr^Dqn0RUtM*Anl8AbpWmO
zXOIE4+Az`{x*$saxd5{VuPR9X>B8m<bU{c|Kqs3M94^Al$54mV4T87Ly0O`SE(nPV
z=oEE=!zGvv=;}~Q7*rmlya6XM2J=3stsDy-Ak!&u1}I;^WF34F`2r>eN{}E7$rl)+
zpnQQY2+k5<0+BD!)j+3npcI3{RZv;XAu|E$PLPct49*JZg3u|R7KfX#a6wmrqqQ{=
zo9XC+%#f*}7Kd9f)6rGH+E*|d+RmDU&2)4@X2_IMi^FZ0>F6q8Eh`ueZDCEuW;(hc
zGh`~N#o-RjbaWN4HWiG9wymaMGaX$JI)&BZa2IAex(Zlp3PwX)Ra3E<jxNXynfhvR
zxCb*GT?MQi1*4(uscG0uM;8Q7mO-Y>S{&}fY(Q5<eC;DM9h<G_f{=MP==58H!vmPT
z=;|=L^~k+^htJ^p4xB~IXF=`dTIk@F;F00)n4E>g1m$L!3Wsb&Zib10@)QVzb2BHp
zs2;iiIQyXsf^#;QKxA)pHJEE6Fhv*~9)tH)fr=1x{XALzu5kaMa3H!E7#Nsk=0Jl2
z<RK6SyB1v#w!#9w)WX%_IV>8`)e;j8GIO!niY^FQsR3QEk>KzGW+S>f)Pb4Cg$`dp
zQ7tnMn;qza;3XcAg&w^QFJU&Is{#+@Ku2^uG912w%m)WNgE?qxC8#RpRI-I`<3zAP
z2?-|eP=iQFFfmYggD^ND>0yY1;uKvFoMgZRBHf^?fsNh6Xa<Kjpo)P-W-+!9K^H`6
zUVMOs2)Zh)LnceGnU5}r(yaIhGas)iNV8%oHq+4sL30Ma4&bQ+Uxx&TPcZY*)j`I=
zFb2ku1RQ>VVp(PxHe1mJ(Iz2!9X@jjFmR%)0%t9BL8wFE+d-lGLy<O&I)JugLWZx=
zHG_w*(FHMwuhCV2(i{kbO$Lv5GC|V_0|NsacxVsY%LF&^!R;AvF$hk=?BG4a4y!={
zyB9<p2N9P+#6uAA3Pgm1g3bX%LUw$@78x@zfj2M1xS)-PAPn8Q3=;+?Z!p2YfV83T
z05m2Xz}r|snFFQ)<QEVI?`j4U45AKdpn04)5K#;wT0z7j5OEVk%x7R=fDO+xFoK(T
z;OK#ify`rIz_&lg0b~Yjw4Q+xJZuOy11?6S8L**z21f9J7AKq!)ekllT@YiI1495D
zv5<6wt{I$e&;>E)6VX*b-GjP{3LFfuNd^W+a666@&WCCNdjVY#!^Ic^NM1nK4E6%L
zAf^}4RY2W?#S5_M4+chXW04cihiU<P0bLNo#TWueUO?9j_5!*frWepvK;47I3$Te9
z21am;loQT}Y5{uzT@b^?7y?LMK-UcR0=gik7tmEe-Gjvouqh)3MsTy26V8Wf0eb;m
z5W~e70!Us!*9`Uox*(<(&{aU)gT)K5$t(s&aNC*_&WCCNdjVY#!^Ic^NM1nK4E6%L
zAf^}4RY2W?#S5@$HU>uUFcBx557h$p0=giEi!lU{ynwD5>;-f|%;g&BDxmJc;sw}b
z9|I$}<<AM{L$!dtfG&vPVhjN!FQ97%djVY#GZxTQK;47I3$Tet21am;mJ`m0Y5{uz
zT@b^?7y?LMK-UcR0=gjPf>d-BQ1@W*0&Gf@ff3wj=7jU1TEJdF7sPNeh5(Wm&^3d-
zfG&vX1#}fq_h9h?Y`T_#(cv4n00SqS57h$p0=giEi!lU{ynwD5>;-f|OfR6TfVu~Z
z7hu!K42%xnL0*9Kp<2LRKo`VtF@^w=7tl3>y?`!==>>EZQ1@W*0&GH?ff3v;=Y;d2
zTEJdF7sPNeh5(Wm&^3d-fG&u+&IMfs)IF$P;C1)~YILgb2rxL9fe1ekkpm)XL4+>@
z1H&q4^A^<TL}G)@1N#nLkSp2K#S_%UPsl=IGB9$YE5xds!^_j(#Wlk}6V7mmVgsGf
z0%};Jn?UrC4%iGZq2Qp+DZs$Mg4J^P`h~@y^$SSr8K8V{GG$<3cL2?8uZ9K;qXTHD
z0mcJ|3n;9Z6!`fS6ciMgIN>a4tb!(%(3QiqVKI3P)E<};P9}bSklFl92o{pb=*r<q
z1JliGv6u{UG{j^G3&~`3<?w0{)8us+CWBn8zz;GR#zHa~T{*m~h-vbA43i;&0WulJ
zLNXa$ISVutv4rymtS0k=-3&4r#6mI|T{*l)#dPyVOq2N`p$m#Z2n%X5XuJVk`Tze6
z&~0B1ix?OfHX}^qL}G(I22R!Jf<$-aK?xIGGd#dRy?uxy85kH%VagaSU@WlxprJcX
z1x{v81x|-Z(D73YU?*=uaxxMdtOe|3bU~7wjIJ3T6R1vxDPy#NvB37@aPn3pCnK@J
zTEI?57bMBa=$heG5UP`5$`~zREU^7JoV*Rm$w+Ll7O<1i1xa!;x@OS9Y#^f%gEfqx
zgaK2=XaQq^6oD`(QGhUV!q|auGT4Pke2^9f=n^#eS~QOgaAOB#5lkth_=WMnx*)|b
zsPI()6$@a70zVTt^)fIxfNHf}Xci;!!A3xX2DTmzwm=Pi91iSgbiL4{*f0-gLlSWS
z9cTushCrpoZm44z!N$ROV0VB{zyq)AYg`B$P6ju+A-+ae%F?`OQ8SnT`xRWs!PGzu
z-vhM@W;m7+WQft|3R#*Lf{b3cs2P{hd(n)>5qA*d(G{~aFIot4`9hq=??W@5sJfcb
z0aT!(YXudk;KT74n500-7&J}}THy<xPY1^VLKG5E>{vJRf}3LKN<jzgK{P=~DbNyJ
zm<{0hbg&HwQHU};HlQm7oz(-;1R*)3U`HB)JONtY<^W&8jgWz;MXUlxupn!AA@ZP2
zz_0@kL8gJ$gdj{q$Usyh%s{ZvO@keX2r>;c%a1S(Aw#@ru%i+|rh$gv5vCzzh&K%s
zEg%ds4U{1v%ez4$APg}Fy1W}C0Kzaqj3zsV063pO#yLS6K^UePoNzz_APf_P*8%Wz
zeL%7x3{wH4!6t(VP||>kN`Vp{L^UI%Oa?E!M~FhyffFCPAcos91i-dIJcF(o>=|@H
zP_6)3h*+zRt^y<r!eEoZ1hQv9OUYoK0j+xj#|+r*2pN!Wyd&Wd1q=*Qu%jqJ@eDdT
a5@8xb2BH{|ju9-h6b(^`OgexLNCp7c#@=H9

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..47772fa7eb50419c6e312421c2698ebb2d9f827d
GIT binary patch
literal 6791
zcmWg2k!R*+U|7Jwz`)?}q)MKdL$7&FI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+`T_7TfkN?rm2uu0Q5eX3pRc#lXPu9|{~C85kIvyI1i+74bGMTFBep-m;=o
zS*eF-abH7A^NPhCJRto%{fl{FG)x8W!j7KaZeHq`BF(_SAOi9bg99T2!v<z1Mh0sp
z=H|r<IlPh`z5E@sGFI5Aw&#0AoPr3mCAem#d1lEua~J+Uo}dZ}g0*tiOe~(Bp00eW
zRxe!W;Nj|$;OF3#mGOAldxpb{6(2y<fJ}CHzi+J^n1G0Znaqqx942HAk~oA3wTHWT
z@j~0imPH*sEBcl#Q@OyCs&^Wu04*L^60#Ef7?j_(?)~6n2eyuZfyKd}fq_8`>T!@?
zL70I7MVQ4WIUxm1pi6*!3id7ogTq1w1_oFN!lE2TGcY(XF$jQy)`1a3GdTn?3o!h5
zU}X?s_|L$=<Pg9tz~I2lAi&_Tk4u07Lb5p2Be{)%$zeB_0E5FGE&&EcR2fExy&z3i
z;!tleFzUgXAUhct7%&7J8W<QD&{cuG0w%yFVpW3{v1nWdu*XEv4gUY1fq}sa7CH=!
zdT=Jpedq#UyU_(faRb7TkO27rT?IU)!Wj(UKo&(;2yzi4ECVzAmxTwNq9Tk5$puVI
z_|qXcAyFeigAIZtT`&_&K++8lw+hrzY*`tO-VXjy%HaVcD6yR95@3)vfVrC~*^w#P
zk%7VC94NVfl9R((E&&E^RhSVe34R$4{%jBmYQ#m55gHmWCH@InnF-Ep5XzyQ8DvWf
zD2d)?U|>Kf^UO?4@N;H^P&k!w_%2$s(80&g1J1yylzH*OMIel!KojaR)~>Dw+l3I)
zVK%~DCqeGgf+_0gT+zFT6-*+VgrbNgE7>y}OkgO{hML3V@5touh;EI!5mcIa@rq_<
zV+&yh1_m9Nn8PhlPGoTCWME)`i9wS%gM%?61A`uhC@2}D3xW$9Fagf*;LL!b22`9u
z$~1?yTmlSCGA2-m!7T(CjV=gHI#9}CBPfv&p$?SB7-USb*?=wxO<7RNVH3;-0_s3X
zkby(S9GeyBf?Tj9<=~3Mbl3{B3SB)s<-r*aS3nUZV}Z>!bV1g|3m4h8KuCveFgwsy
zfzmAqJ6r{uY>CZebU_aPgsiMgX9rg}!(lVbd~~(Y+z6!{E`e>a!e$G)AbV?LZ+nw%
z3ygNy0<!~MB{VBTDTm8oJFKzUfi5Vxq@lfkVN3JkzK)*Gj)j`Gy_g~n+hO*i>xN`#
z2<dPQY^x16ThRptvOGNfJTtQVJ$+p~GnP0cU<f$ufZ2(z7n-|of^D<KW*fR7YjejU
z+g=FiuoGr3hAMEm11){7gH5-?W;(hcdtXP#qE6dh811kNW&^rPaH$0*9BzQj2WNj!
zre_2fKTP1ZhQn%*_6uNn5D95Bz$ys_CU6S}#syWXAPjBaz=Xji5SU<KK&mVQpxyzu
zWx!<<OasUfAPj5wz-R_GX;=-$z~}%fOTgOTVjw*X3=Hh;4U1MRZs>#2;L6FNmVto*
zT_scl16YMLtZHLmbO4niV6)+3P^B<4VKi7L*lcu_%upQ=74tzg3)I65EZ}Sh&KKYU
z1f18w*1@Vys9pxn#+J_BcH8E~3lS_xMPq@kl$n#C6NDWiKs7ALK3HwXzzEI^oNzwW
zbg+%+f&$42SstF*h&DHd0JtV&bVz1kU_jRl4hVEXHkft#j0_A|RX`OmfK3Jy91IK&
zATPk`Vg@D$P#yp$RIsz*5>UN};6bn;0R=G#Rv9xeI)Jh&C!7z}4>lBC5X0*j0$|5N
z!UA10*r(`%>@e%#VS%m!ssJ@CSRHPIvf&~I1_mcsB4S_wyBUcO)(Wqh;0$mQV_;x3
zg(+opXht;%tWgXm4d#J*r=a>AT@Y?6oB=l8;R2|~=dgoWfI$~s3pgOq1-YQMVD$r2
zhCfq=zr!I=vy{OB<ZBl+UnB9s20^PDC<V@0ARoh&F<QV_VATu^44|9>!r<J(0I|ds
z%@QO&SR1HX24Qe^1sM-hz-$3yfz_cK4-Rg06<D+=a58f$a5_YS0ufy~I1teVnV{Bz
z0}(?K5s1V(0I2}cMK=zs1New^05pKz(E=EW4>kzeD1lPoLJ}0rFlE9PFcw%f)?h|g
zfkg{)FrzC6`x0FcRI-C?1P3#^BvM)h2Q#`n76%{)GrDrD4ghrnsObQbLtqEUc36>s
z?qu{3@Ib^eSepg904U#qFgWk&qRWCq09}xo5o{Es$U~PT!vV-4fNmUC2Ut+q0mx~9
zC<lBX#Q~n^QGhN0Dri6$QrMu&f<pjZPy|}qfO7%5BzhE}%UhrefZ`v7A!z_z7OMkt
zpe-tDIRH5g5aod7q&UC}JqpkTK)wfINC=?If<pjZ@ISHx&?V8M0A1b!T>upSAPh+Z
z=(1QHaDfFW8=~tV!vV;-0NpsO4q%5iCc$X{T?e`YywRfoT>#{J5Qc;Rx-8fM=z?v?
z4nUVA!vV-4fNmUC2doxEiUM>UWH<mh7oZ!5)d8<0NpOG<dK91wfN}r`LvjJSEZ70)
zf(PV~9Dpu~9s=m{7U%+?_y=J~8bFuD>HrZ1>N)^94G`skb3{4-;!JeX0W<{#0F(=y
A<NyEw

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0229c48d0151ca1d0ce05e9db7534e91e2845a09
GIT binary patch
literal 1049
zcmWg2k!R*+U|7Jwz`)?}q)MKdL$7&FI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+{emygeL}9UYvr91^k;{Pv1pYGo9>B%|^l3LG367#NznSMfD1w(VWq+s@m#
zXd!QVd&`PWWu+dT#eEGe%_|mn@PMRv`WN%UXqXD#g&jS;-MrK>C4_;2K?LL{1_wq4
zh7HUN)=bRJix+ZuB|CcgJ7#69uu*N#_lh{h#KZ{l@me{EJX?ZmR+?v)oHKXf|Kka&
zFew&KPfu6ARjU^+bntL>N$_*<%F1}W>^;Nb#flGLYS03U9U2Jg#r4O0%FG#<;5IqD
z-?vr{q6?kL408>J1k{yaaa@WZW?D0WUCZ6Pc%f}$%c72+6@AN=sa)Vm)jJJSfaX*d
zP;4?Nzir+7!N<-ajDdlH8BGPuGfc^jW;?3)ALrM|0=tBPf!V>Ifq?-Q+Mpl?VFm^i
zVHTg{gcLBrz@QIPi<X+uxC{&qObh}H4og`DKrtx5z`*Fh${@h-A7mvnNM<oe<{*~<
zgTqBG0R{-k<WP^~8U`kZ^C0;PTmlS?Rxo=R81>*xZ~|dqzz}d~KsE-d3gj^mh8T)X
z1w3`Z84O@Yi=tcj|33o*BP`c3{0~PYR&2(?LLWvmFgT<zFffEdb%7H&Bx)gH1_~6Y
dn;F19fvJQEG9^1QB|ADC289~~m~sFW3IHhaBuD@N

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..d93f1131bc9d06b6f97bf8859f5dbef6976e812b
GIT binary patch
literal 4962
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+`T<d)rqu_x3Q{U;q1W|IK+0Q49<W|DnLak%57sxqB5KR1t6EqJ_Ne?JX-h
zm6dvU7WXx@G_P3P!PC3Ax1FbdF)xgUso-7M(bL<_OC3|#7#J7?KptXnU}Rv}z|6$R
zV9mtbym%ppSF)p*zhhR$3LDk-e6NU85Mj0i*Q_+pEIDWH!vDt;R6#+oR?eD<#naQ%
zm2cJRg$o@#TwN0U9K5nJ9xr>(aCoue17=2uDu?&`*2*y<bCAR#OsGNJ&5IY>HnuG4
z=vmRXY?;aho>aZl5Cvc}7#KJl{23S+7@=+lITwT(7*K>+e3BDVzy!Jk$gwOlXU?3;
zz~Hcufq?-Q2(Va#(F_a@OyJOAamZs}VBmn-$J*N7XxjoNMI73h1sE6{T9^eGn3xzD
zEEvElIbkXnFI;5X!U`gBsN{mGWLxEx;Ome8qUJhmaF~r`Dl>x##8hsmTK4AN#*Sv&
zUMMY#shW{NfWcusmjHvq1`x3kL~P;`U|?W&2x1ms`0uck6-2T!2r&Ey2?j6=FgPq`
z6<}~+2I*V}QtALASsm(;0-AxzVKvC8HCzG=jHoh<4r@W0teBu~WMI^TGr`G=fdNCn
zp@D&c0bLb1C4mXBiCER3B{VcH1K5wE=m!7)&%nT7#f)$toC$Lux&YX2bV0Dwzy!j5
z=xUJDFEX0}9PXm%${{X;B|-*9JvbBQGIRm3%g_ZuSpb9~aRdrmbQSPC4QDVofYN{{
zx<ZhP7-2;n!+$<_pecgN3J_+1loyPk0t1{W!30t!B_Y?NYlZSbS&vCW2x>Q1vS*fm
zW`c)9at4$+!(sCdhbT5!_H($+z`$StavKP9HrPT-R|JcJ!Qlib`GOL*!*Nh@1*L6p
z7I!!W;(|#?!UmZE!VFB{G6lp0VNm#jFtkJg34t)UlmQbA3`pT-40XE$I6s171f&>*
zp%KdfD`{Xf1Ea%y1_lP0rx_R>PI3t_aKiaeec<><7v%8r^mlR1@Xv%Zz@fwFkc@01
z%!FDjf}jEwB=4Zl$iU!$O$A66guy0*2@VDZW)?B1M?uvjs2YK$15jv#RD&=V6WGy6
zDnX(Q;8GM)mbO4jEKo3Er~;LskaDyIT%<8DI`l9wFi0Tm6+>c!O$J+sE(k7)kqvTq
zAi%(2fv$|1Q-KqN9U?&mV?46}10$Ox!Y;7UNPMt;&{T<(KtW7!{4p>(%wb?)K-a<2
zyl7D~m;i?)qeB$~1A`RQ8crAkY&a-jK>>p<$lcM|++o|<*Rrr>5i*;B5$r~E<(Q$p
zhDCsZfdvwp(om}z7#PHm*kA{MjYAj2<7IHTf_;syiKThr!e%hxunJV;FmixGR|a7>
z*eWDG*nT`#K|>c^2RLBC1UPgV7}?~|>_FlZwnGeEHQ1Txf_R+ikN_&FK$!|%6Fl3s
zfD5xl3=9m)Xii1qgPjU$CqSDEz77cvpbDOWfzcGEjFA(@0;`6l9&|y0<b*5_&um1K
z4MPCjw1A`~bj|p@3TnQ9wJI<$u&5&W1y|t!u>wgY*xh)nU|?laN0`fr#0KjGM-I9m
zw7mptH}yJznnaA?at>W7*bq>#fs}xK!pOjAfvyTvM1U~3m|)XDat{(4Y!ui%=z@6M
zgRT^wL{Qv=t_tK95Qex%6UjYDY=nEz1@X8CT`8z>1u_U6gz)e|R|Rql2t(YXh2$P2
zHpmzTaQL7L;&BhUQc#l$WDu%*FjS!y>kJGG+6Xs+oq)s#8OMMp1+r-AKs7^3cNh<>
zkAZ;!T=akma5=}whNOTKi49f{wj5m$kN?q?f(^k)ujs0nIr%w3*dc;hfI&+a#rZHE
z%p{iPg`mo5;i6`!^N|#ABC)~h!Olk)#N&K)rPz}<x+;*<K^WqEJrw7|cwpy1LLXGi
zELsS2K9T}XBsN$**!k#!c$|-}6nkz!R|Rr92t%B&4|o0&1_lNg59}Q9PyquY8<HR=
z5*w@r>|}I7JWfVeiao<%s6tLK4B&*oz#;|f2Qx4*LYi!Lpync|d4iAuJCcF3v8A)O
z-L`r0LIexaV}{6s+B>kmGRQP=ZxwDDLI$E5VFrSQZW^pV4KfW>D>{TQGB9u=L?I@D
zLl0dLqw>QLfE0A#_B6U?a4mu^2v64V_B6T*h;tYiz$SwUP!K{zrC=R?kY~UJKiD$}
uQHVOQXV3*P+>RjtwhhubK-UcR47wmZ#lSs-t^(p59G-zmB4`KD=o$d-z5xdS

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..a79204db1cebacbf9a76070a2b0e87db8518c232
GIT binary patch
literal 4289
zcmWg2k!R*+U|7Jwz`)?}q)MKd<7f7obP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&r#bXc91>3bvi$<bSSo?jfI7#JA-LxF<>0|SGXTXsK0%>s|)j0Jvvu9+^Y
z7W?*Q`8&FLWoCKyCS)b}^(JL4fYC4&3o<-?6WkV1#Sj(-1_maOZx|dH85lM&F)=b&
zGch+WUdZ8<?C9n1n3b`@MzuZPE8-MHm@UCIE6p=Y&Y8RL|M3J>kpI`pSu?SCdV0F@
zty;Zsp@WC3OM;(+S60U3W$zgdFIId2QRDD_-&#2a1|A201_lO3sI4H2K$w96MVQ4W
zIUxm1pi6+PVVOB|=2Qj-hlLCb3^3<I0~<;)FgP$V2rw`(a5&^KFfg#eY~#0WVdl3L
zahT04z`)?p!Ysf5@~;I0SQR@=m4a;xvjQ$v957W%wk^y`xKwe%R4LlFFe~Cx#RXHP
zY}>-Dj7t?aOqGUh3$q3;RXk8ttnD2OZCk)34uA4ORkDFnr$YjS+JF)!%nT-=<RHM{
zu$)zZ!C?i6SP3Flfr!;0VhxB`3nJFB3NSD*IRr5aF#LC5We{Na58?(e3otk^GYBv^
zECb1dNfw8Cq_}5ba##$~zl2qQfe}@P(P1e_lNBgof-nQ49*6>Aa8hMpV89S?Xn^_)
zSrs^0f(fvRSk<7VS~M;L*khs~LqQm3{r~?A3=CGV)W^W62WLW6U<iQiMpp$&`ydPn
z36KxaRlu_$oWTGNWKnd5AQv&hiYA8tpwtg`Fr%UfG+-D&amuiP5tQb^xe-ht=T5Ky
zf`H^AMgy1u9FWq=!4b}2U~t$6ibGHwJM0C;87P9mna^QAhzlkmu?sVWfeD<~VO)^+
zK^U6TVZz|t4<;BGki4Y}^@{@|C~1KL45k4Z?hMc(07@}1FtSKO^?(X+P#FjHG05RC
zO<e5Y=s{8mmSKhz7%h-&0J0531*EWOf#eaaDj)?#ivu_VVO0SsBw8H6xeKcbNCDB}
z0M2??RX_@d76)*?#HIpVBtQy=76(wqWngrOXJBBEMhYE}J|sRk3^4SuX~{sfFgna(
zU|@jpz^WM-7+9J3`T3b3q(cX@00SeNEK~z120(To@xi(vWe~I|N^k&|D2(73L05?q
zBU*A$b0H3a@xVrc9ijks2#AC_L>{UE<`5)4SQl=GpsQp?j(9BvsJRe_z<6LI!4Bb9
z0EdVIKNHj;ick$OhamC6x^O!LT_rPe`qEN@nhS9Vj0ZLn><|U8LqH_dA<9q<Foz)V
z!Mboe1YIRFax&IZftm|(2#g0d66_Fueg$wEQGkVrDpUi^AxM0%F5C`5SILZ=TeQ@m
z<}x}gVPIf@@xVrc8)OWOZ0b-YFsqUHV0E~yMpwy<oDCVkLCnA*1#5pWFfc+&5<5l)
z22O-1*b)YCdPf%&NKVM|@XSUusxSn=6*r?pGEzGSVjcs7LoF6TR;a9lJ|hklPz4NN
zlfeY2(E}Bgf;E#so&h%uz@9;fLezmhgD!~Sb_@ZqZ4l3(YX*A;T@ceV=qe!2VZh-T
zkjKEaBm*O)d<J_4AqvqA_6)iphTAa&kUWE~8SEK!K}^q}tAIEMmuFy&PEgE%s}ith
z5TX#>V9%fnVz?bc0Le4xn!%ny7sT`ox(bMMaCrvSHU@bHT<d{7gAj%2273lw5X0>l
z0!W@g*9`Uyx*(=!&{aU3gUd6pCOpV9;0hY-8H6ZAH`p`if*5Yc5J2(_x@NFv&;>C)
zgRTPN99*7(^)x`90ktC>z@9;fLUe;YgD!~Sb_@X|&!B4tdj?$)l6e^z5TzNq3W#%X
zc?Q-Q0(l11>~IJH70n1yh;FcF&;>Evjv;{L8FbBH&!7up#tga&h;wjw1}2H19YBK@
E0Qzw-ga7~l

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..60552d8e7cd4b3be6e3cbb058bbfe39d8dec6221
GIT binary patch
literal 6641
zcmWg2k!R*+U|7Jwz`)?}q)MKd<7f7obP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu$V8Ew)v#)mpux=gl4Cnar!6K5>X*U|{$U1rE*(3=GZPtN7r`cpDcj<ZW+n
zS<$Ji)Wfs5uc4)R#o`X0-o?G`JpGG#VKhty@4}9r-fmuMnIpr%z`zdj7J~yL1H%Ru
zCPoHpCg$eF3pu=!9liV=vocoLsJ7>OMVx{Nvn9A@rFmw_Idd2OKc1ip3W&9G)=Vs(
zo}R9Jt5z>u=-}b%lHljym6h>$*?We=ixnR*GeT53yx+H0jtQBABo1Lh4dQNIywJ9>
zWl=}ZioRvbR4(wO>YautU?QX+EjHK|I;>91^t}=M<mfFu&o5vnFfgz<_%kpth(Wy$
z@+}B6FrWyt_#`K!fC+R7kYB+bW?*nw$iTn=3qwTIBUlU!4onOJpa6DY1kub6LCgXS
z{~eaHf=E^d0fzq!49pGz%mNG!i&+I29GDpd7##L+2{1rNR)=~dr!p`(?Bo()aM;Bq
zz`%$q!|1RZq{&Jg>MI6DJvb9&Jp%&+hJZr@0|NuPDzMMM1lUBZYS3aDjmrS`qbRz;
z|Nk>EFjz?-+y`gE+=ngzwi{g#>@+Zea38uF<OGDwW&nq~D7tcp%V5#Sz^Dgj!d!+f
z0CpL=ASe-mFeHvZVT-N;o~hsr1_w|Y5JguAauFk}#9{a^2M;tw1sD^OVVRh5<p>h8
z9VA<TNiabmmx0xQ2}t7R(NKaqifNH2)1sLUvzbB3w*{1@FEKDM7{J`j;^vX;2qqX9
z94>(pGAN-tT;vj9&`^dMvCxxgA%+neDlqBAo=l4|rBz|lD?FK2U`ngOq*r+|t-_R6
zhe@yWWLk+StpSzh@bvO`^z}{f;FvjU{=~U+H_Slx>1_rE1`SQ9QpSahhRBj9L6TZf
zN#@q}M&{ObL5FrkIDvGV>%tT)UeU~KY$43Rz@P&YbGQr2-V6?%3=9k~F=*;!a4=?M
zV9>)51*JfAL2!WvCcuRVI31&_ffkxjioszm$Yu^1eW>f;wt~z@7i8wQZ2@72jiBho
zrUINsJRL5B43#m!W+u8Ivx0342s><onTf7~36y9&9j=1SG{j~mx*)TXZ3_rHY=)VM
zu7U}a(mWlmfz33+W+u8Iv!ZPa2s><nnTf7~36wlN9j=4TG{$Box*)T%Z3_rHY=xPL
zu7U}arac{QfXy_)W+u8IvxaR82s><pnTf7~36v2$9d3fnG{t5nx*%(N$3oi{2<fmL
zW-huaL=J*wC5KyJJIt`zfiB1fs>&S_pp?T7m@Vk)7#A`wbhr&N7m{?%t)QkeFYa#y
zVFpOq329P*3nFNmcKFRDz`(@<QxE1cFgW}HSGS<V43mMXXMkqqMu$5f>lht+7#J9A
zptdnEFmNKV!CJui4PB7CqqDigwzIEgVap<9HUlG8<tTPKtYHygU|@mRY>Tj242g}f
z8C?)Zd^kJ+mEUt17#Pqsurev|D=<MwhYnBy-^nb%z{qBYuo>)TBtF=792PM!I)H3J
zSIx@A&(F^UAt9wGBbx)74M=?aHgKY=1UnF2P#`%W%fmAp(R#%Y06T<H3|%8}#&dXi
z`n$Mh_-Dcy4pD5NHXJBXp&N-Ku)sRNgn~l?D1vH1eG^2mfP;Y%OfoPyw6F;<fSn8`
zKs7J}0|OJd76B(~CUCpdVKpcdT>&Lt2M`HqRDx84I|WSO7BP$qYTJM?v^@+H2G=HF
zf`I|4&Eg7m8MxgG4s)0Ws1q3wtz-m?fzx3=0|NuBLBYTXt|&O+e5hWqdFX-|QHCJ^
z4hu$yWMms)CW1l}-9%QHq&_19g9A1dPz6Xq&B5R>1B>}g4zsa{GU7GV0^L2#oC=&E
z><|gcde{wIg2kHu{~55D#m~vi$<OH!0Wu4emzf+wK};|KiUe4bjDZncvvR`uP)~y+
z0bLLy%rOLzA^}}9I5f}&F(Uz81=Ky5k$|oc5((&{kVwD~g_>%CE&+-F5Jp4-x(Y@I
zP$Zy>f+7K33={z%j5`uw4POREa8ra6&WAb=90}-x7-5bffD{Snn!%BPE{GWk=qjM@
z!HfiSg^)-<7llLux+oLWR10(oPy~Q5A`;M5Kq3KM6ch>QVxR~BVcd}b>s~N0g4=GK
za6Z&|;7C9h#0YZ?0i;Mk*9?vXbV1BWKvw~E4`w8wD}+P>x+o+P&_$V{rdnV~U=&B_
zDj<=7E((eSbTLo_fH3YzfOX;+7{QHQPB<UxJa8nS3u1&hh5%9|plb$40=gh(B%rH+
zx(727&=o==0bLXl3Fx9MP*W|?B|y0Vgb_IbT?Hf(&_zL!fG!4#01(C<39zm*10%S1
zzzOF=od=EtbU}<T#}Gh@1a!^dNI)0Fj0AKQQ1@U)0=hy-B%q5zA^}|#W~~Lf1SkSP
z7!e8RDj<=7E((eSbTLo_fH3YzfDK46FoL^JoNzwW)8I%z7sLp23<0D_K-Ub81av`I
z;p_l!9igj$x(727&=oR*TSu6ppn4Qt6clFYVxaH>VMLfQLK-umb_{G#hJg{>E98Xp
zq0R#b7rG!u=wJvS1sA$zaB!gu!V(W6xX@KV-GdTb4B+-KZ0LxA5!}-RyBID8)yCP_
z(%IW?+q`%of(033vOre~N~$0XPOi)j_duP1MGOoK7SJ|3sAB=@nIQ4OmY{S!85kH%
zVG0->no+fbm5afo!91c2b+{k`Qn!OyfI$~SH>d%QF31Hn8yqC)l1v%?Od0+ThZsR4
S@D6`L-5jWLWXb_F*#iK~M1%1F

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..dcd58ff22315561b43f302656bdb53dc1cb3ccad
GIT binary patch
literal 1129
zcmWg2k!R*+U|7Jwz`)?}q)MKd<7f7obP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexuyxZczZawc_ceJIA=L5bXc91=_?(vUwDo2<-02Xp}@hJfq|j9dlg^PVp|1U
zt<@`fcpDcj<ZW+nS<$Ji)Wfs5uc4)R#o`X0-o?G`JpGG#VKhty@4}9r-fmuMnd8a8
zz`zdj8-oKQ1H%Sp25Tnf=EVy+ypkQg{2jA0R@kVv=X*t*VrF6l`FyP$M4m0dH7m_C
zOU{|Q@c;1yRhSfur>CbY->TIM7dm*jx+M5Hcx7cgUiO~h@M6UW%uoXy-tSv02Qv-K
zf#^nJS~GzS;%;8N(6+H<QAf{;zGcf)F7Tx4orb8jW<m>kPIz#>xnn$&dDYV=OmG(?
z84PBU;1IBSh?QU_6Crn_Ig1UF3T^~HIeJUa^NT|m0|Nsyni_=DSwLyWn<;RG{fofk
zVEY&tm>v8X7#Lu&1d19EW?(=OX7Nc*NC6WJ4Eiv&SW+VvAqEBqCI$fphqYV+pcEj$
zz`*Fh${@h-A7nK%NM<!iW<Q8H&n3XX-~b|-9O{u=#lYln79@X;OMrpV3T8h8qaK_I
zPGAfS7y=Fr$i_fbfjkJp5JR!4fTvD4g8}SlQFIIc|7T!egymj_|2~Msj?Gv^Odwbc
v3=Sy_3=CdSo#0FYO%UL40|gA!-3(ygz*NEn!I26k91eoQj{!_MfC?D^823jr

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0b59f00075100f935479f6b0f396a4fcb9cd0419
GIT binary patch
literal 6270
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+=ugb}U-Fu%)wOQRcMoywj#@F*!srFfjaw0taUX28QPDReW$|yp4+%^0v3P
ztmsr$>fu@3*U-|uVsQsg@8aHep8mzWFdC+UcVS0QZ#OTs%wc6<VBiILi@|}BfnftP
z6C;B)6La(8g&bbVj$ZzbSs5#ARNM2tB2Gbs*%Dl{(mb=|oVg4CA5TyP1;ko8YbF*?
zPfu6ARjU^+bntL>N$_*<%F1}W>^;Nb#flG@86m11-tSv0$Arv55{EFM25~npUTE9c
zvZ$kHMc=YzDi?TC^-e<+fX!fF;CJw6U|?W`x*g<P5N2RN5oYm8PDlY0=n^2uvdo-0
zb1DOa!$JlI23Q~<;ts)LU~ph!5MW?n;C9GkU|`^Y+R575-e}tbCPf_DnFSaa99ozK
z7?_wC87vsUDmh^)7cX37+rkPWaj4{is$@w{ac~6@Q?Z-K4OPdwsJX$m1x$)K%*JLn
z4^$<aW0s?rgDZrZid`)z_Cc6!k&9=tLjr`_fTEU}!35$bKA5=+A!@-C4z>I+wTmHY
z!4wX)pzsB|VFg4jn8Kk}5N7Twh*~g(L#+@@?MjGRFoi`eBZB~g!+I_O28RtGVk3yy
z1R^$rh%F#uD~Q+zBDRBw9Ux*SmjD9;vqKQG0K<QWrK}*5l|g{vKS(fuS%AS|F{=QB
z12f3*bs(h<Ad=Og9x3fIFgdIS8MTH>fPoQJhS6ayNRt&4)DsMhdT=H<$1*Tr2skt_
zFfgF20%uMz0X7k<8nnEN#$^EeQ54<a|Nj{n7_68P?t?R7?n4&<+l?*=b{d#KxDQ<o
za*=?{W&nq~D7tcp%V7DMfl&|6gt-h|0PHe!K~Sjz!jL!ug)O=YcrgiQFgSqHfGD~`
zkc${$bqK?MQFx#!io=+YBA5|W27}8vFo9I=kx-DLYlZSbB^jdu%tCH>9c0^p%w}M4
zI1fsbpw#Mc4wME#2^L%oI$Qv8!6YQL!pve|0++ooE-27I7+Uhegu$gTm|$Q)3JeEm
z2snWAAt;z(8lbVofGCd<ECvQf7HOzXP%RCrzo4N7az0Ee7ZW&YkyL_Zz(qHt0B?b0
zeUQBvszAjyr0{M5mkkVz4m}JE46+D&#gN!wlfl-Z3xdmkWP=<Y2rw{MpetkMRNw?*
zhe%NI5zj2Zz{VzrunTN75+7_Iq{N06+zAe#(u#r6VFm*O1G-8^huK&~mtYb7|DVAE
zT|YA?KPLz~M1Wk^!3-+0LP2hnM{^<)AL2xCMUlV;p&USEDb$JRDj`n96a_gET@>U*
zbTN?gKp4Y`3TRG5;zOJWuAdUvAd~~Bq=z~YT_wbcn4%yjqKkr@h%N?l9tdMNQ4!6F
zNPLJB!PQ~{8-#KI)k9DxqN{{B5mOZ8M08P*6Vb&$&I4f#Cn}*i5s43RBDgkAV1rN&
zpjr~@M0Ax9Ct`|%oQN(8aw57I$ax@);Y4LLCnE77P6Rgs64)S=1Go-{WEXUm5GP`a
zf}Dsh3UVU47|3}bjP686hbjgJ1{J6~IbjU2>p%q=s31ZY<nHKf?y&9bYgyQ`2${{m
z2rd)Qm1CA}Yghyr7+4^smnzh11_lN(BsSOqVB^pQL5)&y%hc5Y+%^K2UFeEH<rWAd
z$}UEScm@UrV}xyB!;$!48^H}(NOQKu0o3YZ*D`@>VRV?oz`y|GfmMT=>rDLo{7kH1
z(g9owu^}k|+kwOf>%v|}f&v;tC32J5)dAE1)G|eJ4vYsj80;Jcuya5p)Hz5>z|KM9
zgLOd*LMR0*4?)hsP>IDkW+={q@xTUyox`sH4jl!4Ca80elz^Rs#0Tqw7J5($R`!9M
zgP{_ObIehk1LJ`W20KRq>>LmYbq<meuyc_3U|rAx4obnwIgoQORAO<C1&VWEJg~uF
z=kW6@fYXiwEOd~RfSrTH2kU|sW>5-Nrh%M;p%RO8EK!^T<ADtZJ4XTR91sZ&9V8`S
z=OFRHx}XIYl!BFCAm?DH#Nr$)xN|_I7>oxt7(7bBz~~SIYScrOfh5500x`IyV7*@k
z21ZDm$&QhM0qjbI3`ivd17~APXK%Z0^WudF7Nl1Tk!N6Fkb?D)L8gKGU2xM7G7!}W
zGY~9v(_p=5kZIsT3T_%ghIrFpeQl6wpfbq;WEv+z6k-zC>*#_4$q88=p4o_j5DWou
z=bF(W88oVZ6wn|u9cr-%g8E%xNqtaoV^aZ=1!1tsU;-2&AQ4bN!-fMuo&gnT5YHe)
zA?m=MK^MeuJB9$*Hi&1?HG@5aE(q!vfh=@@dj?$vNEU>_CW8rN&w$1WKp5m1P+Qj_
zgpq-P6C?t{AblVV_6$e>gh2uzjNx_+0kCZl&ww<7Fi0Z^gFOQh0AY{-2xEE%Bn!eI
zS!4`08B8F11~#Aq@(id|4Dk#?6k-C{Gw6aCZpRP++XnFrx@NFv&;>C)gRTPN90mrk
z$zTH6Gq4dKkY_;cXNYGIq7dC+&!7upxE(_PY#YQg=$gTvK^MgI47v)4b8vYEHY5e|
z45+0I@eD!~q8scPbU_TaV+bI523<4QGw6bto<UauaSkrez{bo#o&mMVA)Y~qLUe;Y
zgD!~Sb_@X|&!B4tdj?$)(=+HQAkM+%8Q7p6$TOhUJH#^xQHXA^XV3*P+>RlD<Qa6$
ZV9%fnVtNK$1;jZxJOh(N&<>!P2LOiqaMu6;

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..8eb194557e6153855b89a45a490710111ea35acb
GIT binary patch
literal 22383
zcmWg2k!R*+U|7Jwz`)?}q)MKdL$7&FI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+`S*T^y5Lv!0sIk(~B(=RAif1_p-zP~hOez`)?;mfa6gv%n)cV}YNaYo^Pp
z#lF2+{*JC*nOUB_30Vn#y-8UMU^Gm{f(%dJ1h)lLF@%kQfq@g`8wLkP28InBOpFZH
zOw7%T7jk$dJ9_y$W@W6fQEkuniZ}%kW=n9*O7qN;bLKAme>_1I<o~sD)=Vs(o}R9J
zt5z>u=-}b%lHljym6h>$*?We=ixnR*GeT53yx+H0jtQBABo1Lh4dQNIywJ9>Wl=}Z
zioRvbR4(wO>YautV8*4N1WUl~fEZ`ZgcfNWo>`8*30awrr=Ku1|L6|*L~9E<7j`UK
zys)LSV^QX`@4VBdYcbK<Mm{fBKgVo`<V?@3B;N#&1U++Bm*geuX>Bdf(p3%%VU~)<
za87=2erG<dEoJXn*>Bt2(O%kOs<rG+-EK(KGYB~NGcYhPLX$oywSzDN1Bx(<PjW&E
zm_U~RrFE8>GiOd^U~pK-z`y{@L(m)#r5G3-m>2{Y7#MgQ@}M?>G8$`Zd!ua&m=tkn
zXBJ>!aA;u`U|?cmWUycWtK@>JW?j5+k!=f@#G#TKs*-m}Lwo<imS$V9*(f|5I(eWv
zIs6l{vND|=Tw#o<*xkenRnNA_#WUF<0YYtXn2qFqW(E_8`}tsM7eds6DI99~VQLpc
z)PgA-YC&NM3PH9N5Vc?mhgw0HxvL;*!4wX)LNK)}A!@-C4z<Eiwd~Cui)`C%d!e)}
zN~pm@LIkRsv#+CLQ71?}oP|XPBZB~g!$&Rw28T}|;xmZ&0wTVGh;Ja`JBauJB7TC1
zUm)T)i1@=Lz`(%l5X3CN@ZVu6D~M!e5McNZ5)5D#U~pK>D!|~t46@+^NT~yeWOb-V
z$_5Nf4sSt5z2g#KU__N+ba)TaWW@ya4+EnfoC&Tw7#J`F92yuH7|>OLs|hdxHW8~D
zv|0p>%K-MHD7wM_|1&T!STQ5q2WP_Ehb{oN8(k3WG%$g1AG#Xk$_bgx01kIibmb72
z!HNh5Mm;za<}!2vu*=W|K?M{DL*fV&w&*J06*io~-~dVkqUZ`iE@Ff=Vi^95!vjrG
z62^oy2H2=vJcCQ$Q8V|gl>>(aqzM9MlGl2HXr*q82y7;pfK*?y4xS7Q4ARhq0dF3`
z84Qr50`-d!w*UhJ7q}Lag=zuUblB@V14w-#2UCf=zJscihpI%W;aX65==B{`rvg+b
zteV4F-$B(YLe+D5f?G@et`4pUCVCwS)uRN}!`j!<W!nNKakxeqs*=mo#Sz>Hb4Wli
zH=xxeP}5bQdIYlkJ$*fsvoc&=Jd^!B9TLz5aOhWs>W9`?xN0t_ZE8@}@cOD3&O)!b
z3?Sv0gD@oSMY#nS9K=9`IEat{5t1N63PebQ2pJF|3nJt|dK@Z|QW%4S2uK2Am=r7#
zF)%PXfDN`|WMBZ7j|drv^_-0@oxSb0&5IWzSdf5($TKi7NWl^s$TYAE;ie&EAgU2&
zAXw<8!4f0LG_Y6UrXggAHw~6RL8gJj3~m}ihIrFp$rofAIPl@7A!LX*4VJJ$rh#J-
zZW=;{c++5sA7mOhIfTIC6d^;rX|QYoG7X%r;HDvDh&K(ENkFE76DZs?gbeYf!7>rZ
zG*C)+fSZPpA=)%}g>3+<r8yvj2M&&K1_Ofws00Q#?i>U``3O{aYr^s}ysL@mbYdyK
zxxvM^HdGVg;#&u%l3?+z3suRIoZ{dLB5)L>dQf$&i<%p3Tfn3UN>_uC0a{GyLshal
zW;uE}xI(C@*wuo{DRB1zUl#^yt|81^aNi|?4NReT37~3?U~0jAmjpI2g+r||Of9(Y
zk^t$+;81G<Qw#39B(Q-g9BNHrYQcS%1U4{*sTQ2r985ulF1Ld@D1BIf2ul!Q1tP3L
zgbj$W1rc^2!X898fCxvBMsR5jOHvFDW*`ZOiBhmM14{2;)8XX=LI&&v21KcfV4;_<
zuyh474eU&~X$TqOO@pO9kZEB5!c9ZS5N{eRg@Q~22OP*WPJ}4LBydHAE+~+kkmcc-
zjTk1w5CA7%Mu%hu1_pG^4z*YWL46^Rg%0|lf)twykSqv;O$HMjAT9(;!7>rZGvEXO
z_6$N4q73XAbU_TaV+eq4gLnpAGuSief~*kR7!aO8R{>SP05%y+AbSRu%R!z2CuC5W
z%ZU($=mvWRT@b_V7y@A1Af7?j4E7AVAf{)~RY07>fWtGeVhH3JaE1hX1|bU34fYJW
zAcos91du#~t{LnZbU{qdpsRp52bX7H<s`^6;DQD08H6ZAH`p`if*5Yc5J2(_x@NFv
z&;>C)gRTPN99*7(733h#fD1^lXAq(g-C)n43u3q(LjcJ$=$gTvK^MgI47v)4b8vYE
zR?~ny11{*no<WF0bb~#EE{NfF3;`t1plb$u23-)-Gw3QH&cWpwSXBt}45-2aH@Y|x
zq7dC+&!7upxE(_P$usDh!Ja`E#Pkfh3W#%Xcn03)19!qLpp`t@06rQQON)*b+@iCB
zYA4*Hvxcc8*rKz6szmf&T@g$itvg$&9&o#^7fi~6!xc6V&J1ha*}+tTI@G=34mGaM
zXC<g<!s<{BYK_!@h*}U)2O{c0Qs7n#EC3lCsz4GDJyNi60VNo)eo*xXP9F#vNGu`R
zDhL*Os|6NzAk)AOft!YqA>K4t_<~FW`v`6tLWX$LU?C4O4IDJ!e2x%>m<0AZx*$eQ
zzz_gOA*60Z*9^`Y=z^H77IYO5=ita1Qn17U@(d`7!TB8Qc7zPX1Vm&ZSZL9Pn$aAg
z>5hBRijKt%iyHcSdK;11Sh5&9IEy($HA1FGTp<*W?uZLaEx2#t3h83tQ0od)3+`LE
zLb@0@)Vjgcg1Z>5kS+!eweB#r;J$?`q>F(=tp`-CK$gE_a%O^ugJ-g{Z-T#rE4ly<
z{h*#GsQZN&MoU02F~_XHQRmPP%De0i6G7Q^5{Q@#BBp?dsUTt+h?ovi1kT{Fh+}Y=
z0Fr>{mV(6!D5Zc+0%amjgecf5$fy#!AVw<35I{=y=$gUF9$gT#MnG2qaSpCz4@)*6
z&wxV&>=}e8L^n7epbKKS9YX-gGw7PZo<SGH^bEQRh;wjx29~Zto&m=!*fR)Gh;FcF
z&;>Evjv;{L8FbBH&!7updIntu#5uS;1Iu_I&wx`X*fR)Gh;FcF&;>Evjv;{L8FbBH
z&!7updIntu#5uS;11lLoo&o1HuxAjW5Zz$UpbKKS9YX-gGw7PZo<SGH^bEQRh;wjx
z23B-|JOeH<z@9;fLUe;YgD!~Sb_@X|&!B4tdj?$)(=+HQAkM+%8CW?A@(iftL)3c+
z8Hfpp+77|OTkrWmi+Gf!8YmnrH6IJO=JSJUCEU0Kt-Ju$1K@QH*jG0|2i5|hW+GaY
zgd3NEP(2);u35<m84d|B2Cl)d{h-Q&#o-{RzBmLT4ugmzAW?9Y0t*uchXWu9h;k{I
zcR`T=)(T2d-~@z_AvzMIU_k;h4eSQEX$TqOO@jp+$TYBL;HDvDh&K%updiygp#n~B
zoCr~fNno#|3u0sy3<0FPgRU8zchChf^A5TSh;wk{9n@A{2sEj{)(F99ENPq#oW{eT
z8VINHaF|Mh9jFMXO15M#Pd^7&w#^_4N5?4=rZyX-$dzq3h{B;3wAc`o%eZ_~k{rNh
zCveT(vdIB#K4xnR?7wKJ`CvU@J0N<%_F(D(2erc`P)=cUxB|)?S3$%z5OEzu+yD_b
zLGs|71PgBlhsz)dh(;+`pn}pD*bsPXMaY0{B5KSS7Vsd`z^;LthL9oNG+5+-Oaprh
zZW=;{c++6f2Qm#DK5)|zGQ^t(i(rsx;D848<-pkxAw#@ru&4)_28uCoE(e>2kRjSM
zcsA3Bho&eF-vkfOWCxE7C}YMBNIe8z`Q~tdfq_9I0jiX{qqAW#sKaI3xDde>G(^^L
z8>Ar-szGpZUq=sY4P{@4ZR0|85iFXMpqjZmnp@f%R`s=jZG*9~XaEhygYpxbzpJ-{
zM+TTe^##~bDNu9SlD!;3%0SeN9pGXPGP>aaR+b7?Cb+n-VbO}-M%!NSQdfxEQA7j{
zF*K(^HM8<BvTa-lBC#j}El>uzmsJ6*NMVsJ7DX8_la#=Ul&~twgeg)4D^kR&C<~@Y
z8LUVdtD<a}A`P%24XlcCpo-YC9sEEcxZA<c0ZTyV!jvULlx@bY47Tu+dtq}&yY1rs
zMo>J%*@A`+QEadUm<|s>sVX08E`MjoB3rn^#)YVSOpWHnP>oy*9bij{k(dk&3_36s
z4nIIeB7;LG0|Nt043f7P7#xfl85s01L_y2F&;`LOQ^AA-XvH2lv!bhkuhM}t7#!Au
zR`PMlltSGLw;5yyx*$>;t;JyzXmKDxRiLGd3^HZdOh*?)sz+NKHo{EDs|vI_l0l{%
zo9XC+D64`{*9f&ZY=+rJk}lASOa_?>Y__8dVyrWAb=U&4lL)n-WuFW(pmi~zOpFpo
z=z@qr6r>eOt`1vawxO%XUgR|{ba(|ymNHe?e1|T`+T7P++X5jSw!v&dR|PI4p=G5<
zhQn*H`PJCWM;GLB@psMg2MsbMATb@b!)!uVkEke+D~-m54llrV)?l*}U68$FQSah~
zw!JXgVF%1Mbd~7!3ucYexX|Gx*k;gVEJh5X3!<&a>UG!&vl(3_8?>~8P!3<gcGO|>
z9l9WV^%i0sSFgh^m_6vKVTCWG40iYevIkOdm^VXh<5seTFDF4}gGxG>8V4C3(3)*<
zNe2^yr)6+Shan0oU(f}?g&deblyvB75Gz^`ECz?Ypt6ozrWNXDxZNOI&;?OSql2)J
z!K(^V8nt0F9bFKmBs~N(9j_`#N!pIhbaX+`S{m?z8drzIFtgFskdSISu-S<&2%fls
zEY4|hI0Ca3T@`Xe$Roqy4JakafNDOBT!AhKS<3@m(&OrI6lMduI>K$J#)S@V!S;4x
z^B1}xWY8YE!y&=p7|dRDb)be9r1{mj(BU1}{BCUKqYFX?`k_mT5*&`h%tu#;Xt^~m
zba)RozXzN7=z@^R0q8QM1cwta^U>9@!W(^!3mray&F{r#KDr=eLIJvTDZ$|+%zSip
ztnl_?<3fjzVDtO1nU5|AnS_8YcS>+L1v4LA9V@)4*|^Z*6WIKIZ04g2Le@q>mq;Zz
zoQ9c?u8tMnN^M-|@EK%2q&P9347EjIp#ykrFnlK!h5)E6gsFCLMwEpxF?e|dE(<Y4
zL8TPBAh;L=6Ns`9T@BiVFdCP^;T)(`WR;l;^#|M)Ap6h-QA)*2u&~0b3Q{Ug!)7|V
zAWErt8D=_ORgh9~IyTeM1+k7Bx;k8e*@Ld0gc5QFHv7>9!6hVQg1gt@D$G`NRai^N
znb^!n7X(j;L#D-h9j?L5M^}Zd6$VKH=5wL8a4m$VVI(Ff^}<v*L?BWxObniGz^NBQ
z6qK6K1;GgyOdwJ(x*C-6W)u#C!%a}iWs#W=bvN7vAiK~7QBv+*Sm@wY1xdM}oxT{g
z6S^Qu%Do3O9j_`#$_4Eg#4sIQ5J$?r53>hdJ%RS`B5dJ>E(jYahmV*iI6Q#ahpv|R
zIu{aU=F6Zi;8d`M?#M*2K<Nx7?~sK^XD~5%EQ8Y-hA1fR(FMUt3``)>8M+$Sa4(Ez
zaCi(#U2HNdpl*fR3$g)S5G8dzhlLYfRgl!R5}WDhf+(r$1<Z83svxOr6*kk+1z}^&
z;9+4`hnFz(G1WPMhhR{88>_L|fG)@e9zAx2QVy?RHejlQ*nr&6kXeJx26RF27&X`f
z2}n$b*D#yV)noLrK)WUxWY%J{3tbRw0oX?nyC6)5H!!=<)nn~zfj4MEk{AO611oqC
z2;4scHw?h#D>(79fj131tOgmr8$_G|5!XS)Ll9vCZi#?M$R17DW&j2z@aAP07qnFn
zgrQrPVZz`%0VWt2khTEsg9d{Gcnc~hy~8xXG68f?Gn8TwcaR5-;X8qd1`sg=L>vVX
zw?G7_n1v1gGB7$k2Z@1<g^NMWMNCX0Sdfuk1_zKCuu)+KMsQaiJjewXBhn1mKrsU&
zxKj=`11?6S8L;tU21f8O3D^v{7?EbchL9N;!9yWnGvH!GngJVMW?%$&r8wbysD7}a
z=z<v2ZWsdKF=oixEp*M`c{p@I%(YwSDxmH`UDphbQrOrx10%Rs#tG*`wSc{VE{Ne`
z3;`rBplb$u0bLMtDiK`;)IC_d02_g4U<7ykIN^M#7O)r41u<NVA%Nrsbj@HdpbKJp
z0bK>uJy^T|n;&3c1otgD;e4nTuout;F<guxfaC>q&0sH}3u1Z!T?N!VSiAt6y<lJj
zcV9W-e5e+%7tjSUT#O-r<OOuiU@xExVtN5x1=KxQya1b9VPFLJkU8Oes1~pn&;>DE
zj3I#J1$50|FQ5xzdI4Pp)IC_d0Gs(?U<7x<IpKV$7O)r41u<NVA%Nrsbj@HdpbKJp
z0bK>uJy^T|o0no>1o!hf;e4nTuout;F<guxfaC>q&0sH}3u1Z!T?N!VSiAt6HDh1|
z57vTR3>SlHL)5Sc7IIw+n^I$71P?5O&47y$X$EY*je*hO8@B)hC!7z}4>lBCki*N<
z-^De<KNHRXPs>5-BXq^!phFjAff*0q0fnvts(=A(GMM0CfHW~+Gky$=4&OmehV!9X
zz)nUNgu4{Z0GkPMGP+{0lhFk+os6ymYCo!zc^rO%+ETJS0t^l=AR-b(WP=D$Cv5|?
zAqP6E3e?_0;)9LDKl#JJWD3*3XaQq^^)WCoFmoz!g0Mp*vjBq_x-2yWz#)xpFvc*e
z!voNaEjG^)-79tI1f7VYAj-fXwh<9vV2^^`fG&tJ6pL^Jx>6F|zy~^z1?-Sb*c^f`
zh%si1a0t3mvK_J+n?ukAF$Q-L4nbE+wnMgHa|pU1#waktA?QlUaER$vs2dn9U@UMd
z0cQt(PG(MiPKOB45l9RUpxn0|sv4I2koaJ2Xj2QIV|^GH7)@cy7{Q4T#sljBbrs>u
zJYe$-5X*L;S%$<1Yek!9_y!u>U|=+bDT7!B<AHU6EQ2o>flWF<EZd1@84@3?6>ZW1
zbW9P*XE0?D%V0dP4v=LSGY}BVcA;5@#0P6dn}GlwhXk?=rVL^kj0e^MvJ7K70%F;2
zG|Q0qV6AA=5ujt2K$gLjK`evuz&b#dVa!cHEZc)-84@3?6>V+;bi5PDGMF-mWiTFC
z2govvi3$b=9x2cmBM5_5bb&^m9N??XK%yWF(~oEkAXw0L0ZfS$>_{?@X`qoNglPyF
zh+>2p2o}0&uw%<Wrh(Q}AWTEZ5N{gnC^L|0pmh@n(-1Pmn+7}R3}hN8gMwGWaUw(^
zCV_J*x*$di5JLc|Nr<i)+(<wd#B36xtAIEM$9h*O*hy?4&wvU5h-VO@5Zz$UpbKKS
z9YX-gGw7PZo<SGH^bEQRh;wjx26j{&$TOe<2I3inC`32dGw6aCZpRQn@(j9WuxHQ(
zF+GE>0^%H8o&l|kfMh~O2T%b9@eD!~q8scPbU_TaV+bI523<4QGw6bto<UauaSkre
zzz*93#SEx`gm?xa3egSr47wnO+c5-?JcF(o>=|@HOwXXJfH()2XJDrRf;<B%fFYhi
zh(dIOJ%cWY;dTrGB+sC0273lw5Ysd0Dj?3m<r&y<g&@y>M!dnE0lOU`12F+nS|eEK
d<u>d%Ly&2p@oa=?2pOVHgD6BM9Y9Cz0s!vU4g3HA

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..b7a7f327679095457db88ed821af9ec176594438
GIT binary patch
literal 10350
zcmWg2k!R*+U|7Jwz`)?}q)MKdBc^^$I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+;+s*f!fP)LgWpqq(<nVPC_d#e2iK1?<J9TyThDU|{$U1rFW}3=GZPtN1XK
z^ENJ8$lKoDvZ7O2sfTBAUqegtip3o~y^DL>dHNUg!f2QZ-h~}Kz1_UjHjkBofq@_7
zT?Pk628IpHOpFZHOw7%T7jk$dJ9_y$W@W6fQEkuniZ}%kW=n9*O7qN;bLKAme>_1I
z6hLd`teIFmJw09dR;^yR(80siCBe_ZD=XviviA&!7b`wsW`wA6c)xG091}7JNgTq2
z8pPeac%f}$%c72+6@AN=sa)Vm)jJJQ05*exf!)EMfq{V$>UNNGL70I7MVQ4WIUxm1
zpi6)p%QAE3%&80v4htC=7+`^b8K;;c3=9rT3<3-c49pIB3=9nHP&aTSdpi4O`a8J7
z7*ic)GYc><IJ7VeFfcJOGFUKx)pJ1Avt_yZXF4Q6s0}D;nHfwVYB`~5S^GK`+xCJ<
zSxl9T3<3-e>$wCN95#T6ja&jCw*@f^F#LB|$_gS`83Y*qg9HPZ1sEI_vkEXcFoQI$
z11WU?k*p5&Na4xA<gglK)EX`U21ZmFMu)W^O;$`$r!g?<!I|Jx#K3?d;LyOpz<{m_
zoM6BN*hH*q(9#ncm%#z#M^SWx|Nm!TV6b9FxDU>Rxer|cY&W_f*lAz_;XZUV$jKC$
z%>WK}QFP@Hm%-8+1EU_C33C~`0N7>df}oTR!jL!ug)O=Yc-Dn87#u)pKonge$VH5>
z@{Zv@H!RSY6nSAxNU^{ODi^?c4@@BCMG`VMx>hJ3l$%&JKxGgJb9XFi>gnyXU18g}
z5WyBSbckYu<tB&Q3=9kgFc<Q6H!f<hg_Xg)(t<_;a2^AL!*NhT1|@NaV_X6Z8la2|
zvW9bUOWz8xB`_AI6&ixjK;ZEA%yP(d@W_BNX6!(Bjk!2fDeJP9X4`%U$p8sEXkdXH
z0*z;fGh6};Tr4m>U@ilL!&z{414SrI2CAL`5fI3M(zwv!1Sm5yI`l9wFi1jOz`(#D
zhQtON0ZvEgf_M#exBv?6T2ynvW^sW@1_p=C>;eo-Y*Gko!L}gr!3v>41`j)r42RPY
zN6%njU_e*R=r9|r=n^cV|Nk>spzCMm<mUuohX_zfw~7^1euXj%FtACZITMKwb|x$p
zG%iH298N(T3W^bQ^>_o1*`bPofk6gpr5KC>wg}YB02zobh}S>|cx<3+6M-5EjSX3-
zoiJOF_+W)NV}lc2B{(wC1-Y9$`Z`+{_N}n(U5w0TU~&K@6?EmG`Wa*`R!LCl2$nSE
z6kuRrVUt61IuakGi~$iZ2o^Mk#L(4(9gi-EKZF}#=^b4gJiV`B6JP*GtUT1EVlW2S
zeXt-$7sPKZJYvzcft&#{7aFk&P&;9^An`$}7;r}{x=L`^pbH{LCNdiuvFOS{buP$S
zaKxfZqDQPEn$wZ^AT#hrEV^2-<Ix52hcG;1(Y3*|4m@I&pe_}IF~IJF1v$DPerw?o
zi>^%rYA7^fm7#XRY(e6K72=Lqbd}(+K^H`hOk_4RV$qeu;|`RaaY{mStO}abk@#Sz
zBl0PN1<SGMYQc_27sMaJ@Q6j%2G2U6h-G3^Lvtn)AM8xrA&jmP>_~J$<WNOsLqix{
zISbfM1_p4}L6?LFKQx5Z(VULN2OEP3R|E?d!su$jjz<?HGKA5!!P77(gh4K01h-)r
z!962~lb~u7OhP&ju$CGF6S#W@<AU0-APnuC!GysbHZZ}!fYdg%g9e2IxVr<YL17v|
z_Jc5HR}E8yf!Sd`)F4nRkbx20g5m_RK^SBV2!q24Bmlx70TAZ!^7MCc&G65JGr+At
zMu%i%6G19Lc^Q;}K)OK~DhBRmg4hoFjL-xCk_BOqC^80{3??`j7{E@3HC!1O!4V9q
zGok%<h(55B;ff$)3~-mi8DKLZPDWP@PLb$>pdJIrFocuQRe)qc7;G|_fH@h~d}d$-
zH~Bc>e2{Wb7=dCDT@db4I0I}Z#L4K2!A?dOgjY`RP)1h)wVwfOGMM0CU|?}r#K6EH
z3XMyc^N{#pU3fDL0|TQeOamh)j0M(*%RIO*;S8{Q7{SqrZUSs*0Y1jy3hDQQx<+7a
z3JeSm=Rh4EUj_ySZG=}ik=S78fQ?5N<VyB*@yzmfbx6oUVlqJ7g02`*;2>Dwl<A-i
zN}DWLZGen+KnFb%z~dDR3|tQR3=9l9P}ed#%t7LT9m~MLz{$i91`13F7I-9t5u5~I
znqkUeG!~O}q4vO(a55?I^D8JQfJ}z5kW5Bb4sX(8x>*myWPW~-iTq$ULs&>Aqbr9e
zTTGMnv6u{UG{j^G3&~`3<?vbs(_{k-lR@rO;0KuuV<DN0t{h&OVVZ1+VKO8zKqkXj
zNG78zhnG8;CL3WjnIG(CkjWqxlF8`G*`P6xC2bgEnhXkDaN6L9vXD$hR}LBq1R0LS
zWD{&AgHkj&ZGag3Oh_i9D+di4;xO41tI3cU1eptBK}`mAqS2NA|Igs?fDu%tJAldz
zbA)M}NNkYZ4B#A%E{If6ATgn32D)M#EiL2{16>Pv&=WHB+3Nrv+GJn_hpz?HrI7Lh
z#sfQ)aCw2Q9HtG6$(B%iU`hy-7wF1S$_tpa5I0+4n2fi)Kv#}ZUZ9$6jm2b8@&)HT
za6(2&ZRpBT$_rGJZ7@uRl@ic!;fI?HN^R)M5vfhl0d5j_-htKwj4T>XPz$*i_qEu9
zNB<fZBG`h44r!2V03KX~xsC@^9eO2rWcWKKXQ6Ny7#uRW1sK4i=MEX%0t_0?Pz$&~
zdeU9J96U1M%o#h7jn{BNRtlOmfGPzsQI&#{9kf}U%?%o&0FjVpHO!6BW;KipYEpwR
zv{?-k2Dhle1WL0y9_kWAvl^xWWHSh3Hli^_pv`Jn5Hm13WP!paoq>S?E(S6Sgu%rK
zx+wdij?Rw7eJwB=99rOpHM&Z0eUB~(G8cp$@?Z^XbQPef6tD_tEQ5Lhun1#dbjX1@
z7cK@;48maNqKm?u38N9tMOO)SF1jGdTo6V$7hMIsVnuQ;EYUD9I^@Ef3l{?!2f|?I
zqKm?u38N9tMOO)SF1jGdTo6V$7hMIY_6DnfIF}XNl=groEm+eRi4RiC0L~ns6pxhn
zJu<+412v>!${9IfEU+$|rr~J35~my9`i3*W?P*BU7u|ls&2VUq#=rm$2v4+tK;nbF
zgeZlOOQgny;7kMx3YZ2)F&GQ14;B>Yf_MVf;e#l&=ZT>SYNW$*NHGZN40)kB9ElHB
z4Ymlar1QuCXC{!dVagfBU@WjMn6uFZ!KPtP4-N}JMIWe3jiCu@q{Cu}vq6m*A2eqp
z@xiL`hBr6^q3giYZvj~d>cK<mbQlk858-wQx^kE{a61Gh2{G9Z;VG~xXnhNAn}X|L
z1qI|5B)W2xb_lA;pqX@#55UGk-3&4j(prG9pe;yH44^AVX@{Vi9Dw0waEnv{Vlt#f
zf@Ctfa+G!ms>y*ECWG7wYU@J71<?)xxfxwKym$rmE>KMl!Y~=sr+}CYV<EX2T{%j>
z1=ZwWtR{ncE1XOqlR+#blhKu<^jlC(4#6}T6u98D0dDT0rVVuEDE$^xlS8qY42neT
z{T6iPDE$^xlf$r@3=L<HqY*I(YDuCi2el+2l?}Mx5`i!coPm+};A9OhTd<c@Sk>d~
zc0@ugf@De<4{QfC>wrQBG?)OQ`T0>YCAxB$HgI-_NkU8pEg?e9l;8voj#3y4DY2j{
zN6GG}CPzbk0J9u4paL=xk|`l9B$LsVqhxnflVh-$3`&O(lOZf5lhKu<WOr1PV=+ty
zxf7CDU@WM~pu~c%9FbTUn596e9TKvPkkK<cMg|5>geW9jz^MXVP#`%W%fmApvBC*M
z0O|<Ph%mZlaMKE15MErs$12fPK%B$C05%y+z%mtRN*u(7c?P`tCj{gfgeXKe*fZ#Y
z7;eW90NVx`ctzI?_6)ipyo7>#23-ZjIk-FnYNNwE1M2EKfIWi{h3E!*23-)t?HB?`
do<Y|P_6)iprf1MqK%9faGcZX6?EqS72ml-Xhx-5k

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
new file mode 100644
index 0000000..11f9601
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -0,0 +1,19 @@
+0.7
+2020.2
+May 22 2024
+18:54:44
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd,1739359015,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,automate,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,compteur1_49,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd,1739356158,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,compteur_modulo6,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd,1739350093,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,compteur_valid,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,diviseur_freq,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,led_pwm,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd,,,loto,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd,1739350093,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,modulo4,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd,1739353060,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,mux6_1,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd,1739350093,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,,,registres,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,tirage,,,,,,,,
+/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd,1739350093,vhdl,/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,,
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini
new file mode 100644
index 0000000..e8199b2
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xsim.ini
@@ -0,0 +1 @@
+xil_defaultlib=xsim.dir/xil_defaultlib
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log
new file mode 100644
index 0000000..583b80b
--- /dev/null
+++ b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log
@@ -0,0 +1,8 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
diff --git a/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb b/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.pb
new file mode 100644
index 0000000000000000000000000000000000000000..5425cfce17581be64f6c7a1b9015933554c32698
GIT binary patch
literal 1002
zcmd;D&d8<Vn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT
zXlAU%T~VBwTb5dsnU<Ms<ih2opOK%NTCAUIWRhN*nUkYmQlOiYUy=`_byG`9Q!*3t
zN_62Wii?u<6H80-a}!Ha^~y3*j0Cv&Dl&88Q&Q6sOLIzcGLxd%3=MP*&5Sb`3Ro*x
zConPyFmZWti6+9GnwnRVSyHK>u3D@vz$(RJWM*lO>M$-Y4ww~D?1l!q#)byw$fhl&
z)aOoI4&?c~B(o?nodkb-kmPR;E;fh-Sbe>iQeQi8*^%dKP?8`%uH8uTGaDBR$at(i
xUPh^pow*#z_i=nl67in)B+1j9T<joE$Co5w^*0|Emup^%LQraQeo=}5BLHvCE_(m~

literal 0
HcmV?d00001

diff --git a/project_loto/project_loto.xpr b/project_loto/project_loto.xpr
new file mode 100644
index 0000000..c013f49
--- /dev/null
+++ b/project_loto/project_loto.xpr
@@ -0,0 +1,317 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                              -->
+<!--                                                                         -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                   -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.   -->
+
+<Project Product="Vivado" Version="7" Minor="67" Path="/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr">
+  <DefaultLaunch Dir="$PRUNDIR"/>
+  <Configuration>
+    <Option Name="Id" Val="7f3a2d2226d141cfb2994d7549bd4fac"/>
+    <Option Name="Part" Val="xc7a100tcsg324-1"/>
+    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+    <Option Name="CompiledLibDirXSim" Val=""/>
+    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
+    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
+    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
+    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
+    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
+    <Option Name="SimulatorInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorInstallDirVCS" Val=""/>
+    <Option Name="SimulatorInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
+    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorVersionXsim" Val="2024.1"/>
+    <Option Name="SimulatorVersionModelSim" Val="2023.2"/>
+    <Option Name="SimulatorVersionQuesta" Val="2023.2"/>
+    <Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
+    <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
+    <Option Name="SimulatorVersionRiviera" Val="2023.04"/>
+    <Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
+    <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
+    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
+    <Option Name="TargetLanguage" Val="VHDL"/>
+    <Option Name="BoardPart" Val=""/>
+    <Option Name="ActiveSimSet" Val="sim_1"/>
+    <Option Name="DefaultLib" Val="xil_defaultlib"/>
+    <Option Name="ProjectType" Val="Default"/>
+    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
+    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
+    <Option Name="IPCachePermission" Val="read"/>
+    <Option Name="IPCachePermission" Val="write"/>
+    <Option Name="EnableCoreContainer" Val="FALSE"/>
+    <Option Name="EnableResourceEstimation" Val="FALSE"/>
+    <Option Name="SimCompileState" Val="TRUE"/>
+    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
+    <Option Name="EnableBDX" Val="FALSE"/>
+    <Option Name="WTXSimLaunchSim" Val="16"/>
+    <Option Name="WTModelSimLaunchSim" Val="0"/>
+    <Option Name="WTQuestaLaunchSim" Val="0"/>
+    <Option Name="WTIesLaunchSim" Val="0"/>
+    <Option Name="WTVcsLaunchSim" Val="0"/>
+    <Option Name="WTRivieraLaunchSim" Val="0"/>
+    <Option Name="WTActivehdlLaunchSim" Val="0"/>
+    <Option Name="WTXSimExportSim" Val="0"/>
+    <Option Name="WTModelSimExportSim" Val="0"/>
+    <Option Name="WTQuestaExportSim" Val="0"/>
+    <Option Name="WTIesExportSim" Val="0"/>
+    <Option Name="WTVcsExportSim" Val="0"/>
+    <Option Name="WTRivieraExportSim" Val="0"/>
+    <Option Name="WTActivehdlExportSim" Val="0"/>
+    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
+    <Option Name="XSimRadix" Val="hex"/>
+    <Option Name="XSimTimeUnit" Val="ns"/>
+    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
+    <Option Name="XSimTraceLimit" Val="65536"/>
+    <Option Name="SimTypes" Val="rtl"/>
+    <Option Name="SimTypes" Val="bfm"/>
+    <Option Name="SimTypes" Val="tlm"/>
+    <Option Name="SimTypes" Val="tlm_dpi"/>
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
+    <Option Name="DcpsUptoDate" Val="TRUE"/>
+    <Option Name="ClassicSocBoot" Val="FALSE"/>
+    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
+  </Configuration>
+  <FileSets Version="1" Minor="32">
+    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../src/automate.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur1_49.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_valid.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/diviseur_freq.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/led_pwm.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/registres.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/tirage.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/modulo4.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/loto.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo4.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_u.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+      <Filter Type="Constrs"/>
+      <File Path="$PPRDIR/../src/Nexys4DDR-Master.xdc">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="ConstrsType" Val="XDC"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../src/loto_tb.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto_tb_ar_cfg"/>
+        <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TransportPathDelay" Val="0"/>
+        <Option Name="TransportIntDelay" Val="0"/>
+        <Option Name="SelectedSimModel" Val="rtl"/>
+        <Option Name="PamDesignTestbench" Val=""/>
+        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
+        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
+        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
+        <Option Name="SrcSet" Val="sources_1"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+      <Filter Type="Utils"/>
+      <Config>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+  </FileSets>
+  <Simulators>
+    <Simulator Name="XSim">
+      <Option Name="Description" Val="Vivado Simulator"/>
+      <Option Name="CompiledLib" Val="0"/>
+    </Simulator>
+    <Simulator Name="ModelSim">
+      <Option Name="Description" Val="ModelSim Simulator"/>
+    </Simulator>
+    <Simulator Name="Questa">
+      <Option Name="Description" Val="Questa Advanced Simulator"/>
+    </Simulator>
+    <Simulator Name="Xcelium">
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
+    </Simulator>
+    <Simulator Name="VCS">
+      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
+    </Simulator>
+    <Simulator Name="Riviera">
+      <Option Name="Description" Val="Riviera-PRO Simulator"/>
+    </Simulator>
+  </Simulators>
+  <Runs Version="1" Minor="22">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+  </Runs>
+  <Board/>
+  <DashboardSummary Version="1" Minor="0">
+    <Dashboards>
+      <Dashboard Name="default_dashboard">
+        <Gadgets>
+          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
+          </Gadget>
+          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
+          </Gadget>
+          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
+          </Gadget>
+          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
+          </Gadget>
+          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
+            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
+            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
+          </Gadget>
+          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
+          </Gadget>
+        </Gadgets>
+      </Dashboard>
+      <CurrentDashboard>default_dashboard</CurrentDashboard>
+    </Dashboards>
+  </DashboardSummary>
+</Project>
diff --git a/src/automate.vhd b/src/automate.vhd
index 1ccb931..c0658e2 100644
--- a/src/automate.vhd
+++ b/src/automate.vhd
@@ -36,24 +36,89 @@ begin
     process (I_clk, I_rst)
     begin
         if(I_rst = '1')then
-            __BLANK_TO_FILL__
+            O_counting  <= '0';
+            O_store     <= '0';
+            O_l_red     <= '0'; 
+            O_l_green   <= '0';
+            SR_STATE    <= st_wait_success;
         elsif rising_edge(I_clk)then
             case SR_STATE is
-                case SR_STATE is
 
                 when st_wait_success =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '0';
                     O_l_green        <= '1';
+                    
+                    if I_button = '1' then
+                        SR_STATE <= st_counting;
+                    end if;
+                    
+                when st_counting =>
+                    O_counting       <= '1';
+                    O_store <= '0';
                     O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_button = '0' then
+                        SR_STATE <= st_compar;
+                    end if;
+                    
+                when st_compar =>
                     O_counting       <= '0';
                     O_store <= '0';
+                    O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_invalide = '0' then
+                        SR_STATE <= st_store;
+                    else
+                        SR_STATE <= st_wait_failed;
+                    end if;
+                    
+                when st_store =>
+                    O_counting       <= '0';
+                    O_store <= '1';
+                    O_l_red        <= '0';
+                    O_l_green        <= '0';
+                    
+                    if I_end = '1' then
+                        SR_STATE <= st_end_red;
+                    else
+                        SR_STATE <= st_wait_success;     
+                    end if;
+                    
+                when st_end_red =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '1';
+                    O_l_green        <= '0';
+                    
+                    if I_clk_display = '1' then
+                        SR_STATE <= st_end_green;
+                    end if;
+                    
+                when st_end_green =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '0';
+                    O_l_green        <= '1';
+                    
+                    if I_clk_display = '0' then
+                        SR_STATE <= st_end_red;
+                    end if;
+                    
+                when st_wait_failed =>
+                    O_counting       <= '0';
+                    O_store <= '0';
+                    O_l_red        <= '1';
+                    O_l_green        <= '0';
+                    
                     if I_button = '1' then
                         SR_STATE <= st_counting;
                     end if;
 
-                    when __BLANK_TO_FILL__
-
-                    __BLANK_TO_FILL__
-
+                    
             end case;
         end if;
     end process;
diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd
index 7962a90..28c5ba5 100644
--- a/src/compteur_modulo6.vhd
+++ b/src/compteur_modulo6.vhd
@@ -5,12 +5,11 @@ use IEEE.numeric_std.all;
 
 entity compteur_modulo6 is
     port (
-        I_clk         : in  std_logic;
-        I_rst         : in  std_logic;
+        I_clk         : in  std_logic; 
+        I_rst         : in  std_logic; 
         I_block       : in  std_logic;
         O_CounterMod6 : out std_logic_vector(2 downto 0)
         );
-
 end compteur_modulo6;
 
 
@@ -20,15 +19,25 @@ architecture modulo6_a of compteur_modulo6 is
 
 begin
 
-    process (_BLANK_)
+    mod6 : process (I_clk,I_rst)
     begin
         if I_rst = '1' then
-            _BLANK_
+            SR_Counter <= "000";
         elsif rising_edge(I_clk) then
-            _BLANK_
-        end if;
-    end process;
+           if I_block='1' then 
+              SR_Counter <= SR_Counter;
+              else 
+                if SR_Counter = "101" then
+                  SR_Counter <= "000";
+                else
+                  SR_Counter <= SR_Counter + 1;
+               end if;
+          end if;
+       end if; 
+    end process mod6;
 
     O_CounterMod6 <= std_logic_vector(SR_Counter);
+    
+    
 
-end modulo6_a;
+end modulo6_a;
\ No newline at end of file
diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd
index a689bef..c24b1c8 100644
--- a/src/mux6_1.vhd
+++ b/src/mux6_1.vhd
@@ -20,8 +20,26 @@ end mux6_1;
 architecture a_mux6_1 of mux6_1 is
 begin
 
-__BLANK_TO_FILL__
 
 
+    process(I_0,I_1,I_2,I_3,I_4,I_5,I_sel)
+    begin
+        case I_sel is
+            when "000" =>
+                O_mux6 <= I_0;
+            when "001" =>
+                O_mux6 <= I_1;
+            when "010" =>
+                O_mux6 <= I_2;
+            when "011" =>
+                O_mux6 <= I_3;
+            when "100" =>
+                O_mux6 <= I_4;
+            when others =>
+                O_mux6 <= I_5;
+        end case;
+    end process;
+    
+
 
 end a_mux6_1;
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000..fcdf87b
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,50 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 11:25:25 2025
+# Process ID: 68911
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3497.932 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :18096 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr
+update_compile_order -fileset sources_1
+launch_simulation
+launch_simulation
+source compteur_modulo6_tb_arch_cfg.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+update_compile_order -fileset sim_1
+launch_simulation
+source loto_tb_ar_cfg.tcl
+close_sim
+launch_simulation
+source loto_tb_ar_cfg.tcl
+restart
+run 10 us
+relaunch_sim
+restart
+run 10 us
+run 10 us
+restart
+run 10 us
+relaunch_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000..cb562d0
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,557 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 11:25:25 2025
+# Process ID: 68911
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3497.932 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :18096 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+open_project: Time (s): cpu = 00:00:34 ; elapsed = 00:00:19 . Memory (MB): peak = 8200.371 ; gain = 717.445 ; free physical = 6824 ; free virtual = 15911
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb]
+Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source compteur_modulo6_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8521.207 ; gain = 73.113 ; free physical = 6704 ; free virtual = 15897
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+update_compile_order -fileset sim_1
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur1_49'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_valid'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'led_pwm'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'registres'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'modulo4'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source loto_tb_ar_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8682.352 ; gain = 75.098 ; free physical = 6700 ; free virtual = 15813
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source loto_tb_ar_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 11.219 ; free physical = 6677 ; free virtual = 15794
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 0.000 ; free physical = 6721 ; free virtual = 15764
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+run 10 us
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8797.973 ; gain = 0.000 ; free physical = 6162 ; free virtual = 15704
diff --git a/vivado_30432.backup.jou b/vivado_30432.backup.jou
new file mode 100644
index 0000000..595e81d
--- /dev/null
+++ b/vivado_30432.backup.jou
@@ -0,0 +1,63 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 09:50:51 2025
+# Process ID: 30432
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.001 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :19105 MB
+#-----------------------------------------------------------
+start_gui
+create_project project_loto /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto -part xc7a100tcsg324-1
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd}
+add_files -fileset sim_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/Nexys4DDR-Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd]
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd]
+launch_simulation
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source mux6_1_tb_arch_cfg.tcl
+close_sim
+launch_simulation
+source mux6_1_tb_arch_cfg.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
+restart
+launch_simulation
+close_sim
diff --git a/vivado_30432.backup.log b/vivado_30432.backup.log
new file mode 100644
index 0000000..e2558a1
--- /dev/null
+++ b/vivado_30432.backup.log
@@ -0,0 +1,449 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 09:50:51 2025
+# Process ID: 30432
+# Current directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+# Command line: vivado
+# Log file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+# Journal file: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+# Running On        :fl-tp-br-641
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.001 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :19105 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+create_project project_loto /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto -part xc7a100tcsg324-1
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8027.469 ; gain = 205.133 ; free physical = 8841 ; free virtual = 17041
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur1_49.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/led_pwm.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_d_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/registres.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_valid.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/tirage.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/modulo4.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/diviseur_freq.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/transcodeur7s_u.vhd /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/automate.vhd}
+add_files -fileset sim_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/Nexys4DDR-Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+set_property used_in_synthesis false [get_files  /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:23]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:26]
+ERROR: [VRFC 10-4982] syntax error near 'elsif' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:27]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:28]
+ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:29]
+ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:23]
+ERROR: [VRFC 10-9458] unit 'modulo6_a' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:17]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb]
+Built simulation snapshot mux6_1_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source mux6_1_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8338.512 ; gain = 79.105 ; free physical = 7337 ; free virtual = 16420
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg'
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source mux6_1_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 8478.930 ; gain = 27.984 ; free physical = 7013 ; free virtual = 16141
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo4.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+restart
+INFO: [Wavedata 42-604] Simulation restarted
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8]
+ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6]
+INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:25:14 2025...
diff --git a/vivado_pid68911.str b/vivado_pid68911.str
new file mode 100644
index 0000000..d809fa3
--- /dev/null
+++ b/vivado_pid68911.str
@@ -0,0 +1,2152 @@
+/*
+
+AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
+SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+
+Process ID (PID): 68911
+License: Customer
+Mode: GUI Mode
+
+Current time: 	Wed Feb 12 11:25:40 CET 2025
+Time zone: 	Central European Standard Time (Europe/Paris)
+
+OS: Ubuntu
+OS Version: 6.8.0-52-generic
+OS Architecture: amd64
+Available processors (cores): 4
+LSB Release Description: Ubuntu 24.04.1 LTS
+
+Display: 0
+Screen size: 2560x1440
+Local screen bounds: x = 66, y = 32, width = 2494, height = 1408
+Screen resolution (DPI): 100
+Available screens: 1
+Default font: family=Dialog,name=Dialog,style=plain,size=12
+Scale size: 12
+OS font scaling: 100%
+Anti-Alias Enabled: false
+
+Java version: 	21.0.1 64-bit
+JavaFX version: 21.0.1
+Java home: 	/opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
+Java executable: 	/opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
+Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
+Java initial memory (-Xms): 	512 MB
+Java maximum memory (-Xmx):	 3 GB
+
+User name: 	m24guill
+User home directory: /homes/m24guill
+User working directory: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill
+User country: 	US
+User language: 	en
+User locale: 	en_US
+
+RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado
+HDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1
+RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data
+RDI_BINDIR: /opt/img/Vivado2024.1/Vivado/2024.1/bin
+
+Vivado preferences file: /homes/m24guill/.Xilinx/Vivado/2024.1/vivado.xml
+Vivado preferences directory: /homes/m24guill/.Xilinx/Vivado/2024.1/
+Vivado layouts directory: /homes/m24guill/.Xilinx/Vivado/2024.1/data/layouts
+PlanAhead jar file: 	/opt/img/Vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
+Vivado log file: 	/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.log
+Vivado journal file: 	/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/vivado.jou
+Engine tmp dir: 	./.Xil/Vivado-68911-fl-tp-br-641
+Non-Default Parameters:	[]
+
+Xilinx & AMD Environment Variables
+--------------------------------------------------------------------------------------------
+GNOME_SHELL_SESSION_MODE: ubuntu
+RDI_APPROOT: /opt/img/Vivado2024.1/Vivado/2024.1
+RDI_BASEROOT: /opt/img/Vivado2024.1/Vivado
+RDI_BINROOT: /opt/img/Vivado2024.1/Vivado/2024.1/bin
+RDI_BUILD: yes
+RDI_DATADIR: /opt/img/Vivado2024.1/Vivado/2024.1/data
+RDI_INSTALLROOT: /opt/img/Vivado2024.1
+RDI_INSTALLVER: 2024.1
+RDI_JAVA_PLATFORM: 
+RDI_JAVA_VERSION: 21.0.1_12
+RDI_LIBDIR: /opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o
+RDI_OPT_EXT: .o
+RDI_PATCHROOT: 
+RDI_PLATFORM: lnx64
+RDI_PREPEND_PATH: /opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
+RDI_PROG: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
+RDI_SESSION_INFO: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill:fl-tp-br-641_1739355924_68842
+RDI_SHARED_DATA: /opt/img/Vivado2024.1/SharedData/2024.1/data
+RDI_TPS_ROOT: /opt/img/Vivado2024.1/Vivado/2024.1/tps/lnx64
+RDI_USE_JDK21: True
+SHELL: /bin/bash
+XILINX: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
+XILINX_DSP: /opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINX_HLS: /opt/img/Vivado2024.1/Vitis_HLS/2024.1
+XILINX_PLANAHEAD: /opt/img/Vivado2024.1/Vivado/2024.1
+XILINX_SDK: /opt/img/Vivado2024.1/Vitis/2024.1
+XILINX_VITIS: /opt/img/Vivado2024.1/Vitis/2024.1
+XILINX_VIVADO: /opt/img/Vivado2024.1/Vivado/2024.1
+XILINX_VIVADO_HLS: /opt/img/Vivado2024.1/Vivado/2024.1
+
+
+GUI allocated memory:	512 MB
+GUI max memory:		4,072 MB
+Engine allocated memory: 1,366 MB
+
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+*/
+
+// TclEventType: START_GUI
+// Tcl Message: start_gui 
+selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr", 0); // b.c (PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS)
+// Opening Vivado Project: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr. Version: Vivado v2024.1 
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// TclEventType: FLOW_ADDED
+// Tcl Message: open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,452 MB. GUI used memory: 80 MB. Current time: 2/12/25, 11:25:41 AM CET
+// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. 
+// TclEventType: MSGMGR_MOVEMSG
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_NEW
+// TclEventType: RUN_CURRENT
+// TclEventType: PROJECT_DASHBOARD_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_NEW
+// [GUI Memory]: 137 MB (+140868kb) [00:00:28]
+// [Engine Memory]: 2,111 MB (+2062305kb) [00:00:28]
+// WARNING: HEventQueue.dispatchEvent() is taking  1765 ms.
+// Tcl Message: open_project /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.xpr 
+// Tcl Message: INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.gen/sources_1'. 
+// Tcl Message: Scanning sources... Finished scanning sources 
+// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. 
+// [GUI Memory]: 153 MB (+9585kb) [00:00:29]
+// Project name: project_loto; location: /homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto; part: xc7a100tcsg324-1
+// Tcl Message: open_project: Time (s): cpu = 00:00:34 ; elapsed = 00:00:19 . Memory (MB): peak = 8200.371 ; gain = 717.445 ; free physical = 6824 ; free virtual = 15911 
+// Elapsed time: 19 seconds
+dismissDialog("Open Project"); // bj (Open Project Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,177 MB. GUI used memory: 83 MB. Current time: 2/12/25, 11:26:01 AM CET
+// Tcl Message: update_compile_order -fileset sources_1 
+// Elapsed time: 12 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 8); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 9); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj 
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' ERROR: [VRFC 10-9608] semicolon after last interface declaration is only supported in VHDL 1076-2019 [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:8] ERROR: [VRFC 10-9458] unit 'compteur_modulo6' is ignored due to previous errors [/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd:6] INFO: [VRFC 10-8704] VHDL file '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd' is ignored due to errors 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-99] Step results log file:'/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' 
+// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. 
+// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. 
+// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.  
+// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.  (Run Simulation)'
+// 'd' command handler elapsed time: 7 seconds
+selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button)
+// Elapsed time: 19 seconds
+selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK", "Critical Messages"); // f (PAResourceAtoD.CmdMsgDialog_OK)
+dismissDialog("Critical Messages"); // a (dialog0)
+selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aa
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 241, 101); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+// Elapsed time: 10 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 33 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// [Engine Memory]: 2,224 MB (+7773kb) [00:02:21]
+// HMemoryUtils.trashcanNow. Engine heap size: 2,255 MB. GUI used memory: 87 MB. Current time: 2/12/25, 11:27:56 AM CET
+// Elapsed time: 35 seconds
+selectCodeEditor("compteur_modulo6.vhd", 273, 111); // ac (compteur_modulo6.vhd)
+// Elapsed time: 18 seconds
+selectCodeEditor("compteur_modulo6.vhd", 392, 84); // ac (compteur_modulo6.vhd)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), mux6_1_1 : mux6_1(a_mux6_1) (mux6_1.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 87 MB. Current time: 2/12/25, 11:28:51 AM CET
+// Elapsed time: 11 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, loto(arch) (loto.vhd), modulo6_1 : compteur_modulo6(modulo6_a) (compteur_modulo6.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+selectCodeEditor("compteur_modulo6.vhd", 405, 167); // ac (compteur_modulo6.vhd)
+selectCodeEditor("compteur_modulo6.vhd", 408, 158); // ac (compteur_modulo6.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 03m:22s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,332 MB. GUI used memory: 88 MB. Current time: 2/12/25, 11:29:21 AM CET
+// Elapsed Time for: 'L.f': 03m:26s
+// Elapsed time: 23 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/src/compteur_modulo6_tb.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// TclEventType: LOAD_FEATURE
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// [Engine Memory]: 2,387 MB (+54114kb) [00:04:04]
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Tcl Message: source compteur_modulo6_tb_arch_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:29:35 AM CET
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8521.207 ; gain = 73.113 ; free physical = 6704 ; free virtual = 15897 
+// 'd' command handler elapsed time: 7 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,388 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:29:40 AM CET
+// Elapsed time: 29 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:30:11 AM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:30:11 AM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 98 MB. Current time: 2/12/25, 11:30:11 AM CET
+// Elapsed time: 126 seconds
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, automate.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// HMemoryUtils.trashcanNow. Engine heap size: 2,419 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:32:26 AM CET
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [GUI Memory]: 161 MB (+717kb) [00:11:18]
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 286 seconds
+selectCodeEditor("automate.vhd", 134, 539); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 168, 544); // ac (automate.vhd)
+// Elapsed time: 117 seconds
+selectCodeEditor("automate.vhd", 55, 171); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 548); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 55, 566); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 243, 577); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 551); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 150, 566); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 158, 578); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 169, 598); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 176, 547); // ac (automate.vhd)
+// Elapsed time: 253 seconds
+selectCodeEditor("automate.vhd", 189, 501); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 154, 514); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 500); // ac (automate.vhd)
+// Elapsed time: 17 seconds
+selectCodeEditor("automate.vhd", 174, 505); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 188, 500); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 505); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 157, 532); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 160, 545); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 23 seconds
+selectCodeEditor("automate.vhd", 80, 319); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 80, 319, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 560); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 198, 565); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 257, 561); // ac (automate.vhd)
+// [GUI Memory]: 174 MB (+5079kb) [00:20:08]
+// Elapsed time: 88 seconds
+selectCodeEditor("automate.vhd", 145, 670); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 185, 662); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 143, 668); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 289, 624); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 107, 641); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 141, 700); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 315, 639); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 312, 687); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 141, 664); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 161, 703); // ac (automate.vhd)
+// Elapsed time: 29 seconds
+selectCodeEditor("automate.vhd", 140, 756); // ac (automate.vhd)
+// Elapsed time: 44 seconds
+selectCodeEditor("automate.vhd", 140, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 149, 774); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 140, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 116, 609); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 259, 630); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 109, 608); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 368, 730); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("automate.vhd", 295, 617); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 295, 617, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 294, 606); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 606, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 654); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 654, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 292, 668); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 293, 713); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 213, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 134, 474); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 119, 476); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 258, 517); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 237, 565); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 230, 734); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 248, 724); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 334, 745); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 250, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 114, 490); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 110, 637); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 197, 751); // ac (automate.vhd)
+// Elapsed time: 30 seconds
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 113, 489); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 121, 766); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 118, 792); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 15 seconds
+selectCodeEditor("automate.vhd", 299, 715); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 233, 744); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 182, 741); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 740); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 271, 741); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 318, 730); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 196, 755); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 304, 758); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 768); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 768, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 284, 747); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 284, 747, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 274, 755); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 274, 755, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 317, 680); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 91, 775); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 114, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 318, 758); // ac (automate.vhd)
+// Elapsed time: 22 seconds
+selectCodeEditor("automate.vhd", 188, 771); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 765); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 247, 752); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 170, 756); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 779); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 789); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 216, 795); // ac (automate.vhd)
+// Elapsed time: 18 seconds
+selectCodeEditor("automate.vhd", 113, 504); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 204, 803); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 116, 616); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 104, 797); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 607); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 179, 651); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 654); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 184, 654, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 11 seconds
+selectCodeEditor("automate.vhd", 272, 673); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 293, 664); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 237, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 258, 686); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 215, 713); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 272, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 220, 752); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 232, 744); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 747); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 180, 772); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 197, 765); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 200, 759); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 761); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 294, 761, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 17 seconds
+selectCodeEditor("automate.vhd", 217, 771); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 112, 654); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 206, 772, false, true, false, false, false); // ac (automate.vhd) - Control Key
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 699); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 171, 699, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 19 seconds
+selectCodeEditor("automate.vhd", 234, 729); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 740); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 379, 745); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 175, 788); // ac (automate.vhd)
+// Elapsed time: 12 seconds
+selectCodeEditor("automate.vhd", 185, 787); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 241, 782); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 302, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 223, 799); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 320, 803); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 702); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 273, 815); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 109, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 208, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 189, 789); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 216, 788); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 276, 823); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 299, 849); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 732); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 281, 743); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 761); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 337, 762); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 337, 762, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 119, 658); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 658); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 111, 658); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 336, 769); // ac (automate.vhd)
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// Elapsed time: 10 seconds
+selectCodeEditor("automate.vhd", 234, 707); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 232, 695); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 746); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 290, 752); // ac (automate.vhd)
+// Elapsed time: 16 seconds
+selectCodeEditor("automate.vhd", 267, 772); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 285, 783); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 253, 787); // ac (automate.vhd)
+// Elapsed time: 28 seconds
+typeControlKey(null, null, 'z');
+typeControlKey(null, null, 'z');
+// Elapsed time: 12 seconds
+selectCodeEditor("automate.vhd", 250, 792); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 278, 812); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 799); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 288, 799, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 231, 814); // ac (automate.vhd)
+// Elapsed time: 15 seconds
+selectCodeEditor("automate.vhd", 154, 201); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 223, 206); // ac (automate.vhd)
+// Elapsed time: 19 seconds
+selectCodeEditor("automate.vhd", 173, 383); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 33m:12s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,468 MB. GUI used memory: 99 MB. Current time: 2/12/25, 11:59:11 AM CET
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed Time for: 'L.f': 33m:16s
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 61 seconds
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 13); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 13); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 14); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 14); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 11 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, mux6_1_tb_arch_cfg(mux6_1_tb - arch) (mux6_1_tb.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 15); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, compteur_modulo6_tb_arch_cfg(compteur_modulo6_tb - arch) (compteur_modulo6_tb.vhd)]", 15); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 10 seconds
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 13 seconds
+selectCodeEditor("automate.vhd", 823, 532); // ac (automate.vhd)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 16, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
+selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top loto_tb_ar_cfg [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 34m:36s
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 34m:38s
+// Tcl Message: update_compile_order -fileset sim_1 
+// Elapsed Time for: 'L.f': 34m:40s
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd)]", 15, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,489 MB. GUI used memory: 101 MB. Current time: 2/12/25, 12:00:41 PM CET
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// [Engine Memory]: 2,535 MB (+30437kb) [00:35:18]
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,557 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:00:49 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source loto_tb_ar_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8682.352 ; gain = 75.098 ; free physical = 6700 ; free virtual = 15813 
+// 'd' command handler elapsed time: 7 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// Elapsed Time for: 'L.f': 34m:52s
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 26 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 288, 574); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1612, 807, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 11, 410); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1335, 643, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,536 MB. GUI used memory: 111 MB. Current time: 2/12/25, 12:01:21 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 1, 410); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1325, 643, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,536 MB. GUI used memory: 111 MB. Current time: 2/12/25, 12:01:27 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// Elapsed time: 53 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// Elapsed time: 33 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd)]", 16); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd)]", 17); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, loto_tb_ar_cfg(loto_tb - ar) (loto_tb.vhd), loto_tb : loto_tb(ar) (loto_tb.vhd), DUT : loto(arch) (loto.vhd), tirage_1 : tirage(a_tirage) (tirage.vhd)]", 18); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTab((HResource) null, (HResource) null, "Scope", 0); // aa
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_counting ; 0 ; Logic", 6, "O_counting", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_store ; 0 ; Logic", 7, "O_store", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_red ; 0 ; Logic", 8, "O_l_red", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_counting ; 0 ; Logic", 6, "O_counting", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_store ; 0 ; Logic", 7, "O_store", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_red ; 0 ; Logic", 8, "O_l_red", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "O_l_green ; 1 ; Logic", 9, "O_l_green", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// [GUI Memory]: 183 MB (+352kb) [00:38:28]
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 10 seconds
+selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
+selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:16 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [Engine Memory]: 3,573 MB (+954832kb) [00:38:47]
+// HMemoryUtils.trashcanNow. Engine heap size: 3,445 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,792 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:17 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 113 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,051 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:19 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:20 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,529 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:21 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,573 MB. GUI used memory: 112 MB. Current time: 2/12/25, 12:04:21 PM CET
+// Run Command: RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION
+// Elapsed time: 18 seconds
+dismissFileChooser();
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 2*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log  Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,571 MB. GUI used memory: 117 MB. Current time: 2/12/25, 12:04:45 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: source loto_tb_ar_cfg.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 11.219 ; free physical = 6677 ; free virtual = 15794 
+// 'd' command handler elapsed time: 9 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 11 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed time: 22 seconds
+selectCodeEditor("automate.vhd", 220, 177); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 220, 177, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 195, 321); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 321, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// Elapsed time: 12 seconds
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "automate_1 ; automate(a_automate) ; VHDL Entity", 3, "automate_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, n_slow]", 9, false, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectMenuItem((HResource) null, "New Divider"); // ap (New Divider)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_RENAME_COMMAND
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 12 seconds
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_STATE ; st_end_red ; Enumeration", 10, "SR_STATE", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// [GUI Memory]: 200 MB (+7766kb) [00:40:40]
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 27 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 199, 427); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1523, 660, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:06:36 PM CET
+// Elapsed time: 18 seconds
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Elapsed time: 16 seconds
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 101, 331); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1424, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:14 PM CET
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 303, 334); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1624, 568, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 11 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 24, 322); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1346, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:29 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:32 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 456, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1751, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 646, 324); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1929, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 37, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1359, 569, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,596 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:38 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:43 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:07:45 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 29 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 163, 173); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 163, 173, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 193, 262); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 262, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 207, 281); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 207, 281, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 295, 277); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 295, 277, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 36 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:08:55 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,596 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:08:57 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,466 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:08:58 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 8, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1332, 568, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 13, 325); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1336, 566, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,552 MB. GUI used memory: 114 MB. Current time: 2/12/25, 12:09:02 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, rst]", 3, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 239, 111); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 239, 111, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 31 seconds
+selectCodeEditor("automate.vhd", 195, 175); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 195, 175, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'c'); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 110); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 214, 110, false, false, false, false, true); // ac (automate.vhd) - Double Click
+typeControlKey((HResource) null, "automate.vhd", 'v'); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 43m:52s
+// Elapsed Time for: 'L.f': 43m:56s
+// Elapsed time: 14 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// HMemoryUtils.trashcanNow. Engine heap size: 2,540 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:10:07 PM CET
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: relaunch_sim 
+// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds 
+// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8693.570 ; gain = 0.000 ; free physical = 6721 ; free virtual = 15764 
+// 'a' command handler elapsed time: 6 seconds
+dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,568 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:10:18 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 52, 336); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1376, 569, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, bouton]", 0, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 51, 67); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,568 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:10:31 PM CET
+/********** leftMouseClick (1375, 300, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 67, 120); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1391, 353, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 194, 276); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 194, 276, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 84, 158); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 84, 158, false, false, false, false, true); // ac (automate.vhd) - Double Click
+// Elapsed time: 13 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 115 MB. Current time: 2/12/25, 12:11:08 PM CET
+floatFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 3*: float view
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:10 PM CET
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 90, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (565, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 66, 100); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (542, 220, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:18 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 129, 322); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (602, 434, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 214, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (684, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 313, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (780, 435, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,613 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:32 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 610, 326); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1066, 438, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 176, 47); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (648, 168, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 48, 51); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (524, 172, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:41 PM CET
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 217, 318); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (687, 430, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 364, 323); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (829, 435, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 624, 320); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1080, 432, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 121 MB. Current time: 2/12/25, 12:11:53 PM CET
+// Elapsed time: 13 seconds
+selectCodeEditor("automate.vhd", 193, 594); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 193, 594, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 181, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 181, 683, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 165, 593); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 165, 593, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 176, 592); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 176, 592, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 172, 593); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 172, 593, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 190, 683); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 190, 683, false, false, false, false, true); // ac (automate.vhd) - Double Click
+dockFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 3)
+// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 3*: dock view
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,613 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:12:36 PM CET
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_STATE]", 11, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "modulo6_1 ; compteur_modulo6(modulo6_a) ; VHDL Entity", 3, "modulo6_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "mux6_1_1 ; mux6_1(a_mux6_1) ; VHDL Entity", 5, "mux6_1_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "transcod_1 ; transcodeur7s_d_u(transcod_int) ; VHDL Entity", 6, "transcod_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "modulo4_2 ; modulo4(modulo4_a) ; VHDL Entity", 7, "modulo4_2", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "DUT ; loto(arch) ; VHDL Entity", 1, "DUT", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,609 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:01 PM CET
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+selectCodeEditor("compteur_valid.vhd", 46, 551); // ac (compteur_valid.vhd)
+selectCodeEditor("compteur_valid.vhd", 46, 551, false, false, false, false, true); // ac (compteur_valid.vhd) - Double Click
+// Elapsed time: 17 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tirage_1 ; tirage(a_tirage) ; VHDL Entity", 2, "tirage_1", 0, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SC_fin ; 0 ; Logic", 16, "SC_fin", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SC_fin ; 0 ; Logic", 16, "SC_fin", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:37 PM CET
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 33, 352); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1355, 594, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:13:43 PM CET
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "compteur_valid_1 ; compteur_valid(a_compteur_valid) ; VHDL Entity", 5, "compteur_valid_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_Counter ; 1 ; Integer", 5, "SR_Counter", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "I_clk ; 1 ; Logic", 0, "I_clk", 0, false, true, false, false, false, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Shift Key
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_fin]", 12, false, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectMenuItem((HResource) null, "New Divider"); // ap (New Divider)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_RENAME_COMMAND
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "I_comptage ; 0 ; Logic", 2, "I_comptage", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 3,703 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:04 PM CET
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// Tcl Message: restart 
+// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
+dismissDialog("Restart"); // bj (Restart Progress)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: run 10 us 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 26, 532); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1348, 762, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:10 PM CET
+// Elapsed time: 15 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 117 MB. Current time: 2/12/25, 12:14:28 PM CET
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 195, 327); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1514, 564, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 355, 328); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1670, 565, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,659 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:14:31 PM CET
+// Elapsed time: 20 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 275, 694); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 275, 694, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 181, 677); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 181, 677, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 308, 507); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1624, 740, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 12 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "compteur_valid.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("compteur_valid.vhd", 189, 544); // ac (compteur_valid.vhd)
+selectCodeEditor("compteur_valid.vhd", 189, 544, false, false, false, false, true); // ac (compteur_valid.vhd) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, I_comptage]", 16, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// Elapsed time: 32 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "automate.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("automate.vhd", 380, 696); // ac (automate.vhd)
+// Elapsed time: 25 seconds
+selectCodeEditor("automate.vhd", 170, 700); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 202, 738); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 207, 748); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 140, 748); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 228, 715); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 81, 716); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 81, 716, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 205, 110); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 205, 110, false, false, false, false, true); // ac (automate.vhd) - Double Click
+selectCodeEditor("automate.vhd", 272, 728); // ac (automate.vhd)
+selectCodeEditor("automate.vhd", 272, 720); // ac (automate.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 50m:58s
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// HMemoryUtils.trashcanNow. Engine heap size: 3,683 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:17:00 PM CET
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// Tcl Message: relaunch_sim 
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds 
+// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/m24guill/tp-loto/tp-loto-etudiant-m24guill/project_loto/project_loto.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Built simulation snapshot loto_tb_ar_cfg_behav 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_MODEL_RELOADED
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8797.973 ; gain = 0.000 ; free physical = 6162 ; free virtual = 15704 
+// 'a' command handler elapsed time: 6 seconds
+dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
+// Elapsed Time for: 'L.f': 51m:08s
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 47 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,657 MB. GUI used memory: 116 MB. Current time: 2/12/25, 12:17:53 PM CET
+selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (RDIResource.WaveformView_GOTO_TIME_0, Waveform Viewer_RunReset)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
-- 
GitLab