From f03ca8239a4d69436906a59be0c50cbb1638f8f7 Mon Sep 17 00:00:00 2001 From: Alban DE LAUZANNE <a23delau@fl-tp-br-664.imta.fr> Date: Wed, 12 Feb 2025 12:18:16 +0100 Subject: [PATCH] Rendu TP --- LOTO/LOTO.cache/sim/ssm.db | 10 + LOTO/LOTO.cache/wt/project.wpc | 3 + LOTO/LOTO.cache/wt/synthesis.wdf | 52 + LOTO/LOTO.cache/wt/synthesis_details.wdf | 3 + LOTO/LOTO.cache/wt/webtalk_pa.xml | 21 + LOTO/LOTO.cache/wt/xsim.wdf | 4 + LOTO/LOTO.hw/LOTO.lpr | 9 + LOTO/LOTO.hw/hw_1/hw.xml | 18 + LOTO/LOTO.ip_user_files/README.txt | 1 + LOTO/LOTO.runs/.jobs/vrs_config_1.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst | 0 LOTO/LOTO.runs/impl_1/.init_design.begin.rst | 5 + LOTO/LOTO.runs/impl_1/.init_design.end.rst | 0 LOTO/LOTO.runs/impl_1/.opt_design.begin.rst | 5 + LOTO/LOTO.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.phys_opt_design.begin.rst | 5 + .../LOTO.runs/impl_1/.phys_opt_design.end.rst | 0 LOTO/LOTO.runs/impl_1/.place_design.begin.rst | 5 + LOTO/LOTO.runs/impl_1/.place_design.end.rst | 0 LOTO/LOTO.runs/impl_1/.route_design.begin.rst | 5 + LOTO/LOTO.runs/impl_1/.route_design.end.rst | 0 LOTO/LOTO.runs/impl_1/.vivado.begin.rst | 5 + LOTO/LOTO.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../LOTO.runs/impl_1/.write_bitstream.end.rst | 0 LOTO/LOTO.runs/impl_1/ISEWrap.js | 270 ++ LOTO/LOTO.runs/impl_1/ISEWrap.sh | 85 + LOTO/LOTO.runs/impl_1/clockInfo.txt | 10 + LOTO/LOTO.runs/impl_1/gen_run.xml | 226 ++ LOTO/LOTO.runs/impl_1/htr.txt | 10 + LOTO/LOTO.runs/impl_1/init_design.pb | Bin 0 -> 2476 bytes LOTO/LOTO.runs/impl_1/loto.bit | Bin 0 -> 3825889 bytes LOTO/LOTO.runs/impl_1/loto.tcl | 313 ++ LOTO/LOTO.runs/impl_1/loto.vdi | 740 +++++ LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.pb | Bin 0 -> 30 bytes .../LOTO.runs/impl_1/loto_bus_skew_routed.rpt | 16 + .../LOTO.runs/impl_1/loto_bus_skew_routed.rpx | Bin 0 -> 1038 bytes .../impl_1/loto_clock_utilization_routed.rpt | 215 ++ .../impl_1/loto_control_sets_placed.rpt | 89 + LOTO/LOTO.runs/impl_1/loto_drc_opted.pb | Bin 0 -> 37 bytes LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt | 49 + LOTO/LOTO.runs/impl_1/loto_drc_opted.rpx | Bin 0 -> 1625 bytes LOTO/LOTO.runs/impl_1/loto_drc_routed.pb | Bin 0 -> 37 bytes LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt | 49 + LOTO/LOTO.runs/impl_1/loto_drc_routed.rpx | Bin 0 -> 1626 bytes LOTO/LOTO.runs/impl_1/loto_io_placed.rpt | 366 +++ .../impl_1/loto_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../impl_1/loto_methodology_drc_routed.rpt | 356 ++ .../impl_1/loto_methodology_drc_routed.rpx | Bin 0 -> 18532 bytes LOTO/LOTO.runs/impl_1/loto_opt.dcp | Bin 0 -> 188181 bytes LOTO/LOTO.runs/impl_1/loto_physopt.dcp | Bin 0 -> 221674 bytes LOTO/LOTO.runs/impl_1/loto_placed.dcp | Bin 0 -> 221357 bytes LOTO/LOTO.runs/impl_1/loto_power_routed.rpt | 148 + LOTO/LOTO.runs/impl_1/loto_power_routed.rpx | Bin 0 -> 68256 bytes .../impl_1/loto_power_summary_routed.pb | Bin 0 -> 868 bytes LOTO/LOTO.runs/impl_1/loto_route_status.pb | Bin 0 -> 44 bytes LOTO/LOTO.runs/impl_1/loto_route_status.rpt | 11 + LOTO/LOTO.runs/impl_1/loto_routed.dcp | Bin 0 -> 234176 bytes .../impl_1/loto_timing_summary_routed.pb | Bin 0 -> 106 bytes .../impl_1/loto_timing_summary_routed.rpt | 2879 +++++++++++++++++ .../impl_1/loto_timing_summary_routed.rpx | Bin 0 -> 203727 bytes .../impl_1/loto_utilization_placed.pb | Bin 0 -> 242 bytes .../impl_1/loto_utilization_placed.rpt | 216 ++ LOTO/LOTO.runs/impl_1/opt_design.pb | Bin 0 -> 15463 bytes LOTO/LOTO.runs/impl_1/phys_opt_design.pb | Bin 0 -> 3435 bytes LOTO/LOTO.runs/impl_1/place_design.pb | Bin 0 -> 22697 bytes LOTO/LOTO.runs/impl_1/project.wdf | 31 + LOTO/LOTO.runs/impl_1/route_design.pb | Bin 0 -> 16184 bytes LOTO/LOTO.runs/impl_1/rundef.js | 45 + LOTO/LOTO.runs/impl_1/runme.bat | 12 + LOTO/LOTO.runs/impl_1/runme.log | 730 +++++ LOTO/LOTO.runs/impl_1/runme.sh | 44 + LOTO/LOTO.runs/impl_1/vivado.jou | 24 + LOTO/LOTO.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes LOTO/LOTO.runs/impl_1/write_bitstream.pb | Bin 0 -> 2776 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc | 43 + LOTO/LOTO.runs/synth_1/.vivado.begin.rst | 5 + LOTO/LOTO.runs/synth_1/.vivado.end.rst | 0 LOTO/LOTO.runs/synth_1/ISEWrap.js | 270 ++ LOTO/LOTO.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 LOTO/LOTO.runs/synth_1/gen_run.xml | 143 + LOTO/LOTO.runs/synth_1/htr.txt | 10 + LOTO/LOTO.runs/synth_1/loto.dcp | Bin 0 -> 42879 bytes LOTO/LOTO.runs/synth_1/loto.tcl | 124 + LOTO/LOTO.runs/synth_1/loto.vds | 296 ++ .../synth_1/loto_utilization_synth.pb | Bin 0 -> 242 bytes .../synth_1/loto_utilization_synth.rpt | 183 ++ LOTO/LOTO.runs/synth_1/rundef.js | 41 + LOTO/LOTO.runs/synth_1/runme.bat | 12 + LOTO/LOTO.runs/synth_1/runme.log | 286 ++ LOTO/LOTO.runs/synth_1/runme.sh | 40 + LOTO/LOTO.runs/synth_1/vivado.jou | 24 + LOTO/LOTO.runs/synth_1/vivado.pb | Bin 0 -> 30204 bytes LOTO/LOTO.sim/sim_1/behav/xsim/compile.log | 8 + LOTO/LOTO.sim/sim_1/behav/xsim/compile.sh | 24 + .../xsim/compteur_modulo6_tb_arch_cfg.tcl | 11 + .../compteur_modulo6_tb_arch_cfg_behav.wdb | Bin 0 -> 8222 bytes LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log | 28 + LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.sh | 22 + .../sim_1/behav/xsim/loto_tb_ar_cfg.tcl | 11 + .../sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb | Bin 0 -> 141704 bytes .../sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj | 18 + .../sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl | 11 + .../behav/xsim/mux6_1_tb_arch_cfg_behav.wdb | Bin 0 -> 97723 bytes LOTO/LOTO.sim/sim_1/behav/xsim/simulate.log | 1 + LOTO/LOTO.sim/sim_1/behav/xsim/simulate.sh | 22 + LOTO/LOTO.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 3218 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../obj/xsim_0.lnx64.o | Bin 0 -> 9760 bytes .../obj/xsim_1.c | 113 + .../obj/xsim_1.lnx64.o | Bin 0 -> 4496 bytes .../xsim.dbg | Bin 0 -> 3464 bytes .../xsim.mem | Bin 0 -> 2748 bytes .../xsim.reloc | Bin 0 -> 279 bytes .../xsim.rlx | 12 + .../xsim.rtti | Bin 0 -> 383 bytes .../xsim.svtype | Bin 0 -> 16 bytes .../xsim.type | Bin 0 -> 6824 bytes .../xsim.xdbg | Bin 0 -> 464 bytes .../xsimSettings.ini | 50 + .../xsimcrash.log | 0 .../compteur_modulo6_tb_arch_cfg_behav/xsimk | Bin 0 -> 26808 bytes .../xsimkernel.log | 7 + .../loto_tb_ar_cfg_behav/Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o | Bin 0 -> 34344 bytes .../loto_tb_ar_cfg_behav/obj/xsim_1.c | 152 + .../loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o | Bin 0 -> 7088 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg | Bin 0 -> 23928 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.mem | Bin 0 -> 4890 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc | Bin 0 -> 2523 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx | 12 + .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti | Bin 0 -> 555 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.type | Bin 0 -> 7016 bytes .../xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg | Bin 0 -> 8160 bytes .../loto_tb_ar_cfg_behav/xsimSettings.ini | 50 + .../loto_tb_ar_cfg_behav/xsimcrash.log | 0 .../xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk | Bin 0 -> 45296 bytes .../loto_tb_ar_cfg_behav/xsimkernel.log | 7 + .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../obj/xsim_0.lnx64.o | Bin 0 -> 8744 bytes .../mux6_1_tb_arch_cfg_behav/obj/xsim_1.c | 111 + .../obj/xsim_1.lnx64.o | Bin 0 -> 4336 bytes .../mux6_1_tb_arch_cfg_behav/xsim.dbg | Bin 0 -> 4344 bytes .../mux6_1_tb_arch_cfg_behav/xsim.mem | Bin 0 -> 2742 bytes .../mux6_1_tb_arch_cfg_behav/xsim.reloc | Bin 0 -> 360 bytes .../mux6_1_tb_arch_cfg_behav/xsim.rlx | 12 + .../mux6_1_tb_arch_cfg_behav/xsim.rtti | Bin 0 -> 318 bytes .../mux6_1_tb_arch_cfg_behav/xsim.svtype | Bin 0 -> 16 bytes .../mux6_1_tb_arch_cfg_behav/xsim.type | Bin 0 -> 6824 bytes .../mux6_1_tb_arch_cfg_behav/xsim.xdbg | Bin 0 -> 592 bytes .../mux6_1_tb_arch_cfg_behav/xsimSettings.ini | 50 + .../mux6_1_tb_arch_cfg_behav/xsimcrash.log | 0 .../xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk | Bin 0 -> 26568 bytes .../mux6_1_tb_arch_cfg_behav/xsimkernel.log | 7 + .../xsim/xsim.dir/xil_defaultlib/automate.vdb | Bin 0 -> 9297 bytes .../xsim.dir/xil_defaultlib/compteur1_49.vdb | Bin 0 -> 3743 bytes .../xil_defaultlib/compteur_modulo6.vdb | Bin 0 -> 3603 bytes .../xil_defaultlib/compteur_modulo6_tb.vdb | Bin 0 -> 4213 bytes .../compteur_modulo6_tb_arch_cfg.vdb | Bin 0 -> 1179 bytes .../xil_defaultlib/compteur_valid.vdb | Bin 0 -> 3974 bytes .../xsim.dir/xil_defaultlib/diviseur_freq.vdb | Bin 0 -> 3560 bytes .../xsim/xsim.dir/xil_defaultlib/led_pwm.vdb | Bin 0 -> 4564 bytes .../xsim/xsim.dir/xil_defaultlib/loto.vdb | Bin 0 -> 22819 bytes .../xsim/xsim.dir/xil_defaultlib/loto_tb.vdb | Bin 0 -> 6789 bytes .../xil_defaultlib/loto_tb_ar_cfg.vdb | Bin 0 -> 1047 bytes .../xsim/xsim.dir/xil_defaultlib/modulo4.vdb | Bin 0 -> 4960 bytes .../xsim/xsim.dir/xil_defaultlib/mux6_1.vdb | Bin 0 -> 4245 bytes .../xsim.dir/xil_defaultlib/mux6_1_tb.vdb | Bin 0 -> 6639 bytes .../xil_defaultlib/mux6_1_tb_arch_cfg.vdb | Bin 0 -> 1127 bytes .../xsim.dir/xil_defaultlib/registres.vdb | Bin 0 -> 6268 bytes .../xsim/xsim.dir/xil_defaultlib/tirage.vdb | Bin 0 -> 22373 bytes .../xil_defaultlib/transcodeur7s_d_u.vdb | Bin 0 -> 10348 bytes .../xil_defaultlib/xil_defaultlib.rlx | 19 + LOTO/LOTO.sim/sim_1/behav/xsim/xsim.ini | 1 + LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.log | 8 + LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 998 bytes LOTO/LOTO.xpr | 323 ++ docs/.nfs00000000004d6ef90000009f | 67 + docs/.nfs0000000000ce1ef60000004c | 52 + docs/.nfs0000000001b52a4d0000004b | 52 + docs/.nfs0000000001b555ac00000049 | 49 + docs/.nfs0000000001b82afb0000009b | 52 + docs/compte-rendu.md | 32 + docs/question12.png | Bin 0 -> 9292 bytes docs/question3-1.png | Bin 0 -> 20293 bytes docs/question3-2.png | Bin 0 -> 15251 bytes docs/question6.png | Bin 0 -> 15893 bytes src/automate.vhd | 70 +- src/compteur_modulo6.vhd | 12 +- src/mux6_1.vhd | 25 +- vivado.jou | 108 + vivado.log | 1144 +++++++ 198 files changed, 11414 insertions(+), 12 deletions(-) create mode 100644 LOTO/LOTO.cache/sim/ssm.db create mode 100644 LOTO/LOTO.cache/wt/project.wpc create mode 100644 LOTO/LOTO.cache/wt/synthesis.wdf create mode 100644 LOTO/LOTO.cache/wt/synthesis_details.wdf create mode 100644 LOTO/LOTO.cache/wt/webtalk_pa.xml create mode 100644 LOTO/LOTO.cache/wt/xsim.wdf create mode 100644 LOTO/LOTO.hw/LOTO.lpr create mode 100644 LOTO/LOTO.hw/hw_1/hw.xml create mode 100644 LOTO/LOTO.ip_user_files/README.txt create mode 100644 LOTO/LOTO.runs/.jobs/vrs_config_1.xml create mode 100644 LOTO/LOTO.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 LOTO/LOTO.runs/impl_1/.init_design.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.init_design.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.opt_design.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.opt_design.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.phys_opt_design.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.phys_opt_design.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.place_design.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.place_design.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.route_design.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.route_design.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.vivado.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.vivado.end.rst create mode 100644 LOTO/LOTO.runs/impl_1/.write_bitstream.begin.rst create mode 100644 LOTO/LOTO.runs/impl_1/.write_bitstream.end.rst create mode 100755 LOTO/LOTO.runs/impl_1/ISEWrap.js create mode 100755 LOTO/LOTO.runs/impl_1/ISEWrap.sh create mode 100644 LOTO/LOTO.runs/impl_1/clockInfo.txt create mode 100644 LOTO/LOTO.runs/impl_1/gen_run.xml create mode 100644 LOTO/LOTO.runs/impl_1/htr.txt create mode 100644 LOTO/LOTO.runs/impl_1/init_design.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto.bit create mode 100644 LOTO/LOTO.runs/impl_1/loto.tcl create mode 100644 LOTO/LOTO.runs/impl_1/loto.vdi create mode 100644 LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_clock_utilization_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_control_sets_placed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_opted.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_opted.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_routed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_drc_routed.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_io_placed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_opt.dcp create mode 100644 LOTO/LOTO.runs/impl_1/loto_physopt.dcp create mode 100644 LOTO/LOTO.runs/impl_1/loto_placed.dcp create mode 100644 LOTO/LOTO.runs/impl_1/loto_power_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_power_routed.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_power_summary_routed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_route_status.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_route_status.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_routed.dcp create mode 100644 LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpx create mode 100644 LOTO/LOTO.runs/impl_1/loto_utilization_placed.pb create mode 100644 LOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt create mode 100644 LOTO/LOTO.runs/impl_1/opt_design.pb create mode 100644 LOTO/LOTO.runs/impl_1/phys_opt_design.pb create mode 100644 LOTO/LOTO.runs/impl_1/place_design.pb create mode 100644 LOTO/LOTO.runs/impl_1/project.wdf create mode 100644 LOTO/LOTO.runs/impl_1/route_design.pb create mode 100644 LOTO/LOTO.runs/impl_1/rundef.js create mode 100644 LOTO/LOTO.runs/impl_1/runme.bat create mode 100644 LOTO/LOTO.runs/impl_1/runme.log create mode 100755 LOTO/LOTO.runs/impl_1/runme.sh create mode 100644 LOTO/LOTO.runs/impl_1/vivado.jou create mode 100644 LOTO/LOTO.runs/impl_1/vivado.pb create mode 100644 LOTO/LOTO.runs/impl_1/write_bitstream.pb create mode 100644 LOTO/LOTO.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc create mode 100644 LOTO/LOTO.runs/synth_1/.vivado.begin.rst create mode 100644 LOTO/LOTO.runs/synth_1/.vivado.end.rst create mode 100755 LOTO/LOTO.runs/synth_1/ISEWrap.js create mode 100755 LOTO/LOTO.runs/synth_1/ISEWrap.sh create mode 100644 LOTO/LOTO.runs/synth_1/__synthesis_is_complete__ create mode 100644 LOTO/LOTO.runs/synth_1/gen_run.xml create mode 100644 LOTO/LOTO.runs/synth_1/htr.txt create mode 100644 LOTO/LOTO.runs/synth_1/loto.dcp create mode 100644 LOTO/LOTO.runs/synth_1/loto.tcl create mode 100644 LOTO/LOTO.runs/synth_1/loto.vds create mode 100644 LOTO/LOTO.runs/synth_1/loto_utilization_synth.pb create mode 100644 LOTO/LOTO.runs/synth_1/loto_utilization_synth.rpt create mode 100644 LOTO/LOTO.runs/synth_1/rundef.js create mode 100644 LOTO/LOTO.runs/synth_1/runme.bat create mode 100644 LOTO/LOTO.runs/synth_1/runme.log create mode 100755 LOTO/LOTO.runs/synth_1/runme.sh create mode 100644 LOTO/LOTO.runs/synth_1/vivado.jou create mode 100644 LOTO/LOTO.runs/synth_1/vivado.pb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/compile.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/compile.sh create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/simulate.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/simulate.sh create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xelab.pb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log create mode 100755 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xsim.ini create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 LOTO/LOTO.xpr create mode 100644 docs/.nfs00000000004d6ef90000009f create mode 100644 docs/.nfs0000000000ce1ef60000004c create mode 100644 docs/.nfs0000000001b52a4d0000004b create mode 100644 docs/.nfs0000000001b555ac00000049 create mode 100644 docs/.nfs0000000001b82afb0000009b create mode 100644 docs/question12.png create mode 100644 docs/question3-1.png create mode 100644 docs/question3-2.png create mode 100644 docs/question6.png create mode 100644 vivado.jou create mode 100644 vivado.log diff --git a/LOTO/LOTO.cache/sim/ssm.db b/LOTO/LOTO.cache/sim/ssm.db new file mode 100644 index 0000000..e6fa338 --- /dev/null +++ b/LOTO/LOTO.cache/sim/ssm.db @@ -0,0 +1,10 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 12 10:21:38 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ diff --git a/LOTO/LOTO.cache/wt/project.wpc b/LOTO/LOTO.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/LOTO/LOTO.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/LOTO/LOTO.cache/wt/synthesis.wdf b/LOTO/LOTO.cache/wt/synthesis.wdf new file mode 100644 index 0000000..0e7e81d --- /dev/null +++ b/LOTO/LOTO.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6c6f746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333073:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323539342e3034374d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313031362e3233304d42:00:00 +eof:1730769962 diff --git a/LOTO/LOTO.cache/wt/synthesis_details.wdf b/LOTO/LOTO.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/LOTO/LOTO.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/LOTO/LOTO.cache/wt/webtalk_pa.xml b/LOTO/LOTO.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..98af0db --- /dev/null +++ b/LOTO/LOTO.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Wed Feb 12 11:54:19 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="f50fa2234be14825882bc86cf9c1e727" type="ProjectID"/> +<property name="ProjectIteration" value="1" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/LOTO/LOTO.cache/wt/xsim.wdf b/LOTO/LOTO.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/LOTO/LOTO.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/LOTO/LOTO.hw/LOTO.lpr b/LOTO/LOTO.hw/LOTO.lpr new file mode 100644 index 0000000..aa18adc --- /dev/null +++ b/LOTO/LOTO.hw/LOTO.lpr @@ -0,0 +1,9 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/LOTO/LOTO.hw/hw_1/hw.xml b/LOTO/LOTO.hw/hw_1/hw.xml new file mode 100644 index 0000000..6007f73 --- /dev/null +++ b/LOTO/LOTO.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a100t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a100t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/loto.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/LOTO/LOTO.ip_user_files/README.txt b/LOTO/LOTO.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/LOTO/LOTO.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/LOTO/LOTO.runs/.jobs/vrs_config_1.xml b/LOTO/LOTO.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..6f1f463 --- /dev/null +++ b/LOTO/LOTO.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/LOTO/LOTO.runs/impl_1/.Vivado_Implementation.queue.rst b/LOTO/LOTO.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.init_design.begin.rst b/LOTO/LOTO.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.init_design.end.rst b/LOTO/LOTO.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.opt_design.begin.rst b/LOTO/LOTO.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.opt_design.end.rst b/LOTO/LOTO.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.phys_opt_design.begin.rst b/LOTO/LOTO.runs/impl_1/.phys_opt_design.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.phys_opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.phys_opt_design.end.rst b/LOTO/LOTO.runs/impl_1/.phys_opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.place_design.begin.rst b/LOTO/LOTO.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.place_design.end.rst b/LOTO/LOTO.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.route_design.begin.rst b/LOTO/LOTO.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.route_design.end.rst b/LOTO/LOTO.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.vivado.begin.rst b/LOTO/LOTO.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..35d13e9 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="a23delau" Host="fl-tp-br-664" Pid="55765" HostCore="4" HostMemory="16258244"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.vivado.end.rst b/LOTO/LOTO.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/.write_bitstream.begin.rst b/LOTO/LOTO.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..9ae6608 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="a23delau" Host="" Pid="55837"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/impl_1/.write_bitstream.end.rst b/LOTO/LOTO.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/impl_1/ISEWrap.js b/LOTO/LOTO.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/LOTO/LOTO.runs/impl_1/ISEWrap.sh b/LOTO/LOTO.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/LOTO/LOTO.runs/impl_1/clockInfo.txt b/LOTO/LOTO.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..6311681 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Wed Feb 12 11:56:09 2025 +| Host : fl-tp-br-664 +| Design : design_1 +| Device : xc7a100t-csg324-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/LOTO/LOTO.runs/impl_1/gen_run.xml b/LOTO/LOTO.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..db1074b --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/gen_run.xml @@ -0,0 +1,226 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1739357658"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="loto_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="loto_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="loto.bgn"/> + <File Type="BITSTR-SYSDEF" Name="loto.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="loto.ltx"/> + <File Type="RBD_FILE" Name="loto.rbd"/> + <File Type="NPI_FILE" Name="loto.npi"/> + <File Type="RNPI_FILE" Name="loto.rnpi"/> + <File Type="CFI_FILE" Name="loto.cfi"/> + <File Type="RCFI_FILE" Name="loto.rcfi"/> + <File Type="PL-PDI-FILE" Name="loto_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="loto_boot.pdi"/> + <File Type="RDI-RDI" Name="loto.vdi"/> + <File Type="PDI-FILE" Name="loto.pdi"/> + <File Type="BITSTR-MMI" Name="loto.mmi"/> + <File Type="BITSTR-BMM" Name="loto_bd.bmm"/> + <File Type="BITSTR-NKY" Name="loto.nky"/> + <File Type="BITSTR-RBT" Name="loto.rbt"/> + <File Type="BITSTR-MSK" Name="loto.msk"/> + <File Type="BG-BIN" Name="loto.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="loto.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="loto_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="loto_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="loto_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="loto_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="loto_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="loto_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="loto_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="loto_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="loto.drc"/> + <File Type="ROUTE-RQS-PB" Name="loto_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="loto_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="loto_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="loto_bus_skew_routed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="loto_utilization_placed.pb"/> + <File Type="OPT-METHODOLOGY-DRC" Name="loto_methodology_drc_opted.rpt"/> + <File Type="PLACE-UTIL" Name="loto_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="loto_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="loto_io_placed.rpt"/> + <File Type="PHYSOPT-TIMING" Name="loto_timing_summary_physopted.rpt"/> + <File Type="PWROPT-DRC" Name="loto_drc_pwropted.rpt"/> + <File Type="PWROPT-TIMING" Name="loto_timing_summary_pwropted.rpt"/> + <File Type="OPT-DRC" Name="loto_drc_opted.rpt"/> + <File Type="PLACE-TIMING" Name="loto_timing_summary_placed.rpt"/> + <File Type="INIT-TIMING" Name="loto_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="loto.tcl"/> + <File Type="PLACE-CTRL" Name="loto_control_sets_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="loto_methodology_drc_routed.rpt"/> + <File Type="OPT-DCP" Name="loto_opt.dcp"/> + <File Type="OPT-RQA-PB" Name="loto_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="loto.hwdef"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="loto_timing_summary_postplace_pwropted.rpt"/> + <File Type="REPORTS-TCL" Name="loto_reports.tcl"/> + <File Type="OPT-TIMING" Name="loto_timing_summary_opted.rpt"/> + <File Type="PLACE-SIMILARITY" Name="loto_incremental_reuse_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="loto_methodology_drc_routed.pb"/> + <File Type="PLACE-DCP" Name="loto_placed.dcp"/> + <File Type="PLACE-RQA-PB" Name="loto_rqa_placed.pb"/> + <File Type="PLACE-PRE-SIMILARITY" Name="loto_incremental_reuse_pre_placed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="loto_drc_routed.rpx"/> + <File Type="PWROPT-DCP" Name="loto_pwropt.dcp"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="loto_postplace_pwropt.dcp"/> + <File Type="PHYSOPT-DCP" Name="loto_physopt.dcp"/> + <File Type="PHYSOPT-DRC" Name="loto_drc_physopted.rpt"/> + <File Type="ROUTE-ERROR-DCP" Name="loto_routed_error.dcp"/> + <File Type="ROUTE-DCP" Name="loto_routed.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="loto_routed_bb.dcp"/> + <File Type="ROUTE-DRC" Name="loto_drc_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="loto_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="loto_drc_routed.pb"/> + <File Type="ROUTE-PWR" Name="loto_power_routed.rpt"/> + <File Type="ROUTE-PWR-SUM" Name="loto_power_summary_routed.pb"/> + <File Type="ROUTE-PWR-RPX" Name="loto_power_routed.rpx"/> + <File Type="ROUTE-STATUS" Name="loto_route_status.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="loto_route_status.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="loto_timing_summary_routed.rpt"/> + <File Type="ROUTE-TIMING-PB" Name="loto_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMING-RPX" Name="loto_timing_summary_routed.rpx"/> + <File Type="ROUTE-SIMILARITY" Name="loto_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-CLK" Name="loto_clock_utilization_routed.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> +</GenRun> diff --git a/LOTO/LOTO.runs/impl_1/htr.txt b/LOTO/LOTO.runs/impl_1/htr.txt new file mode 100644 index 0000000..0691ed2 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace diff --git a/LOTO/LOTO.runs/impl_1/init_design.pb b/LOTO/LOTO.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..66e8e296ffcd453808c8f8e9ddf711affc69405f GIT binary patch literal 2476 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp&1g}9K<#>H8;^SGBYyb<YG58 zG1jv%ury-hVlgu@GB#r8Vl^~1G&YE0Gc?yVH!{j#DB!8!nZU>(z{C~L#p9fxo12)I zVx^#3%q74g#bj!%#aWhFmY9-XlAL3t$EA~#nU@`(l3JXZo~NK&l3$>ZlV6grpj(hw zRH9IkY@TRnU{I1=oNjDnqH7q%YNBgsXq>@Nz*4~iu_Ba9*#)9GvsfV|H7&6;rzA5k zU7;jjp|~iyIJE?3GqZ`YxfW+ZPGX*8MrvY;5jPiSaeir0a%yqBVHBI8k*<lcIkLeK zTxz%sPR`FOE-6Aa+XT&Qs2aH0Cdg*Ha`F4*C#Hbh3HGOIu>h+Sv!SWE7F$YcS!Qx7 zD1tCN&t_z(YhnQMJ!=Ik#P`u$DglW_U_UCP<fj%Z<mHzrWF(fQDmc43`YPmPCKV+X zRqBCEw=^@uYdX7;p{}Ko5hx7c#(y3ZiB*P6$}hDfC$qRjp*X(?9J|T+xdl0?C8>Hy z$=1NYh?k2Sl7tNm^b8EaDc922SkKtf5R^;}^b8CP!0Fb&1T0`^Vs2uAE!_rl={n{m z=2TXJVo0@EAv7<uI5SrvH77MUHLs*tAuYd1p(wQ=Covf;z$L&c#bju%#hwRorV%q2 zqj?mok)^I7N^nMS89_}7DN4*MPRlRKO)SaG&r^VTCq*GM4^$R72ZSmVrzYp;r4)nB zHnKo5+W>5~kwpeW0X(Wgxa44gRGwI@0Lk4c3gww486XoODa^>yP>UU!<Bd4D*uuaW z5yUbwFf!3IjAAv^H8HnDHrJa=GoUE7Ah8G(6j1+x{hyPco|&wWUr>^nn^^_+2FR(* z#wM0<D?rAXnj0V+*UiW!7LZs}3^Fak#aY2EGbdFcTD3S9R5&mjnp<jdL&}2ElFXc9 zBQGvD{fzwF)MEWaBjc3RoWxRnUso4re?R?_0$or+p_^J#nv$8ASE8F|WSo>=nw+6u zT$HTums(L-Y!dIASX`1?q*sxWEWl{N7{z8}plb;B9=!ON!pJ4>mYJ7XoRONMfX`tT zw09UNKH&}v<kAc%1-nYMSRpg7EVZa4wMe5_6I8IN7AxfBC#EPAWaeoUYwCf*oypQd zi#flb1eEj)z(f?Av7xS^G00GOBKa`H@-w_jGB5yTW+OurLp=)%aGe9s%%+yc(9CRR z0xHNcDxn-MMeMmQxHLC6v8d9D3z9hu4Usa3I+v<nK1RMTPc2GSD1qhO)D%4~P!cyZ zG(=9~wOsD1#R{HzY5B!EpsW|3Sd^EUmk!}L7iE@!t7@32LSkMDNR?|*QGQXeLTX-e zeraAwY7xkAP<?4+fm~lQb1_<gV;4b0v6$$ZfE)l%I^JATs>MiUN^xm&a%ypLT4_#B zB`BLQ8CfEm$;-u!R0o5N16AU1<DL$RM8nU;i{wh==8AzSC~ZK?eQ<LHo=!Nq*iFsM d^~{V6LB&47l)}fw<(ikG5R{snUz8%i2mr)nmTv$6 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto.bit b/LOTO/LOTO.runs/impl_1/loto.bit new file mode 100644 index 0000000000000000000000000000000000000000..fd608aa9832e4c08e494c11edd2fdd5236cfe23f GIT binary patch literal 3825889 zcmZSJ<o^JH3=E8k3~D*~CHdB&#i>P}F17{{ZctzymReMtnV)BCWME{XXULGmz-yjp zXkbv1T%2xfWWtclz-?q;WU6mqq;F`%kix)eXlP|>W@Tc@kjh|{Q1hPvU|?X_EvUrc z0#mkXW@wrM0|SErlL8|Hm}Y>`3=Lo!EC*q;gV-Q!z?1-%GhkF;WMZ&?YQT5^%5Pv~ zP8OJK08!5gR?7gAV_`I4Sis1@z{+R<<})xDFhlGCslkU07@+Qgn8^rIYrx>Z5WqL} z2FSgmcr*k?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz91y0$grb>~p{( zHYzk40;3@?8UmvsFd71*AuyyuV6=`OQqeW)*wGLe4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2cav{JKgyCcs43SZR(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83z5dzrO5;?LkFt82^*Nsd^NI7Eqn~8yefeA!lN{xz)hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#_BF3Y=TX*F36|CLu5yCo~DxQA0;VU^E0qLtr!nMnhmU1V%#uGXw;{ck`l(Gox}x zd7~jP8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VQ3!B(VS0uIQ)pCVGz3O; z2uOKjdY=PRXjEi01V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~z`w&3C+k{hV*n4@@ zgQFoZ8UmvsFd71*Auu9B0R3JjN$nBg?NLvThQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc44atNT`Z$ij; ziP8H{2nEro{AdV_hQMeDjE2By2n>o4K)>IFkfS(8?>`|FM5FSfAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=Cp%nrS)O9X98+FYaHElEmMnhmU1V%$(h=jmseKJHM zYSfvdAutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMneD*0?Y^|RagRyR551MkkJqr4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz4fA0xVQEmz|5s296px8UlkL1URVd261jG8#rp*Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=Cp&SAnoSTQT^XcPuYTv&| z$kk$__cIa-qEY$L5OCn!HW~<nECd83w+u2DQO7wA)G=mYOktirF!oa4R@&9X)V@2G zkl#fO38@&B9}R)g5Ezyrz%;ad#hf^_-H+*ddYAr~E}&FIxSCQE2Bm(7LFp<Y9VI-C zNQI-yMnhmU1cpHf!0HhW28JPC#|tYCgXkFb!e|JLhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR zjY5D6yrzpq5K0Tv$Qn8r%gjgzOXy;Sx&i|OLjyx5m}X!&MHfqHVu`Q_O^h8ibTkA; zLtr!nMnhmU1cq)1aDb}$A-*t`fq{XUedtC3s>@jz7#JA@7#JNG7zCg)L$pmPJbd>3 z3PNLo0knu4yzy569y<uBA;1zy8Ul_a%848dtV|pX91H>qjIew{l)k~INPu_nxrsa% zF*a}@N-gq?7$mv`3I>ToD0hYn!+{P4&Hx6b4shuO>eNFp<wn!j1m>6YwU36jIWRg1 zFt7v+(TW`@U<5*tI76M;z`?-5#K6R$0BsK|FerfQ`l048m!alhT<+y*;$Uh3bx;&Q zWfv}0LqVLmY$&*r7&kJiu&}ZSFfvRK0JXcCK!e24>_Uw0L8V4%P`QQ@$0#r`_y~A_ z+QEXXl$bN%dIc&6+zC{2z$7Mt22jRmU|?VY(Nr>aAdI;?5SG%yP7WRpMg|841}$0` zPFFL9CFp7$EiH2pU{GMtJix#JiWvq@T3SV0vzc>gYd-x<=M>=LV9;gYVBi8DJ35rR zDHrJ%YP7ehk%?g_#|1Qi1jL|>p--7GFflPPGzu~>2rv(6-!Rt<Y3Cz3zMD&~BV=zJ zM*|mED+>d|5RMjs3rOA?(#-CCa$VQ=$8}ES;+o3Nz%Yd8>I71TbVMLIe!iVuSKR)% zn-d{%GKBMq@I54N4QXce|N8q33_SKj+7l4R^FyeiPu}~#|2+ePAkqx*koD8BiIe~L ze*a@&xH}xiC5BI&RDb_FT6PVxvLQf#ldDCM#gRb(b`0Slb0D$K89wc<42A|N9Ucak z3Wf$LVtqeI)uj$ncah;N<Ny4|3=AQmM#f}l9z<FMKyyHY$UT%e#rQ5LPC`SChkBgQ z?urUZf|o44XYNWRH7JCJ8QYMheeh@+!u?&;KonFu^B){1Lq4A{u%Y^F=<*=@7#Su) z>8TK!?c>n%5p(I#b2D}qt1B=xFfcH!gzRTz>QUDK@tIFxS3QVDIXJ%$V%Lp2Z8QW% zLtxN^z#!`mILPc8G(j`!5J(6NtNR&2!(1Fp3=AL|;yuugEf{O)(9F$4$E^fh$|%6# z09q={zzAM@=Kx;j#YjY-c(AR#XT3_mr$b4ak)eS>iGhWIp^1SByyX-mFqAw1ajU?v zxvLzqb4~%Y;{=-ULAx74n;$?vMJ~@k{K1UHXAWl9k?1zidOL7@FfuT(fH)-TA0*0! zj}8)tQ0xo^4TcWT9)~8T6$~s+te{J^z{~5wkp$X}La`0>GJu_kI*?v&q1YZqP~LE0 zU}0coU_tX23uvH)VhiYFfWQzwdkiClm>3!q7?`*k92&qoQ9xM*L(NbS$Q%leB*u*j zS}Gh3Obm+}7_^u`g;p~|0|OJoq@h%~L%qrD1!W9*iiLq;0t<r(WPO(tBL@Qm8v_f& zP;3nkpFShw5&;GW1_wrlDO@Z<Lpy~f3~lElT%W+eAhefJfq@|_g+qtK!2xtk5d)M! zs2UQiiXq`jA{?o}&>+CrqO8yeI<=sQg&kbOJAn^VB|`ULQX&AV4hNHi$al*E&^$KF z1S19o1_nk24JPP-7x@Mblul;-fwGy}_VRG)F)*;OaDaxp7(wv@u>h@~0udbCr0}=F z?LOjN*Tl$pfWe5Ng@d7?z+p<8Lc<X1C8GLLVA$l7CPBr7)r?9R5?oFa%;79mV1G7( z$stAv44bj~94)^N7LC{mYD;7u>vZgbjX@5<J#+#?Z><fERR6!a{^x(|`agF2{{7Cs zzptK=v5|qHaVYL<WS)z|i$hNM-TwSn>vQXW*1wPYZ-4(^T_dPMX>1sR`DFN<Bhlp8 z;J|OJuhgJ$=A052^Z6l{s1Od;MKFgti-U#V`2T+gfiq{6;$4RFS#h9gprIZaVD~pU zGBN%S)mLI+JaeWzzPubPI3x*XpCRdNtd3R?U<lP$;$S*+rhG`3UBf3%92tZ_2SKv9 z2nYx%urLTYwup3qXsppUs0Ep02etD^a9o8zLzD&!gNuLw1897OsluTlie+dny0av~ z+k;wZXy|`q(YfFMf_7ws_sRcf_&=x}jN5TMxTS`c%%WBA(#-e&{`dP|iy{L9^Ld6L z8z;g;d_N{4-GqiR7_;=$-><*lqPXh6py1Gr6NX`vPgboGOjBF8|2^o`6)ygvoKG0Q zhbJOZ+t6hV!38Qf0-M<yhs2N*Rs*}3sRv9AX@c2sNIQSj@uMLy8UmvsFd71*Aut*O zBPj$x>xV#ii27OJ!4P#gR%Z(^FtC93GY;(w=!Q?6I506l*3^SyYe=u|6rKh0!f?T$ zi%SRDsSsoYt#A$*ng*p71=!#UXe$uNg)lydJ)|)6>LKM^JdQ<KK@Zy4h)3<<mJ(Pu zxZOvx>o^!dGr%BiLpGlXd;vLsIA8?^27%#GpA4UK?ie^3K)bX+$%~7D0baX+_(KgB z4K)YjbT4QvDo)9vBr%-M7amICKI+!d5E#%97}7m>NXQ90Lg-O)Gz4f70<aT^Xkj)z z%^W`aei=sFUGz*iqjn9|5NK!^CWV*qaJV}Ul8PW@*N`54gm^?a078$FqaiRF0;3@? z8UmvsFd71&5O84_Hs@Y(g8VZaumI}i0YlhJ0`f!H@uN;34S~@R7!85Z5Eu;ss)qoR z6L|3uWNd|zfq_X8w0&0WA=NFSwSfu&D`;&6z07A+03Vf{zye)-$Kv49z`(%lK`;BM zW)FvyB-P9sHHbPPFs$x4Lk|*2F@`QW==lOtGK1cYBh7&hoZCj4mq-0ezYxGa$p~(X z(a#Z7v1$0M;buUb0O`uWz~IQh-~_&*kt)GNS3?B$)73hfTc!ZM&VlCE(9{9}6Pg-N zN5hByo;g@x3ERL}!-a-+8DZW*<HCdoHN9g{yN(pcf$pLKsc!-ikR90|7WTRyBr{mC zK=xpD8L94qWe6Bes{X;FUSQ~Z0WC1mE-Ocq9SU3lsiELXqTC4EgWbdcD!tkmhU@}j z;YURIcL*pF0H2}=y9XQQU>1j=SD!EsJtxD08#nFXzya!}fbNh7EuFxvaB#{ns}4?g zk?SfZNZ(z7!GVEkD2}Uu{4HPyB8M5)0QpB?=pIfa2Xg<gz|a;XICn59Ffb?}E(9E6 z{u?&?85<ZF8X6c_8VtC&7z7v^SUMOMG-(XUb$HCfX3ZV^25d$K1vUmp1|}931_qI# zxXe>{@es?`IGqcb>1ARF0WlOD85qPsN*M(}<j}$bfkVr^_*@HZ)^l+wxG+p$S;fF* zHZ;qw;d5SnBLiqdBU?iVH<v+(#znSOVtm^)L^vhz#p2+U6Bst<)iZ*(m@+YxaC4=& zDPDA0CAP{;gMo1f#tHL`!I@Uba25I;G@%SEXU;H$l3~&SYhhkAzz(3E^=NVO9~>wD zN8^NgAxyeSXmPS?6%WgqGylR!H)z0hWDU3rsO12(I0*&E3FBy-P%C^XFvpQWfP;~N z!ATK(Un7$n1x5{!R_1vFWHHsPO<|ZI0x}f3uaPOSqb*8;MFiY)1c?tJymSb;l_;l% zh8h|(Fz_=O7_fkcBZ<;9xD*Y&Ju?KtFX>e|C{8{M-8c~djSdm;<<OD_<&#)HSUwqw zSD7-`46P6+?AleU(u}`8<A>#wAs8vba|ru#RK;irjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jh5&6tU}!9T79tb~4a{ysa8o}HUtQqEAweZ! z1AZzQM8FuyODr7(l#nkCx`2dy-6K_J3+K(@lTU_ABy!&8pj|#0E`c=akI@hq4S~@R zpmPW?)7d_1SU3FkGg2c|M$H%vfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UiCT1ek`Xw}n>@QHPH@dq{==<B)VU z0|WDlA?ol^XOD)!Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cQXw!D??4egIi!Mk)Um@L z1cu@rD9k5@LBNlCVKf9rLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb23#5MUXEZX(7>!mEf;HL7Mb1gH}N9Mmy}?xrxWrn{A+7LJC% zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7z81}Gzi>4suP4ylB#1={b&eK76Ocv zX&(^X%qIrK+EGhKLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2kBLtYp&@<3lgij8% z3r6i9X(7Nk1U<}rVhB2V)XAeEFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnizkA;3gu`>0`^@JVWzGHS+X2oN6vjKnJ)G%A@-3>v45I%G5i zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc4a2mvMxk-;w@d~)!+anyywD+Cyapm&&03_(YaI(ak%MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70rEpYfPAe3rIY!{K-oNM z?`Q~&hQMeDjE2By2n?YRP#8jPg*sLED3mcujfTKz2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S22IRq9pfGy+!lcU6F2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2C_41pndf&?U>g||THQF1f{MnhmkhQJWq84rmk=B*HV zlpGBKI)uPzKA}S(lW4_goRAn`qsm7^U^E0qLtr!nhF1tk>7x2)$X1M~-WcT#&Jb`I zoX#TbD&}p3)eKn`EJM@f%!`JmyNPu*WP>2Fst1QU;q!yT9iy%o4S~@R7!85Z5Eu=C z!5IP$3=E783@(Gyad=(D`3olP0BX;JFic?R(@YB(l!l-$B<H~$y?}v1p$X0#WsHWv zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nhIR-z3=ZeBx(yC@jJjep1h9sH09H}D3yQkX-O5o5 zM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1kgf20gXQpT-MxyuyxeV(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FTLC-~igC1;V(M&`C!60G%u%*&4cs(E<hr zg(i}nNdpb6u{1E0Dn=>{nlPe@cSa2v4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UhRq3Y=R<gJF<`z-XKdvIrS<&S(gXhQMeD zjE2By2#kin0E7UY4lQDH9RR<LS~(g5)CvJQ-4!S{dKVzIVr<l$(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqalDA0#v%c zQGE1%M$~W}<qfY8pwj(~?4$QH4zF}E>YLFJ7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!84;69Pou-^h_Vbi6d`($Ns0dI%7C zf1^YS)omU%a1@M&z-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n_8IfZmTHIeP!e(2mDZ z*V8rxp!cJ2j^2Ml+i)H=eKZ6{Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2CF4FRrJJWhw+ zk%C9XsMKf(jEE4BYQf`8=p89|RE$cEhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S0iH3YcZDKM^q0==VJM?+vV1V%$(Gz3ONU^E0qLtr!nMnhnLLqNKX0tYxypm$X3 zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjD`T&A;8^2wpIoP0SfevY8?%MAru0mchU@@$QpI(Xb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C5g7tJtu*wu0u9X` zHF`7z=okVrl-y%V$epA2oDvG5QTfpj7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?=t4lYZ2+C*Fo1TCT0I&9B!mEOI|+)Zt+avK z29BCH8UmvsFd71*Auv!OAkQ{XHj`oRXgiIJKpWLUjS%2>qlPJTHe)ou&^f?Itz&Q; zLSX>w&y41iArxt&P8|(_(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*Oqai?K2yk$2CQ{|7ve6J24S~@R z7&IZk#K5_8&^Tt)A&?MgklYSojFO`vFd725LqPr)Zn>c&!!mT7N`y=Ky@*gW$dnAP z7{Cl%`Jb3#Lr;Wh=s9`R#iJoG8UmvsFd71*Awc60;Qv8m3+Q4zBVBBvmn8xl=w%c2 z?BT#L3R46_$*90+2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQNpp0r{4p>U|~$@XCmpL)HDb z-Ob;OTW)a6Fe<o#9GCziM)7C}jE2By2#kinXb6mk0D1`UzeE=qn*8CkD~P{g=*0nO z?;H$+UC+qC0OEt$!+?<29%`OI4Sfd&1_lQP1_1_zq2>?V?v<a6TW*lcfV+%?+>K;8 zPauyhZG%P=IAVrT9svccKn92$Qn-PE0TdG)4GarlCDstE6!8Q(|0Fz;gIfx<Vj0|S zCCPR2x+Ezd1d2Ie`2_Bk2Bn7KReBBOF+Aj$KxV^ZYlt#9hn~B^wFv`514jdcLI>3O zL(m&gmt#@_+?ZlRO@wKf)hq(?LoEhi?qy_P7+%dSn8z6SFTj~Yl`*_F$1^Z6$lo5S zQ2}!|sCI#|N9oZJ7!84u5(4}^L)fR_I-X@XO`U)vLm&`L4M74?wGX#Qk-s|xqXX<@ zSX-EdX}HD7(C?qHFb%gpBRI*85~Cq78UmvsFd71*AwXdW2=G#9z#!1h0b0B=2;4y} zC-8Ss%Ov`n(;!5DJ4bCC4S~@R7$hOUHAvh+finb#S$)DV8YdK_2HI%lA98cQkU1<C zCN6O9VA%?x8K%-Ed<MW&4z?k;URVt>56_{l0h*6zKBEp^MZ`3e=N!-@{tvpqVCO^f z3EY+7ITSc=STN)(hN3qRb0<U5_4wV)#K3kKzly;rJIoezp$2jT+feTdgKHC1PYrdR z!0Msq0~QAG4hIDW2Jrl%0s{v)TF|PQq2?=O_X-Fg^M(eS1-xC3fx*Fnfq^B^fkA)~ z+$<g%jwiyE0yRV^8gxn=7#S25K&i<gfI$$npK;K+aMVG=ECfCbCI5gb4GsndCJqJ; zP=`^WNr91pL6J*=VK@vC!6Sk{7S0?B3{Vddgc%qbm>MLQSOo;YJL4I_<WTScF>V~n z`+gZ2SU`0OE6AV2s>d=1<fEa68=4rJ8bEur6gm`|7#1)z46Cw>!G5U42L}TOs6J6( zXkb{>)WE>Rpdh6*)cioey+djY613-+p@9KZVhJcQ2rw`*7*0@OU|<+t2d)SVxq_I9 zg+W1tg@J*Ig@H-Y;eZPx1Iq#?E^wcoK=K<Z(gL%Gic4YcbkJa6@ZfM@@MvII;n1ML zAi&n(z@PxmJ1`|fosJoD9smt*32-<tO_;#IAfUw3z`&}Y!N4$t*F>Smz|b4-N?;IZ z5Kv%QBA@^|Zh?bQl7WGv3Ef*mo3A(IobSLT!N6dkz`(%bz`@19$iTtC#4xNzA^DYu zTAXk&g)lKPF))G}TLPjC2B1L}28Id4D60qzxig=oVoH=)SQs=IL>0U^m_4%8ns`ze z6a|=vTH3<n-U)c*2B#DgS3rdY%K_~LAq|XwmNEwNFbFwtISf62;0#^<aGX+uRAN?L zT~VzK&&%wuUtUT6e_LJN$MelqJ7D@CbuKy1nnjMLfz%TfS65YISMo!9Yssn1|9|t# z`})d)v@cyANH<Z}Zu#}pHIMeDT@7VmGXBrc&&1%6Y;1g7gn{8-2<>g9s|}#xBDz{g zsb&BFGctt!=l5r3P~2;5T<FNaux`kW58)33`F;512cPW!{~$NT$1^fGB=hsj6f!Wp za{-AAAI!ghsQD!{6x8emm0c2dLirt!55G8(KQ+|igdsqvgMmSj!Ldc8LxF`s$b~@y zG$=gO*A5~@!_cea85r0+KpqfqXo~_3*$Xf<NHIVc;DN-4J{DLs)cnBnH|>ndtYv2y z{xkfSmS$i$b?X2B|H2<u4K;t@cCY*u+;W3c1{5cYBD<6!aWa8()vDTk|2Ge(I1w0f z^A5cK(#}Y*NHBongiCq?=YNLUeGI}M&J4~JM3$>|lcj3_HSzpQJL9Mj_#YG}@zUU^ z`Oh${@(II`>ocM!2M_R#0>i4?2x{!3dvi$fRT$hF7#Nr&hm@o7I+i~huk;|41g#YY zts5SMPNSBS4p7S=`kDjV(FmjIYddXilON*k`e9X%z@pj!#uz#@6J*!i&~Y%SE|n!! z!$7Nt<_yS=2e4y6wF?M?Im3bQ9;}{#hCO^|2@2nVi9x^tR+l(}wx7VH2dj5TaF@Vb z5)=;#rQl_d3<3<C3=B+&%mNY}6wafDBP6I{$UvH*AOu?JHIOc#w%z<j)Hab0=E1WE zXyOD^Xo(H0T3_xQ9m0?zD?s56G5}74Vg$4y-hsgZ6ctLts(J_cl;0mj4mk{p69xt+ zkh@s~Sm5md5P#@nzN3TH53uA9JBSZXH!w6PG%zq|H83$WfHDtAAqR*tSbajOyZGHm z)iF5KBidO?3{6Zz;3HTDhs!8;h5SXz&7iLd(AWUgCZOtsK>)nMo&}U!=<5)g*~Y(% zW=7M<U=0O^1xz}i(<GP}7!@FA7C;Zhp^=UBHkMzX-ZoOfJ^>ccrV~)k;DA)`ppC#( zFn6#R!9Q3WLaaM9I1CsTFo6#0WZ9s=z%Y#V0>MH+en{<)2f3QD71T?dzy!)B9SjW& z1q=+Z0t_TQ3^2dNQ1gT%!vO~c28J2~21vQZzy-Ry2{s-%)O>@@z4F_!i4Jx#jsSQK z0%JH1b~h96KKYfzs~sFFS)Cq$+Q1qvOia*>!T>(<Zg9Ac8m<s{NexrzX$F^$Kmvne zhKm3PM@oQ3fP)FR?1Buo(9<2Xvx|Qx?aZc)$@+OeJ~$Ot<c4r@m4s>pgqm<MsR%GQ z45zY7kTxMlJ5%HHJ|x)bzNjhT;z|k82yoZ}iW81u6eSD-LvHYne|=~u?P7e?WO71) zYuLvLe;zsEGLU+>)-(KHzyAG@+pkP~n90`>uXb>#<X_M5fB*XR!#GYDUJs6tCczZ~ zu=^M}z^jQI89@6RNzgy&mCD~9^iD)~paC0-hyVivcz+{`;E?9XR}VGka}=)%EjC{N zpKJI3|De6{t5!)RF)$n+a^u^$!(D(Kx7^^AahkL0zp?SEUktnd|6jL`fdLXHpU)3Y z*OKEZfv4o?8c01Ymj6L<@{eox?+?3vGyD$?<u_inYFNd|)qxCOa_km({{Mfq@%r@) zyMKT9|DQn!5+}o{>~dQ`PPouR50evU){21<lLp(4F5U_j9R`E~2Y=vT^#&vOTvP@p z3|a-eEf!6D_#D#Nz`!}=&cGS0X^2|xVq)MNa%1(>^82Vcqai?a2sB6z$@zGq{Wq#` zGz6#;0{pkAVhk+|VT7(Y#X^H`ae#?4K-JO04Wnj`h5$GO7`K9{!9<X^1O?o|98BIA zb<1!H0l~B(;2YRF4s0}NcOy)E2zZ4UC(1t}M%55d16tTU1e{5X6B$1eql&I-NUKjk z`Gl^{qrGK}pmShpZw)y%fcKbyPpO5R7r_KQHv)774rl`%jE{1j1UVLs>KP3ILLtB} zMo7hg$-~MpbQ<O$T;eeKAxp~*k#+U3rWGN2$VSAd!v}Q;4DP)SgmMK;eozN7$&M2k zwC6~G4ybKlU}<PzU}zwQCfVzQR)hTD-M9g2?}DzpMyEm7mm-NXf(~B+@ds@flj<<J zdQx@JO+BPt#mE4rncy@i-!L#B_>k2ROv7m7FQW|I!kAQx6hHyb!T>tn26W&fZhE+N z<>dx#|D+*-fvJ&!rLiG_p`nq14M8iQ@*5Kx8X6eb8cB`vL9E_%(7G)FoKF}T5<ry& z8=MBk5hDYF&zJzpC&Q`i8niLO!0`Xf8PI(iMB_p1m0{zw(Ks1=Q8^kXqj555LO=lA z`X4mT!E^|0{*YK2(}W=>BG)rmot(nZAmYKoppwEcfhc;g`eW2xqaiRF0;3@?8Umvs zFd71*Aut*OgEj;P-I;2{-_pP{Xakiphw)WVrk^&t3C*)JGk_p%>>f3BGz3ONU?_yZ z;4Hg_(d0TAi9i5$jx`y2X`=;n$1-h99xA5tXABjWqPlZr=Oomy80C$Iz-S1JhQMeD zjD`TV5RlKrCOY`V2=(*_zYj)TI2r<@AwWq8$b%2^qr?O{>m77`Tsr%I)Vk3S7!85Z z5Eu=CQ7{?;qaiRF0#plu(Y7$vf|6<mjm8Ppf|6<mjm8Ppf|6PWH3(#nc8rM*OlG20 zQlwDw5=#d~2H??rfXeqNUEsxI+3=AX%_oB}E;;XW&@7(}Lhp_`X*2}L3W1?_2Q$c< z0U%-&kA?u<LO@{9EncHjgOhF{JZjNs2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk0KG$i zZx+36B>o;C*wv-<cHuzVC+|4WE+Ef-@cF__3=CkJJR?SRjfMcxA;3S_?_kE^6YzFr zMg|5jjYG|-@Ms87D+J_bQ_Cb`%_06CAP!=U991_O0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONfP@eTAfT3zJOS;4T$-2a_cM;(zesVEf1uce z0WpAS^k&8Z3HK3e=@88)jH5R*j@TqS5FVGM`u&Wf_b(1aJdWBq8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsF#JM*FLJQ?iD|GoXw*HUA;2IPG1vluaj-dP)IFmi zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(P=$aD$@ia(-fu$d2$XlEwGFf}AH3_4iDC2>6xsyusHtcn z!0&)2FhscEU5|_mqqm?8k@y<w&g3Ea{*%%BO@?}$kGg*}1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD3`7WU zW88l-dcVm)!~=?*A5eHhhQl;^^U08jt6|~LA(~GZM{hnE7Rhg@ctRTE{*%%BO@>O` zjk<F*1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E1VV+inf4P9Tc3|*(=bva)oUg-glWEucFN39$U0sJ8#7lB`%{<4hp zw{q0R(GVaI0`lDi6bxc%jzR3UQKyZDz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2oMtjn0GG<2oR%hR1G~tK=cG| z+XnN_La^JI7)Ebt#2tO3GE@u!eg`TVMn|K-yB--ChUG1dQXRMh66|l>(xZSXA%J=J z;%FUDm4F>JWHbauLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz5li2*`B~Uax`oEDm1hjk;_!1V%$(07HNe&wj=M zbQaj^(fyR*U>GGvLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VbqFw#d|#o!gP|E9r2BMe`g7FPgCqn# z3=(&c=nTdob2B69E*-rKki^6=s(dsAMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2Cl3ITy3d6C$HVHF3Xo*4~+(GVa#1o$FJ z*F#$!L$WZXZIFzbKHwoB7ct;YKy$$84S;9?GRhqdfzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Wo=vq9<@jFffpOmkMr^s4oLvRm?QF-AH|3kD548 zAt2>}+vdT%au?<{7>(N(Lr;dkVd%LT?&9GXA#l%)GDbsSGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjD`UA z5RmJ{E<PAU!Fv`5gF{B$FycdiuVe5A!RXew!5cTDE*lMj(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5E!@+ z;A<K<y9wINLQusJP{2g;or(evhJd%QIFWRpVliZp3JDHMcM-}UgyaX4rx+PX-2=!t zDDw#+Ux55cNX=l1mr=J2^$?J29>hN27{qQHb=qhMjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JkPzUD9BdvP zy_IpW#mK087#QRt23shM?o%8EqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8Ulke1b7GX{*$3}Jp&!1kINIcWrKO;F3fE(8n-V-Wky4Q@(_^nz-`80Ubzc% z8;r*7i&2@;5THB+WC!y8lhON4D38EV6GlT|Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnizcAt2XDV+$xSesr%Q z1%WrJm8v1Y*Fja|sA|~gUPY<~=cr+$Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz5l82=Gjzp+{(T{~`+m z&5Wjca4<2Dx(8731JxZt1p|rk1u<%<;FJL{LO@^uETz~=4u+v~JsKkese1qiXFegu z7sRNcIPymg7!3h%2=LJIe#W6wz<|SIlo$<x(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fx#34e3666H6XVP-*vzs-;Ls-83J+<gU#Ke>wpJajEuTxGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(aD{+;5pMSoykmr*0^DZfm!X-#_^llzvVsQ&i9-lD1Gak$MiWpzq@|_0 zaXWwX3IN<;Lra;Vl22gi14h#_Oh(Nf4FU8J5dDEJLIR&=iI!#tli;~grK2H$2m!t{ z1ba}i90rwZ=<Apv(iTP!6j%=iMx)D*@<&5pGz5ls2=FZ%r2ZpNpTNp27(GaRG3u<* z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fngK^a$SShGo$P225;Pq zG?($=+0Qu8VKKThXrN<Y)c(;B7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7>OZ3`VK(J+jzo>2ag<0 zrHJtdF=}Y)$Wg<GYzS~LOd!$ik~c`yKo{l2>|`WH4P6{NYRPB_jE2Afgn(Si09Z<l zl>)>l8dWnI0?-g>fYQ{ap!ZerKcu$7qvnl<!0-zJ+0p^=lfr=5Iz%iTjT15=3R>=y zp%cFrsJZeF@GBdY9Swoe5MW^7OC6-4Fk0gb(uf&#)@TTfhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz;F!#zNo?Gv(bHugDplz-NV2js500>ftY=YwAuhN*urAeJ%c3#<m(2D zOGt4CbOAakT1M55h5!X2z&n8V{Ss4iP~f#8t5x><pm6$VrHvE~auG<JLBV7k6s{OZ zNAM2R{*%%DB{-v9_%co@noD4>Pe$_z!(^HVJ$4IF^T}xA7JDd-ijIcBXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kgR^+N#1Y8{DZ)VFHXL?S}~$BJA@bt3f-v@+PeK`?p% z-9xM0eK2!{?$gtAI1&auoiGIKVpqlHNLq#+EHz-WcPNT6QQgs;Y*aUr2m{gmfh`T7 zt0BVJQ6-}x01g4_ryWsCu)%aDi17!S8rYg|7)@sv)6+UBX>7LPXgFh2N|G3;j2dqB z2}uDks+dM0fPLQ#<(9$B6_TgiEXqv)<sZsT87?NsgbtWbh^-l5>R|MMIhbA+^N7;i zCRkX)Xmks4iKD9<Y<!xHC~yqEVuNOWrBbBQ%6)Kic@|K~rNkQpw;N3hE^#zvqukLD z7!83T6aqA>2SsRK$D;=`A-|x@!}u^7-8_2pY2^;Mxk6j%<|VkDP#a-<7!4I3^c2j! zFq&EphMUJl`@70vb`hdseuJq)=c9|m<mpYr+ySGhWk1|J3Hs*~nB9bEm|xM=!Nf=D z(GVC7fzc2c1p^cUu!0%cdQM(s-YA>c5I~!8!_Y=Z9zz3?027_YyU^W8Z9bBh=*6V= z#2?%|d2E@7Hok(}2{oSDe5kQBrx1s}VV^QZ$dl?6sClxqAA>}=5n?B``K0=s3hEIF z8GA8<kSEnLka@BRr0OC^J;IG3I~f?L%_qlAqk2X|U^E0qLtr!nMnhmgL%@ZB71U&O zU|?W$U~s{73RE6b0#(ETY6wgeVIB+Z?{$E>5pE~7`7lq>i&kO)b?QJJET#nvN|?4H z<T2%tMHVnHC^R7pFhI={*@+@b3l74K5Id>Or-hqG%^VGZ(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@y zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~ zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW! z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5E!N*V8Fn@ zxNW<$y#fOR0|*;1G%zwSFmNHUnL+GP3<;mX5Dw5dVg<RyfI)$gc^?CV0mA`C1_o9} q1BL}qnt}20+PbA6H6RSt!wX`M;=vP_qj51B7o%xlGz|=uGynkWovFnD literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto.tcl b/LOTO/LOTO.runs/impl_1/loto.tcl new file mode 100644 index 0000000..64527d8 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto.tcl @@ -0,0 +1,313 @@ +namespace eval ::optrace { + variable script "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param checkpoint.writeSynthRtdsInDcp 1 + set_param chipscope.maxJobs 1 + set_param synth.incrementalSynthesisCache ./.Xil/Vivado-6007-fl-tp-br-664/incrSyn + set_param runs.launchOptions { -jobs 2 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a100tcsg324-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.cache/wt [current_project] + set_property parent.project_path /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.xpr [current_project] + set_property ip_output_repo /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.dcp +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top loto -part xc7a100tcsg324-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file loto_io_placed.rpt" "report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb" "report_control_sets -verbose -file loto_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Physical Opt Design" START { ROLLUP_AUTO } +start_step phys_opt_design +set ACTIVE_STEP phys_opt_design +set rc [catch { + create_msg_db phys_opt_design.pb +OPTRACE "read constraints: phys_opt_design" START { } +OPTRACE "read constraints: phys_opt_design" END { } +OPTRACE "phys_opt_design" START { } + phys_opt_design +OPTRACE "phys_opt_design" END { } +OPTRACE "read constraints: phys_opt_design_post" START { } +OPTRACE "read constraints: phys_opt_design_post" END { } +OPTRACE "phys_opt_design report" START { REPORT } +OPTRACE "phys_opt_design report" END { } +OPTRACE "Post-Place Phys Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_physopt.dcp +OPTRACE "Post-Place Phys Opt Design: write_checkpoint" END { } + close_msg_db -file phys_opt_design.pb +} RESULT] +if {$rc} { + step_failed phys_opt_design + return -code error $RESULT +} else { + end_step phys_opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Physical Opt Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx" "report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx" "report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx" "report_route_status -file loto_route_status.rpt -pb loto_route_status.pb" "report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file loto_incremental_reuse_routed.rpt" "report_clock_utilization -file loto_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force loto_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force loto_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi loto.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force loto.bit +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force loto} + catch {file copy -force loto.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/LOTO/LOTO.runs/impl_1/loto.vdi b/LOTO/LOTO.runs/impl_1/loto.vdi new file mode 100644 index 0000000..d32e076 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto.vdi @@ -0,0 +1,740 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:55:27 2025 +# Process ID: 55837 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1 +# Command line: vivado -log loto.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.vdi +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3394.958 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :16413 MB +#----------------------------------------------------------- +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 1574.262 ; gain = 143.809 ; free physical = 6423 ; free virtual = 15130 +Command: link_design -top loto -part xc7a100tcsg324-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1933.391 ; gain = 0.000 ; free physical = 6041 ; free virtual = 14748 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2141.883 ; gain = 0.000 ; free physical = 5931 ; free virtual = 14641 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2141.883 ; gain = 567.621 ; free physical = 5931 ; free virtual = 14641 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.72 . Memory (MB): peak = 2153.461 ; gain = 11.578 ; free physical = 5901 ; free virtual = 14611 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 214b59dec + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2653.289 ; gain = 499.828 ; free physical = 5453 ; free virtual = 14194 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 1 Initialization | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 2 Timer Update And Timing Data Collection | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Retarget | Checksum: 214b59dec +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Constant propagation | Checksum: 214b59dec +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Sweep | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +BUFG optimization | Checksum: 27dfe16e6 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Shift Register Optimization | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Post Processing Netlist | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 9 Finalization | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Ending Netlist Obfuscation Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2966.125 ; gain = 824.242 ; free physical = 5130 ; free virtual = 13872 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +Command: report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Write Physdb Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1d3c97407 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1637776cc + +Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.38 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13857 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.45 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 +Phase 1 Placer Initialization | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1951284e8 + +Time (s): cpu = 00:00:00.72 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 19dd6cbc3 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 19dd6cbc3 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1f606059d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1f606059d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 +Phase 2.4 Global Placement Core | Checksum: 246383a97 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 +Phase 2 Global Placement | Checksum: 246383a97 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 246819dcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1fb19f5f2 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1a2ad0221 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 22f8f661d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1c7afcdaf + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 192e0fece + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1c40322ca + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 3 Detail Placement | Checksum: 1c40322ca + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 246144c51 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=5.911 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 17b4bc2a7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1cc767b81 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 4.1.1.1 BUFG Insertion | Checksum: 246144c51 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=5.911. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 4.1 Post Commit Optimization | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Phase 4.3 Placer Reporting | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f16fc791 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Ending Placer Task | Checksum: 17ca2ca6b + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +62 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file loto_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file loto_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Write Physdb Complete: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_placed.dcp' has been generated. +Command: phys_opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' + +Starting Initial Update Timing Task + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5062 ; free virtual = 13817 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 5.911 | TNS= 0.000 | +INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. +INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. +INFO: [Common 17-83] Releasing license: Implementation +73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +phys_opt_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5062 ; free virtual = 13817 +Wrote PlaceDB: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Write Physdb Complete: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_physopt.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 3f758dcf ConstDB: 0 ShapeSum: 8b5add06 RouteDB: b1d25f96 +Post Restoration Checksum: NetGraph: cc74fed7 | NumContArr: 7897af87 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2b0c90ab1 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4885 ; free virtual = 13643 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.765 | TNS=0.000 | WHS=-0.017 | THS=-0.162 | + + +Router Utilization Summary + Global Vertical Routing Utilization = 4.35218e-05 % + Global Horizontal Routing Utilization = 0.000213129 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 165 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 163 + Number of Partially Routed Nets = 2 + Number of Node Overlaps = 0 + +Phase 2 Router Initialization | Checksum: 2fc60a036 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 2fc60a036 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 1e5a8b0d8 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 +Phase 4 Initial Routing | Checksum: 1e5a8b0d8 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 12 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.767 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 5 Rip-up And Reroute | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 6 Delay and Skew Optimization | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.767 | TNS=0.000 | WHS=0.093 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 7 Post Hold Fix | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0203682 % + Global Horizontal Routing Utilization = 0.0458227 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=5.767 | TNS=0.000 | WHS=0.093 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Total Elapsed time in route_design: 21.52 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 150960f3f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 150960f3f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +Command: report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +Command: report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file loto_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file loto_route_status.rpt -pb loto_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Command: report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file loto_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote PlaceDB: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4886 ; free virtual = 13646 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4885 ; free virtual = 13645 +Write Physdb Complete: Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4885 ; free virtual = 13645 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_routed.dcp' has been generated. +Command: write_bitstream -force loto.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./loto.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 3497.434 ; gain = 280.945 ; free physical = 4541 ; free virtual = 13311 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:56:49 2025... diff --git a/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.pb b/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpt new file mode 100644 index 0000000..c7782f4 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:36 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Bus Skew Report + +No bus skew constraints + diff --git a/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpx b/LOTO/LOTO.runs/impl_1/loto_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..97b4bed5a4ebed62bb71885707d61511be5e9f51 GIT binary patch literal 1038 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OY;u#K6GC50U^Gq7al?kY7|HR9yt7<C98@<G~tq%M*+8 z;`8(3%QEwG5=%1k^AvQ`GILTDa`H>^;mYER@=HrnQ}l`oN)&VplCVn_Bq`_?6;xoC zEGnpwV#+I4V)pf@(qJ}Vw3@`sCCSAGbr!lix%jx)TvCfO)ANK_Kn~&Z;bI9$EGiLl zaY-%9Oir~mPc$?zC{a)dNKDR7Oi#5<E>1T#GEq<nE=WyHvDGzH&<F_fcL{Y4@$~mo zFw`?LRse;nu7R1Zp|K`c2p4-;YEf}!ex8tLSY}ybO1?swk%5tko}q$<nTc*vW{IYP zLU6c(Q)y;Sih`+uxtXP<nL>V^LU?M5f^TA_f{~Gep@o&PnU#U1g0D-60!TBL0vC%* zVo9oy1W1)zYLbGXk%FP2m8qGPu^C8(DVG=*55!jr!6hJ-Y(d~)<!WQ(Vt3BZ%}vZp z8PFJV;!i>(Bv5*Z1SK;jT|*%TDfZmVy!hP23WfhLz^K7$z+l1d@PgfgA%Zc1A%mfS zp@N}-k)eZ;VFDw=3`T|pj0`In88<L8>|kU(z{qfdk>LU(;|)f}2aJp_7#ZI&Ffc^H zj7?%K&Phzp&R{HHtUdL~^J6RHsZXAT9gNHq7}*vv4rH=j!N>si0Rzkj3}7EHd|+gp z!Nj<LiE#xJ!v-dX9ZXCIm>5nlF<f9`xWUBufQjJ+6T=54#ve=!4a^K3%#0J58Mr_> qoI!v=f<b}7fI*6Zfq_>eJI_ymQG!u{L4(17!Ggho!Gj?b<aGcXmqc0s literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_clock_utilization_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_clock_utilization_routed.rpt new file mode 100644 index 0000000..77f4ec2 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_clock_utilization_routed.rpt @@ -0,0 +1,215 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:36 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_clock_utilization -file loto_clock_utilization_routed.rpt +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Local Clock Details +5. Clock Regions: Key Resource Utilization +6. Clock Regions : Global Clock Summary +7. Device Cell Placement Summary for Global Clock g0 +8. Device Cell Placement Summary for Global Clock g1 +9. Clock Region Cell Placement per Global Clock: Region X0Y1 +10. Clock Region Cell Placement per Global Clock: Region X0Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 2 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 96 | 0 | 0 | 0 | +| BUFIO | 0 | 24 | 0 | 0 | 0 | +| BUFMR | 0 | 12 | 0 | 0 | 0 | +| BUFR | 0 | 24 | 0 | 0 | 0 | +| MMCM | 0 | 6 | 0 | 0 | 0 | +| PLL | 0 | 6 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 2 | 60 | 1 | | | SC_clk_BUFG_inst/O | SC_clk_BUFG | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 26 | 0 | 10.000 | sys_clk_pin | I_clk_100m_IBUF_BUFG_inst/O | I_clk_100m_IBUF_BUFG | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+-----------------------------+----------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +| src0 | g0 | FDCE/Q | None | SLICE_X51Y97 | X0Y1 | 1 | 0 | | | diviseur_freq_1/SR_counter_reg[15]/Q | diviseur_freq_1/out[0] | +| src1 | g1 | IBUF/O | IOB_X1Y126 | IOB_X1Y126 | X1Y2 | 1 | 0 | 10.000 | sys_clk_pin | I_clk_100m_IBUF_inst/O | I_clk_100m_IBUF | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Local Clock Details +---------------------- + ++----------+-----------------+------------+-------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +| Local Id | Driver Type/Pin | Constraint | Site/BEL | Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++----------+-----------------+------------+-------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +| 0 | FDCE/Q | None | SLICE_X51Y100/BFF | X0Y2 | 3 | 3 | | | diviseur_freq_1/SR_counter_reg[25]/Q | diviseur_freq_1/out[1] | ++----------+-----------------+------------+-------------------+--------------+-------------+-----------------+--------------+-------+--------------------------------------+------------------------+ +* Local Clocks in this context represents only clocks driven by non-global buffers +** Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +*** Non-Clock Loads column represents cell count of non-clock pin loads + + +5. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1500 | 0 | 550 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 41 | 2000 | 11 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1900 | 0 | 650 | 0 | 60 | 0 | 30 | 0 | 40 | +| X0Y2 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 48 | 2000 | 22 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1900 | 0 | 650 | 0 | 60 | 0 | 30 | 0 | 40 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 600 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1350 | 0 | 500 | 0 | 30 | 0 | 15 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +6. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +7. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +| g0 | BUFG/O | n/a | | | | 61 | 0 | 0 | 0 | SC_clk_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+-------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+-----+----+-----------------------+ +| Y3 | 0 | 0 | - | +| Y2 | 46 | 0 | 0 | +| Y1 | 15 | 0 | 0 | +| Y0 | 0 | 0 | - | ++----+-----+----+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +| g1 | BUFG/O | n/a | sys_clk_pin | 10.000 | {0.000 5.000} | 26 | 0 | 0 | 0 | I_clk_100m_IBUF_BUFG | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+----------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+-----+----+-----------------------+ +| Y3 | 0 | 0 | - | +| Y2 | 2 | 0 | 0 | +| Y1 | 24 | 0 | 0 | +| Y0 | 0 | 0 | - | ++----+-----+----+-----------------------+ + + +9. Clock Region Cell Placement per Global Clock: Region X0Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| g0 | n/a | BUFG/O | None | 14 | 1 | 14 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | +| g1 | n/a | BUFG/O | None | 24 | 0 | 24 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | I_clk_100m_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +10. Clock Region Cell Placement per Global Clock: Region X0Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +| g0 | n/a | BUFG/O | None | 46 | 0 | 46 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | SC_clk_BUFG | +| g1 | n/a | BUFG/O | None | 2 | 0 | 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | I_clk_100m_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+----------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells SC_clk_BUFG_inst] +set_property LOC BUFGCTRL_X0Y16 [get_cells I_clk_100m_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X1Y126 [get_ports I_clk_100m] + +# Clock net "SC_clk_BUFG" driven by instance "SC_clk_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_SC_clk_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_SC_clk_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="SC_clk_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_SC_clk_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1 CLOCKREGION_X0Y2:CLOCKREGION_X0Y2} +#endgroup + +# Clock net "I_clk_100m_IBUF_BUFG" driven by instance "I_clk_100m_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_I_clk_100m_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_I_clk_100m_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="I_clk_100m_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_I_clk_100m_IBUF_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1 CLOCKREGION_X0Y2:CLOCKREGION_X0Y2} +#endgroup diff --git a/LOTO/LOTO.runs/impl_1/loto_control_sets_placed.rpt b/LOTO/LOTO.runs/impl_1/loto_control_sets_placed.rpt new file mode 100644 index 0000000..898bf1a --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_control_sets_placed.rpt @@ -0,0 +1,89 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:10 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_control_sets -verbose -file loto_control_sets_placed.rpt +| Design : loto +| Device : xc7a100t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 11 | +| Minimum number of control sets | 11 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 31 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 11 | +| >= 0 to < 4 | 2 | +| >= 4 to < 6 | 0 | +| >= 6 to < 8 | 7 | +| >= 8 to < 10 | 0 | +| >= 10 to < 12 | 0 | +| >= 12 to < 14 | 0 | +| >= 14 to < 16 | 1 | +| >= 16 | 1 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 3 | 1 | +| No | No | Yes | 44 | 12 | +| No | Yes | No | 0 | 0 | +| Yes | No | No | 0 | 0 | +| Yes | No | Yes | 42 | 15 | +| Yes | Yes | No | 0 | 0 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ +| diviseur_freq_1/out[1] | | I_rst_IBUF | 1 | 3 | 3.00 | +| SC_clk_BUFG | | | 1 | 3 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[2]_1[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/E[0] | I_rst_IBUF | 1 | 6 | 6.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[0]_1[0] | I_rst_IBUF | 4 | 6 | 1.50 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[1]_0[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[1]_1[0] | I_rst_IBUF | 1 | 6 | 6.00 | +| SC_clk_BUFG | tirage_1/compteur_valid_1/SR_Counter_reg[2]_0[0] | I_rst_IBUF | 2 | 6 | 3.00 | +| SC_clk_BUFG | tirage_1/automate_1/E[0] | I_rst_IBUF | 3 | 6 | 2.00 | +| SC_clk_BUFG | | I_rst_IBUF | 4 | 15 | 3.75 | +| I_clk_100m_IBUF_BUFG | | I_rst_IBUF | 7 | 26 | 3.71 | ++-------------------------+--------------------------------------------------+------------------+------------------+----------------+--------------+ + + diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_opted.pb b/LOTO/LOTO.runs/impl_1/loto_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt b/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt new file mode 100644 index 0000000..3632b3e --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:07 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpx b/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..19b93ae59f3aadce0d167580f56b71b7679ac61e GIT binary patch literal 1625 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DYju?VBlgl)H4zi%E>Ruk54H| zj?XVBNlno!DyWe7|NsAg{tU(fh6;uTMurYX#tDoJlbE?(f}9ng`oFO<FmNymFiWwA zCl=*p=A|ofIJ>z!g$3&xY8m@x78hscr7J*06cY1N6rBD2+&tam!~A_h9Nk?NTvCfO z)AJMpit-Cmi%K$6i;cJ-iggW@4J{a)PP6)@W|m~67Acfuq{7U}%P&GVrz}6GBr!cz zp#Wk~r9x)0LUC$|LS`OVV{&OxQEFaEd<xh)Jp~2l{JgZx^wOfllFa-(g`~v1Yz3GR z#i==|$t4OJ5O-)Q<dznfC?utVjVsAl2y=G!SI93?aQAc3!R8}0<sfG#=NA=$j7&zc z6l$Li$c_0$DIhQAE2N~Bq!#67=B0w2?WymNa8_wSL4Hw*LRvl~EDAF7ia}bzZa2_V zQ1C1Pd%83|J+-(bHASH$U!k}lH90e_5@c3!ssc11OTkeBa+kVmN@j_IOKMqWaw<|3 zs4JwE<|Tsz1{!?sp`IWwr(}Y>ky8n>7NkGI#aSUOGbdF6VPsl<PELL~D9(#3^GXsc zthl&90T&OC7f7folqKerrWz_lqee_Dmx6M6Mru(iOg^(1=4zy1$1oB#Nr8<vB4D%; zD6Bw!fW`tS;gn^jK!Oc5o*)6t#TAsA28kn(wiHO9p{gt`PAyVMFU?Fz1;<iueo?AI gW?ou;Q7$-{>3NN&W>|0+kEUi&j&k>N8M3Jv0H5P5PXGV_ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_routed.pb b/LOTO/LOTO.runs/impl_1/loto_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt new file mode 100644 index 0000000..99bf910 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:35 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpx b/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..433ced1d950e8da2e0f5545e4ab06e472e48d209 GIT binary patch literal 1626 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DYj)`VBlgl)H4zi&dD#yk54H| zjxWkDElEw$D=Mgv`2YX^fBp={0)`5P21bSsM#c$@43n6-U4onypay(nWnkc76kwKO z4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmpD!8N; zXQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT#PDx^V zszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1dD#ju zBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX0vVZ% zVky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjqg57SQ zr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a%;Z$0 zC{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NXSLT%@ zR#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQZA8Fm zBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc-29?c hh0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3ndGXRt>EXV)= literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_io_placed.rpt b/LOTO/LOTO.runs/impl_1/loto_io_placed.rpt new file mode 100644 index 0000000..fbef07f --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_io_placed.rpt @@ -0,0 +1,366 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:11 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_io -file loto_io_placed.rpt +| Design : loto +| Device : xc7a100t +| Speed File : -1 +| Package : csg324 +| Package Version : FINAL 2012-07-17 +| Package Pin Delay Version : VERS. 2.0 2012-07-17 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 21 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A4 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| A5 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A6 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| A7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| A8 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A9 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A10 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| A11 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B4 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B6 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B7 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B8 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B9 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B10 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| B11 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| B12 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B13 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B14 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B16 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C1 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| C2 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| C4 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C5 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| C6 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C7 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| C8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C9 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C10 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C11 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C12 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| C14 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| C16 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| C17 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| C18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D2 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D4 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D5 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| D6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| D7 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| D8 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D9 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D10 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D12 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| D13 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| D14 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| D15 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| D16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| D17 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| D18 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| E1 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | I_clk_100m | High Range | IO_L12P_T1_MRCC_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| E6 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E7 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E8 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E9 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| E10 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| E11 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| E12 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| E14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E15 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| E16 | I_button | High Range | IO_L11N_T1_SRCC_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| E17 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| F1 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| F3 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F6 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| F9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F10 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| F13 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| F15 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| F16 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| G1 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G5 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| G6 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| G7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| G15 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G16 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| H1 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| H2 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | O_green | High Range | IO_L24P_T3_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| H10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H14 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H17 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| H18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | +| J2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| J4 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| J10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| J11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| J14 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J17 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | O_7segmentDisplay[4] | High Range | IO_L2P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| K4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| K5 | O_red | High Range | IO_L5P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| K6 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| K14 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| K16 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| L1 | O_7segmentSelect[6] | High Range | IO_L1P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | O_7segmentDisplay[0] | High Range | IO_L2N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L4 | O_7segmentDisplay[3] | High Range | IO_L5N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L5 | O_7segmentDisplay[2] | High Range | IO_L6N_T0_VREF_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L6 | O_7segmentDisplay[6] | High Range | IO_L6P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L13 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| M1 | O_7segmentSelect[7] | High Range | IO_L1N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M2 | O_7segmentDisplay[5] | High Range | IO_L4N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M3 | O_7segmentSelect[2] | High Range | IO_L4P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M4 | | High Range | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| M5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M6 | O_7segmentSelect[1] | High Range | IO_L18P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| M14 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| M15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M16 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| M17 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| M18 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| N1 | O_7segmentDisplay[1] | High Range | IO_L3N_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N2 | O_7segmentSelect[4] | High Range | IO_L3P_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| N4 | O_7segmentSelect[5] | High Range | IO_L16N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N5 | O_7segmentSelect[3] | High Range | IO_L13P_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N6 | O_7segmentSelect[0] | High Range | IO_L18N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| N14 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N17 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P2 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| P3 | | High Range | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| P4 | I_block | High Range | IO_L14P_T2_SRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| P5 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| P6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| P7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| P8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| P9 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| P10 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| P11 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| P12 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| P13 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| P14 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| P17 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R5 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| R6 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R8 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| R9 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| R10 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| R11 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| R12 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| R13 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R15 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| R16 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T5 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T6 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| T9 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| T10 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| T11 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| T12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| T13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| T16 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| U1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| U6 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| U7 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| U10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U11 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| U12 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| U13 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| U14 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| U15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | +| U16 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U17 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V2 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V4 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| V6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V9 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | I_rst | High Range | IO_L21P_T3_DQS_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | | | | +| V11 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| V12 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| V13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V14 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| V15 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V16 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| V17 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | | | | ++------------+----------------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.pb b/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..f66a4238534222d6a9fd3a8e4ef5b4622c10c0a1 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ8c{Q`N$ H1F8%Fn-dT* literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt new file mode 100644 index 0000000..784759a --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt @@ -0,0 +1,356 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:36 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Routed +-------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 64 ++-----------+------------------+-------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+------------------+-------------------------------+------------+ +| TIMING-17 | Critical Warning | Non-clocked sequential cell | 63 | +| TIMING-18 | Warning | Missing input or output delay | 1 | ++-----------+------------------+-------------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Critical Warning +Non-clocked sequential cell +The clock pin modulo4_2/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#2 Critical Warning +Non-clocked sequential cell +The clock pin modulo4_2/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#3 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#4 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#5 Critical Warning +Non-clocked sequential cell +The clock pin modulo6_1/SR_Counter_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#6 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#7 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#8 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#9 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_counting_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#10 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_l_green_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#11 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_l_red_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#12 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/automate_1/O_store_reg/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#13 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#14 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#15 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#16 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#17 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#18 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_1/SR_cpt_val_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#19 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#20 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#21 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/compteur_valid_1/SR_Counter_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#22 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#23 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#24 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#25 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#26 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#27 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#28 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#29 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#30 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#31 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#32 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#33 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg0_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#34 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#35 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#36 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#37 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#38 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#39 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg1_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#40 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#41 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#42 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#43 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#44 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#45 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg2_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#46 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#47 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#48 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#49 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#50 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#51 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg3_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#52 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#53 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#54 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#55 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#56 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#57 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg4_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#58 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[0]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#59 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[1]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#60 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[2]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#61 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[3]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#62 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[4]/C is not reached by a timing clock +Related violations: <none> + +TIMING-17#63 Critical Warning +Non-clocked sequential cell +The clock pin tirage_1/registres_2/O_reg5_reg[5]/C is not reached by a timing clock +Related violations: <none> + +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on I_rst relative to the rising and/or falling clock edge(s) of sys_clk_pin. +Related violations: <none> + + diff --git a/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpx b/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..bcf5891fdcdcb94c53c8805bb169f0919c7a6a32 GIT binary patch literal 18532 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM)ZVBlgl)H4#2&&e;zkIzjl z$;eO1&&f}(j87>_jxWkDElEw$D=Mgv`2YX^fBp=I0)`5P21ff1M#c$@43n6pd=WYn zoHJ6Bvx^m=W^*$#FmNymFi8nG7iE@YCMV`7geMl|W#*+TafW#MdiuHR8k%cK`{n29 zCg<cQXQ!qp6sH!JrskDoCgvz4r{?4sal_Rq8(J_p`GjPoDuC506lCTp<mRW8=H#2i z8|eoJ#XIMh=9Q!t#TTWfM;pZIJ1b-sE9B*uC={h8CTFCkC?r)XBr23-=4R%lLyYjE zs%f9t<hf)yW`n%T=&X>gC5Yi9aBwhDD>#fu2o6K41_x25p$119MsOI$f`fyZTEStA zD>%&J4XKzq$TbZ$I7%^s!vGQ-EYu1P6B2@hsQAR5S+E2LQKq2=M+ru7KvM@RwSvQx zgy1kDKeJ#74x&s$4US@r;4q4H2Nk7k)CvwW3kIiT)S|Q`vnVk=HQrD^v9u&VH?ai7 zbPM*4M-<5M!9nrCA&w!gB$Tl9u;de)E|)gE;B8{mlEd$Aa8R;SD=5t=4@#nPj2DGL zNt7k1LD@(kC=DU0l7m`7X+e2V5|!I14N9UcK@G|V0zqjMD-O!woYV?ROA7|4V8R*P zKRy}MXv)k>2jyvEnoP7X^b?ybmoz*Bw=rt*p}I>H6f|5E1q}~UGt$6<!6}HCu*r!} zFG@|#BQ|JgVCE+_87?V!$h0zQ@%qOjxr!eYFx*rN7*GQ{fVhAuN=+d)Sg3B|CpIZA z33#BiFlupw0tM<KaFFm&El5C(Y@$3+T#{duO4T4C#YEH~X$A)gT)!eHmGDw6NI(tk zFdV5QIX}0cB(<~%(&SDqD2Xpi%mIZ8ksUG`nfr-NflCgaVx}^J+gGTr0|ycx)dC6B z{3hKqMAgw=G%^=8kfvY;l3^@3koc(<NT5bJ>7F5~_9Y{bh%y&7kS1dWk`W}31gI8B zpr$(Mfn-cnABINeq6X3=%s?`R1d<@t0twUzC*3n9Gzui5%tZ~PiI{<80tqA`ss$3L zc};pCnbIhbh%y&7kS1UTl4-0isCE;kS|EWM&M|~)x3a{X%oIo+NBW>6O-)A)u*Hx7 zLv<rKz(lAOU`C+UG`U_PuD+wG>8Js=2s6M8At_9hY5@jnWs~bAqUtCwnwpLpU<)w= z%qUg~lqJQe7GR(@IIeOlCp9I$pgb3n7eOt$oYa(JDl|ALH1`vmB9}b8!MTx9ODH%f z9?f~+P!gwFDB)|C<Ms`4Igs?wC(2yZP}+bclnfzhNrGyjgs)wWJCumacBF?AQRbqC z(t0eR1kG`hR0}114RhR~L|L1YLUU0=X&shOGKQojDXN7MzLq&|-w@R}#MynJ&|K6| zT8kx=Ok(vwrMEQILJ8C~kHXQQ!s#1u2~J!{(a`KqY^q$!@KSseqm~$2DGqfec<5G! zY9R({r{fxCElN$#EG{WZEsi(R_Xk;T03wJSU7>}cs3Vkrz$27Jsp&9hfrCbtYC!{P zos;MbqG}>9S{RBNG`~@U#t<^#FGsDQF$T56N%RF#)eosbLzJPYLGueWXrOZ;@>B~N zP>Y+ypdqd<qlKZULGu$eXpAALMuBQU18Q57=nLYCWm*`D8Z<vpgT@3BG>TLU8c>6p zL|+g!ra@|kBFa$Ip!tp(G^UWCQKDMVfLhT+2aO>uYA6y6MGcxKu%LmN0Is2wsTMS# zrZb5_L**I@;xVEOMGczAs6hj*p;V|AG@$k}i9thDWkP(0f&>jwhN1?|Bh;XQ)=;Wc z3mQ-(nZ%%>at#Fu8lntE4Vs6jK?AL!)TkCTB(;VizMygqMS`KILGu7LXrMKeI@N-P zq}DLR7erMiq-H3h3`Gr^`=~(!t)Voi6*ML!wT6voQA3enC~DBWf&~rC1aJ+dNwuIM zsWl9715uR;@u`LcLs5g~C2G(>YbY(M1r159VTdn?s!T`?8lntE4Vo9IK?AL!w5b*} zB(;ViK|@q!LTb<uWhiRUJVy;0Xbq)9wV)xXH4F(FD%VgX7>XJ+&rpK~T0`klEoew; z4MTiERAoYHsv*iy)S!8a8Z^)vN{?zmLsDzlm=-k@35KEu%?DV}z)S$wQ2JC08j@PW z5I0b{h9bdG)S!8f8Z^)v%7AJ?LsDxP;tQfG6XG)z35KEu%{$bff!0ulR0|rCTEh@u z5LKCw8Z<;1iW)R;QG*6rLm5#kXiQ0J4MT#4$~6=ThN1?|8`PkI)=<V&3mTGI!w_E( zRhf{QYKSrvHE3R=1`V`^GND?~kklGBp+yZvf}yBE^9>d>FcZKvlquDMhNRXo#0^xg zp-3<kHE6z~1`V`^GNW41kklH6_=3tc6bXi+2F(}Lpn=v<=2QzBl3K$MUl3K95MS1i zU?^(Pd`1l#XbojSwV)xXH4O0um1`&x3`Gr^PpClyt)VQb7BnQah9SNnsxl!p)evPU zYS4T{4H{?-Wkt21A*nTNN{bqb1Vd4Srilr(m#Zi>9cBW!hO(ww(2&#`hPZ*sH53Vk zq6SSPYS2JyC>v@8jTuR;VTdoNTtksyC~D9&pau=JhO(tv(2&#`hWLWYH53Vkq6W=> zSXl!z0i2=is1`INwT2;XpmGgGf}yBE^A9y>pf!{|)q;kk)-c2uL{%ol*H9!FiW)S3 zQG>=b)(Euvkx_tIiXG#m8VfC1-^}7-(D5;uc?G2<3i(9}`K2WwR!VA4VkP3N8VhAZ z3r43j$2<jyLa;RGIGS9j{`@=z&-kKZ(AhLOi6xn3sR||e3MCn-3PqV<^Aqz@^z(}p z(h_rWK-NJHyh%+-Pt_>aRLD<LD6TAyPtM7XFUZW(^P-bIi`ZDfzWKx^$i>gWBfu=h K3h@Jj76SlK`FQjI literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_opt.dcp b/LOTO/LOTO.runs/impl_1/loto_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..2f2645b03f9b2f6d6c3385c9b7914c66074a9fbd GIT binary patch literal 188181 zcmWIWW@Zs#U|`^2V2<~Ry2<-oNr{Pp;W`@w13LpJLrQXiUPW$BXb39<vwPi|^u1HP zi)R}MG@Sps{z5?(zoLYC+1!nD8C#Nr<m3+Y&y$dJ@og4lHY)vBTd3o&lzu5t&$i&^ z-S^2`yW1}QO`P7$7GCPsweiYS(U*0XBqgI|?5C<|YedW|UZusTuI*tuO(gTuPoqoX z(|DE3AHG<|AG76f^2;#EFYSl-mDHZ`7vCnkS7c+(2Bu$jr-cukV$eLmrGCKiyhEtt z_usMW>zDI1GCMEOdUe**_`B^61E*&ZX}n$>8GV&Ix%Yj`UuP!y_n23pYvf%;xdrPM zUD)yOYH<F#U29Z(UhF%>Xg7T?o0777>D;5sovz2U^DmRu^|il{6Sihfk)md#g8Gy> zNd~JgsvW;IPw4dJ@0qv!dYA99{TkFPA|iiIKV6djsOZMR=3=q*v*#YzxSOb6?OQ)T z&64e5apj!0m(kn43$Q+Pntsnj^lHieiL=WRRX8_`KVM+}<}M%G--FdBd2Y!|Zu8Vr zo0+}u*&5l|#Zy)|S?~ON=->Qg-rnsyYCMu0FFf7p#KWC->&}xCRoz}XY_l)SD$?-_ zGAy$DE$Lv}^z4$+nG;uKHs4+F{PSFu9h$HFe?Hrm_My+{X3ePw)!h@!Ll(7dt=qY~ z_r<4kk7uk?UePLL-}*)27UN}!ZRvk1^t(Q*2L={2UK8nTT*G`lW~+ZjwBwJ9Mcqo` zvFn~n*&R=Fjp<xy_k=%4wTZow$Hsiug2mIO)n9k{khNB!-tym{$3;Es;_D+P`#sl3 zO;3D5S8jSSGB9K^F)(m5a5CiNm*mG6my{;ymBG_g?F2{f!wv$i?|+IGhpfnrS`u2V z_3ct~#HtPj)ts{BCoi4#yD|65v-`Vehj|F>QL)Z{Uj1HmGN(=OU8~qBJeQBR|GcX+ z_w0jU>D=?mHzF+CrW$VO=9VjaadB=y?_^K;9v_zXiA((sd4+sfv{X6bv8VT!|B|lD zzXw$xSoKUyE2!7;$F&$I-#*8MCWkn$r9514c&bRRJO93|F^l*WglGO>$>o3H;E>m1 z<K&laF`@bFw(Q-e8a7V4Hp(nT32Sl>u+}WN+{X3cibd!29S;<yyz0mklWXan)VIXo z`qRRq+`NvLX_KGw8sGfzFfz|W?y=*nWHaB(TJz>U7gS+bfAdgs;KHX{zikzKyFz{M zLdTPVg)1JdF6j7HCTWrTx@~c#xN$&IO#F}Dx7mtzeOC(R?0@F}@}K|Ye}|Gb6ui8; z`#l%mvvn_x99Qe@SN+L|8uo2+0gpWy85kBbF);9<hJ8i~B1_j!aLhgg4gNJ3T3lSB zi-mYq6E?1xa&Ow%G%4}xcQ@?b`0ReUQby>O?TzpER`!dx8JL!4r*8c?Vb4CE!*zGw zAD$i(BtK8_x>8eT(1tfGeA8ZBc(<{q>BFTPl~#`#)Sk}EZdBdloydEpK%aHje>u&_ zh`i_%n{~XKk3Ia@74}+kw*I|On%<KhD$F|bJX2u7w@cd>^q4EeGJHr})2_aNTOr-` z@!pFDY%3qW@%Imq+*o^{<<=&ZzH<HzrT_FIH%vU7<-RuU($%i*ON@H|Pu_SwXIGrg z%7+48pBJwz<JlYinM<@w?_pzk$?@#WN79EmL&Y{qKjad6Ez7Rc|N7#|wKqKc5B9ni z?9)6@Gk^Nid(oc7LYbz%$B#}EedZ9)oh(u`H_qeSjH`Q6w!S$e>lhomYMp%GHN#?_ zaN8x!`O-ekOxi1V@5=nZjT-tZRu;{aWn^HGU_=W2g2bZ4T$BQpQG)ULzlfZQFhdJh zCj}5NOiTn(3Wf#-4W267j&3{r!_(`)*_Glp)pIzvxiHNLklE^=+}2d(c-v}L)$;pH z{lBzVTzlL5qsROu`w@wXY1d|y^K*tsv`r5y<#tW0ys>G^?~psGGt`cly8d1jouY2w zw=6HwbLO8{UK@f|oOoO`k5QMSVLJPg_sdgvw|^+-+GO$C>g~#V<!m#G4w+O}+J`o8 zJCPvDA@rS1_Ig9B-B;JS3pbxjE}Lz|$n<!F<mJr8F-sP6i_TcEdhz3(Yh6yR>U!+b zm1g$#w4?pJC+Z3+t2%x-WL+^Seg3(!VB4)j?vrZQ?%5vs@j=+lf6Y#hr_6EwxOm+^ z??|t}O-G;Vm*ks?Zky!#!<NmzNT38YM8hu|r!5v`U|8wEz`zAf&w9lfi3O?fqGWD# zbw%{isn@6KR+i{SKb|;uj?Em6{K?Bz?H_&cP&!?tlN9YXNw_^h&rhkT`}2Xa1v<YA z#BZ@}<37D@%O1|gJZ|0oyvBER-oH~T-{w_Li~ZLB?f19W^1Ev{*>EYcPD^`!=FHWr zcWz9(Q<E_F^X&U`jL#RR9s6WzDtCUjeNuG0+i^Gkdb>;W_v=mCoAo5a?vkmI<)-`; zrTuo3EQ-GT`gpN9+}ADX?8R#yH90y;S2|Br)G685<^DTx>=;-7@y%WT-`{-j*nW|@ z*}^v;bAGP(4eGGp6w77XHh)g#^Y-*r;*&Rjvu|$Q^=Rjgt5ZGSdVPzYds5c)$YG|L z74x_LUp4XK;VBY278+M3cK%gn|73dPG*j$N#z+|@(KS|6-)#wcxh^HY#$R`3%CZZs zQc8BAb9~cwO}Z)Yar3J!Q!1yPGgz6luu0GUaLa}XlVo)se7KT6W5yiyySHrIRz<EY zdF$ZFcXP|VFMT14`mSt{nyos)U}b5J=I@@Ch_!sJoVr=-bds0!U4FAnv!l>MVq0XX z%9$(?m5HrO=AHFEvbnP&e3LhC_`($nSDeV(^@C$_<(`Gig>j3EH|*Blt$f{BMCG%j z;Eg_+@CLzunM*AlPBMvwsM=2FQQ9zpb*hd;X^Nmc*VU>#!>Q{OyCWHv`(%_ZnK*s5 z8b`|{)jP}BbW#r{x#@NqY`*aKoIqq-w!>GYyOG}0K0i__ZH+Qq#HOrWxXWs-clD*c zu}!QgqN}GbUHR_aq9%jLw`)Y+?b4s@(RM;==c6g-Jc1KlH8gzA1t;%NF3&WY=J({$ z-o#DQT4h3ee0d|=l^c&VzIj%)X<p#+xv?8dp3iIinZnGSt?l{f^9i?OCPn4u?cx)B z*BtZ}SN-g1%x!JEIcHC!OXS=>?$3sKamEQB7bY%g{l0a6dGMM(7ro2Y{acK#9X(xQ z;d1MU#@EPAIxe?b=Y?9S&i<)#`{~PrGODsn6Il3IPKZ5wd?4X_O_3e<;t897J-QiV zv^eLCvbcfT$DI6{@SuaGPn`BGy7DOZSZk!aUeSaVF55Oq{mV=&J-Ax=c8Q9V+U>$) zRcl=Q_w2X7nf<YnYx0iG_My&_FLiQ`Ii(9NG7d}En*P>Tb<&iQjSJR3ShPmn(~Y}f zRhaj#pND+Ez8C5}ado0>mHQ91_ZO`XO%yS!_AhkTyC!&V;@le(ZI8-EI#fkE8-^tW zUpn4o8+-2CD%HPd&b&MMZRzigX}X@qo7JV?KWcT7b=az%w|e>wt#z*rPA+(Dr#<<N z-<NkHQw&aK&FE3K<dLp1<vHg1yD4QtyG+deJ+Y3PytQte5V(0Zd{UOKe3O7=($$zv z)&aiXHmnM{B_;LZ;mJoqX=%IWZhPl?HS=}qwys=*lLnuQos}|T*Y($w%WnKK@%af+ zi*t96D%&qou6gqP<xTyY_eB+c&s<+_aQ&{V0(;Aq`|h6eSX)z4i)V&3mA~=|o7<6Y zl++aTm$7KV&$df5kIZA(+4b`mTl+3+rj!t`+00LhgKh=6u1gcW>633NnH<`A&+(4# z6d9pohDXc3EqlCEt7t~(2Nr1+cZr7?TWU3C@lIOI+S>ombeqs))yLZ|otnxS{qn)7 zB>_=MhYoCPI>Z~3t)20}D%z2G{`RSTYdqJb*Dd_QdUC$ToZEbpq+2fC)8hG`>G-hi z|Cz9)J-_C6zk0j2_UaS;h^ZphStq9`@=08bU|%2ay)R(Nr^x@_E05jUaDVHQV@gw} z-&47|O`~j8Tg}A>|1NFH<NZ153d{6K`qFEn@*eD~P&zDpyO8<%$}6u<p8fMed3saK zwU{+(+a=EEn+q$~r&?sMVn2Mz>62*l@%*jdjcim@*2eJvzjKlK$$DNn*0(WNX8XN4 zvS!}9IqrF;5pzp|S@adROXe^xU%umcaW?CT`x0x64mVmAS=%?1e$tE1IymD=KJT;F zPnI|TGx)jcVAkWCwtqW)irQ@^vYxnJakbG!E7JSjgyy5^i<Ng*GydFl@YQ8zOYN)Y zZJ+H>-}h!wSh>0C{Rhsf>9)7-O?{c6P%hPTEZ8%9!Sz?0A33kok$=cmG`%@3cgm#Q zm8p3rgVd+774<jH43b}<u=9FCX!Bp|-<Nm)-kVTy(#n44-zS^XSM6P5<hD%i&x0NF z^%j^*ehFl*ugkiyUh)guuPKiEQ!lvvTI0C=lGLxzX1nAI+y@`4AJ6|U81_x*(L%Wo zE;d01O7pxfzn=N6eR@UGlPjJjTYL5$etRKay2QTr$b#4}OeLiacKH{CAMKwn`Qo$c z;uE2*Z%=JteRoHD?r)zu9`hsqQ+S<EaXsvdK6&Nviys<)H#!D?k>~m4+iaJ9!JIeB z(_iY#bhg?X3-qPF_%-J(PT$Dux^DXJs+YYhj{V3vlD_>^``SrO4<yWPZaAsIVZ_zc z)AXPschhyX#R@0D{NTjN+Bslxg~bXMQ_Xf>f=fN#e9~@qPm=;zY2SUd-?>Iy$GnPn z?)i4+TmNJp{`qSn>!)O&<emF_y^c`4j`Ycu>;)V5<Xp)6RodM4^68fLZI{G(Vl2*n z32jbWAus-Js)_AguF!6$H35syh@L86I)BgC7yK75MA^@*dbc&W&VJ|X-*+~7F56fA zsX{bQUvJ*tufp<r+V<veTe<QlA6$LTe0hIQT-KG~i_-Tx+SKwlUwnA;-P?z`^Qz*C zKeR@l`?0inzI|c)+XL3_?4M28^)vY7imN_cv9EhFoloo8i-dj89=M)8IGL5*zW%qR z9vA!6;u3~g&C^ZT<Mnthc2>)8u72>CPwRAHLt(#l#D$Q+ClBIH*!?YRE8Yv=ZmjR) z6U*ZJ7h3$`-+8~=lWYF}pA*8j>e-8giO(L~H(}qeZDH~7OT(Fq2b0bo>^EhP*E)0X ziv9gO{mTbrWKFX8;;zZ;dH&_W)$M+(<z^I@Y;Y<5(Dm#=b5pZsx@hS6iwA?w9$Y=E z`SLlH{b4U03;Ot!W;I_oVVAe$lhatUXqKf_#4^i@*y0Zhja|Om=e>V&%hD=hon?h{ zalx^d2Y<JwO3hl_EIO-seg<FN`!$Q$xoj5{muv_s{;=%XgXH6l#wSaQOE!2Fe|SHu z*?*2=(Kp7~i<_^`YW{ygrsma`12daH$jGj_D6?m&<&K-2*UuTPoxiwQ?d-w&EWUTP zmDkD&;u9xHKYQ`O=Ip`zd4J<VFRI+vsd`|1a%FYuhR>d-LznH5;`V;@;hb*eES|iT zL6N`KroG&|JJ&n-`_yeI8Po3RZ7X>-?RG`!&1J$%bt_H1-)Bs-``wkB`RZZyxd(sF zq@7$JSrz{J?qqvWZ%*C2@w<Gd&RY82#QQk+-q~8oN3>16-)Har-FkU9yZ85V%Oiiy zy|yerxis_TRN-{*$W@ngY8OOSy>Q%^aPiXmg70VZIy0u(UD3Jw!z=MfzEfeDH{;n$ znP)GRzti~gE>k3ZdrH<cyGuH@&myWeZCRRi_LAh;OON}OzMr~H<ciMUxXoX__bq)t zeOt)l$X^kgzw{)(?7TEB%hY>5$jR>~Z(C}=z+(5(3285b&t8f=eo0d@F@3X(srUco zkyU)Fy}i#*-xjhw@|Vx%lKcMl+rHm*);O2fnK|v>g7r<axs?AEWO^r_y_CG&J~riL zrTVXZjc&Y(`}!7zccjZdtX|>NvEMOosX%@ABL9y3#V^Dk8Eb@beyk5vaoWRqv_as$ z<3*82;(=?#9_`j(YyDx@W$IMJ%j~sQV7`lq%8~f}XJ$LM{?K-Ly(D=~uG*1!C8=pF zPp)x)4CD#nb*hot`MKrC!&sTQ`bREnGzr){6*daUSN$urkJUIbSwnBqy$d&z6#p?C zbyM8e(Kr2s+oByI9rs<FT&&zmX4(durhT;DI7h#R?a}A0)6R!aoul9P`{YUSdA7l^ zj(KJU&p+;Yt*Vh|Cw5ecaks(5P}R1ZVM#OMVrQI~DRekS%g|?@o==K=XJXi-8IP|Y zG`R1{^LfD$gO586CN`_I?ezR)Ai2|EVy9}GWYi}E)!l|?T!aqus2cis=J9;)Ib!hN zgGabe`mm0Y;Tb)l!zGW78Mu4!6t^ESh}>a#hC%4?nFYtPq<9|hK4S3Bn}>O?^x+q} zhCQ!@4ja5XW?<&clf3PSfyZvco;^Z`J9G?r4(9PZUUkIao)-`E8tKC;G!1)}2_63M z@|b~|7f<riBL)_`40|es4tr=A^3>+>Jf3;P;G8E9^K9wE9M6v#T-j;ZQ<=xpJYV{- z#*1SHGP?|Wy7PFLmq;J>czMhqWtU;k+&rG<71D<@UL7-7v&*n&eI5_<TIs_bua6mg z*=5*sFpsBsqx9hwZ;lz*>^AJVoX5kwP5SVOx5o@xb{qCQ&Esj_C4KnCyJH4tb{qD5 z&*Nd<FMZfOxbtE1sv{r1h8*7U=-Gql6-Pewh9o=+3^}~#$m>Tw4~3T>`S3D0>BqH| ziE%*>pFKFe^vDO-;9rN;eRw}l@)CEq@$h=1DlM!(S?ZbUs=KUx73otX_St*Y|A<+h z7`Nzk-Om~g!<w)uUq8qO9^T_}Q?KC2>IFwWYz^3U`QvhfKdF7^1p8}+4!b*aK3qM& zt<v+r*@Naboeyu%`_kSoA@xjkS-0}MXDV&}WkSWrf)nF{-adQKJ^RQ9Rlh#&eWx;J zOlOIe`8Yw%uqI*h*AFY54)0lV?5N=TWTC_5#+?tHXSP*(uASX{K2GTHbAy-s_v1{? zcsuF!Rh*q9v9E~J$0j>-#`FMjcbgzj9{Ketw&^h<&s0nG`YNg?N$m4HS!VTlxq*J7 zUSCDfBndlH&w9WABF|J4#ohOac=E(g2>H+Td4Ylc%JRY^%oC1$Nc6aNc)9}b=Sgg_ z?jC;*wSSm>NIy<+|A)*K3fnzy2ox@LdQ&$4MssLVI?Iu&wPLGRxSh%M=&6`1(Y_%g z);Zu=)rup>7cB7k^ds7GVS*a_{*WUV1y)UIdTy_<;>t;eldFQR?c1pQdwpMmtIag0 z2J!0VPdeOc;aW|f3b?MWa?x;H*^z8;MT7PHvVheY6(3p!w7j3>rG3rJ{_OJa!NNZe zPp-Szxj0$*`ukkjJ_#STShtGkK3_jMOC70ZOCF8&agtLwg<ef*TCSZC^O5!GMy^9% z2S1Bk@t&@f;QQR@SnRcvmFc0GuOC#{owqeRC42IaUu#aC&i?wM{E~<*?B`Q2ERcEF z$n$LF!Gn_}&P;dKxun#PrTNS^;((~VS)Y7I!a}WsK78F%nvSzXtjVYdYjb<b&VO<P z%U_*|6LWX*ZRPEL*8Qk>_I*9Cqh)iJg<PGxa7$#F?0UrwQ?@VSiaz&U&L!lx0mEUV zbrTkxk=hWWIfE;qHOS!JA+Mzg0nMRa2Rpb{Pi;yRx~9RJEMcO_$}Bu*xk!)MhA>SD zr-Zpd26_joLZ+Q)u)ACEut8_y&*EK4Z;JQ&JU`<T`TO{~ZHvyHZFiA9lY04Trl6W@ z*4%Xx;Q@<#0xt7>O0#4&p0eoW0-KG8+d5*BEAF)Q3hu1<V8b_Csp#W_R<T)EpFDWU zwkU>^CAF({hLpOEze9JBfriuSpbu<pxu+Q7HZQPM3b(wsG+}elhfB<|UI%B3=LB-a zt$$Fn^ub;(y#TJb6%U-2K3L1A*L7k;<8`eYtGqtU;kvD~skp+S^{v;z$(l!|HXW~d z5yCa^siNS-<OcS_7eT5OQ7ub?K5#MK^*Xql_f5wM*IxFZ7lEo3pW3Dbeb~V#uFZOW z#fIRY2T${SStYdRsKflA55JiHcD0p@c&rxM({Z37=)*R~=^Cu_B@~1wGWVDBomeHb z=Y+GKk~@3;YXgaiuNnG}Z}7hO;T+#?QC@vkgC$W7%ZqL-&Zv-S_nz8RUUg&js|QQj z^nz6@Hn%5UmGP-BsB~E;V3XY}I&n1@|Dl4`pbuL(mAl&9V{f#pD$dlNsh`{Xoc}?T zc>0XYYgTU0+$tZ<SSAwe>M?KnO#Reee;+rVd}IF|`ZCW~iprPGvx+UAC>a|6*}vz_ z{!?Gi{)_m$<^G%e4^=kv-2QlV%->UVo!{?zN6TOT^m&daZ)N4plaZCToUwh;>|>wV z-PP49UA4EpoRh1!@52e^Ri;%HNtdLyMrEk($vm^MsyeV#{?C*C_4nNVe%K{wd|lqE z=)sK}6U*Z2+pIP!O%E;DF-OZ!JzBHz{MH%LDO0Z%MTzAuWZbdnLE1E{wXS;&A8uN) zGjEqxQRNA#vwh-GyuVMa5Y$~I$g;Xe>Rg3uCU4BXGcixDoJd=9WMXo=`sc@o4AQS0 z`e&50P2?ue!Gg&<{~UO+b+Pcz<j2>hKK_~g!>8c%@1?2xy7}JqZsQN;l1&weZp)P2 zl4~@Z>ve`s{Q;}0yNZT;AAM22^}wXzV0jPkvsalvYuI<+Nc^#G<GmPDUg_wfl1q;c zwAf00{oo;)B9rKs*RWr^xySkV$<Gg>QzsO<7JjPvu`RIbMbg>BA`cFIefH{-OJW)0 zny6$wZO1;o%dG`*neQ)dOWeg_HvOAi=;5srVIuqo{wcTj`6aDA#1Ug{l*XT{d}mef zwJ#~ATf85bB`*tISG7-sZ;jD(u`|6gvu%npQiP6fF*U4AvC)qZ+)=l5XG+`IbxB;Y zY~tsAf~x!V+V{=$%rd?xdcoS*^QiJJp&;!u$6l5%eVZ%FbM8c-VEekZyT(N!JqxoF zuJ_37zUVt)_Qb`H6m9u885g}tjNzUsX<2sv`axCWA~WrCRT4iI7YAtHWyqIUx}D{I zy7a=#xjR>>`0Fh870^_#wlLh<YvwHHlXhSK==|Ul?_3ovKTB;qZp~($u6C1q0qdpD zXLl7VY2~)s-agY;TrPD#VKKYKg}HAxt9`mI^SI32{g}?Zr#X7_yic7wmLYv7ImhJs znHc%xPY2Ts-`C{adMKTGVX;}Q@tw2hIbNqN6VELbwX%Bf&&cLT4uAB6b4D+8&HmQx zSj|(l+IK(0JMHFsX_xg|s!zXL7nLJ^uyfPu6)M|B<usIcyO{G|&fTz-C+WDsUB2hj z9q$<VJT7p2`S;j)zPTGVeyMvs;jia`PeyY-@43dRyR_4A-t|cW`gc!VOT5MVI{oR* z*(vO6G!GlvbACOr?a~pA?{k{I8Fg$-tTNm(&F`XN>AM@8tJB~1tMFfri-?|eeD`Jc z*IwrreY(qk+Uxd{;>m5iODk*M@*P-q$!1@Pe&e&EMO%xn^<U)anPPTUyjpD0(>q~v zTm%+dS_JJ_dnRb<i)})Sn+r-`ZauJk#=EAst;Ir8=jRDal^r%+8tyH!xA>F58lA)X z_sgpvEHdh-iH+roPS0A<^{zT`&z6-M{x_yO_6RSx|H%37#lz-9j|}cyNN9^OXRos4 z`Ixg(ZhrTtjjVUM&sIIZxpu`1t{aBMV%z#7lrNm$+j+fl(W>7I)H5c%d68mQ{`aEI z*U(vVuby`NxqUCCCyd4CkM`|pVp#<db<-ywp1SO%c>S$w?zM`Wt8z->mF&&ba#$)R z{y62S{Z)ALrrp{TmrRXuSZ;El_1?jlDPf+9ZhM0sOs~@1a*0cGOJFni4R)`8@~C6F z*N;6^UCzS5V5$q6frQKt=~bj8!$)-YM#bhoaucb2_pfW>i)2o@`iZWunv<<}Yb3-Z zTwZlC>&e!37FL5zzS1I3_g^>55jl|jI&PUuAjigsa`%g$$=p}eJb%Ng^SaH+Z7D|E zZsyiM*tD<QT50{moP7`Wo(tadBvU<LYlnW5Z~6<XZzo=-y#A(i?vdGfvx_znsc)yQ z`rBdtFj(F9P~Y+RZ7a{UInMg{<drIyXVAWzS3}dk$JSo5c@;Qm&zi}h8=mZqudRRc z<@lk?_fI(Q@D$p2D&olHpO0VtUjF6r(<k~#pRekut-o1zwz}TZOw%!7(~R|9?Eg0P zO<r^T`=9*aNo@Z={9Vt<zv$kLt#i7#)?V}7P^GqCIO~twpPyYK0iT!u6_gHmwNW#* zQaX2<{J*6?X0`a+`|q4tWyZ0v%c&yZ;PyAxue(qG+2<aUHL3mhql|y^G+%C(pRLo~ zAAhmI(^@{b-ehLfyyRY4qaEAZ7k4h3+wblEkZGzxfa*Qg#V?mPU92?=EpIx>FVCI! zN!`?e!&k&<VM5K{j_Iy4c84=w&g)+hsaFtjT_a+F(ELEvDBUFS>CRot4>E;J)H*TA zo;B)W#;SOWTN^}PtXzDv*?N`6;q~>03m(l0*9iXZ!T<2l%%>Zs8F&V8=H1o^RWX_K zvhC-=p690Awp%}YeYQ{v*%>6#y2YFAa&DAU)blml|DU~IDyHuJX_xg;jk<jk%LH75 z^d2$s`JUgBQI&O3>uh+#u{ri?J@c$e<X-aeEKKR%cV7H2qowC8#>$;7u7?9-4=mI? zx5&}-O0n(Fkcn=39#8+4JzOlszRua#tc`I>&av`i?$6wH9t!qUe*OPFkw<F|>*1^i zGMm))Uw!m1sM`Nsf0X9YOR4b*9EJb1L{49CZcI5MCw#8|e14P4!2s!}O$S>yheq(u z@!YoSrz-2_n@0bYJD<I*63j^~Ej$+aICGWyCzIPuj+YDSv_oDzaNu08e9iY~@<ogE z>)Y9%{+9NUn8k89S743E3=8RX4<ocK_41ZzxyAlwYvW5l?ljBx)&+a6ck<`XoL?fz zC;a?{smW~Bv)_}yZ<~~UgKvh~!Mps^J|Dd6>B<~hz+7louXes*#)%aIpL05NrOkvg zcbEtk*KEiRy=cRG%O=)o#R1E<!$(xTd5<4`*WZvV&c-`k&8hGGtXa#OXR9j)K9D(_ z_$X;<TXO84zWl@s1%XOWDpr`8oM$licbWy(>gvUNd|8F;VKxU@ab>4Ic6R0j*Uvr$ zpH-G{&Pg`#VHVfiAa&_;LtORI=b@oan`~Iw{ECz+lvI6xG__0CFc|4cab!#ZDVRRr zGpyJr@j;5Z6Z7#0FOToYP*@P?b-p<%dV>|ej(=<7WEqabZO<Cn<sUDqY;etd^k_j_ z(kju_72glOeJPPwDa9kN=hb3Ty~wiWzQcz%$zC1824@SV?C@E+Fj6~wQ$q0BPbCsI zF*7<M#qJ(_|C@bYoeyUtQ<`L-&~z<Roy$qVzEe&fs24oc+BqZnV&3U})el}CpE5xs zq3@wa;l`ljg}!RD6>?%@W^icAb(kIhewLZvK9)0+X_-Wykho^4h<LQ_Ri5(13k8-+ zDHS&k6o}uk=JaGr1Ien-|Hq74s`ZA4&Si39VEEw(Sw)ePUy`p^kq0l-7?>UY>_?jP zGc+_cPF7G*P%tq}HE`3fduUKQ&rSd9tkOp}-yE9Fuw3r?OSQyH2E}Ic4t~xx(&Ldo z@^|kC>6(s}lU?FPgLluHbMs=@-4`<rcjkR$R{MWd^gi!e&a^|k%PXvvRkT&j>?ICl zZCl0}AnI_|`QL?eMM35J)~03mcCRSP>k*gt@S7KD`0wkX<h6wp7^Zp7pBG+fqQ_)= zPvP?Q&uYz1tlBa=-S+?VT%w{IY&R#le%mb%1y#$h20M#(TAYZenZM}pdc)|hO@Cj0 zYuVQz_ct}_s(v76+uFL2G9}&?{h8m-xxb#!neOy^g@wo67QLAtDhkYX9FKnCxI5{$ zLU~YL{KoYQbgm{$oOI_^ZLEt0>%$Zm)&;y@7tRx!wV;93^6144eD+s#E^0U3?Z`UM zUl1O!N0#I0jhO;X%s)@ek29aBvwQ0jDUL%-PZB?A>MU4rRqFB&xwmy6!wj>M)o1QH zHb2gdM}FhMsp~GT=;ZM`_ha+1ocmoe6G{$Q1!PO_nrLCO$LiRnPsiHXW*5pGx}LM1 zUtTe^*)E1vXW}h`5+i}kr~St)rDp%0c!8PWj!W6UYy0=x9j`H~6<)l{sqb9+uE0Nx zD-tFdny;9%C8OB>e)Alub$1@lv&fq9U~6=7mZww2sWqh&J8dN{c%67w{{H&hu+tX} zlIqM4t}C!u7QfL;>FtYor&l@_Ijvzzcsy(JkLi!q=a+O8zTTRqR<=;H?U#LhZ_taW z!AiGgxVtYd5`HRt<n+&Y4&IaR)LHZ%PQIU5pC$aL-!Qww!$&Qj`*rK0L!0-mzqI^C zQO5RYXP&#e*Qm?Ny;l2^7r)ke*Y1gGPxVV0bOJcbe*G1_oTv5r`;$5m+e||-h0vFu zFFrTl`n<Y&iET!AiW8&2+;<J!Yj4Lz-N|R`WRCZj)z@e{_0FrVYnvax!mH~KJ=uf( z|DL`(X<qoRkOfCH=lgl2ZM^sE(GEs0CaG5%`&M|kE`4%~W%aK83oW)QP14oYYVo_H zU4EnK`KdK8G^6bFo;_9kQT^sl)p3Ol-#6a+<~i|%RZyXU%((?07?KP$<$SfHj!v^W zSNMO|mHerSZ_+=co)){g%kFetoy027H@6hN9cKHJQqP$q@|ZV@_554*BY8`2h-BIX zJ>NgwlmE;kf7`T!jp@waRkX!|a_;!dpQn>MiA(0}$-C1(zmPh=Q2WDq2KEmrw(jxl zz1n>4kD`jjZoLx`iOcGGZMT#2P0vA<*i|>a&JwVXJm6NKtMT<Jf5lplW$#3<>?k@u zZ+A-ckwpo5DIIGiI=AG<g!P>{YctWfWJ*p3qux4cO+LR{W`}H|*{5!qU*>!1c3!;; zPvGG<2j9MmKK8^d^R?%0hHnqjtP8eq7n+@2e5dkw?`~K59e#T10R<eP_re#5GQRSy zD`tD2`%Lo6tnHcVUN6flOST_BtR8-h@qp7=RmHj#!Nay^ubns=a_-iZSr7C4G`~I< zduueg(buzoj@!K#OOk?ApMLXSQe)>afAPxtwToiz|I5f?5PL6o*zHx^)jfIIYO7BE z7ioC?=wEUC<Sw@rvJY-BTh(1(>T^vkeDZ&eCp)|hSSInkD7)6c@kE5{+bOm@-Yrx3 zp7#g!SYGP!&+YwI8TR{))xDYrIU8?F-<ij2@uQ(f#qP<O%59=1)8wq@%g;J&bF+Ts z>rY?HSM1-mJkVH)TW-SH2;Vz)vs6}GUFa|HGxWqXy-OV->22qhl=;hur>t8VEO2XG zM~9v9g}D1|TTktXd)v<|HTA%K=VbP%^U}Tf>(|{=Zu!K%e?qy8&Y_GQ9^oyMgXH$P zzP{!>zy8wUU)kl3*}gM{JP)mW@;c?=E47@UuY6XA)h_e>VcNCzSHFTv_{mK5!dqOs z_iGmmSY$ZwdHz1?w&gjeS21~_uavjmdVg=r?1E`dfBnj@iFm!<x97p84|R1f^{<q~ zY8Ad+SQ#;a{oa-<_m58f)EiW3qUFLrtu$?K|FTO4T{|ORoa9?<cZL0K`KHCCsjR`v z1aIsr`aJ9E*MjX4cjveCCPWFU9{er%PbHu@Q>G_;_SYM8_r(8~lnyDLwTP!JW@d)_ z;(Kj*0S5h+Cr|xQn7rKZg-lN%!*BhyuOxJ<xZ2noIxTjenI~s>$L5%s55v>e<6L4t zr*#=OuRN3R_-(~o$Gk}uZb!B%=5o%-aw)BQ^SvfVa_OU8*H8POoc~w;|IJ&^%yqA6 zuJqosviw>1KMPmIJze?fsSVS2toPa^B&K8D7c#l2t&(Soe_$e`n8F4fo3!`^p(o~O z?Wz9GZp4`Rqt~pKL5_LDs~cBt%}cW>s>|3tW%J_D=Bb+BqhuOC@8bMr)b*_30Q<RK zCWo#w2R>FTnZL<aEos8Nx%>U5O`a^WjO$0w8jEeUJwI1CHYD%Z6cw4TYr9PI#FOQs z=Rb%p<NWI`!gyZhm2a-`qB#G_AI~?u>tASc?)a2D>1+!25*1gIdMe`;#N{fcG)!|- z-2dh5z3gAswkEYk30d#0yX~%W*45iRW&IZ+8h+?{czE2O%z2e@zg?WB7QVmb-?sHh zN?KM`HRq>Hmd(zG?=!Z}?pv<D%4Jbwol_#mC7BJnW=bKI!4r$GlrE^>r;_(wh4bz# ziC<Gb<hQMhDti=DdRS)v+h*C;>?w{N^OUa(?>+fB@v;@0$-#ChqtNMn?FN6h>xKJd zurg#$IFrhmbFJg3<;Ux)WkE*ay_XYbTq>Ec-|WAyVXSIe{LNsVdllIiLhK(@?qA|E zJt$Xb$IFU-2A=fCiwo))#Xkka+Pes}^fq_6telhc*ha2DaP7PZ5m&=Lftd%k<WIXd zZA(R$VNcMT)Rq;FRlQp_sBeu~9@)NB?WmeZ^7PKslJj<NkFHrejV~fx=UHyG+wOi= zLyctz-hLF_%^{&)Jb_EyU;|h8g#%BwJb!+C&!YG32QQxKdUD`g;Foy|H8(vt%D$4J zV~+kY4#wW?EqCg-x17ulS*|sq^5Eiw$6ssZ?U`Ya^Co=1>f%ht%PVGDt#~N=zpl3M z#o9QIzdv7HNR!M-INL2c^PupD#rsv4&P@9}+woLz+R4rhm#=>{nQ%;^a^m9S5-dwz zpUi8!*fOInFnHQR_O!rLYac{p?b~DPlr0ueZ)P>&o7?MikJnC_I&<G26(%|5wOrk| z8@G3blz(frk9)3s^lNlF`^gU-8#Xpb-3^VGS#d1c=+?{6$IGIx^ETd7d9Z7uuJBT! znXh*raXvhWN5nGIT~Q^`j<YXNUHz2uN<pL20PpOhO%+RSuUhyvr=+a$%eym^qofzM zJ+YB#c){f}Z65pSRV&UIw*4x+_b5h!x!GagW{<cZifq?TPYXQ%R_pHM%7S2qPX5Bo zr7R1h=LRHNEl$64`wqhriMG7jLm7fhtF_v6dOGecD-ac`dV0g>p#sCq<sp1b3Nvo` zEjR3$Irp?$>EyGI*Ok>OMzvm0&=1}it)Jt3sjB1op6)B#e=j)Bk^H_$*5+IL^@~cr z@67jF-CI+`te2Mh+R;c>UAwEk^5#z0$-iDLXrC=AFX#WiruwlaPo0c)k%_*Yd-QDW zuGHR|kjn>BcgkETy&Em9EA-)R%xi(4zGesB+&!6e@A0Q!4g$-jF8Dlq*^2lJ+e{Z7 zpB$&M!*Q2uKeyL)+Xbx8EDMhW{{P?Z{BvHxB&m9)X^Y}^N9iZ;-XA0tv9<M>=R4Q$ zrhk_Hn`qMK_04ke*R3|OYj!QlH_O&IeK%YB$(*0_)IJ&Aau-i?DSP~K;)Pndrrme9 zSeISTf8cTG;zhoO(_3?%URoRQ;Q!R^fhOlKFRc%>ewT3f)xO(V2gG8FtBf<gFt1h5 zemJp4VAY3xZNc-r#kT0XsK?FOcZcuQiT=flE#LD8ZdQ_=wSV5$7h8Xy&MN<vUo_XD zQKvDZ_^rJ#SMOeNw_WY;8Td}b9yrR`+x$WOb+-HAm2FB@kN+F$RC_SV*~P4Vde`jj zT%8*>8Sm%)YyT1x@%YIB{)O@0_ZOH;T$_2o*~rX6(SO_a7mE@U0?NJhUC;hB+h`q_ za(%kOjE31szan>v9L%!WUr-izGTdceMQhNp1LhvJuG73HmzJAYH-`3YOMTy#eeblB z<}x1#dy)2uR#vCm6;^2I27K*w)cW~0D{#Yv1%-x=ajly@xW#kk2c}h(%JJ}|`>txf z{h?-Nd-S?nYEO*#v;IVScyCWrJoa5?N?Pd*<`Y#SFI|N;{!>qs-=LMG9r}L0_dH3i zO>tiu_>XPaEBBRC;DyflOy;eRzK9g3de<~;|5TS$CBXJ;;<QlKSi1?&;;&!XXeqGI z_qP;}_y^xpmwxOw3C-<%*|FGm<+ALJY%etmRU$mawBkae#6#|_P>(v3qVM(NrTpco zQuBDLw?5qZf&Js>ERoX+JVkf3&Pi-s^!d`mJ)BRCZ%3EjJw8z<pX>Jd42`CENyVO| zAMq)o0_PviQet>kSrQX{AobF%)+A28hFOBzTMe#V+|>FlLSV5@p=GJnL0M13tVugf zCCc74s!e4+bUP;M$D(h-TRqm#ebDHxwP-7|-sWR^Q%=vQdn(b)UFmYGy76b~^^SF# zk9K7AyihiKqn5RL;*ZB|rJCVCr<Yuhu&ub>cc-#$Z)WA?j}E(*r5|Tqxp2a)Pla6S z_QKcibp{4sRS0Z!uTaS8)-W)?U9#Y(cClzSzfhi6!<@V;`x>$i=WbKv`&iDg)q_34 ze0N{!(<@x7w!cahT;fo&rM@+=dX8!O*8@SaQ-vq*x9hbp`@VzQ?$@l3!3lX<^X@Mb z&&fTOwCbh)OYTKFoG;I1|JfSraB1#8S+}d5Vv46OpSSwEamEpmzL_&+FIFi0=AGll zB>d!wkLjB7n8W8TZaQM?ozB>F=~5^cm-gQynn}6m<87>q=gj9Y-;(`|VGfJ=g6|ye z$8Mk5YEm8W*rtwO>u<`Ws2Y!!kC(2;Jw1Bx@ucc`y*DIZ^~s*Gi!k>pST*aWidXM@ z)5rHWg}>)#oX{s8Ch28;JZLvx`~3~VqDq_#*B0ykGm!e6w=hNBR(WDi;<b~%jxXML z-Y?m{vrKj+@6-EX&Lx(!_)mS*(%bvjbgpw_$yJB8&bZ7~1>Q4q9?zX@c5T(NV^eAp zStl~F&*yM<`@Dg3=1T76ezu!slUo09ypo%q+hMNPy+(9y@!bcDqjoJ_W3&8Rd)S}S z`;RXhIGj&o<7e9SKPhSpPrlvb@5&j8IrAI&+~(KqXP0lele<azxJ*w~|L^36&aZa@ z*)}G4yjoVf;hAmpuUC(=v^CS0@NCpx={<Gkq}cm?j?!rn)sIeD7Av%ues$N}bobB| zqho^l>~njC>}NIViM?Ai<D^xA&td0pPMrqvUy8qcpYnr|P56+C9+O^{TU`X(s#-z2 z+sghg^WQ#t*<SbctNP}!>r#_`PSL&p?5XEvo#T6a&WkMGxboCY-oN43pX?}0lzY74 z{_8o02h5KAuIKYrnY~xZAg(zu<wVB6lJ9Q(Ki0~;<F4HN(XXR##)N10m)vg%{WQ^; zwT-vg-dD@y`DaI+HFtP>)dbHb{QAmyhfCaN&!vKWR_S+GGFBPRXIbRqaZ68ms*R?? zx1-rBcTVN{y7Twqxu&j~ZI0%7>Ba^3551|CHVvG{+&4M>+YR&ONzNhCS&lcqtv%7> zrSEnt{NcS@b@@;DSg*&Od!3;py(C;9`C?)W!z<k*myFbR^#6Y)Bk8~Lf&0dVQ>J}f zwUqVU`~G&@4ar(hAHNEDxr+6~hqIg8_4#{i=C<VSU0U^FLDq?N&wc-;JXA@}o9=nW z^;T+~cdk88^YdqmORp7d;J<RMndg1?dLjNvd!}EXxO?OJ3AdfUF25lC<I64^_DE;{ zRFiH8p`N|Bg7#-cw)Xz5+s0J2I=5J&L^|%n;~7gu=h$yOd+AM|=I5tVW(V%FWZ_%w z=RJ>2gIjI??!DU>-tGDJ`{&lV8&>nKczX7@GsD_hwLaJDTtmYrRewBolySY#tkrJ? zP3Lw@EpxKreYLyo+K-T_rCVRjssDYm!r{y<tB{%LkzYHn-3dCkX~v-ioKG7&Ps+=6 zNcsQ&kgIg(?bbVf?mn&@&kUzj+XjbSELg1j?<~Wg3F-g-8|GPDe7xt!{HIJ`cdokq zNc6+zb^E;59<H+zdd#~&&g<K)kDhH(*HV0rRfpRw<toshb}Xo<Kg~Z}Ms>j)5sd|A zy;oNyCW$QMmNZ(pZ;`vc&Z!%3Kc(*e@aJ}+p5p8W>>D1rcS^}GanNpi7Lv2|j_u2S zl}+rt&iPl{N`F4AI(E4t>rQKW+{&1{$sZrMOmq6Nc|G&Kl~Yay|Nkobg0X*sBlnqU zOC9AZrhjV_RL^3as&L?dBAczy>*hJ9%XePcruV+fbXMQjIZKUf{dR@FEk6~vN$=$c zA3wQC8+6Zi>tqH>?-Oxz$-mSfdM?dA+bPM>Kdd@OX0E%g=hH|n$@;UlzihUg-naVf zv8>borhcBak69+YCC2wfb=KM=9T}IJBa^<I{$0G}*~^lBFQN`|J=xE{AW-fQ+gk?V z$GeXPu3)J;f1J@y$KiXx@`q{f7=Ip-zc;bv)HSb{wkIy+aGIHZ-+2FF@ulvouAv!o z3|y37=}zT$zYzUjEbpvAea`a43I2cYAJ|b8b$Qd`6iKJKTO5z%Uhh{pxR{%HF8>3| zt%mzP7@V2f#I^OV&Hu@=w`Lz&f0ZY)y+u%M*ZyB?e~U*RiC}v_vCx(Oii&o|f<1G# zc(Qd}Dmr%QS>o|^Cu)^zYFw&We9o545x$!9&8bRj%Z(XHqC1_Ln0?uviU#zZSMe$= zvNO3f;q2`BdxJjYu3Rho)peE3v)N0pbrw#Z^2yxHe&Lo5^=b9hGOn{u&Wp8}u-Cpu z{Bzp7BfKfMeV#MFOD}T{o4e-e%J}bBg3ox|)V6BYthzB{*ZMhPraDb?4{fhL)u%su zrk~x_a7G_qt{-dX&V0~t*MM=ssdaW+k8;}2%(<lZMQgQ%m!{Y)(PjHYuAK49)p2ZF zum5Jn^;!OYD`);wX*oUTe#7JqH%-F61g*)_3Cb}q5Q;c-w~ytak$FwsrQfdaROEj| zIC>>IKe-febHV<j9Fd%Uo4Vs%7v5Q3WE)`E@L@OGmvdc<4QH!od!*fXxwm);_lD2q zb}x52=6rCtvpbSuLi4gKUy>i}ov$x9v;1|@lqF`mK3@Z5SBtF|Hgk^Iyx1>q&YP!9 zKi;jp_nehK)Yn>L)1PV2nYVPsL<Z#@s!hl_`?a}wO1QYmvF~9zanmlfOz3$MzPCW= zln3V*=9P*o^m$hrf63mtYyW<WrJ4`?UtHJz;{DcT(#cu|>+hdl%OAL7`Tb~GnnLm? zW}dvSd(PZhbIKrwCCAO=N%nlH)VAvFp$85zzC2u(sNR#veE0Rqjckwf#cIS?KJ@Cn z;eBPQXQ6~t!@ZpwHthbfcVQr}T{6es{`%g#7ZfJ`$?a>BeV_elKG#iohvk!Rumy0< z&U}0&Ty)y95MS534_c=?ebAKOZ?mhWSXOkQ<huAdJ5Kw35RvFB*z9Ce)3Y&a<;IgC zmwsoZ*6(etIy#@*b%D@^AmKGLf>d^#e|Wa8(s4oE?iH7JMlbu*_|cDx<x1lQZH2W5 zKVD#1;ktfHcbxWNk=89uZM_>`i`-tkdB=UFSy#Rsy7%2D?dxF&cE5$kG7ijr$~h;g zqhU^P&H4RP?q#&4Eh)_VXRiMtz_Q!SPIJqJh&$2@$6E`0E&gnpV;8pepjGfvf1?>W z>#7+F^Hek^zO9(}`IYnIJxk`~&0ux!lMr9Ha#hFE1sQWIkFB<w7@+lm=XNV&nd$N` zP3G%gIQ}?!j#I-}al+?4o4@~X3K#pj^Oq6F&x~J3A5<;f_Tz)X0qLck%f24rbD8p) zJ;qh!uxZ2oiWq(CyuXrrE}og7yQbB2cYo#eW2Fz?IXIt6UtfMdD$G{<m(<pMpE#}@ z)1A7;p&=@>;TQYNKk4h2FFzEtd;6vzd#$WKec)kAo)W3>Mc~bCK7k&Fthnu`W$xtk zDZH|pw{G<|4wX}iQZJIr%CeSKtz~?z%@ZWz^!LH#p4jjD7L5gLYl1H4Ph5F&)=7^4 z3Kw!4yRDDbZ%PTg@om559Ii*xG%jxC=eBt^&wi=)`6ajaYD6pM`Q6yKHvIglZjI0r zPS;+|I4`vI?j_k@!U1ONMM?PzucP<h_L>&jwP>sPQca`Z*>WKdCwzL~b#&WYhN1&S z{TFNX&02!Pt9HC(<X5umjDK8w@E_|927kYQ)AWkYEPfvUxUPgbcTS7zKEpMiu6cA^ zRsJ+%Nq!oa_l(YUM~dG%_1XTLIYI52R&4w0k}7TWU%84^dxZB)WIlgNiIwHVuP0M@ z_#&bsU4s+DpD9b9v_8W$!7F2}>b^FSi24T%DvE3~-)D17UK;bSh)H$rF^35O((Ko^ zGPkEl*SXIUR?~TNQEx7r$#h0@BlQloQ(L;24%w~`i|4rUZtcNkYbEb&h;!STD>OlG zp`S$D5p{dFRfiYKZk+bdMRzO51Q)4EY3ud&hqOtpxOVh*^aFD-LnX#ew<xyeex5mJ zIv)HlNxt3o;+o)3wbf=q7Vp#ZnBQ}q$e!2pHRQyTl8<k0sy*Ft>(isOV9gb|U#`xZ zR=@79#N8#;`fJy&HJNz5Ac?m+x+6wOLcj93dUSh`_oL)fpK8T=Pd_SKpQJeFhGNHi z#+!2lr)GwzNc{F^EDjE^*(_*hc-D<+wt;n5Vy@=7jt!r0D{ZN@bHB^C@c6TfU+ygE zWJr>Gb?tuYw&_b(EKK4IiOf0o<SL`)Otq5@F>eoCnq)iuagow__RPauqxN0@v1q2e zkI9}dIXClz#kyO+Wmr$yb8_!is~xlUcDGlryV}W>V0-P2RKB_8me;DO_WPtytX}+7 z;QTbbRDI`T-`sYr6SNj>dGPI7*_pr3IGv@tk~HRYsP6s0r2Jw0(e5*EuPY``SGw@k zvtBl`;?l%7;n%XOkF!lqQl4Ry+R~DL*=|z6%!>v(g-=3-6s7j@u^02tSbj-`JtfHU zsmi{{iH=!sCBLW3URHek?U129n+{{pm75z6+uODWOi=Rle=HQSw3L(M!^RzJr%st~ z@}a8XcDwf6e;;CcnMI=?u|)dyn-;6gRH?TO5T2_1D{*54f5|7U9!Dwpl^WB2D+}8= zibV6yb}xOEF4EU^b(68&CH_GE*7=%F$JXdED<83AjY|!S)a#et&ZhtGyx+<<Lho4r zpJU$Ge*O3bmx$KY%8gvDOM6`3T8BL{yH>g2#ocE!Urux|SJ*R4y~sJuGW^gs&33-) zCE>RlYigC_A003>Z_PUYL4Bv=+MkV)y+03zhqa!ldHKc4sE%>dXUScQSS3UhrvKgh zWP^T4)k!`_cB_+TMS~<3xF^&{RR5ZPhxN`TaryJY5{<jqujFmmczyLV<y%W$JeeW$ zSkOx`a|54+&obT9X@(hX4`Te2dIir-n3(0m`_9(mu<PonEt;%1_IEWN`E}t?%}&1X z|C4JBB{o=`&b~06<AMFuRGBNTU(dDpZ{K%)^@;z}H|=(oO8VY;JEW7bd!g<>mJ__6 zuFih^yQ+7~tECMw{?G1AbFkgTb#zDeU+wU^4|>}^FR#4sS$SQxEp&}Gg96(cNf-Io ze|(x`1Mdbfmd+4+AXIwEA?S0L!r#V!%hy-_xh3-bXpeAW=Uw5CHg&V3^cX^(yo=e# zo}HMHwXJl|lK<0sUWi=w*sRyE$7_FIlc`9#b!nT*>xtr<i$i#}eJlPEEF2*cUZl7E z`mP!GLjFjJi5%}TJ=$k3+N$a5*|={%&#_pG)Ph+{{0dGcEce&;kLpQjahoWsbbk4v zU1tC1h^?9%sd$6+f8ajH|Lz})W-gka`zZGK^Ji}p9=dN9e(tZQ9a=y4!P_n0TT5Av zY;~LdY~>Z(&N_9zJr)uZB7ZQy(%2HE{^3#XU%8rA=DZVMT2rPdwf>&nP&7y5;+r-v zsV!E<K^3gc55t+X8IG<M46;#v7x->=pM=pLz4Y1dW_Q~sC@(H)Tk?7R)1Dg#<|a=n zzH_PX!)&{=JVo!iEOxsEvp8=}*cSF~L8SA|pNwwXgC({oHosxt;hOb9Bry9}@X6D^ zj>twAEj%i6&Fb}E6(+&_3pTIa(|_!K_IJ%97RkFG%Z^IS*uI(Z!~UrMU%wb>8@?!H z+c)_~c$(J6``aG1{(A13>Y*=oYbIB>=hmMS#8Y{e{|LK~HP^lMy~qWRKUaAtwdFh$ zcsJ?yp+oA;X`3Xo{f&zkmu9;stdg({l+m@`+@UkMXlv~@+tAb7*iSp~Xo<+r__{&j ztd7)?O6k%a6Wu1vmtXZXOnAbwzgwE`1?ma0%B@z`_V+EDR@vAqwDiPXsU6$7eOF)g zjPE^qDz@w3*GoH2{=HxOpe$$YluvDyZ$E~<dvcK{d~NzSzG?gTn}6L1E)gsFs^4T( zuT>_lTBaKGb5@$7bq@cVlOol-A|#A%=O5d>HZ6R$KmUn^#|<|>H9O7D+Ugnie|?!W zJIi{;)Sl%!$KwB4CnvPA1TE9PW0QT@h4IF}{W*IymYT0ws(PBYNLJvIqGW+o!L)V1 zd3d~5`u};H#ULWO{ZM}n6F*<_D%WO(hhN*`cQw{jozhyFI(?D$&kc*V`mIgfob4gN z_;T5rT~nPs-jq4)e_Q8q{Swc<%EO0K)GQ*6U!UdIQ4!AY5zu=zao3`o?(4VyR8{+G zYR07c@2bAGqp!m^&DPd}?}pB6a%{E#8FGiNx!ro@jJ2ZQshr~0hgCBkoe~c_cBbBb z-t{f+=VvF$6vt(MjotO)+!+bo)!pmGeYcz3a{63)L{Up;iM2)R{4&EF<<Ak76U@Hd zZrA+OsibCo%d+fGdg98KJu7Y>x?9*%bMA)m&%0CZWwPhje|fg(3xEIPWoI8QT66TF z*W7JAVxd2c7MIsr246gPqV+QGF$KGX3%}JKR=fy3v8d`V_j}IBZ_a&897e|!XQwOW z<d=!X$7oMD)V|pJ`~E<!MOGz-T9!GCJMT^YnY`tw=m%Nj)eB!NKAV|%FhE>uv4Xeb zRPo2`w)6bGmuIJ*U$k|_!WECo)hF1mncT-bImK-G^e2UBzK(`fW<DwBRzxjsZksWK z;n2kTFZVyNOIJ$&y!at~=65?okAGbumU-Pp8r|-$yCb(em|nEJ|Bc7%-4bj1Y~s?L zW$Iq0|DB}3dV#5Cp7!sH8orYk78wd3&~E$h#gi&3q!Cs8_h0tfw;xKT%{(rfl=;9V zX8n_tqL&rSA2pWzKW{FfI_dTQJ3Wtb73Ri-CG)OHV(ZLt?GL!}^76-uxre85?+-Gr zSNdZa@wm|9kk&hPhJPWOm~H0&dFUqbuI1V02JXqyOx#BW%2O}zI`O_iHNEuQ={Vt6 z*B)lfEWh2F9`vJI%^+Y}gZ4zZ+CN54zq}$?_y0Z5rn}E?^=W<cgQ7=ouQQ#($T!>h ztD4Luk@dUvx?I-^|9)YzX9oWpjq7)h2-MHa+I#rLVFiP(&$B=JC;olGqx$lm%bU49 zZ6DsheRsrfpLgEF&AB;@O3Q;njiyaFK2fZwBHHV=Iio|*8guE&_`5S*EtbpgnCFr3 z*LHRD(T&Zw(!b65kyKpYruHoN#+Jicp;8_y=Qq0CYI^+iT<$BizP-6dF;BP8Jn?EP z+t~>JkdI9}^(MD$IBgjB_MgMeZ0TG7d><e6XFIq5XynG(yUW?^guffSZEL&0E5;Jl zb2;38(iGE%EAK7^Ew8%#rCj8BtISJYeR0{6m6Pgvr)gZ1);`^4Sk0wdul#ZT%T@c8 z7~^(w=!^F8mVeDpn7+<H#=%~#KmF|H7fI_~KQ36K(kHRo&@|0<e|k@^agl1{dodFu zYyO%2+vTj28CR>P-%q)$Qg^0Z+m7MOnqxMM`IE)pXsyUryBE=x=dzi7r_UuP?Gqm! zx9Ry9ZvJWT@5Y+C+>KBFefyBP_`gT@evvgdFPt;&?+`gUd3vRk5%0zm-KXCDec{G; zXmRDl$!6T*3}>7CEL{xwI(J$~?aDhA_TlCdhfdiG`;Mgci@)!P<$J#&%3`@=+-=4F zbK6eUKKk0$%=p?kwy|xq$GR{5#lBo_@@1?)H$J+%?0M{urCG-&y^cJ_-a8>C`2Org zU(?OhjOKj_T#<L;&fcuLf7d6NJ2q$py*acyl;_%<iwjS9i&YpXuaaiI`|=69<0P|; z6un%&e?5WBeVdaXq~D+L_4q07#KVuzvIwd$vG4j&di25n3oH+%)~)$3ZKC`0t@3Pn z@n1Jq<_6wLXiU>McBa+Sbc&BjnxS^g-O%gi_F6f-e*2Cb6?A(M`ghaaN%QaDf1lc@ z>h2u0&-AuUBug#3OQY>3-mI6Vc}X*W_exkhEqRwJ<^GEKROb@Q3)R_Lr?zJtII=e+ z&_iD-P4Y##-L3X?u84)NnAxp=pXHs|d8p;x+G~c6U01j4v=d6$eg5dh1@CzLXPB7W zu71+_*s5xA`re9@agPKY<1hKV+mK)LZ4y`bYzK)v{<!eXCDkn_Pw({6@@HJZzx6Ly z*WZM>tL{8g3$<JJ_jvGs3xn&+x%=$qIGwdQx8&uS12+Ql%C(##Kd+uz*txe)>FS2} zuMVr3ieFyQpk{dK+wXU5fu=jnuIBZvdBdx@qG`^tAA*jt5=Kw%H4AW<EtbC}<$YIh zb=%{|&)08AQcRw6Jwj#OpSZ0@pK>v>y)g|xt`qLDWY3Sq$;lal2`idTSIO=bkXtqD z|Em-;PCJq6T%Cl2s}%mUyq*8fRDOy(yJY{VgBqO`{l_LpUEkum!7a9uciStcNu7&l zq-2Ucl`5Y8q05y|{_ISDp3-UWKFn?Kd}n>KW&c%2<<5EM@9t7dkeaG|?k2-W;YH%I zE5A8Uz0khO#^?028Q%j<7CL$FxBGQjiJPlzzq@qL?#z$&tXISAGUl^(-|MZnyBHAo ziL3S1?Kd^EWtQEZzjRac{}tzs?Uj%4IJ4R8;vT)kFW={-wl4SkUNRxP_x^*{YJm$k zzaO5KvzuY!;c1DxWgb5;n!98h*VBtWwr`SK|G7_?BEFlUeA$1o^9z-(Z%VKic^)9L z?_+Y{itq@Vt8@B}f3o7s5$=$F^U~ezRJiOpA>KQI%MA}J6(9Mz?z&v*Y4cm9_ks!* za{kNcwOui#_R>bl5{?tHz9;$bK2wZ2EmzB=a_8NN*H3wm&lP5H4&0SGb>*}_+X5GA zu-+;RUwxrqo~hpT$aOX=|MMRaE@UY9)fCFv_F?<I-?HAzte7myileTFH$7YszopIl zjm}J&S#_Mp9%dPCpK8$gdzwn^+jq$!?u!>lyQ}qHWHiwK8~&l<S66qXWWmzLzWx;E zbSnw1tz|Pbxa=3bvU+}aQ=$HG=?cL`a{qFf6AUe5W9y!rU@)H-rDSirRCSBQ4U^tk z8qH}_nQW3Dc&)Ac(-xrqa?6^l$J>nz=WZ$L+@^Ydd*#6-d9#4kD=ZZ@|B#MI%FL;& zT(0^deun1@X{%*BJoPPN_7qh={%*7M{x=m}xgVkH`g6@cJdM@+wWK#`+Y|Q%OI)8F z({^xtl-LmYK}UVblTz2>p3FSc|JtiMUY+32e6y}?%c;8uZW@)nbJvo&o-fSfY;dvP z?@mC&y)UmVGDHoT!Wrb=#xL4-sqFlV0E;e{^?7y?T3hl1+Vc;t>zTac(U&fbjg^u8 zeU2aAsx+Q3&}Z2GY^uox+b3-CHHDjY7Pu&GKEHR7i}Il}r=2bSEqR!5z-e+>=`3fb zD-wav_AlXDa_P;A=}miCR$a+iGL0um<g%Ad;p~YWOuv+_uX<*{z3=UgUy1E`Te~Jr z2~3b(%*fAMbnTv%Yudx(|4VI|W@et)U;pa9+NF>s%UTx5&E6z$QdQW~^~I;v&3@Ji z#~dLc$0?Er?%m92oIP{Ht0_BlZ_Jlhoe=CR&95Opwd`t{`IEmZvY*fNcoUZW^vc(8 zg(=&8CziNcZF<2XdTuN0_bYs@Jm3E7c+XAzBfI<)&$C&}bu3p48=RG#>RPqkbNAIB z8tu}ru0O~-C?5Pm>ytrd=j!eM@3P2ly1!G4VgI?a&F>ZeW*3^gTKeebuJ#j8D>qk# zPGict&$#zg)Y3yN2N)%v=htX_KOtT3^xo->Uq-uH9qTE5l`Tt7h+f#;tKm0K{OJN& znV{7%YhQ=g#w;^l#ZkZdRg_Bc9kHivu|C~rmE6DXs_Xf4RP10~P}5|tSv6Z~L;Rw& zmztE;sCTYEy8c#Gve5qDk;lu@)|%D4iiq5%y0$Qe_xLGGJ$((MTo0G$mQ@YR{EwX2 z-knO3z1HnCwLw7m;K`DA6V64iu;I8ayedFuYy0)ph8z6LEG(9;;II4N$@ll0@M<gZ zLs9watPdC+#69ZwrAi2Es+V{_3}s`yzB#{EL1yKRP08}AZ#Vo3WqWT_pnq!0EcOKH zof4TV!mDkSN-`8Amx;^AKAm1S{bOsZnel?^XTJpRx(6`7iC_$_FQ1?4kZgZcB;?|T z-diGnCSThT&C7M-dCLPf9x=wZ)%}?V`PEmc?ajKuJ9qle=-7zDZ8q-nc;lAb;!cl~ zdJ)2H#qV)k<#?WY0n;}#4{L+Oq+s>99brC)*Dv2==d<bK56wG*1(Rz}ozAvA<iY&Q zoAX)o#@5dlPF%ksGeu#=rr^gvrtW@ckh}9Nn*jT4c9VR~LRAAj(}lJVG*_6s-f}lO zVBew#-%q}mKY25k?ZEEMo(pEQ^O{diF{=4ep51va?=R=E^w*Mh!p(E#tD8O_(x|V# z))&cj!!##&ujKrHx9)UL%~lE4INFqPujKV4!DE|W7(M8GE86*8HL~n*soB;WN8kMt zJ#EUE>%7A57GL!ym$+&X@12|{<9)4Xg-xt5xx{~VhjwHC-4&NZD|ky~F4WF?a<zHi zhO0{-$tZqre3)aiw)X3k*cz*j=*oM$95#JFct~w#xv!7gHuh;V*dH#lRK2QmZ)VcI z7ALKi?Ke$DuVxoLPl^6JL;6hb_wuK5mIW6IAIdj5MEP&gJo-4e>VK+_HlIMIcK(E) z_m8gHT;1Z@zP|GjSD0LW$;~gR@3q$+d$#5g*TTou?zMN`_a~^YUa4oD^#1qdhd=HI zWgHZWS^sc@No9}1N$1K}3u<2WRW46UC|xYU8W@zf{bq;A%^TJSkG<N!H2rqb;S(Bx z4293;PLwT+_-9;i!D!<5lyl{k<^=5xPm5-2vz$wsy>7(}_6WtWy>A4L_#ZuNrF!{k zQdjA3{W{-Z%hWBBM(e8O^LN=zxHZ$CuedYgm7#mMmZbWpzJuA`4O8ETZwvN)zvS3; zXZ>jbr|tGLrgl2ao3)m&_x93_Mjq4qO^f3)pWBJ_?0n#)t|jPvxZm?d?E(dHmQHo% zx+(d_KPHFY)ISq<IJaurd!N&JTlZFHS^N<+2$_*`qFLg{wYc41jxF2HkQ!di-CS0F zL}^vP`SZn64&N*G6@+^_+?2eyrMf2YufjKp14}M=x*yBF%bmJho7sDgxBhhH|0)iQ z^=_^I9;YiaWphhC<|_0|TYviU&V$NIR}5z+v~b(DPmXvrx5_mni2HBM`n16Km_^JA z8n5b4*G>>OmVbWLamC?-`|M1PuD*Q4B<5P<f$i&!-_>l*)XdW22};`A?O|q9IfJ*> zSzoX7>7?IkhbMb<e*b>gL?ZQBURHuVN7RHZSGd&b|2Z{pzfsS^u;pW?Xl~`%ZH?uk zlht!VQ)IH(+-^R7sq)JH*!pST-qx*dO0d;aXSH$MwytQys(h<|v!?hvz9_n^%l`Uf zXY&nBS%rcf2Nmz<>=SCUGc~b$?b&g#L2%X{`GxsftkrQYS8U!Msjn8PW!?L{KwrK4 zQSdgUAGQ$z`>y_2ReQJmR@P1@N&lBTCO^Z23%6y5OC8kt{n~!Y>8qOxjpddzsxR5I zTjlsh&bRA}-#<~jy#7z4(|woN=(efH&R?>gcOWBsy~6fY=3Rblw@eE?t|m=BsCz)D zdxCvc>-?EMcOL$q<mCSJg+f-?rX89!Roj16S36B&*T`J9)`UG=Q(@sk?bO&~WpW%$ z?{5AS|9+O`nLe8>+aqU5_K;YKD^_p3PsjNN`1nomGx`=4c6YUprj^{v!&g~n1>D>p zsHMYFY`5;z!V`-23wy%(-prq{xYzD)3!inOUQ}k5+r~LbT>H8CYbwqcuPDgdp%eKr z%pyzng3<r`zV|I^&iU*9Tk>apSJLxqLA?h*++RK~S4Cn*t#pC#sVP<E^Tb|!U;g8G zhbfc1+1wMM!aD?$-DYxjUHX1n(Zr{|$u{uE+@inHC%#Ud<a+L6i8W(LNy@DWcbBcb zP#y1EVkXuzOJag>#+Ps_=@<8vChIDvHu+xoBz$7#sz-;v_<DQRKYnT{*ShLtO7;oC zm*p8j&LOHA2Zhht{B3kpOlIdg!h9^{mz(+lowXYT9_)RrF6W%9wCM2FeBTVk{qI?F zf12_y7Qa=n*+RCEM?$n<$F8{WdJ`Q-jdhQe!w+Tb2)?sp{{B6}Un5;o_x!2)|L^H@ z_Ww~$#m)tfZ~wS4EttP4rGnY|Vt?9&JBOux{<S@O$Hnr)X>nid^9g!6;y?73|7Ob8 z|FyoY>W-(?=Xurl|LorO@lDK+_m@OCzTUfP9rt{FZvUysZ0{mHzw2{?S1z<wdOeS4 z^1s069rcpUzRu=tohv7m9zHtf;rh~iMfUp)HTFO4F7ro9P3&Ah-}i9wy;~CNr5k6S zWANCl;c;?1<3Eu!iF$q$(Zw&7dJ>PPJYA{7Zm)Cd5=YIyJ+{}Mg~}Z{^5V8e5a-_4 z1?4gfaS6+m1d7GJ91RSLe;O3eb<E>Yo@}G(r7gAx9!_YqPoHct<->FHLt4wf?9OJ` zxHiA;=v2RSTTyS-!>(M5&d=84+F>8Q>Z;d)h3fhLw;nR(FAZZYu)Aco&*SJfj{iJ| z7HOHxDP6Ihi7}EZa%*h3+>|pRCr+CRE*F2~DjI&uv*bWgV#=e4hj*+Tlw?2Io;4|~ zJ+Pe5#^KhE=r_`rtR`>0oZ*<`nzmHB<k9<ViQWG%X83)U$>Oz)EmB*@s2a+r#k5wu zSTjNL?g<fA##xFRh2^jQw%d}lvF*ka_D{jgHQBX_S>l@g=4FegUVNyvIi{;{a)nf0 z?b&@wQ~wJX6^DneVODZBd%IM;r#vL=*0G2kA0Ap8n`Zx9bn>*og_(zWYz*)0p5gQ6 zPVNqF&D<WJ$4OJy%FkTFmtnM&J-sdKQQ>dnXK#x7&Mr8lztGt5UFzDs=jZ+2I*IFv ziDjMU8phrGQ~G4@WC~u^U0QtFpDk+dqg8XBl<@gxG6^d3upCrwUMj1}aP#%$D_cEM z)|)Ew7B~K#!Wim2rCjE|P4<C2ztDYQlQmuZ-d#Gf#ZU0Oh~$JHH-+_ohrMNXVym=r z)_dG>L&?RrG*u+i$>-2JpIqGn=KPoU9p`O!$(GakY4<<mSCfF{1=Ud7bmkM10rzfA ze;@D1F{^0x8xy(Q4Pwr6A~|J++kQ=YDDAT``L<=^_3X(VT>5!0PP?9r@7}S)bxVfT zR&EueZM&YNlrXglzngshl<@u4ODkI*?Eb5KJ@m=d>-%%GPON78P_pRiL(%^qmRfMF z39*v>5IR*<yt?dj)6eF9=@*srLbc~KM|(z`k-L+dm(iH`ZKrjA`emhCo^v~<W$!)0 z=ypZtd&h<^%U-rUX`VAD$NJ~nRV$o4B8_UAe#8g#GbUy_zxLLCz2KJH7H|1oQk!zk zrcDj}z@*t~FMP$nv+mvBRbTRUl<HhqI!Pt-Lb0sb(Jj1h*W@iO-v0dDw`k$GZ5!6K zERuP0w0VV2eC90E?$!$5DIVYdKKg!ID_^fmMXhkAT}b+d@T#!8{im0P|0vM=5s^~# z_j%!V@kJ&RA5LG>>EJD`UaB3Hswnd#%FVs|!0q5oiOZ9gz2J2@^>$CcV3?Qnvdf<m zH>`4S-<r5<i?^M*;FGqef37_Cx}G(wD)!diq8(c9>OW>5xNfI(&8Vn(?Z1Nd#WosD z^Y(qd6UZz1(E37<%dF*xCNI0c?BMD-*H#!g3K>1w*Xvm=tbUoX{)KMe0=sp~@0H1F zhHkoj@wZ*Achc@P`?7z3@JY#<^SUQUFyh0Umb_F3xAPj+ZwvokTj|qXrFJ~@h?30< zpO!tV9x$Js&Obln=C655bGQ#!nI*3G%V@5%)v`Qh@9*&A>75npTW%js%-zat&u}>7 z-I6Kqk6NkQ*C;t%NOEmFpLA)>J3ViOml2$~6VErjVSHz~V%Fy*uYF!Fvtt%J<p(IV zsrH>aGcz$M@NV&ygNv?mysFaMR-B%1me@3%$;MUr>)w?M|NW@232Il~<50r?@~m}! zsHf_C%gZm%tY(R<{#|g+{uV=>=>Zi@sV=F>|4d}B&B=VQg75wIvyTjwf=d^R<!+96 z{&JVa&284}WZi%KuKHGOR5E#~O3o{B!^a01F0FYfnp>UfbT1**u-o>7ziwfIN8}{8 z2w#o)Qe_8^O<XT$BYAtN%Bj}-tgX7HA5Uoctokc<iba`G|6E83%l!IcvlBh+|7^N0 zo@{^j_0ab@devr^#U6{Nu1zxBxYzZ~)Z|GE)Q@)_I})QHqrqrl=i??+HLbWxVvEAr zE2;)(cx!I{SzEfAJ1)3=Cck*A)!a7z8yX^$vUWFfeqQOBwr1TCPlez&x1T*R@7vK+ zxryVVs`9cu7dn`E`xp9oKjAkp%l>vxSUiE}f6V1y=9Sxi7)ah)Xv?|pm#o;dugX4C z#V5UI?)f%JdFRxH8Izt*oO8kDQp){9G3<W&cY2d~_WTt8^ug3}@m%&LW(nq-KQk{B ziJv{aq*H0DTcz@R5%JJn50fU`-Wn5q``d4stgCs8=gj&U&SHJ+c+;Bu*EGbq{pEBR z{OctQ7N0jzUMjcjXHuV3Zhd-m!u%OIN9%XbJ7p|qsT98NhCa)(+2tLJ@9s5lVpDwW zHaB{j`%Y1ox8H>1n|wLX+14L5`nYZTg}22mRk{o78eR7J-MXeSCDyFu^g^Ycdn<1T zNUY1wop#@0*RlU1Z%$U!+dSnvWaQ_w=KpHW$NNKb_SwAcx%MXbn$WR*f95lK$xp86 z5{asMbS%!`{EfeN-u+1kaJ2g|cY$K+l6^jF+t$add+L?-ZQX|^p3b%{pM*nAH7#!K zExYuOQEl$^l)f3Z3BB)TvZsok*Q&g4U@%pq`A)9dgQlKK-8y_fK0J5KDCYHDmgE0- z<8}wu?^mnVz5CJRarItge8T3RD~~;$&t$&1fG2#~zrQ~xiFqwg{TKi2<+4!2W3h#= zHb399G$w}q`;=MI_PsGrq(6opJ7VThbxen`U39O80&mEFW1q+JAs?$2Eca4Xo-ABa zAbI9w{<{g&zF+rNEz*<>oBiTVzDM`ke>ax?7A|N@J>ADR$$l1(?djruez`NZEKQ2f z`fJ}F);G1VId-aLthH}{81IP#LL3JVAKE#+>*DPVLJt?D*jX=IHdTw!(UH3-=~TMO zq)#Dl_8d`LxF#lLx^eNIf7|}vyLwP6iBmyLtj5Pgz`TqrDT|Rqd}~cn?d!llKUX)f zKTdnF^kT`2ud^<uEZ)EOzVXYnS>oI;)UpfQoKN`PKc7`-rN=5%`)o>y*o;qkCo5_N z>T<7WDt(+{dPpi_^|f!(92Ps@6e+Wu-t{DJKD)1qikCn^*lnlJRTHNN#zkpeJG-f7 z*}SkH|ECmvW)HBPdAXog<Mo06A40`rpB)Z-)Fx4?sWH1&QQa@<LdSJWtxBI5weCgc zOOK`ah&xF$@uui;PCs_C=J1Krjr_~g=6~7ZuzVT&;(tH4*~ZM0=`u~zbXfZ_NG9#! zJ@IJ$6@5#sgv4Sl%5=JH{&P<3@Dsi*o3DPG-F_;nFz3sBAIZN*_AJ%vb^f$*#>8qn zW@W~*nah7%zj^)1>By2;j+=HFRf%mZSGbBY&-=zPO$s>X)F$)hzUu6&kLAu8ZZVHs z#OXbEPV$wKnIC<a7?z$|{_)`DqMZjfyv*pCtk0r6Ra~=Z2G7!vB?T#!ivt=pwi_QU zF7x;$9C|s7Rh*^F@m~pB*UsC;Gh_8EQ%f_1i(Xbf2*`{7c3NOX*U7B#RLOZPZ%ah< z<KFguX7hJ^96$5ml6=mj8DCm=1UB>j|LoIoS(h_{WmR_F{_9`A@mq+<-Rk#>lz*)n zlIpnHd`j8FR}=XQr(cr27xr=5R>M5EpT_Ok*B&KvD@^vjrs1W{&OQJ2GmAWpj&^q4 zPcL=ei><m|@O9qjMF;!iubi{rv87`{z|BinDmxm*zHQHH+;D8R)1GU0{@m=D#UeO0 zUbdm$ymIH%PA!IzXXcMZZ!^8&?YMAl+H4VnlYf3*KE2w>@L`Hm_>>b|C2Ow;s%p7x zyn3-Mtf%(byz*r)*9tvL(R|`4@3}E7UqZqCYV=P-rYAkIwH7v84=+|bI!!<PdzXs3 zX1$KeCDGgS(|E2On7>Nt&btHJ#f(zcan=()=ah5mI!_e#$iLQeQ0$3J%>t$({VVGO z*@Cye6x?P1lXv^Vgy_!#&TPJQcfP;-zkbdmSC+7-J$lPRgG&!h-Pmx{|LY6kBk6zt zT)Lk#TS@HKiZ@>W)(Lsevo=%PdG+_&ic0&(QKvsXw-vZi5qQZ|Gs7qO=Xa-scdJAM z4u?&!5pj9^;lQV&bNq7yTBYnuc-LkanqAyrW>SCky}xIV>BdF+DW=?Vj;<F^-)XuZ zFX7!kvsL_1Saj9X^E=f(?5NqnEHwA#!L5~PDS1+X&L^iiyj(L?GIP=slb9D)%@)s} zq&;1JB5kYC+kB1oxi#sR)*hPwulRZHkE(Tx4QoqwJd#u6ayQ$x_{wTKo~?i1S31tC zI2>_nTWOA+$ZU=M9IdHuek{3CqCah#sFgy!Q^D<rY+L@m&#>HXH}i>03G=RxQLFN% zf9wp4iqH1oT_1g8$;q5gKde*}`_Ek6-lczK3Ga#(YZ#xt_nocLu*>+l^(^C0Yh{>r zZBKr^`sLgI=PEBwd*v5XH8U+eC|G&&YWJ&WrarSic2LGUm+$<S<q?Iil;=#?x1k~a z^VUcXo44=IXJx!-vi$c&UBbZN)Q*$)Uu68wdR_ACmy}Wcd#>vfSFaOpmY$p9w3B1@ z!JFF-*t*r;J9KURW6s3+f08WImfFvm|8;4EyjFz8OC95Bg^u|L-1KLxu8sLv)ZMES z=5*!sitF>=9ByrJJ-%hdg6>$?k8(A2?7uIv&6G&W*kv727J7{9)1l1uK7E<>uakne zu&YWPed}>_#oZ}|zuhEaHmVc_)%kH>KFH-J>-x&+URqU9+Js|zA(@kxONlIeEgLWJ z{I2S3CabOe@<o%sK3k-;sFJ5dt!Jm^(l@80luub*U;Cl>_$dX?ko|rB*4hiW<D<Sb z3r(HAMrzT6Q`xcAma45H_f{%LcQ1J?P|YBk8Q|YIc}0fXnSgc9B|Tj~g;>)Rl`EsG zxc60mnNt5WZfab8jJe`P{sKj(tyU-3U)5kb*|K>;g~L-f=Vq>xPREM6S_MB{EBVaT zvhvuEFkhvEAB`I0a@71L9MANKSh~x$p)^I#eWu*;#!b(YUUyG?5q>CbDRXGshWmHL zJ-L;?mrAQ<-T0+htNg(=>VFXTn;TadO_erZ&vFray?-C?90!|wHQ_swEbr{m_~Gg{ zGxWkMz6r{^DugH9{dRa(Z{D5xS6pw$ZhleE&Y7~yll4Nn*t9i?jy5}QB+pKo^Zwl* z_hKPWoyX<B85s&VB?^5`Iv3B|_u<^Xci-MDn;yF>Re>+?q`s+K>Z$I3EZbTRTw8qf z#>UrK)rU@g{q1ou(PY;r#`&sD4DlC3|7X7belq7L-zC3^6-VdBX7H>%*UQ?S73KR} zVpZF+iEJ&ofBTe|_2e&AnYwq%p2=FGKj-*7S1{Yox1EW*S9gluw3DUo<&vufOB*L8 zo!@l&N<t79)7iStyz=c2GMNR6e^<RNyZe3ROhxyf!GFF=+V>qkG{YvTrNZ(!cgv>v z@()gHF$dL!?we|*VUm)n8)IIv^`&*`4XzauyrIP<Du(Wkw}czH?@!`cI_v4)<u5k! z>E``yl-V@%Y*(A`4pH~K+S!*LIXx)%Sh%NU|70B{Yu?3S?xh_4Er*;!j&!rL-?NPE zU1vC5@XWXQDeBh?ZfIsIvj_^bOuibhxh5j#mdX<2Ok3%Bz1u|crtQ4_c$U+zt>#t> z7_P63o>RX4Sc)M>#9WrTS_LuQx!yMJcFfnmEt<p~%+)qubpIvykXMgw-ab;#-+b!K zUFkLd#5=1qUz%Nh)>-dSzuY4&oO|g?))Vc2llwosl<0DrR&@3C{PiDWSvyO&^4zGk zcKx*^{Os$dH9Egv%nIUNS7>VNFZO`Lptw~1lkC6V<2l=HnEzKCIQyneZ?<i}_HKKr z?D?-)tr)*Da{Y^%HA9iV=6=rP!zxMvHj_H8eQ#Q9(S1<!+g;1$FSq;*pAh=!`i!po zn>1_VdqcLB@~BA^|JgA8eE4xGuI}|!;pbgutBWmDb~_;D%3?n4;^Y1I19BP9&Y05T zQfc({qoc(G)#@8h`q#{z*b=pT(=Ss^%i@v**QZxkswjOv_WYl|=6()-R|^iY;D@o= zcVhFz<%$bGyDz*V!o5Cl%16JY2_KfMO1kvou8V$Y<^vf{&hKWM)~5PON-}vqjB$*S z44Sf6xhpei(kk80|N4H^h?QrhS*A4{5IlXc-Ats+XQstp0nM%Zm!D$L)qZmCuh47h z?{29kfd-py^jR@K%kwfZiND|RnC*~p$ASrW60+rz&RhNIOKjgTosC~)TI=U`UzwQg z%_6>~YCc+;#C~RbOW=fgL4mREAM=WfwI{4J?rn9qRc`*?_3?Lh`F!4aTv-a&_#P{D z7R4WRS;rb5vCug|Y{eb+4L<uPPm7x_SpM0;Gr#^chq~_qgLCOWS>rkmmS21v(0Y<N z=?K@NDGO4>OS2BwKd60lM(^c<n843dU*8tJEbVGKLoLSSQIo@@eI53ymu8-P@h;)v z`6l(r>vw6V?b`4C{AJ@A@rDUf>+JImRe5!(yqc6Hm2UBC*4MS(kJIEcZqBP<pV7CP z$y?Cu{>=E3g{iaWT$YcnJqtT8f&p^t#xV`nT6P8o5awWjo`O?ck_bOALSw7`--HKJ zbFCR>h1v*vCdd@=Rf~w<Yg$y2;aj8HxVrKAwZ+Hd?2YHV+?;94X+HPY;!m%>oS$=6 zI{f3Nr!%rl*PmZI-Aqu{<V^fa`L-03#|IqVe!P2e8lx7Y6r&2G*OKP%uC9>}B1^Tt z?$$`&^-APb{fV8L;*xvTXV07MxpT(@RvzIa{std=?@s@G*X~kqcHFsRi$a%k$Z6U! zY+gS}`w82(i_$N2uU!!h3H(+NQ)M|d=={2w+LqSsMHe%!W`5wcnd>`~E92_Qi@%xH zSgHQ{dhm*<Ncio%%IuY@!HnT^*ZD8#{#jF(dNRamwcpk7`RDYO+LW@os^(`JPLDJS zy|C)?eeQzt;5%l5<)@qV+&46fbcKWkh6f#8#g#4QA0;DOWbkK&D&xW>hx(*sH9Qn* zWTzWndV0LwNpEU=q-=@5qxMQp$K~HN%jS1jpQyZWxX?+!GG5`4wevkUja(M}{GIPQ zI&GRXbzfXd{4H|WzncAL-lJUMGtqV`OSZCoay%&&Avxb5U_ygp^|{|w-BahxE}H$N z^RwNf+nWzBHa&kVWnSxJ{qy?_Z*R`4c^X-#^7Lln<n*qIHo`hjX2!{%-%@N7+@12x z$GYv~n$wRW?Y_NftMst3Uh_Fe=K0O<ZzH##-Td41^BeAGk<;Jad>d6c&HbUzyt}_o zY>s(*Q&;=xV!88H4=WTW9^-D;kCRwkp;+^%^?hH5c+p}@{hEh0lU_fYTrtc4R_^>O zc{dwFnY9<kq)wUc{&aKpzgq^@PdSfiGHK76wkX!F^Ypo$KTEVG7jK^a%_;iXqX(+W z&!6(Wy{xM|^|W$C);Feam%F=HhJLdtGMpdpes9;*MH+S)r;9(`2(hcb`tsWU9LsYb z9AfHbp4L~HoW1>($sy+4%ENcAD46e0TP~!t|Hxg{{ZBqA?>|!BCgLzLUg^``CwEwk z%xC&9@0YdTlA8MdP5Y$c%Q>3jwP}0wEzRTq=-*s=Wm31j?mu<chm8E(o5a8AemiuJ ziKF`Av*NfHhi>NS-_Zz~J4?K5#~J3|($5<2zWsFI+}4PhOxBgx?<i&2PIKR|DWv^z zWBUEqciuGC9<NBq-xyOM8g2gVz}-3XW8=?g>^vo*^35Sa{M)s{hd*Y&{kBhcbESO# z*-J9B#OM8ttFO<^zY?#%zij&3>*qH9xm7+je&HUUJEnOl{Dn%-m;U*3Y0~N*r>y;a zOaC(5?^?9fQZD|=Lm9Io<0Abcod+_Jemde|?C;LLKK9IKwz!$Nx2T5iB#DPv_7~2b zsY=QbfB$+z*Y~gGZ))%Ae%yDi+G)>v_NeT&Td&1>=`Uwb?|*)n*{S-1$X~g&p{yZx z9WOVjo{SRsWxG(}udUp~f3k7>t4x1s?P6)^I=1vz=wItuE27sfX^y(8`ODsiV^+tu zC{Er*TC-mHH$Hmw=uuqxw>|HU7%P7|F>i%%Wzza@iu!M^%3W`){(5(5<)VLV6Dzmw zPKbN!7!&ulV4D17{a5d!CMwiCU;NZsGX6^RKJD9UE4Es{4Y1iDT&^Z}qup9?|91UX zg|peqB+kyz->(^e<>zG8XpWQ2wHf{;-?Xmp`nan3ORmlOW1oLb3%r(ebaft=-L|7~ zD;YY&i<Fn>KZ=}jPXD9$yXgt$PFxJ<W<M`|_buywPTX7FYm)c2?cH;$sPd}ju}|JL z$2~&k)jm{bY}~Oz(6(7cS<rJ*lh;zig=|V;n{)Yu4jj^HUnp@>plN~;ho&==q|_t@ zq0)p1YfaU|F+3AF?w@Z}N#Rj(TKMw9#lFV(8~VfwFPxqC>0RruWn~TN?!rs>S1u}f zGG)=UPtpyC9^CLq*lxe$@U`;u=kD)a>sGNfia${2)DzWw174Qf8Jt0jm*u=DabA_& zA@lj+UvsYD?VVftWa7UHxfFhBn!kDKlW4m+LG|a}q}VR_w7oO)9D`Y#q)FMel^=KH zG<2L;XV}E^>C_Pk=Vk*LpR>DPY}voLbk)s@7qgbL|G87*7d|OhDWx|e@4dT^3ctXN zQ29j@_<bdVCL8p|aYhD+lz4Ea^EIA`xt$Qs5`J)5>&)PraW6t-^%kjQZ+LmbzW!{i zi0q-J8ofPTi@Z;{HcW~(Gvrc}cDH;!iPLy))WK6TYp%HHuX%sIudesHw&ymsb-yZ} z$SUt$yCGX>G56Vy;{4RKDJ$;rKK|3<aewmKY1U5Ln;zSh=KXk|{j*JK&e4vbnawvO z3oBmP|Ic2jC3wB4!o~H^U)H>>d$T33A2bS8`1E=S!{sD{e)cOZFHbkiPg^@PXXm?^ z<;K^yNNA@X@Z;SOl)OQL*-v2m8t49#9XiPko(V=GyS|5t&0$be-qgdWHZgkH!t*H{ zX2uSj8Bzt`4D>2gy#yvMY5mmrDJ{`o`%k%}{#j3t-z#+Lj%+@0IcoN$m#e3?_(@C( zlRx|GVwG#S`2{vDy}6J1CT`F=#$j2!VqT=lHkYMcx}9RG39st@{+8S;u5;w~POtQ+ z*wuHEJI-F36kNXF?c;0N;!jFGl{<8Vw951yldS?+r{600?HEz~(K){0ljIh4y_|#G zj*;RE4PSm_xp-yAQg=bKnm6;Uo;_O9d-j9q^65*}C$HvQdPWOYS95^t>I+A&&VOyr z!0^l*>BPF!lr(r<&G^h*@&xE`Cu4J0Cj|usBLf2i1JL14Mn)!Spo*L!!bFI1*1<3G z1_!cEtzbT~!GJAKUb0u|x5ZC?mB!b+Qb86H1`RLHU0X86XsN@B{ihOdnz<GR1~M>J zG2Lc7$tWuN&7NEG@{eZ4LcyN0Q;SwC-k^26M34LFhYuA2ArWnh4jIin<rJ7Gp!Isu zrW`HF^khpZ_1hsgpKY?>i<qD!qtN3f`;;M)<5czGRb9IiR1R#Iz_2*+D%+u_8T@W6 zlUh%@cuJ^ohcNMQb2=1k6njvjw(3l4;{}KA35ipWwnp9&jC`K7WlgbZL#%+tWOg3m zb~auq6~2j{<{zuhNGr<d*cjY8ta2!0GRKjY#6=B9GN!n1V|RWeWYDB!DCL?pb=jts z2JYPf2RC#nixw0#cnG$$cB+_qEICmk;%pHSA&?kx!C{hIfS3=Th=iIj2RpBVOn{DP zTC7a(C8IZ+3buqrYKcTl&DC+w7T}-E%E9S;Y@<=+)=UAd*M~03T{_};NFnlqRyW7Z zCk~01jAovCl#yE;Idkhqja3|Bz8O~1?%e@}sq1);1*P^~i}F6OQg6+fOA#|zQ)3Nu znZgdLt!ZWHf9B8{7!a}iQH1z4)?4n(2b*?<%<P@CDQ=C=)22gKp=k?u>YQA;p(i;s zL?TV}?9@`N^V*kp#>`r^s3~%$d=K}tqz3KXMqD})?u^GS?Ns|9&A7?;=&q7YULawn zh!idfjUbmp4vxzwt<?L`#pJhirvaD5+N9(}$>SIOHW|#k#XNP*B?}=NiC*txuY7DS zWC*HB^#0lF=pwP@g^-xA!uI{HD-1HGb2Yl%j?q0GA<OD^+H9&@aikm%|AhU<GQEYd zTH@E3-7bf0=;Gd7v2Lx|?z?ZVfBBxaaAgYr)MKY))~enJiA+lrkkMsaJ~`B=Px+v7 za^S_74ctyGs~UYu19ffI#a7>r5o2Gir?JNSX@>5>sj)NN5@WU8|JN!9@kXpW!(w{V zHmm&O|9a_<$2adi_k3=WvvYIG?Q~cFg0H;1{cUZ|F8}wxb*nUpnym8pvw2nS?Mt45 zm!5vsIO8+_k-FV{mB>jqCZ#;jH|*UrSMZW;N7#?`3J*C>i6lu?+AN#cUa+Ad?#n$B zTj6CJ{X)H$J@1bc?Oro^p;1@&;ReP-2b&m0j<{4d$Xsp^nd<cFoSoL=9HkH=F&XWo z|7OjnbV8R^oiLlaY|$edk)@3nrQ|$<AE~TVt=O`r`0lc(YdcL|$3#9qWhK6N>zZQM z3!4SMtWmqi&)#uFHHKxeDf@}V8chOC3##WG-(4N*d&WmS?U~}D|Nrg(?T;66ZBux0 z{<F@zb;h4NI{u$8JM6n+v6+zIl|bp5dGCLPoEKn`EfIM3Ii`H?s_B*OKW!@S-ud(H zo!xHkw|QH3Z{Pmox#c^n(7B!8ZN9HtFLiQ>N9L(T6N;|1S!Frb+IB8TSAG%cyJzXw zC9)e7JTEn~{r~TNVe_nt=%oA3Er|!4cJJPt`~Sb}soI3uE>dB>8Ct!}zuvk9CW?rb ztu(uKv|+KW1gn68;K7V5sdLVzE@W6?)~C^=z)>|X_Uf7c6@UK!{#U=>U6950{JV8o zYLEWj-sT%QMYDU??ald%9(HjpW)d=a*)N<V^?D2c!WZFtjpug!tIfP`wSLhd4KJ3< z4Ik3)2Y#C$FfTOJ*jP~T|NU*6{g<snmp}Vk{46kdZD+?1AD2IWGks0|T?^i`Z0)Ry z`D$^(`JSf2AE(#sx~@<jI)Apx5tAZwVWvo*<hd7<qy(0!DBhZRigjvagho5Nb6eQr zB{i=1{Y(CRzyCn_-??uq`?vK^+R@@IC)C;ht(l!y<W&E+_y2S6m(M@4PxhVAd;Tvi z1^!+>%!j;NbL}SXI%q7ydg#T?F0N+2%MBAg-R6|>OWjyv;kP4XMlGjkS?ZkKE#6Z% zYKerTg(_Gi28Twlmfj2rImY>*hBM-*lW@a(AuY48W0f5qN+O+(PKFgTWJE;X8L6K% zNtD_-JIr?Vve4jsLB$D~R>@NCcmFHgzpLUq`TPvGck@`7m_9mK+62t(nwq<+k6E-m z>4QP;I-S=(0m3gPddLMxdR1lgKQk9qwSA!LS$;oc&&ST$Qo44F{;n(e^Z)n%(oeOk zK7PNrEl{w3`{JYT>+kva{*l+cHT{>n$M(Q`m-_z~TO~@p-tw{Jyx_8l^WWu7`eD#} zr`Ig1^~&q3&F}b{f`S+BnXBZJJomrXB$e2EiBj%IllNUY@;gBI{cg2;>ArhZulgLh zf6VpF<zNt_?%TzuOS9A-<^SF&C$}n1>EAZH^gZ`OjRotis}|YL2Qj37@i)z@6;o<- zP!Q;3Q95W+R4(51XZI14zExbV7nuX6CP=xTtyw0bSogiMEYx^!g#ZVOlJDg$aW?DC zCz*vuabC92($ae3=lF2?lE12xQi4{8?(&?qq}gYJ`ur=Ji&h1v6bFZv&RXIbD*9f} z`17Tf%r(No!b}r2EatYG`(92>N>W+Wu)Cw<f^5>W)O%0s%k52k+n2q}+w|7^#6A(% zA3iVJ@BB6Bz4P_wB8}x|GG{HDV^n;qWx?~Cp}jFGi)MCQ-eT?h`?mO9*Yx?hTkqXX z%{ub`|Mvcj|Jy<hl_xocx;bCD;?i@#`MK?!vu9jf{?y+VN_Tu%VkB1Yk>ccL5asRT zZYEYbg;h;S?(=u+`rBoXYWaogJHNZ~Pnp?Kza{f^pz+*}AMbOE^+MZ<R~m(+B~}{n za(qAdj`h^yfOnu`;_^04`-9D!?D~G*zqnuU{hrPI{rf&w?Po7r8F1-v?f?ASU7h>; zzvuoJ@7c0q%X_Q2E*Wkv42&EM42#7X5+qav`kz;dxP506VPFy!5z;V`vyc)}5)k4N z660axlMzsm6A}~RWNiv`Fc4s6N!jYT;u8bM+_N(l1?)6RopOF=k;Bg7RIeGzYaJw? zo|<|_;q8T)#)o3wZhSFuTJFzFyQh0DdAs?-M2TCm&M!+f=5sd}CaT2j2~ud^RD9vH z=P#V7cB8=ozB;}yr2W$rvTKEtlnsa2=0=kT)!+GoX9^fMn-zR<x?(!W+Sw!qGK z)1|XcZ_@&nb01Y*cyYq<_R2SWHA_!&eJx#BA;QJm@UCm-LzUdZt1hCCU4GBwisHLv zrm*(?vP=gnvCje*dnaw2^>%Yf#}8rQSu%@^;$6S3?4PXo&Q-a%g)#2k=NlU$URyO9 zH@&{GweU&1N{(&f&2#myoS!fl#wGYN9I(yYmE?Eh#6Q&&OPLQ%V)u4GvuY)SUB}&i zo!_hLZv-8lYVNe>&%(Mz?R8##%9|?99-d@7bDQh`hsA!gN>WTsln#mKKN9gW{N}$p z^1u=PGdErza|r4`Z2a@*>)ShyZ4gZ3TjjL3`D0xagOXA->$h$#jvk!@o4>YkiA-?z z{J(4Gsql(8$3DI$qwOnhKV<kaOL3*b*ZH5SKM3-^aSyZJsldZ~Dv?D~d+vQJFT2z6 z8}95<U%yqxQXskejF=+(+E#6k^1>6<O`lCmPk(Azf7k5iW19(`CqJ_mFQ09Z_|;|E z?K94WeWf}7b2p_uypk4@I(J^1BIlbO5^W;7R+|)hI7KqPrZgwkEZ{JS)l6KVcIr`Z z_v9B}UL5EN)zPxO$K=-hJ3~x<#ni`6YHp45|A*z?zuL-nGH%L#`S8X4e_n4`A<-}C z^WxxNfjpgF@8=9N;xBwK6X41*O44|}dN;ep7Mb57mM4}<Wu7|HG{tC6$hvy(@ch=` zmi21Kpa1T&S!p`q^hM5>=fBoWe_>dyGd<uy>jTx^+C%fE`0RU-7gyPBTRd+e!y!Ls z8_l}fj+s&ERqvR^_o(vz+8^X%ld*&KC4=K{P7#X=IsbF(ls{H+)%PeVd~sRy=;P^y zEU^V$>`(5lShC}Z%XJYh#UGmPm0q5IEQ%`2&T`hRcV_U2i_2vZ=`l2$zqYhSHn{1Y z_fw`0iT&H|)LB$2Gj1;Wv(|xqLx@FT_~k2({cM}wl)U-=TK`<;BKLL5ukT)*V)({m z*JFvG+&^l4%jK1O&(1qO;h10K;i^M-yO-S9z3W-2ivPwXou5Tch@1`B?&YnQQh6ja z`Ce2<cZ9;1+3QSnD;wr_h8(W_%5E;e((1SN@Rxbpt{uGkM#n=vXw9AZlLJ=;mh~)~ zW03!zM@9Wb<H;Wfqhwaj`1jm)FZ<`Hm`m<G{HFqCgRaU>@UMCrmry)QKGgQymESTe zHpz!7IcDB7sd|(4_Y=R$n`G96L+X}?PiL;a%V?e{SIqo?{S@!xl|q6~TuqNQ+Aqx2 z+H>Nb6#M-{n+;>G&YQDh@y>{wt<TRS&Dt=pGK??B+)dc#{!GD3tSfy(?@8Rh`teM{ zf|8@gqQU>`9~Wr^Exfry#^~t6n>K73y9}3o2<53Z;1rv%!f|`;jC7$@>i<?T^M+`0 ztLY1i{g64OZK8Tuuh_!2+qUvyj8|aoEq%d<+suMwj!8J3-_{)H%F#I^*&wUuO~YE# zs<1s1BG+DwoEUXHQ2O=XwPlSmeM{1RH>`bmOPKMGK<2qh=1Rr4ei~+4seBO&qF9<a zqUDzQ%KnVkNsKyvzMn}aA^xw7iOWIOMPD?QCj1C^en3g>&aBky|4JK#CVMB|)oro4 zDE4Pk=c*flNzPq&-P-Imk6u+Xxe;Oa^4gyHD@u+&IPI15;)oVgglTP9_cQK)yxDiw z?s{KRwmZ~*M^b{K?H765*cEjb0-YbHOxe!e<HUP5(fi!iC^mh*?YgCX=ho<1yl62# zdhXYklGr`{65-zdPW}=8maEm7pK&_zYz?Z>scSqS^`R|h*Y1DzyBW$}Wj`uyGIv$g zW?=c}yD!GLbzaxwc^<2lp0yG@T+uMKrzm`Ndl7eO^oI$t|4V0R<yw~QWeC{5O}lo1 z0N?e?-t2y>^Ru4J{d^<mal(!ZVtRf|$MwElQrdcQh7iN;Hjd3X_n(W-^4yzid2`E_ z4{tr?<+-j#^(~c4{XfC`h`+9ylfZ$dEMwj$2ZdMvlr3Ov^0>gdyMRMk**&?~V4Z11 ze&#ye6|oUcPd1!dWl?_YtapWi*n)(jeXJk7?{8nP+}gstyyUp@be7mnW~;Qf|Enqf z;WhDalk0j_-j#x85+@RTO&Md{4z1y7zNN7?`Pn=V-o*Zc9bMl{=7((-o#q&Py4~>C z9QNvrj-J0RD}ALJrLQtK<ytj4-4l7Z!8H7@+=FFHf8F2qY3Ak|fzzK<PM4k2HUH&y zEzxtq-<L)(|74V2ARo-JXhP-%A8Fr>GuyMzK3hL8S}y3ncW_5v_Wd(1FYKaDebv@T znbB@i<FxPWIR$QxL#2jaA4MFL+~nfNX7wtX??txansX1A>-=Wu-7Tnb@JHD%-6RFg zlb1UFofN;V6|VUG&Gh|VR%#o$D<VE>eZTpMNp8l=9sNP)gD)D?&P<<qQ1yMrzZ=`< ze$Jn3YX9KU@<+G){$Frk+K_zcyDNWO(k`|c2Zf8<GH-Ego6>n>M}X2-+17TeV`T|Z zQhT2sJk`8y`RrA%LpN(w^b4<yEl4qXwf)?_4Mz1$n;7rpxA+!aK5;*<Q+vO_jtkn0 zEmW7@FDXdgZ1f<2`I@IK=f9`>I%a*m`GM>E(cgzw{i%D#bM2zag1IMibSoLtRlXE| zDz%ON7VG?iQ>3ECStU(#Lht^Q&s>`wugvOU5D@lTWqhrj<NJJvDRb6eV$ASz_#v*l z?p(#2H&ILM82tA5tX~wL%)G>S<=%7Fr(1ufRV?hfvSNwB)CEU=3JQK*Z+4F9-7n2K z98dmDzW(_4rfc)2tx4UZ8?2?C`&#{7RJ%TR+wV&`tdaLGJ^TNDuVvekbLTf*P3GcT zEc-sOXT!c7$KO8szWnrc#w4>9Vh@`{jY>sN2+WUb2@c)v<STMXb5)&Vq0N&unumW* zS>JL+LSTYh<L<uu)vPI#tGk5XKXPqPd%DtlXJbd#jzur^W7)js-Vqm)ncfqxY<>NY zvyI@diks$!cOG5)S^VhQAI$~cW@_%U)1RGI^N$y@G0_+8>)R_7b9MTR%z2#`&i1dE zIeQ)_d(Yml`=y?!vj)lrE?TRx;C1xDQ|o&(-^|#4xBI+y<c+*%J_%=Ms=Bb8U*&Gr zv`=WyOt+}A&#azXOj5R67N5y*nk|*K{@<Is?X2^c>{%~#=auG8wSDgsH?KFH|A;lu zz`#ki&TW&>-=@cBdW?c}+qyoQ^rsy*ntbV(+m$b_tDJpY+qKMZUUlb)THey0F8=q! zj;x}~uDAX7cdTpaRnx3iwpf2eB5wJPgj#jSjsM$Nb?Ut?wz_fWZ7<XK*D1Y3?8x-j zLeBmj!q?~hEN+$G((qhougA-S(hABSuTGuMt#~c@;=$a1XPc!OxnnhsFWEP(bngTU zk>B0&LXtg)_~#@^t^WEuVq#|e=|3w@)wzAxb?)h(jCUWiJS+8QU6DMbeBNrW!K!ze zkrVeYi1i94?3gZe`+xB!(VIc1{nmx){@h@-bIP5phZhz-Eqip*h$mt4%Ncd$YrJRZ z`OW=N#-6s*=!B5WZ^_R)KE2e?RuX-{KYwbOA^RN>rOafjr1zJV^Y31rc8b&I_W!_3 zTV~7cx?-=eZ{6gLzWh0}JX4hFrka?T{<w9sQ8*`3NK4kuHQX&=e&W_;%UHwf?o=$i zxrKE~e*1!3(mCh1vi}We+CN$MLhjam-Bl^M%jeAg6|V5x-Ec|2T*E#7j1T5NeI~L9 zp5GzyS@C$;)E|ex9@9SR&fmSU;l{U*4;mLI&yiZU=J}NzhR~L*ASa0p4Qabvqoyo4 zpb>Fm4&#aL`zk9<6E6Hv+<hVas#I$FjSX9;MaG;tbg`GCesUkzbe26aQ+FKlHp;kE z;OZ{7u5#ww<V+6!WUj0*k?FtBPhITsI4LvN%UfxSsL96jkEhv59DlubWsJ7YFUt$B zRR6jgIU6Pz`Iuda`uF75dBKp<$NNO~`2E&(O!s)hzd+Oeo&W8>J#|NZdH;3ZS97&L zMk**ubjE+SOZUvT_vX%g)zI2_Ib=<8b?D94g_okb_V4+yH>>Wa<!nEv8b!m9?<oQT zwZ&?FS|MliUpF0Jxop?$rxQCvieAWm@d{eIJF)w`#UGb-zdC*>N&o$}(0TDm0p`me zHrBHLn{c%Fjk(nnn-_@|(<ck;-N2Z+x+XePpfr9ybL9HFS}Wc}eGXV(`|jVvr3+V= zhG~9@ROJ7*vdU!YmuC!CKL3?&F=T6VMEJ!oJ9>agch2vPhi<R@cy4NgmiyO(X;c1J z8cmh?y+7~7@tyn+zbAAk*4TaBCh*DPQQs-!W9v_E{?0Db&~N>XU%gjs`7)zviC^;z z3|=l$wOqaC>$x+r0;i_Rd*7Sg_(autw&&UGxpzM-zkb72^V&|u+x=HxzU5p~ktJ{D z!>j$za`Cya+sVEXk2N)VtiByjll?8e{_y|U&zo{qlrED|&N*MLXfm_)h@;L)DR!5X z$c2Y5TQA-meyR1}3(I>EYn;N*1sXmLi{`Eg-Fe3=<cio4r<C{1OZ9or3h++1&{>dh zJLPcn&COds%y}yCb*rah*9(uH_Gbs)2VHEdaxB=}SNH7H{K`CrGqSsaqxSq@3^z71 zvRW9KtlRnXdT}JX_n+`Isoe{Yg*?q%YImpkbOw9t-U)40cNcw+K2@^kq}IcZRLvgs zB{5fK7T)-86)wBJ+&%mBk#DTa{nab4xHQRXyt}$Q>1S|YwW+uC`ZEhV-ix39EteI; z`K&MeYtR={(LL`=D&_<Utm@x$$-`}VcgB-x$Fwd)&U&?p<NGGhR`;}P(^6lBZJcS| zepmUranM@Ez1t44{WJ(DHRaRG4}968y5O|;<dVl?JhzMIeb|&8cFr>*_vgM6b@M+H z_WfKQ!P>CaF5=+&6)gJ$;y+%z)EYQh`pX4-$B=s;S}R<G@|0J7wU^d6S$=x%RfDxV zbvOKpTOYoyb+h!bJz?i}zuvB+<0`k~+3~83rW3{wbC_QzGZ{U2a8WR@^#0X2G4TyA zgr>~k=yE>fm2>Gz*Nuv`s|@w6CnY=2>Zy3Pb(y!gE&I2?1zrCIb9etKjACl&DD<r7 zE)fyvO_Y-U#{cb{<Mw+kFM}t2{&m}Anpr_bnnm}|#MYPBDs|M2WJRx){d*pFq~^1f z{oSHNQP1a{onv()eZ{P}{Tn~rcy!*#eQ}4SV6x}Y8)|~J^36`{(F`r;p8vZ3b!vjb zpYNZ|6(-E>x=?AjYHQFWH*vLtbC)KacHMEBdvEqN>0=@P-aKT;KXO3*QnUM-psf>M zB^^A|^z?$4YSsN&pWPdoSKNIb%q(*IO{!YG_4SzOz44#^TxwSp-J<%;gui0jy#=}Y zPwsjAYiJYVcFy1QdRPDBwR4`Utjk?)vZ<l{=y%)Z6A@R|sJ$!PmiMK^cK7PS?TL?$ zUeL}tof~ZZb5Y1W`FocFyu<mpmKD$W6FytM)%xzKsWnX(Z%v*sL8vtI_Tl)M((yZO z_bp_NZ3sI5a!H!?KK8mVoK3IH{%fAOVD({{qknq9tQC$`bsCl`Q+W4sEi2sl<&_W5 zVTOy-XBs@zT==Z;ndE8<p4aul*$hsqtRG_4966G$%xzbH4r%ljVp%D|V5akMPk8Qk z-ATz>{eK>RvrXG@bFQ+)zNk4HFI>EJFrq^}B#`m@_r!g?o$cKE*#)*28EsOwIwaIM z^M4ZV?g`oC==Wf6sclD(gw`{s&#QuM-~Tr8Gv*U4VflNrTTP*4S<ih59Y@P~4>qzk zKR&uyE-Uh_nSV!a$I><bq=Jpk3iWivUc0dFBu_l2QrM(q7SYQ$cW_8QmpUDHTl*%{ ztFzv7yw)isyYIF<crtsxob%-Rs8tM^G1Jc|O}o<NCb0O%eG8VqFQv5?mTU`G(7KbR z+&(|cPJ2r9>7aRQ7P#zXvGHY`&AlQgFyP;$tkP>)T%4c1?TyNfFBbBC-NduzS{E00 zo>=LHmCrdAeB04}yv}#w!&e%$zCuepnv~2M{;ioZYe$7!hx3jmr=8cmWYz`GT@YNl zSZHF;#Z86>{Ju%4Jh0q-#C$^BA*N3|=9v_jt@zv56TITp%KEgk3X6QZ6I^>$cF%m~ zZ_5!9rRTh@=g@N3_-)#9@!nQ0W*d$Oi80K#`zAHbyZW(#n(CbFi8h7GpSRq&HuZDV z^nDx68`g9*RVOU0sn(E@@7E~&c_wfZ+vlc8x0xJvyB)N79;o$6t&OoQ3y>6JoZJ?5 zQ(_SV^OeHYKlq-pZI?Lc{&rLR+{5DaAzNloDEoJ4xyn?vi~BT`@(iZ<6fmoFw$J5k zdE|d~*Va2%S#Q0%852G4TH4~Oh%MVYj+$+M*0gX-lGc-5(FZK<{}Hpj-;=NFnO<Kb z(Kb<H?|h-MKrg@3GAhN5(M_jwt~!M>ub%3u8aX#F$28$t-Kxl2em_KH`nODUK78Dx zOEKY5L0Mf*etm%E(fpJRy%7_0p8V9~UmO<oe~QJegGVP%*37!N`v8mIR_~X|n;z_2 zx4!4Tmyg9RrACc;yQAFyuL@_X{olnLyjSCYOVsPkSFES6^va)pmL+FVxajYrf>`0} z>KiWoEwDM5bM07OZ`8GiVK#gRvJ03Oo_})Gy^i(s<U*boufC?wj`Hbdt>Jp|$IZz^ zb%&|QtkS0&RZFF0uISwJ;MGitihf*^!hJC9ncK1djjI(BUMi_n`tGO~|DAS{b(Z*N zCdKN1OA>moRJt*<MXb2q$FM*ALzl~JnXAHoUEOkZ)fWBr@e{K7`}%)H@cE3!b(@cI z&Gy~S)Nd8N{c7N*t23_rTyi~WW#t5hg*R=o;$E!o6<F|j{?|`B>f0EMwOEfZ%r*MF zT19EuhhJ+`teWKimTY(2{o7EnbmC88&oAa-Kk`y`?%}#VEp2nw(^V}$^z^^nJ0p@@ zEoaXeomAqpIc2A=`;|2_vntXiJg|O!_1o>0FDg%3SlrmUbH>r90-pkYPsxambdwcL z<u<T$DBU#q?~SIe!j)RFLhIt5-i)}#Rkx3A?#-laZ(3I<i%;!6F=2z?-DyX^igB-7 z5UBD@U#lahvu#T61=$v3<wp?$>aXu^o^>~Eo@t?2_qM3Y?>Y<g_f_lt6lLs}O4+tz zxs~K^dlh95k1N9OSA_ZG+z&Al5L&!@A@dWdbDN&&Sav;r*e7g$H@RLc!u(O3k5_5Z zyX1>&KRB#X^;oMd79ZX5^IO1O%dI_<bN7~nA6WZ-lE9DaQretV&wo#xXS-mv#jPU| z?tGW7rI(q7o!Gy(Y2K0Qi<MGFd6K3#`+e4Z6!NuMV45!2<81!T=WfTYkQ3dm#>_jz zt16bvaGh2ks}-Qi^ln|EiiD?;lX#EcosPWi{MrxF_w*=8u%}N|P@4E$Pd6s*jPLY6 z0s;|Rk9ch4Ok1&U&YRdBXEx2%dft=%#@nK~MR0b&<6YCw-T1DsR6DL>q5^|aME6ET z^Mu9w*SWu5(YPhLmGN58%8A)JZ`*Q9CV$T2HN8-_+N7Z{DC>z7x9oq;IhREj7_jM{ zQ&%i!wfp#ibG5QEi}1>o$0w`n9dq;7<=b}ND`+2+NR(sQ#ORX>5BiPt_T_K=w)Xhm zE|2i*Q>3cS`5un`@F-s2^VA8|1?RWwJ6koxEVJu;{`Z8@^2A5`-`^6P_v<>#<r7xb z@0bl<oY<!BVdA&fWrdpvU-0u?Za1HO__a)N=k9`oo9<oz{w^qo=U-pI;)VOvPRN{p zF6{d;Ywx|S5!?A+@aDeSRQRusamU}Yvfr=&wtEy{kg@;twfGY@OzwZZ*LDeY#DqHD zHoLeZ<9e=r{(?0}ol@^cm<!3@J`kQ_xWnUHLuRTbm+Pjo%V%~9C-e2i8NBdW{dOtO zI@^s?{#3BBZog*r+=w&WXY>8Yck0~zU3sgyC$8Sykj%TvW0|8&W&9h__5aPvFAB~t zw2+XqSDs?u-llMIvrd-7;-!@#l1i-!ms<UUjAY)-%$mcS$LXQy>^PM#$mz1~=crf0 zIUJ{6y<T?9dO~m6O!ah`wnY^ug*Q!VG~Y10G4N{G26pQk2k-2h$|JMAN%G7AiJm!8 z2M;V1J(J*5)@3<Qnf-Wlh0rn!)sNG<a`Z)xC^jX%-gwAUW5ovr{SOs0nLHzIbbmaw zMy+F!aLmy|4G-^!ZdK*^xU@l@S6o>BNtnT5JI9EEr_*26>im^VFuG7yoP3e(;fYym zw_bnMD;#HbX2mR-&}lPPHSU{rJpF41^X+EG9~}{*P4T}E`hD#eU}oyC>iV)`Pu5*~ zpOT6Ri@Z2bFw1>qS)}ll*J;8mwt7afh#CenuMn%R;;*(H5?T^=bxye8fmNLBK1Z5G zLX{4&u9>wjG^u`SpDo+wnkp^jb!&cUnH$;!g^CLo@mlOK?H8ZNo^zp1eD0HyM+cVQ zi_2$<{jII}bqZ&&!tU5D#;TvfTU{is%a$dX{Rr#d(&G4FzvD{d0|IUjrYT9>@x8c^ zXP>~rCYHm0Br~4Pl;maBf3c=Xql*1TDf3D$mNTE4yZ4;_Yd9(1_wn=CXAi&qyA!xV zlfgGds*kzwtH{%;2A-MXF8}W|Uz(Aye$w*t<|dxs^+$4z989DRRZlpd6FhO#N7hI7 zm)O(VL#&(L>{u=@a+kj-?`O_qjlHHx3TAiCWStRJxww|a;z!*o<MVFwyt$dw4Nc88 zrm7{rR$FxN4wr(3|I7VCubrmL*nXHER~nb#U!%tUE?dHiv7vYFgemp?AD-!?apZIr zJhgP%An4w^v|Ka!sq&(K9qX_DQZGB*?5X%~!}r!lt*=hJkec-2;_ruf6E5%w&AKAI z;>iPtk0t$85B5ep_hLNvcC%;qZ}*HuMIPobDY*s@^*)tbdeyhDcrU(lyi|xy%9nYb z_Hm03>BR>`H~xP2-Mp*bYf=c4z^?DNuH4^m{^d~TocH0U*8cgpYE4h@sh9`69kNW< zrLWsceDvfAI2JOo<P`6hU}oDT|BE~h_L&6Fd-Zn1>$cZ3f3~>ww!Czgi>UWqlx}#p zZdcQEhPcy<@8y>CNPn7r?l00Z6GP(^i$u^e6GKxobJf)hyFzbptA4nkCc7z5FZ<@g zIc`2(`;UakePw(+FZ#C8(kYWHq*yz1l*)}(OktSFu!7<7wvVDet0(Kd57pZ$(kXMv zGIaee@853=A9pQYbb8(XD81-OH)hA|d=kDTD)iz_%h1riDYo~^PH%f1_SR+fs_@ls zwy9qII{oVVx7GiSd_MmC%IZ~h-&LcZoeAHmx>oJ>wzr!yZ*Q~Q)NCns{^I8J-6^}@ z-kN6OdFB81+(pw?sP6YvQkm!?(>Z5e@S0zBlk%_YdiULa!MN@Ew%qHbvEEmvt;qGB zyn5x*d0EkuR6IZZ%~H9&X}8waJtsH16{&d^W&Z#FUwgJ{?(J=nw=;5YZ=3q#hKlE; zeX2Gp+jDPAWX(Fi=XCDx`}eDFt_?grKUex~$oAfjj*fr-?aEGHxujZdRmzbYqQ|;$ z)vfwldC`;qNO(Ci955)~xm)J1p8LMjf$xis?3Q_}cl|PR>5?z2qCaVMbQQ0v+pW5~ zZnvuWsrKVf8yMMHl-QXnWAp^pZagsY;>U{z3p@UuRl7ZHi}@n9|Nos8TtaXA-^$IN zT(5g6V%D#ds?y$vFCIPWx-s%<R_N+gxxedg=Xy`#QdyN=tTk~??-l3LnPoW-FD-0b zmN_dge0hI?`FG3m%=_EQZQTF-|NsC0<(DP9{{O#vNlpCc|Nr}QZ*O}$J-2@A>rF3< zCwE^ltA4{Lz}~^ISz1m~-sP}`q_mx$goKhB|5Tpo{R};<iw|AAsHn*BSs|(DqC<e% zEDla4eqlio?ye(C940q79bB-mLt(>#gD%F7GeZJgVoXFjS$k3!H@T-eF)*BIcW*u9 z#>&#e*81?l3I_vGE-{~W*5H#HLi9v?xmuZBnp&nE)3jO<z#!PjuxMc$A7B5mPY)Xx zUX18ay3pX8p`aD_pMB1)z9%g*3QLR6t?K%peLL5GlUpJOQ$ym4Ro~`syM0YXU3k^1 zzsuilGd+2panmZ(X=%L^+E-saePyQ1)Ru{|n+{AgoEfk)KrM9fmZnV%kDL}U9CdE& zWbfuW)pBs<X9J;5s})N=)V8rGI0%_A92RFtP>>N2UJ*HGiqJbo5e5c+0S+BEJu@i@ z86gQF0UjPM2^BFOA&&OuhZ8&`MEYD3FMSL!S;Bv;;omQhXK8y<o}czHPN_+Z`R6$4 zT|4`hqUHaTG&bsMq^~qRS?E=rUS09*Sn%|8lShZ2`sd1ZX1}g#Nf*}Ju)yt3d0GGY z&70+Sq~_ghF%w{U@k&fJd*OcWRqH}dK5J63+H&f>-TwKDBIiYYx?;NgkW;pWYv1Jj zxOUs9!x!S0{S#;6h`)OBfcwT;9VM<h9_{+ccchb5DvLvUGpmx#=WzZ}HlBHYZq2I# zl}&+Ho$Vyn?o#t!)jlg)|3b&(DajmVDmSMlZ|y(hCAU1|)Qo_=(vg1`|JMyV_5I_I z53zi4Dv!7HGl&${gx@hd*Z)@}pwg!=-e)oQ=f((^1FOocCCj`DES9KpN<O&a?)J*# zRcO2UzX=oLr&P;cWS+E6NyNm*UGrX|v%Ia$O%Vg(g(b5mXn$Jlw6?MPQilC(sia3` zLRG7H-=<Wp=v?dhB|!SN=a&HEZIfQCG}|`mMPO#sgQw}~X$<Pi^E&P`Grd-G|NJof z!}UEaJ9$=|&+Ytkdv5EsS#y7%|8`vNNR8LpnGS1MJTA$HCZ$vetyYm<Bj&NeQ(q|T zh{`nHkTw<_)?lUNAN*X)o9?s5ZE3j?fAp-_>-@rld}ou)%72S<$tsy>Rb4mG`eyt? z?dJ`X6NN{)7esOX-n2jSR87eLE*b9C_gBeq$NyhlWV5Dfbx};k->@eY6@RBaVn6Zb zmT7A0!CRXi^Gs>l|FuB*l|e|r{KDmiqA{KEleW+LHa#mcdiP?3sSnw|?DB9){q%9E z%8HwzThF()mV1ZD?sgG%yYIZ~^YN+9iv;Yt_b+d+NvYZWH&@Z1f0c))-y-o38EP&2 zCoc(`|Lj(a<+Aw5`{|6i#pm`eSy!waA<e=PttR<_$z&2k!EFw0g-r8J-^@45tvs5` zEFI_8y6@<Xi3%&fohs+Ln=oPaM#jy1<?GKo*6&f}_piNh!(o$a5R2hVPvJePje(0d z`iBQb*!?)Ea7cH~B;g6}VYjN*in7a0P_A^HE?xB`qK3U+n;|=E=ZXg`4Ila*thwaI zVq+jT-}$}UogI9wXIa+!E0y?3s?S(bbnm9e@*A1)leT&~U3zIeImPUmj9PHvv9#{A zoc6skkx}<9w=+&tJp9M<(1ffD6Au2LsU%g>xKe4`bk~DzodQ=CV}*B2h<m}lTxq?6 zn(}*FiA~KiGuFzec+Kau%qYFR^?2pY2Va(~5}Pabvt&x;zms+h9v{OQY8F5H_2^X5 zq0QO<W}G_1Bxb0nrE*4Y@1ijAZ(^59yZL)n51d)^Lszp+NM+iFT$ZeeS87QDThmr` z?yboAIHx}TTes^z`P?lVBWhGud}Y{in%Um5=-|Hg8z=Xbzqs;O??c1pbgzxCvKZ&u zGd=EoD|(>xn0bU%YU{eRbrphz%Jn-RZS$D=aob~|EO|*cH?G-&thbtaZ!lJe&+-ip z$Y(6;=z8_GO_(pT?E6i{h3@al=dBQbG}+<FgVjFw_bA0)(lWafxQxC2g5Yk!=;TlS zYxl+ke4kU7;<w*o-su^MJ})v#<6TUCod5B_?fP}5tg`YN!4<a|0>pQm-WU-Wy6(P` zUt-cL8MZ%np1trj{_DN)%Zz=(K4xc~MQ&wf=ATp8EtM3!A*7$t=qsa7#^)z#MXFcg z-gT<Iu&DHSKB=^8Raf7+S3kr97s(~ch=w2T(!OEadEt~YPw<9&w!2n8PqJnDboHg{ zue$p?_dh?$@wFkdqPTBj=a%~Y-nHD}>bI&{ZryOYwR-xN5^j@qg+-YMg`Y3l+;sZA z=|*8y!I}ett<M#@cDqj3k6Uoz_R3QEwHNQNE$zMX>iw!x^(JnsliwE{dX>BHme9%4 zY!l_3cTa>Qn&~a=ow&bltv$EbmSmYr#q%Ab+T0iV%=xapY^TiU;+GRj7;Zj3l{HJ| zlY{JnwX>2}e6`ZpdVZa#tV~<f@vb0df0xNdnJ@lUuM%F{VESevYw6az8tWG5XDwPI zE>ZJpdF=C-hZB90r3&T35>ASL*ui!-dd9EC8CvV>DykyGgc@cn-sOAdRHD0r?b$uj zf0E*UuH9b0_2%c*0Vih1tM!$hmbp~@QufHfxEblyz03*|Ek&MqY}lZree%Yg%m9g+ z6DQ~F-?T}1vVBe+=Rfyj{fmDc`<%AX{*m?yv4A9ror`yEu!)lXFvpV7Yuf!o_k<pI zFWNJUuXK~jU8R$(MYr`^j4xVzac)fz`T6}W`}~y(iqB7VK6$XL<m|+G5{y%)U2*L= zaEhf+L~>#J3+2NfE*yK+{Qs${rrsvMxtq&c3OC=(kS>j_K4lu1w1&xP@sXB~a@Sj) z@2iv2mpLEKu9bN1Ot`@QYrp%8i#j^<<Z=@(9Y1YWKBaO~mzSdc>+gYGuNAah71r9O znQ5|av91w1vi#KKcg44C<(*@zWmDReCn>(YE}8eyM)VbT)s<}rV<hLt#~$WiaU+n~ z(f-e;YOSYdCp<K{9)0uqt_Z{b6Dw{u*=FWu28Z|Eo$vOMeZrRLUuu)@{S|vuTVz?8 zq+<U$*{zZ*)bh|N9~s$q2FhmQ)0)==WOCiG`A`~`?0V)nheS&B+{et@?jG0m;?UEX z?38nRfzz&M2hyJ=T#)SEl*;DPdG~F6$88%!RpXBNp4P_JzwHj)6xg`r)}0Uk)eHpR zIkQLl`%klUy!oPN`GiD{oVk@VS8fz(*c)Z}B_KPF=O@R%LcQdLzt*$eJy7d^n#q7M z)YRsPRP>K<u?>1!9d|p=9E@)3NxsNgcSMApDN}5tkI+2Da0|yt)6ZVCJTCTw)t4c< zBy(@M$l@aXsXZ^1K3q_E@anVAS{@<Cs0%4)AFxdE{5195QRd6~i`g4KJiW7B|JBOA z*cUvVhFlC*Rg-sFAFm64KXKh35B<Jid-ZOSHw{KQrKLJkrRo;;@vf3ec~$iAhHqHg z&s2B$Ie&h#GOagrNVvA4`0U~H{{OX=U22awMxIu@9kR#AMt)}AGBLMr1)Otvstv1} zcmn@Py)r-F%l$1jciF$fn{E92Cak&|%G)*N>B_30mfcp9wQn|wt8ZHBeAil{g73tu zCwVK^df1vPSw5OCk~}x)$f}96rccj2wleCV{h@Q_T*~Jd-#)rioTpZNX3c-$K(}pi zIng?2wcgGDRC}ZS!T(J$3{NFIln<$Wuaek4>8@m`3#Wql(J!-pZ%UHMuFkb&|GPtb zgZ)8&Yo_zd@?;u57#el2cb~+4{JU#GhFt$)E02BCWe#%+J?v83e5x{0@79hLer6|k z*h^1aB-YUPM|)A$<&>Z^8a2YEerJ{z&q-}bwB38K_W=KMM`@LeGXZQXl2ui#mhfbL z_Pl<tK&_37ZPl50Z|*-X_m@s-4;MVJ&rjs_)t;*tU+xgexW=Qi(roUcV>TIEgSux{ zyka}iVUqOJCv8rz*M7OhyQeC<3SV9M(f0Mqs8bgV8uu_7s;gdzmG=yht$XmWciA$5 zzt3XTlMPO<_u{<B8<ng#`H7*Lx`KH+XZyF1MGo&bwH;ob$12gqu$ALh)!NC2?=Fj3 z7uR=xhO)-Ai10`0%=#?*WE-~U#4ntYW)mC7%g**(Y)Zqu6zg|FuD(m${7seSS{Ew* z<z^_*|J*e5xrAQlzf=1E6^dq9v@SLH^I-;?&Aq-fHpzvL58LmMNPPaMxx&(#>xl0g z`AN?<bc?Mz@4i@hc2(qjSA$fJV^$iB++Q6I?TV5MFDSnx&``7fXG%;g-?e$~<EQuC ze}4Dt=J?{(mHm&44kR9V62kQ4$I(aGYtC<W+8*kwd6I|QQ{$_gZnFM19<5-ns44lE z+;VGXR(rC%TjSc8<J3E&ysWzBd)X%``LF!@B?WTxEIrFh;*0Ox6MgEjfkEcYn|Ni- z9sxnlKSe)Y<=3B=Sa8NgZkA=c)Ow8rZN8W8cRc>J%~s1M`Z1HFD2LABXr&`(PYXU- zG~t}1%nU=P6t#md$_3L+RaVXWzdog1;mu<K@2r=K`{%oBy}5hx`0@F!%Nh^-+acu9 zRBv?4(szGl(Mh#QA=NBN?&}Vp`+9ki&p#by=_$K^rh6x62J<I(xoN%;a9geQK~DIv ziqqL+ibjtlBScI7dE8M~inw>oJ1?VKqj%SX?`5{j-TW^WF1S!yI`wtifh<=$4n`gy zrtF8^6}{r6M^5hQvCzJl@uN}wYL2hvCBs{{GK$16^T~baRhf6ydDT-F`*t>_muGdZ zZf30%&72Z>H_x#lRnAu}!hrGee+~8Jk}<nx+s)zep4MqET6E#bZjI0*joMuGqG!99 zbd+u-`?h!;yt-*(LG#q_uiYM=Oun=IvHhE8M{nLZ_g~rf+mRLeYfrx@dvYwhs8ny~ zi^C^M*pHrCro7z7;>U?y>FX`qckr;Tap5}^_N;l9_DO~#$(KXT6Yrk<{Iu@gM@z*3 zBloTqi{{Q=S*m`1smjI0SCf<7-~L~>Qo62S|AZsTheWpgp5Vo{*g02n#jkISiOSQ& z1!v1vZeY6|d1Bwnz{^u}G})92@=x~!e1G@n0k`J#BbOBQcBZ_V(y_>_L}9b{lx34k zwyIAt)t{q%Gvmj<sm_NkU)%aiE1YBR!+T0yuW}DgQ_h=l_}YuyJQt%y(j0nEWYUyo z&ELGM@n|ZG8mI2s-QTx=5p1@x{r36dpW8Fz_*?#lc=Fb!ZF_I{qE1eV&v&;}a^UxJ z)ej5Ua*y13x~KH~p*eqIO~hyIc$zJiG(pnsS-9R`*&wDXKU_^*EQPNtKj{pqXZdyV zQ0X<z48voNNgG15!jDT`W7ey?9s18r@ci9tIWGcU%ZdCJv3y`<y}9G4@y)~zhoApH z-Ffx+_$9%27eXp*f5*GKmz2fYSA@4pO>0O#U6r1sp3rpS>6xY|%>$82(NQ~3UR|mj zCdu{X+4Q}#suC{SUN=s%x^#zgMb=!__peKN+^?JJGdfMS=v$t3wqxJoxki3}7VU5q zNv{bu-DQ&_R(Uz(t3hXigK(_Loqe?*CqyP17%xAv%!^&?$s6u~C7+|NXnU}B3JE(& zM%!FSI4JwH-%x9Xp_0q)ls4U>9RZ8)85|N2WZDwJv!<YnS=4Edg#MRicDLsjKmV6| z_V2}K=he#JtNbG#DQiR;e}CvWFSXDvH(}ArPgD0fg)ZnZSerJb!p-_<KI^flxpn;& z!hhAY|G7_`DR9f?(hT2KF1q?^{HCj8ukSj=`>^|k?t3rq6`x%WC!A4Ev--{)?tR~J zWwG=PsXys`X%D9g<r~SLPI=&?bo*NFhK%^&jSr(9y=+n3y)BFLJIBI#%`*cedkUwf zbKh(BK4mg5Xol4HnhmaEX4BHzo}HLnzirZG^Ifk_f9CC&{k76d#q?6%x0Nx0$~9(- z>k@sc^p3ncFjrgd%oP=_Pm-EXs$5U99KN{XZQ15oR}C~d74KMXZQA?((t$O%w^(cO z23DvtJhoo1;&w0ILyxg)+U0e2=0dYvO>UnrxPJVZ!;UWrd;i7@2{u1X*J}Ut=7LK5 z>%^jd`}aYKS2y2#Ag#_kvC2<g#`4RBWsT3$ca^QY!=)JO{hjCUy#<V3wH=*1lEW|i zN9%d$P303}wDQi{E_KN3`mfi!?}lh>;(YOe!BX;%m_y%WhRSNe2G=`38kw}GJ+@h5 zc)wwi#?w<NmQru|(;63~UHewrzRaQXiqi+CZ<~sJlV(IDoA2GR%i3z)k}aNZOQz;d zt^OLNKk06vTIkLL8{+JZ-4|Cao#AwF_KZ0kd*tpO4tdydmE&pki^h(g9pY=QE&2Dc z|NpNwEa8%OZd$fY2%B@!_Civ2QMJu^VTV&10Z+M>PIpx)4Bx$YeUPlsxjBa>pIUVy z?ZUnX3#4D)DQ$_HF2%k)DE80mmf41i&m*F8bD7k(yMEC#_sYsMGfeP}p1;U=s*Y;D zoAd28pHAJmypS`KS$x{EKm(uis*5a)@Ax_%buAP*mu}H@j5TJ3l->G^Y5u1s_y+Aa zUHyKgrtxcuFK><?m0>ThD$q&2^)ok(@3BGclyLhh>8AB2uje21RH|q_dE<xu=5Op@ z)Abd_W<~k4Etz<lopFt!))k*g+fN*J*|D49hMUZc(k=<>bur1Q_roJM&941*@N4ds z>^48f7q?CYez@+xn~{6Fy-wM;y&;VEoXY0Shzzc@+MBrQ>&1!UDSk(uHDo%h^;&rE z&f)qK)7QE4uL+Ztw~bkA6;rh#s$@^qj;NB8(JYJ8x70Mf)QV|MckQ~>d%jC_uk`UQ zk^ScLL_I&U?pJ%eNILD-m8GS>ylfK=^B%I^Bp|*rd(x*5<tq-WUp1}Qf9#)=_H*{; zkA?ytqOA5Z<xSN|zk7D~>~j0!D3{gObLXD?e~Gur1-3Jg0lYI%VOr-eaTW#!5QgvK zFGxv(?+gTO{!6%{ldHgBmOjz_!<tom)AC&`W=>3+x5uMRXIIob{%$L^5~b*rqleGx zb!$wz_3z{1<zm~{os3x{eA8`$u4j;qVUF(gZMoLnypyt~r);RvUdmI;P{Yv3aLa9S zVqyH|jMI{Lj5X?o{{P%s*K6HU(R+MD#E%1&XOkmBEcUd;Kff;Vc#Tu2_|1z_3D<pF z48>mVSu;az{((o^9`+etTgoHw-SN!Sog4LDzmHz9`NYOI#uFz@jNm$T(rtf1>iYic zZ;oC})_e0+>xF=i+r5c=Ob<?T9jiIIo>@~PNrbUOuK)NShY9m8FgGc@eq%F5cEjbD zC0<i+CsfUUUMaUrf5WbIuOnWCXB3{ZtjZGf>wCN-Wb&e)HUVtLGk)*lPE*VC?9g_O zzP8z2lDYK)bD7=x$9J-IHg3QEU#eYKI3(`1#dW*9&xQXZlk)%XNOzd^{=fMQ!S#hq z5uX`F*O#7?eBP_J_~w~5*I%a6f4}~pvn^(^7gL9H_RX`;w7aIBOpyxa^@%YTyR>P} z+m$RUyp~?_cqe?uWEs;E50#+PPaNk3EWenMvPzX@>B`wIN`h8FXD_*Eig2yW%s47F z(M>_%#h;F~6Ewd3tnmmvvt&=4$W0FS9iH+R)Oik@RAj$l`Yd%X%J<?G*G)@qNu8fm zGBJDBv}dQbub8HM@{{hg7gej&xNQT)<cwY4y*yUc;CrCRTEsY`pz>{sS@DO9>-O9C z1}abWTB!O`O5a52#>w9o*UfpGn3Av{V1-cWL{UA}#~#~M^w-3GXVcZw@mqem-tKhh zX&<rd>t~-aHY%+sT;l0AX~`15Hp7o>N~*I|UJ4yJ;4z_DfMsDpj}r@<qiO?_Mi`6I zMV3t-FB#8lNsgV+INj@vUD)+Fk@KfAo3&cIWFMp)xBhJ{u==uq$K#XXf2RMA`w_tH z^mXIw#rjKE)y&em%J8^t)ybxR{}ob2Lmpqtn^UIq!oTND@P*#!`#W5seY#4^HO?8i z)gLrjEVN|#lo{Jx<1@<sPJd$*vRP+K<LMO=@07mXk67R!`QD^AB!0<Z6Y1AUAtIk; z-Ue*kU9pz$skQ9B``?bP-JKdTq47p{f{4i!kEG9=84n#b5b-EDbS0?B=QP8I_aYuD z6T~bM_5~{_wy2mey_?;{B{WHh{Y@#CQp<!E<pc4-0gg(ZR(H6zPfyg$&~UQio@aah z>yD|JBAyzKNiyfz14>E`-uN^5hUO%JBq6!;>H$BNG&SF<`L%$fZ2FdI7gCQbSCt8$ zt*30K`*6pt63LI52RA18Bwh;N!BPHYUx&ok$+s@5&f4a+)MsmI;bXrxHeEeu@mO|! zYn|=N7x%B3{$oMd3~hbs8Xxm}jB}QD-sGMwefo626My6L_Q$8Z6l6SS%{gS9aZmd2 z{GPpT^UV@pxivfW8XbHSidu@i@_z8$l7WE%gt-`?XMv=oRiKq43RbO*3?WQ>yl2j= zy(h}Xz`!8EpuoVy!N|sOclY+MyzlP*|G)eDwy(TQ9E{8i4G9iA;!=5FHgPa8$NNM{ zgf3JTWME+6XJBA}+mxJ>jbzi~$F<+{-r5G5v9Ymnvazx;z)XOYc-Mot+;~qjFn}<; z#4AG54=V8%{Qbtrz#G9fub_`hPe(xDLOFB)+j^_l0e@_-m_Du#t83(M|123Nr{?m( zCg$-x!^-c1jvBLLPckq%>Z<Cg>lMXi)pmYedUbk8eAj28In#sUt3C_8@?NgLv-0GZ zrI)6A#AkgLnmzs69+O{_UU+B4an*8uS!$wRyYI=*py%Fm^mF$u`6)DO`nf$*eomS> z-5`Ef&B;$ojr2qJx%|BJ#Cwvy>pqufswbu&+oSSqse!)jz9&C|9((ub>+W0fBj}NL zhraB-lpjG4y<7Bo_o@64nlXLfo+nS2>gg9&W}fzL)MwoH<@==j-nIIfm7VXG?w!7A zPs`J#+WIf|PWdi$&pRw`)hD5;)8EC-`ZVdTcd5Q-W#_x48v2fvneSA0PM^0&<ol$_ z(;viDRiDgVdVgw7_^a5=^Im(jUq)t@tKOaZX-!M|(mPY%tw~8&y)!j0d{gYoGSypC z)50gkt~}?pQTyOJm-MCAr#@TrWY?rQQ=`I@VlzusuTFimh9!OJm8tjEwCuX{(km~_ zD0XFu>ZPf-)*MM&YO3A4PG#4mSyP?DnPN51dd=6aTz6%s&~vZZ+L`NG(v}))r>;v$ zQ#~_PFMLzX$}?V5wFB3g?40z(YohkVNY0|AhT5HxnrFQFwJq0O*&+1Ut6N)h-IN_d zkG$HoCD(=Q5PIm<tj)QOC2gs`c4g$s(_S%Qw>AYm@M_ThyY|WUp!;4?VUMCS^Ox#q zCq}+J<yEEqbZyJ_NmHk;TH}(sbobO{Yf`oc-Sr9zTNJH%$}1pjR<vi{Qg!X4YoDa3 z?wEQntmyZ__xv^W7k)R}+CTW)I8Xk~KZoz^m30lz*>~FS_{?l=f8g)IxBNNv0l%4V z$)EY>@QuB+PT)854fzW{55DGq_UFJ?_M*BAznHJduleWjmA$Zz;W_(C`-;!ZSLEmX zJ8+iYr#|2p^CkH$KN)AsulafK1;0!Ef@kat?HT?$&XTYBci=PoT>A%q4nE^Isc(43 zo?bWMC$q8rfj<YI^6S(GJY%0~zu?coC;Te)0za9J>>K_ZoFU)w({YBp&A$U5*?a91 z{v3S7FH%3@2lEkmnSTd9u(#SP{5kl5pQWDR8GC%)gQx7VbqAiZN7o7bU_K!K=kI~{ z?6vk6J~8i;fAd%2H2;P_4^HuashjYfd5?U=Psge90Y4Ak<zM#az&rL*`w5?zt;FLK zHM{PmWM<|rT>IjXl$KVNz*F{sI)m@bJLPSDI^N-*^M~Obd%k_a?St?6YW$n-I=<)o z_NJkny-McIJBM=i%Cd%Z_MPf)Kia-5XD<&4&wr50UMBP4-GegrZPqL9GQQ<YD_7Xv zY-PS8Wsl>myQex1-92!U_t6ub{DR7$x1QT}sNb}Fd5)LM|4?zzyvZg0UACU*J@=Sr z>~Wkftut@RtSP&jZ%p=LHTRFosS!!85|Z>ZpFCH+a^4rts-PF1S#F%{KlhwCr%=YW zZtAqYnLKIhRV)R47ftd?XUj=*ux`F}d-9XC59OC)rbzy{<~!47CD**Tc!lYbB@>G; z-Q_v(LsU*p&+J@+z~|ti%cpq~E}mIX%r-A*Rlv&Ki#N~Sw4j`=SpP%K=4EAUg{yb0 zTfc9uamOa+8?qN}9(>LB?9G8v_M);2znE^zZg`ha%D&3FV>7dbwa49qvt<|Tb0}k9 zuD@gN;nNIVv+hgoIQ!r&YxR@3hUW$*8`c}L=anVwYQ7{p;oX9>d^g@CoaJ*XSJ=gD zYRz$%@de+dHxG*0=UKnF!}y%ftbD;vW)o|R&CO?IXWV3b#-~@Fu(R37dc_^ar+jMV z3Ok!m$WFM)_=NA+8;3J|hu%CWWbd=ixWo9EPpo{wj^-n>3hy2iu(w%j++lpk$5yVe zgW16P$0lZd>ld4t^{j7fYCa&#@a{oAd!6-*+l|v?KfF!IXOApvNM#Q%Td=)(kL-iD z2Tt*=ded-<?_HTeDtnprirbA-WG}o`IK{W%O+p@fk#)tU<{h#YHyQ8n-79-g)_h<3 z$GrvL*{bsnR5RO}-`Lyuj`!0YhV#5d`329}%JLejn{P|sxVPXN+ZOW~dmG>IzPh9E zjctSZg5u`u(r0!yzUF;$XTevtHRcg}8(;B0y2EgeHz@zZGqx4x7PZ}PTEZj*rn2Sb zB~&$ElAdsH!CBrLcM{I>y5%cWF`Jrm>}7nxd+E-D&usI|U+iIg&TE#xppx0d+@iSo zjP#71jL&%W@)IhXjm%f<VSLJ~makCRd_sD{PR1v^$L=_s;XQQc!AG_}^Nc-=k9o!N z7gRJKkyg0(-~(HmxyByGhrDe03Kh%-=0A#<_03-tG3%M%C~7_+&2aC*d$v0B7rPs$ zNq@MT@SZI)ui+_Mc;15Y<~`C6?jAVByXsEEDc*N^22a__%vbDgoFaYUuEHta1$PqO zu@#wD6gBUVw%EydhxcCIgR)ESJ@=`9owwz=%Du^7=4`2+^v<)=?@)2jJI`{zO~pd* zJh!XgoEK6p^wx8;`pJ1A&zIbooZ!Ex_|j|7V!t9=&vTw@)i=)DQZ?z7XQ5wHanLKz zd_O1KmtR!O)o0ENsS<kWnd_HSd}-EXH-8~p&$FHj)f?wcdA8*IWE=liHl3fBoSkgq z?^Jwg=42y(qhg_Fp3~JM=Y>35a%!@M|12BNPfJcrJ~4--^3sgSN9Kq;TXKA|jDMC* z=f@>SCyV%d*>HYba(FV2zm`qshb0Fmv-mR=Uz$F7&zvhyRrJ(zEj2%=9GLvi@00b) z_bR&TALni<51KZ4!<>?*Dtjk?@;hXG@|0(&-=d;RcRhpsHd(KHr=qETajwWy6%F;X zb5+VOO`iP7uc%1qj%U95&a)57+4dQ~n0s)Z<cD((=XuJ~7g#sj7#}chz9V^OX5(DR z2j?D?v28P6F_-ZzPg=Tyb+eUm$6UrYJTJ~XC}k^7dtk+EX`C^a@ik9a`U0zF3*!dk z<|~qWW-`9w@k@V@#<t8@V=m)Mo?B-c&hlJ4lTgC8$T+~b`GRD_Ifb)4cIgi+o6kuW zoJ%NXn`P`^+-z)oVou{s$%JzcirA(ZYZy15k_<SPP{cOLxML3EOi71x31@hYoKZN# zBbWZbqWPGl!MTJ&wk~6jIgF2Z_|g+Bnh!||oJ%NRYcggqZq_&cVAQN<{J^ML*O+4t z;{%?5X%Ebs_eo~VWW3MwEp0+7TSQtxDqEHDiP?<zc-EX*aEfQenFo1n!D$KR&ATK$ zW-{L8S#*Zs6wk9X1@mTg<Br*llO+$FeUQtRr~jk2@jZ7{{D$YOd-N}SZn`V}VxPi! z?hktuzO$CcB|K-{p}(WH@hx{&yu<INo8l+-EjY)W6wmOR$x6SX_TU`x9s3;4aYw}; z_|<e(e8oP6bKDR1D12qjk8AkVbXj~yW#db3uXu-FO=kKDpPNkeC2AXAa9`P@@P&1O zzQ^aL^Wqiz7JN3?vT?m|hQ_uNehWZVO@K>^<hF*-th4nm)G*ExpHu1hjQh+UhR>|i z^*7Ww&J+*X*YJsTvi^h`$0yt;_Aq>6O^#D|#+n%S;Rn-kag%)wA6dKg18N)}aSOy3 z{9rmPF0!xT18cLsKn>#z@tVrU>Eb1ojMK$)DjgqiGsJ)R&a_|r%U*}m+&|(rd~edx zKk%tZTmM3}<2~*VaS2aZL*pEtvX<-5_{6kZ{K{U3Q`|4&1fH@6#2I{N+9_^R>3D~G z&K`z$toix{(Ffmi)r4Qz-DIo%AhvOy=$my8<*b!q4e6{qwRdc0verHjd+;q+PI$m> zrdy(C);W~1mWB!JX1XDIA@bmBu4iiwl(H6uUD(BRO?1sVhf>zUFoty2mD&}XnXZV= zS$E(pmrr=WE~ZPOTOt`}i>`@0_=3wNd_fxPLT!dv$62B^>kbsN&eeVpbMP6LNq9pV zYkJs(olM5s2VxFB<<bccNMoI<y&&e`6E2l-ft^f7+6^%WXNY!0I?fQaS$Ck2wO2bK z=HMePk?;vSn2w0btUFM^+N!M(bMOHdOE^OsYkb&)RMyzA1F5XhVFEjt4v79)dmx{+ zR{O#xrhTGs)+(Il+OX!qDXuSJ6Sgz$5sip+oGKa+dGIdRvOBHMTqTzs$YU+lp0J5& zm#9l5;}p>|YaQ}f{lf&dGpTDgL?67(b;s{RHPe0JFY^-4b5{5-c+Rq0{erFIJmCkH zj_)|%%{g$6v%tTgn(4Oim3a-{ST?Iquyvd(d}JQOH<l8=4^>T;>IJrqbA-3dYxv5t zT0OwlagOi-OUGB7_vSEsWm&FXP|S2$c*?wnFD$u!2cEHH`x#U*T@+qn>G*=v!GFRt zmig)*Y#g6+TKI3MWI8Ln!1CZs;gop?&Tty|7gREx7M^11_>@z_-=LD|q_E4phBKTB z{szxjlKcdovGl7K*f>7sl<?nB!E{tuWgf#vmUeXm8^?#79R3CsOb3M<EDuf>uCR2R zE?i)FaGEg7JcbV}_39t29q)5)nbYu|rCR+&5tEMkjv}VL!cXQhyk`mX3wX*B;#W}K zq^Z8a+VL*uk~t0USOWbRp0X6HPq02XS@_7@11C8j_<bm2+AjE~??5@rUgaCcO!ov| zNFIF0QQ|%!ou$HUf_0O%@&;q3JA!xm4wSKMRbC)_@C`?bdq6tNM&$-s#<_xf`V!7@ z#JDS@v#eE4kUjW{Bg9=Gou$BSf>o1w`NGoDxi1b0X>l=hFqE<^S1vGSx-94;>G+bv z!~H@UOOD%yG?vB60<w*>1Y7zTN?0=O8mybn3s&?kC}x?Xd_$)38OMd52WL2p+!NAR zW+?BFX?)6Ys%JqF%M|4qGL26-l-wQCSSBb-7&93va~L-r7qsYGP{`7w93j*Ah(pM| z!J_G~phVw-0+tqK37N(R90z(HoaWfmv*0wxj-G_m98B&E9S`0=RclCRsmpS^>2si* zY1QW76%~#b%$anBPq-W~XS^%;M)8CZqrp1m147!?j0X?yJ62a97$ezukK>bDgE^D7 z@(Lp+E#)232d4<$=v|P<66EG!-lU=2VAQlz@I>!}lN^uS9;C1oC|5`~-e#|}fAG8U z9{-!44DZ>$)Fgao-X(wHGoy|CiN6Qt^56K$@QyvtKH+!cE&dC23E!AE$*=g_c!U2y zUBfx{ID3QV%<JSQd~UqPzo9PSEAuM(j?au1@*aN=%;sNE_uvb2o?XJP#!LJY>K2@3 zzfqHLmfg)>;TNN+JjY*#7wng69(-n=C;#FP!*g~s`vpH4P2?>;H=g02@sr^hyPkc* z&qgEp6@M6>va8uE{A@hIKjA0C6ZT^@4rka8)jasf+$W#$hv6~1nEiqujYs$u>K=Sx zZj;ye!|;%u&0gULqk;U7PmKEVFFrBq$=~?Ycz~aw?!kNJI{6pB8>aDps7-j!9BJ3^ zlsVjP!S}{J{10jmoMK;9({PIYot?o`<}&#ezZ<6TU#L|$#lD~>;T?04e8s269sCwQ z8Sb#(vwN_u@jma5yanaV)n*5FGuleuxZCiK?NbiJdA1_+f^_CGvxeP`w|Q^mEhuB& zB0b}7!yC3&ISOUW8>AO(ZoJNW=4QidwkJ6YN}1P4N8D|A#r7zN;T&6#`G+*-719=W z8)oy)$XigtyhJ)<GozVw#OB6}yd8NCXW5+08Fn?ANdLIg@SM%c{J_q}v%DF33}@NS z<tP+0r<pbEY&^{yk+<Lso0hr5&c>6x9(f8y%qeCJI~xt91vWPtNLSoxc+4hceqcxA zQC^Kag+k^IX^T4z581fP9d<Mx<mJd?IK#Ft=fG*UT{#V>*|y~<6fieP|G3?7pY4~~ zf>h=j=^M8lrtv1+JTR5_MXo|VbA|MVO^sU83pO?G=JmPRaF^|snL;XaiFC&%Mh)p1 zw;S%T&C5~9WA-y+*xtCEcSr1l?@ar|U+g_FkNZQM!+F*+{RP#HHsS}08}D%6+1W6c z`$61;Z%o_7SL|hY%bKRIP~B)H-m#bA4eN`T2Va?r^&V6)T8d}vWq8dRroW)7(L%hT zxbX`2o}CP@SpD=LJY!lWuCbTlCF`x2hO?~KViLYEEfNnXZoI(V5T|gK)lUCGW#c*S zg1CgwOtZutiW`l^PwZ)!$(<1Q;1km{agE}}Q``Y@37?oIiFfQ_n91!BmvDylNQ}Z6 zRyq9#6^+NZ4dN0$GIfc2>|uDs%BP=D(RhekATHqpQ<FGDaihNYhoVM3@drhXy5byr z7#^_x(|b_fxQ{zyC&PW#Z+a7+GDYYWJY}j9Ke3zP9_yNz1*ce7#5{P%6s(s}-nfg~ zV<*F1)<rQ4r&yoqDU>&=i+Aj9n9O}3_Q6}Gy#K%V-Tz%Z@B7z3?_Sr<JN|iR{qwgr z@9yt=d)@Bs`F(G$|Gd#&YxVzE@x8B4YhG{u_iA<Boc7Nv|33@-|K;wUm(%TDZm%@k z|I9u9%hj3}&2_W>*PMS}bMAi4S@+NBcAwAMJd5A=bo$Rz%m195{^x}H=Lz=Dj@Hbm zuQ{Gyb1c5*sDI57`<lc0HHYMD4zhoazjwO4Qg8oLX88~Q@4f#Wclz_+d+C)r^-sUr zz5jUcRCuLU{rBK|?_S59x?DS@{Zq02cYnKgb8YVI-+P;-PW^#(<2}wdGa25qeDO&r zXWAuv!kE!U_{7`;b2)F!WO&Dtr=DQlc#HFbUqTtvCgByvjW;+C_%)nkiBmU7XIdvb z!MO1n=LWxoQl?eH9mb3n!X9%E%;sF+_n?F+Pc6Z!@e=0*zXfMmZulgeWpPtiuwpb7 z=9tUyg5{FWgJPz6!Y}49JZCXeUtq~-B5YyYc!qPvOonGHdg=+5jYh&N<}f^EQBzm2 zY&^j^VJ5>9mSa8+XIKvTJSb%96V8~!@R&tReSt;e5l#ia2L()R!WwfJ9<s2hD_AfZ z2>&o*)E9nX#Hc5H!>I89CxhREe5N|#7qc6taenYk$Y+XFYe;1ZS6g7-xQFwB?}1Y+ zt9%+xvAk0=NM$M$UNO623g-o1g;OjGd=m1Qii9hS8h3D7%w)L3a!>8Sw}$)dKkOEK zXRMYxP%SoHoY9i`i%r6J#$Eg;J~P<xpQt@Bm;FX1!#n0Y`GnsMx7aV(C46Jt#J}Qm z!wvQWb`9s4<KzvVGp^&G@VVg{`v$v&uZ*ksJ3imI&bh^4t)W;{M25z;4QwKJHMFdp zw3G}O&M^nce|W~Yg5RRHVK(~=y9Hkum+)tNW-#NA_}p-jy~EDoEVGk5!><Mt{vS0B z&zY^{5BzL6%bsD!aF+R;jlyTfG`Zx1rpXM?nDyinel{5Kuc%>o%B&`@@U!6r`-Dn{ zC(Ork%-R-dt>NbN(OJFXMaG%zqn&zd7A{(3u-4Fo$(41FPs>~f?~O`tSOO;sPMR^% z$vw!}>e3^RUgcIv&LWkgrplpxDV`RS9(&AGKH4|MN@(sx3wJAHp;;46j(7Blq^n$= z80KCi>v_&&ZHil#vCvD8rOGq=uB0w8S6(RBHzi%=?!*H<Cf1YQc;vaQGQRY{qrlBd zc4dLet%;X<j-)O*J<-m6lFZ9O6*n7Y&b}0jOVcO5>)DdJ<krLl_g69}&w1=nzS;N0 z^3q$6HOf!>Tr7iTP83ph?=reHwLi)J)nAYE?rY>9_C5JN@tyl7`MY%~&llUsPyM;# z+v2+u|JiN&+flw`Z@-4U)91j~?nQ2sjD+rb)G8nCWwE$)$D=?wRI-!*lt+|Z)!DPs zoToh+<?l**zE{3AaZ}Bf=Zh`nC;ohK<%{x_{t$bk&ljJ$_xH+o{_OameDZL=fW6nB zjBksN_Dk3o{n0q%-YoyO*5sM;iGC$}tv@G9Rd)6}*)#op@mcwNzm@%?KOUdYxX+Zo z`18d3#i#pq>{tEKIOo1n*;cailt;O;ujI*hix2egs`>I%*+4$A&g9p{S^c+aTz&<< zbkDO3`h0PAzl(j;Uyd>rb@{83FW)Y{-~XZJ$?5MCAGp`bSN`lc?H<iwAN0o~Px*?u zeB#d+C*8~CAJ&R&pZLbDz^v<Y;I!T#^GkPElrO&9zoy0|RoP5>XB|tbvaR&Tx{}nz zw$dx>Ouk>7((7k_=<~$eZbf!on=a1n|73UR_KWYz>e5&9Owt$M=*=;&y4!Kiy;}NU z-jlS&cY4zvn(exK;`?GP>9uts+XY{{mq|a&OG#gRt^c0cqPrd?%BIp&Z=NV#e6IIG zPD$G0GrebWT6Ru+>NZ_EGtXp~;B&W3Gp4&5C5tch`k4Q^<59HuWUrF>qB|T#%7)Up zc~{aF8%nRe*>T2gs`S&F9VLrycV4g&shBvY`&o=jy3*NBGxbMvR(w{v-u*7-OI6@& z*EQmmJ6F6{G7#sCEAglZeCXOHTsdne$LB?-J8$Kbq%Pjo`$;W{xhim8_Zy!rX^Sr2 z>3$V6rRw4vm-)g=XL^(@x^SyIM}OB|j&kKaomYHHo-Nwh{lu(D<(BTNqQJYZW#!_5 zJ6D`?4byAd?QzPrN<4Na$7$C{y<59G_}?$`u@Qe6x21aGyzYN`pLV}E?K(x=H%_He za7y>07?<sVGrB+NdF}D|xaerNl77~n6CW2H?>-dMQgLxcw}QS>vEXBu<<g3IQ+7;z z<klu_m?vUBadxMVy4Kwlg^Q2(ikWN8(KzSQFMZQ@%JGa-ZgFO>W^<G)-R*ql^TcZ6 zoZdTTRku0vl+}gz=9QFR%olv`=C9^-HzQA3LwMz{xGU8c=k+eiaoIlco?D%?tRGAI zqAQ*Ea#YMGK6Ob|TeYX-%OW%JjXN{Wx-J$D^n0>n;?!;z{h;E&7p_x<FB%>)s=PR} z`;tWI&5qM<(Pmt8IJTW~3(?csd*X|dx%k{TmED2UIvvyx%{g(#Wv2MTxG!nSn$mxB zSu8F-b#CDQt84e<`-I2Ny<Pmgepk#VPHX3qH>!E@Vd0+kA96`DziL-}T6m(}M*h~P z3$xqrO!1rYRPj*zH5-#(0n^*<<R{f+d|GtA+eUs<&5KV9&$U~~-<s3$e&OBDM{>J9 z3CwQ4Wux+Y!ZYU?{0l2R&bu`Dow8Z-J78WXhy10c?-QoC`^cZF@%XBEul<OP$g_p+ z7Z-+a?|h)PYqm$>q9g4&@{4L;d|P<6Jxc!3r+^pE$!fcd1g9No_mH=$<tSAOGUY#O z7h*k8?vYEou%zD?vx(EK_>bDL{1%wo$t8d2bHJ?jAo)q3F3jotrnacM<Lg3W{)v?u z=bWeTA1thW;r-GnQ!Hz<!0hH{HZD&WSg>!D3#p#)*0GFlQSpV>PT6uz)hA9m7xLRy zdc0e>Q-lAaZb{n0oy}ilj@;foVRGvezAjsjuM4iWFVPX%HDOk(i?~#6#wq7=?vHv~ z(ih&l-+oW5Yx6FF*G_A>Pez_7U1-I9QqN?!z+0#F+@X3QX^N*?^~8-f2Rw6{%)Kzu zqge4=tCcuY?2FH3ig#MyJkgtyzVKFS*<G<uH7DLJw9@6~)l=CaFr)QB2LCKuk21wW ztv|$!Vo#iPn!=r^w`J#qw@wvemo^97b1HLO!5=zPxFvnz_SQRmzid~WbBa$8uPU1G z&asm3R;<Q5h5OA9Sa!;(q%YLucC=hkx<06*TR_I&?^0{YVT&j^mS+p}*;6f7yjL)1 z|0}!Yse&H6s+@{MOY6r4=k)5r`92j1JalYjmsFB7;i#DKh^dVKkhMlW<38>mwl8uS zcNMXpm1TLlK*OJ}$@;`w1$FKhyqlsA%xhjIQ&KK4wRzPGS(on&hD<5!EtU;4*=_6& zd}Qq9PpCQYh*?B_!ViWc>@s!-J}|cOE7Tl#z|11g@Qg8D?!i;WSh)jF8KdO{elQ$h z|6_X~-{C%kF7pq$4c{Ad_z!$)(B{8T?QoCzgIvN>#!xwj)B|^!m)RV6$5_fg;S<9y zc9%+qDePx#9o{ke%L$ktILT<hU+|M*2m2n|1G!7?Ppol&C7XHPV~_Gn$;|HzNlzM1 zMQoHlQMBN6qXD~-alov`2W&+~0e2j>GVkS8Nmba*P|JMs@8sY9^J4GatFQV0Q}#se zf?doaatHDkSYBi3*ur#$=gDvOCFu*Um~Cce<a6mrQ#jjb#{NiVMX|#9MmzRXG8`of zE;L@@39-B&|HL7MtxM)bp~9&~DfUS<Mi-Vmc8FnnWi(-W<31jiG=+nWZ0uYzD+&}2 z*MHz?u?TqN(8a9FccjAN!j#>On|NGO6||WXC0CrX&;6IEvi9%tukyhcg0Ebu_hQ^$ z@>9v<hyQ|^^?Ls;7oYs;pFXGlQou)(KkOgn&HwM%cj(&8y?>AM<-JLKH2<-E%8OUa z_8h(Y?bV`9ZHg~JjR70wr+p>qD%Q#m`%0`Qz4h3te6bJQI4E`NlI1K@u~OdKXOgaR zed3dzD^^0UJyt8P1hp|Nl$Z8>NmIEp(a*iecoL|IF|qGTnu?k7TFJ~3m5URv^oUpq zz3|9%`z3R-c*(hm7VfuXJd0IKl;=un7ONO5C-#+CPI~H*>b6RzvuMf5i7M`0GM#5U zCMfqxdY<t}bgPm%S-9lbL<#pO8PCEcM<xomTgjX(SaN70hr5)FXMu`=a;@aa(;l&I zkBlx&o5<wOB=a&~W&gxqZkLRN?t4@#r%H03_6T>oB(0gRvS;F&o{&@(E#<qtCaEgB zC%%F-I|ALBj4s{rC{nhS)XY;+S3cPL#ca}T_x<ue>#qF1IIq9L{?g}*@7#CEKmEyZ z-hHS1-Jd7Ux$giqX?_R3b>AX?woc`D;N1Qs`=-Ag=iJxJpZ$5_tFop1*199VF1~VK zC4clM$2s?v@_T=Bd|iCG|4vN`sQs`+e(TSUv+mh;Qhz<ZD4WW+*0KBwoYik<|LM<) z&&uce&FnAz;W+D_Zg=X>i8Jmq<YVhneg@9$*Ro&qC*zay$$lk!rO$#-+>`BuJ_pX| zm$NVWv*M%jv3@c8pg$TPm5=oE*&F>?@j>}eKbyVKAC5Eb@phj+1y1kZQM2Xg;sgB* z_P>6gc)xgG|CX8~-!I;CkF-1V>EhJ>FLtMXbG%p9mJj{eamu}1-uLH;cZ+xRFR5|) z9yq0cL5<1xiFe%n?Ye%SIO$#>zqj_uwu|rG_DO%u+mfz)ulGyNmfaKIxmB7S+8p@K zt=w$WX2ExE+of;jh3po5>$X|?WL^lU!IEIUX!FI_ZpCIrcRkLzt(D%Gw`JGFS8j!7 zO`8K>x#gQV-F;D_Y%V=BFJzbCOSfFJq|Fy+^}3l0-Ss%@wotk;Z%W$Y^Sw6aukLgd zFFxCAV(zs0;>=znbED0I&)lX<N9Kj3Ek4z&VLl7gb~({|B8O$?#TmUvazxS=AMceh z&$`o5xcF$Vh`HAtj>5%<dwI;Y?sOC^KG@4*&b0aB^xi!=S5lSrq;qd-6eu6){b%;+ z_KJLEUFnaxTeb&I>)nu3lB&G7_mkP7+b2%Bg_<qebn&iRu-T^DEAo^zr7z}+q$+Dj zpUqX-esOZ|BeSASf_L2VrFX`Dsh;@Wb+7o_ogLqmY{lQkEvXKi*Zm=8O7+FL-EU%A zsxQ8E-6noD&ZYX|8`n+ZS9fZBQ@YXpBIZlg#W~$E`jd*m?WnbJOR56rbUy$!k7joV z=v(bQan^O2_|!O;s=(RZH)6ItTXYfDN?0JibmxoDO6R++^dIfX_^foc`$9~~vqfjR z&&0G;UVQ30O?>Lk6`zz&b*t%5+N1GF$w=HW&g7Yrp?K@g7ax_5cT4Ff?aBD4bhKMY z-)YZ_4@!r-x%8FxWPDIM*nJ@8%TpzN@zR|gr(L7<xb|>-ShT<UkKU`@9;aPv#NWnF zd8(u%9=p@y{h~eHAM`dA1y1c=5mQoraZ2|ay;Yz_T8X&pPL5Nq#o{MpzdTvAqkB#a zOZmmyu6e?L{hn9{zIUlsdo<VMyvuIkmwr>ym28Bc`jw<BSqne(E3uyV)@7^kML!ek ziEms=)w<?#lqp#W@AWfDSGwN$#OI2Y;A@xF!Ylnm(v>WPm->B4Q@YaWr(R?{@ukaB z;fa1%(v-}E*Uro+QM%Z9#Ye<S@P$jJ+OIh$iWi;hv{1h_$D>%uM0oB@jbbHZ;Y7a@ zOGsO6PDjzAlbtH+U2{6lxJ(f4o#}DLB~h(v&WXZB$2uj{qvn8`E}a7ER&!1iEIQQ5 zp)NJYqd>_(xOV1=(=M@UkBlx(>ts@An)4!GX@BQ0wM#~V_g$)mQ)hCVb_rLzG+QHI zX;0@GpO91~E#bSqCaFrhJ71|yG7`M&5~$W>bn%W$k+AJdjXWiF;e)<k%qHG;-p~Kj z?#k~A^V%!qFMYo7&UqLA(@Ku>&O7<<R-QQLyo3L)UCQr(x6WJm&)TW{4w&1XB;Qoq zan5-?|JlkDUllF+x7r=~b>WrsD*mID9Os-@^6#zW_`2|N`yHE<UjZ+jm+)_`>^SS3 zEhkm$@kP;;ztxWASHP@xJNZvFD?TfpYd4d>RKs!BIbH5l&51M4Gx%feQho-^Y}b-s zRFm;Z@npM_ywYcZC(g-oLZ1U>w9CmC)vWlac&uGaKBz|HqvDZvK6#^>6(1B2wX?|! z)o`3~j+guNDPVg04x24c7anM5kpESE;{C#X?OSY)e7|tdIa2P>rwdctzsQ}c=6J8D z%^zCXamu-z-?#F_yM?>jm)N*`517)vz{ceJggegua$TSXTmk=H+b7#Dym#8i{Z(&E zy5haoFFIRxPk854DRyXcz&odMu}zx=-Z^dOzNr@iYMyQ8KB*UyzVJqCg7~7%7hXFR zixtIsoO4>sy-{z=t_iQ43dNc>2fT917juezQKD$hJyS1am%vM>T(P9h7iP7(i3`Pg zoON2r-KaMuZQ=P=8}U~$9mNaJwwj1LZN4zG)kxfEv%oW_>D-ZeA!!RwwQ7jZit#8~ zc%t=$4$IC9Gg^=6h@>q%-YO%W71L3;@Mx=uxK|8E;ljhMJmOk09R&*ywz7ybZN4zQ zb&t-KR7E}R+(?ZA#RIMX#6Cr@$XC?m{;0cUd%(2T4LT*MihEl>i5-eQamp!FY|*9* zcb$U8Hbt+<Q`F?Xs4J4HsKI?!S7rN!$*qsXiZ%({amwf3Df^{*!h6TP>~AeQzAM<W zzm;239Wbx?gUpob3v-*_$h1^nc<Z>0{i>Wx^@TT%o7k^fYJ5|;(fmT@OVx!r%`yCw ziUVFdu3=v*x1=gyPV)nqDODF{HwW-r*`7G-xQu<OoJ&=}?B*LXTb?br*zCd|R2=Za zaRK{M%NL&&&No}}KeEaAtZ=sZf=tP?1!tPi$h1^mc<MNfeX8Y(PYS1+)%YjbXnayI zVt14?d8S~<-fH>cqr&lKDgGpzjE@ROn}ztDY+ig&INZ#|uVj<)LE&KY0hupP74+Fl zEjvy-M)PsmaC}&>zxfZ}D{GI_jy3FWWv4t<&|#0Y^mxBuPxA-9O+^7yn^(w`lwX+A z{DyCpwZ|#P5_VThj#G}s>?dWvJXx@#d5#QA`Gwn#dE7r@8{RWjiEl_}+{1lgbHiQM z7kUcknLg+!lrxr#C8RU%;NB72@Rlh{++laaP1X~73(hemi8JhGu;Q+WJuruLhn~YZ zrYP|PyBe;tuFz9B$Mir)p_DOStYKHfW!4>$4KJCz#2t1um~kg;ZZPGRh;4YmbVWy@ zgmD44$L5CftQC3-iW%o{--u~=#&kjF!5Jna@q{$S8QeQ!8lEzp(pgZ%IE8ygOv4i< zC2@x|#tGaKn;8tbIW{*OXSL8<P{`QB9TC&;h)GDiVMoJZRtdcY1&l4+5-|-Am=5SX zIL)+2XTfQv9Xbi8nV7^Gb~Nl~{h_-cpD{}8!1ji{tSONU(^z978}2cE5^LDbpv}Ev z6N47_j_3nZSa0Yq$YTr=bJ*UX!QHT_VJGVe-3KR`9*I3jVJzUTh;F#eSjYdMy5S!4 z8%u`wj9+9DzBBA%KT*tJ!+yf{z+C1VmJIJ0^Y|008*VXQkW2W+u!(&|al;Mf19A=L z7~}X2o-?dtpHSRzjd_Dy!dHe>>>b4n7VI9j2WB%bkbCfjA&)Pis^Jpz1i1xg8E?oW zoMm+5SEynzW#_PEc)@r{=D}x%dF(H27@jkl@h_-kFk!bSZaBj{!;;|{qaJ@kWrGp> z3LA!}jB5M}l?^ADCs;B(VLT?|aE9@a%!7{%ee4-F438Pb_!m?(9AQ?Fd+>pwja|cr z;UOa%zd{9r0sD_427UGyMGSiEH;Nh#Ff+(Kc+XJB{=&Lp8uJI)g!c@Qd<{<-!ub}I zH|$}4Aba2x<0_ekQ;hHU44yKSv9GXhn8JKPR^b%m0-1z&3`Oh}MGZTcEi4)CFy7;P zV0PiX!#?J(d|T2L?lpel*<wB6okJztA>)8|4&`i{j0N5~Y-hg77h)~&)?qXANxqQu z1veTK*cTaJc<oTkRwV0j&S5R{M!qdp6J9wKvNah8ymH8AbCP{gqF~NElP|<d;H5(@ zTaxjGS&eS&Lb4ub9TqY-@=ZxwaK6!o{gq5d@q)9BChShe7iKmZu^Sl+Jad@N9LX1w zw%}Bw2Ky`-kD>)98c*=BSYDXXc!WnJZNc$I8TKrhj=}{;8%5Z?WH<^J9B$-c*OKWd zSa7hBg`LUx!t};HJXcZ`^q6xcH3}3CH2!1zB)uYEL6`X>?-uibX^k6rN>UZ}Hhy9| zBz@wPLnzxKqYHN(g4s4nugFu-WWLBNlB%G=e3n<m{KDkMM{Gq#0(TtpnRovE{Ja0X z{oem?ezt#?xBdU--{Rl?^XfnRnf&|w-1;|vntz{vYrpOPm4D8^&%d$X^#96F^>6Yw z>R<f%{OkOj`k4O{Kl{J7U-N&>zs0}&=hQ#=Gx^u~+4TYcE&m=rYrpLOlz+~@{AbtS z__O)h{EPK2{{uh!zp!8Mf6341pXJZjTm66dC;hYh+4>8Ail5CtQ-9`9^Uw27?Wg^p z@^kqo`BU|3|0n)Y|0Hkp-{GI}GkL@REkB=sls{fC^*`}X`bYVr^+Nw0|2+R7f4H9O zzv7?t5Ap}=5B&N3R9^po$<OxF_R;@1|FD0UzrX&^zn8z=Puti0fAe?pQ+b{LF+bhk z&)-x3;ortj{!{B${3-r^eoFnDe=C2xpRzCc@A8xVlzs946MsKHnZKic&L8IQ=WpBR z{r>ar@oxY3cGce=-gQ53xBK^tcaziQZGJy_SDY?y{rkbY;@$mk?Y92D@XmO5{~No~ zZ=HA9%jB(o?|EmOE`PoD$(zf&_+Q(t{=MRzaJsz3?<Ma(r^#Qb_4{79x&Niz(%%!_ zT~3oX`@QC7`j>SJ7IE#hTl9OyP4yD_3$>TteBRmr+-~mgf_IyD_CK@B_-47;|CwFd zw}W?{7s;QlJ@qDdC;wBs$-h0`3Gd{eS*!3}a&!NT+Cy(X|F~|~SN-<#4*th>-M=;7 zP2R!($gcgj#JgaNYj!QadEP1S;Ga>u@6F@X`Fg(#Zl<5MYy8dd?(_Ek`*yXzGj6u$ z&)-|S=}mL$eC^*a-cH`mf6p%L+saM+Q)}OSoB1etyZ>Fgpl=JSGv{mkcDR|IC%?0H z-W%cV{gZ1Se5<^D{H>aC<w-9;qe=ZaPqy8R+kGNSxblP(pV5TFbvn#Hj@!L6>@Q#Q zSmyDMV`l3NdoR0HA2aGR?1``0c2iGp_M44I`F0;os6U&ues|o)uAP2{UE8l&Wv}ti zc_cKs;)oKTVTb*jhkV5qho#OLwCU$OT)lSPtXC_S_DQ{3J~waHORnIGLqdH9Ez`q( z9puX^xWp5;p-H&s0kiau1O5*fm20<LbQQMUa>0??;(){dJFUxFQ<JoPvU^y?Z%J_L zndl2Astbtxyzt9HlF8|m?B?&5A}S4zS9xV}Tnbh^R1k91J=8C+rWCQGpj6RmqO4Vg zfTfZOM_7xdOqNO31q~-<Z6-k(*K18Jt_Dmk$NA;b6nGS7?y7Z^y~Ne65ySFOiA`K_ z2ZurTk4+r<-7hwA=yl)N)N#O-LGMw%U|si%=$2`&A9R!Q1tY~8Qw77t7H;p@<N84N z&?%)=I!&jP-iaBe3YK-Rh;EtUdO=s|l+ps7q&&f*?utzvJ6tUyS?(y^6MI<Jao_oe z+@kLS)qDr5Ic(c+*tWb={3OG4Ua^S3@VP)4Ut@L0ZRZ<ui@phLX`f-+@<#EMjM6uO z4ebkxJFYvQv21y*_(W#WSAjL{5w<O_6d%bjol^|r|M*N`MZ1M<%WUTva*MtQENRau z<}hoIDDJrE+#%<5R?&%{v8uzQ{fAA<b44rugP?YAh8)vb#d9)Bp9RwR8Y??aJ4eVZ zI-{t??^xM!(%C~!>61VTA7f>QVY@(ahe3OVP0M3NDgJ{M9Y>ut<di-NbhKO8v^-Sg z;&-g*IOxnF$8<(<pUk1tio0Z*PAhJcQTiaz(Eh`^<-X!CzJ*T(YT9pDyG(OVuskx= z`Gu^~dx47f4MiPV?F))Jc02o6w%k>G#i#gGprpN{h(n`&hIPvw#d$JH?*#n#7|T1h zJMZ9qR4%Zu`GxF}d5$0WoX#thu`jgluxUPE+;PY8j%3SR#|M0m$^^DGuaISVtB}U7 zXx(Ae+#$>IM&Sj|qf&ulwue?6mdzQmEUy*9*cV!LSTr{ncU*DYBgyhg!H@l6n!vJV z4Oy0#3b%Ng&MI8vNh%Ro)Er>kalx^HPwA|J9s5Jej&qI$d`ZOuvzi@@JB*u8$h6FK zOyGM|BrvU6!?@#=V*p=Lk-((p4jGo2jt+cDXB3X`D4kJ|V}EGTam>+xFR4(VtJy<_ z<&gp(d!j|hAx8neqymAaW(MO9{pJrw9eT|Vj5>6iIb>KKDEwo4Xx_2UF+-B&zQQ-Q ziKzk+Y=x-;Rm~@)S?(#U;aPM_VFk~lJb_@gMDvbajvkUMcNG@#Fr89(#-?cAq2Amf z-7?wn0Pmw*fxP-3f1BUSSN-4coPSUKh0pDG?O*&;JTL#@kK%X!@_z}>`FGUs_}lze zKI^~3@AjMaC;lxwC!h46;WxWgeZ}9ybL@Bgb37*>_5Z-H_N(?Q{wbc5fAB}~D}VmK zhF|TM?RWfaekt$u-{DugS$)FicGG%^zs)b?ul!N`!oQ&2<8%9Y`-*=HKl9J2zwxK} znf!%656{RO{ZDwtKcjxfpXR6Xr~WMb#6P8e#-HXV@=E_5p7Bqpm-x(XSkLjf{kXlw zzl9(9d+H<pG(VCT`rq)Q{jj~nzl9(8Tk0kLG(V6(@aN%a`8|IYo|fP7C-JmA(|?8^ z?fdP2{9X8-KkDCs@9lf-Q+_f}vyb`Nd{6$<zlQJZ+Vv|wv1`@u_<eYa{f)m1-|+|i zbNJq_QQz>XeW(42zYkB!Kl=CJ34cL-#qZ|Za&_My>~6nj`{pL|d$})f63h8_RiD_* zZc}~Y?%}z%H*PY&lgs;_u)F=1?S*%VW&E3}S8Q&-VSC_R<2kvw?*{4o>#8SgZog)` z;ay@W|ElVa&FmJ{9(NDVwq5Y<VF`cUw}f5omux4zTX<IP#+$^ma&F%hcCnjQbKGTq zA$RG`!(#q<)i3TaKbJH6zF;T2Nwvl1_A|CKZZbcU)BB#Vv)!nA#U19Sa%$fdcDA3e zop6)+iQKU_j%VZ!y?I#3-&dV+hxxIb*!KlH+K<>Oyn9%{-&U=0hxwr#+joT>>;~08 zHnHnhzu3gCSAAns`vF^qcMtRV>#ASeZk}fQ;ca3*f8@7@RQ~X93%0lKv3>CN;3>IP zZyHa@z58a6%3oH!;&$^C+Y4_MPsuHKlbFX}R9&&DeTS{ZP3Ajt_r5(SYrk*(<KDvW zeAVv`RI}Tb-`LyyPWICs#`Cg8?+c#umAz}IZoh4P<KDt=d|S$A>}`G{`|6J3H@*$! z3yRyXTc6q4{95+OorPcd)|5x=ZGI*D=nmsK*`W6yp7E_Hx7gb}+j_>mg<trVlxGyP zo0Uftw_mjGxaW9Q*6BS%Rl7;~k3G%LWv$*HsBAxLopF!xtn9fvil6z?-ZfOVpSF&; zxA2Ut)_aG__LJ5g_Y^<zrMzRPY&R?yC~h|>uh`T4SXS!&fr|E{)*AN|Kk{{yTkL6m zD9iQUp`!huHOD>1GqU^c96T+%>rUfo*==_eKkzk_|JdDpU-s9#1yA{E%5Us;o@Sk} z^YB#b7k3rk^Hr2@C~DU#Ur^M(+uCPm^Ih3j?-ZW$m6UfBv1^pi*xh_bcHSMucYJ>D z7|PqXTkkmgu$*sS@r${K=UIL@=XhSG?D+!gcAMe@#_e}3@62qTYx&^Z!!o{Y#Vh7A zzm-XQu3+76RopR``HjqrGY?Doil05OVz(^Ln9KZHChYkFt9Fax2IKZCmV0J0zmoBL z{veHSS+T}k=9e<J&NQBtxppS8gl|!CfN}c;%Z77`XJzc3Kd@{+XIXGAv6ydGv4e5D zaq)>c%`+_%&OI#Rn^vr0+<wY3;9O!6-=yM>Im|OH9nK}5kvVck@r;bz^9L5~$1Dxb zB^L5^6?@EKek8;9Ji(&<kfp%6!~(viVg}=O{o)Ts?Rv!zjM{aJIp#1wkoouQfqDBr z%Z!=K_hr64n~=&E@vI<~ud4XOZ0371YtAe@C9~qp!#uv=X9?!*yDU9sGT)V1bcXSi z%(G_-=I!dm9kZJ!TOK(3Fqbbc|3_`}d+DnC8=mv-$-nTq?XLNYeTwI$KkQNb&Rc#j z;W_V){2jH;Z>6*DJN$0DX?|kg!gJC|_Zfb(S>;#M9-d>qW1r(W>8Se$ezjdSU$IZ| zob-b|ieGv2?=}2tyKKIrviYU7*L{ayZD#oipW96HC2E^rNMG5b_=R^tzQ^ab^X3)% z7JlZPlYgV8`I+>EJrB=F8{JQM#ycZ_M@{on=~H_ae&U^yKclAkiL}yvhiAMK@+CgA z8Rm0*ZaZ#nv2Wo=-k$u3n&wB+LiZbfv>i5=*thTlZ%e*JP4ffk1A88xmfo{x;c4j| zdlFAeGu>zS(YD|G$KHkSd86(f_};eHJf)I(nt4oR^F8TL_Zq&lY3Hx_#HN+Mqx$d^ z^Ba2?zT*wL=kUEvBfsHO+fMTndmo;Zesu4_6W)USit6UuQgzoK>~6bf`X-Y3z0{XA ziRHYzvQKPgv&lXYdw8ztjY#HqQhC=CcDLO!y|6B^jCWJ^ip^~|Ob@JUJSP=*-5{NJ zUG{{{ZP!dUtV=BAU6tLjnav{GBlhrY(*^4umhk3XOW4(R$#lZHg=eL1tVuj8<#t_R z7n^A|M=bLTsY`1f7W2-_ei6g`T*~bFf}Lz8*%q7I&X~@KWPT>4cRgWen^E?P80M!^ zYS$HZww*AY5Xt;R>ew2`Gg61vJS^nx%g%^lek>(+eZh{lBc=-L9v1MnWoyJRKa^s- zuCRm6Ap6HAHvQ}uo7nWSZ)|EiV9K!WVLoqN_KWD|X{I06Cg$@-UTa9@4ZpTvd)pq< z2Wt<Wl3KN<@s!lNYX+&jW!WpDo2QswSgUwSYQdVsJl>-0icM`hOf4dr??~Od_Moio zzVVNF3%~PJpF2>^W}AM)w)vgpr#X!0C5z4%Jm)Dp*HGPd+xW)3h2MC#q|dNzek1v6 zj^a0-4e1Mt+pZg*v21=V`DD(*uRLqgBW#;rNj{pxcuq3t{D)^eE7C1&n`ax(n78l? z&yw_vVm7n%h~l=3#vSt<&q_L-XQ*m3N&jKf{9MxN{DI20v&I?o7|%+co1^%dC+%EA zW!q`vh<OXoNNSyTsBAlF>@iRA6Hm%HhRQa>bb;bFgY*iU=Ess!=MPl09W~aNr}&Yl zBi+KL`Jp7&d54O&gT@^57|%%Vn{)8A<gPi5rzN+|QT)Kukp9EE`M%_@a|@pG)TH0A zcAjROV0n0|@r${N?|CZHHx#vLr7tLI+imP)*?d>>)j5TyJSFKJMQj@BGpw8MNY0z1 z_>RZ#97B2AcH<qr56gM>CBKk8JkRh$pW}Invf~S^+ia2#7`NRqyd&8>*YH8#!!n+2 z$tz@;-%6w%SFmogO74(lek1Xs=V2*N@v#S1Y?jFxvdpg~!j3PnYO_dgFmAhIxJQ!t zm4x5%2WdRZk~L(RUrOBSX*?@&ttYXBXHjy1aoYvMhCao!5_ZQQShk%rEa*!t=9!i3 zVBBV$d_tyqreQ+g!y=w($r{FOrwjx75{q~yC3na$&op%COFScSq(||Lgxv857H!82 z4f+xbdAgE4WSAdG@EuREXgg#m(3e=i)0E6$+@_!W!Kh6y`GHZJZZd}q^8<;0#~zrs z?K8}fWWF!)?bw7=o`_=wsXSH5C#0G0Nv!EvcuHbL&%->P;A08qZMzITB$@9@Eb3uA zCGqT-f_a;Ia))&DWWxi!4|93);(z>YdM{qJf5UU`J@FSlx8Bu%QKxub{6mf6ckc3i z3D3EA#P9gq^j17;zr*j=oBAi}7M>GN+RyNt)hfQ?@1Z&RJL(+IiAU`}@T>Kz{)#%q zbK(zb6u)xk?`!zgdRc!*q(dOfLGJom=6@;AUY!b_AFlI4bFV<^>Koj}@hfhx`pO+7 zwqM};WQ8fZKk5#?<t~V?Fy8YZW%ViUW$_w+nO=&$%bBXlzHMS)8TYE_f?VfatQT~T zEWGP9_lEA7n@%qti|K4H=yaQWc22AHK8^6r@i%WWO+To8*=$Yx#5~7Si82qu*To;r zOXQvsVimpNH&+>V$tJO7853t0?`qw*{@#&`d$U}5_d4y-^82V&taefRjJ9z=M|{R- zR<rns&#f2rJL(+IiaYIR_|<A++*|kXGxt1ktD`Xw_H6jcdRBkIOijPX0VUl%rR~*C z2|GgWsy5x5@?+|QtJBxB9bTcgT<i1H+qc6DqWAvP{Gx8^zwn>Ruge*4!b;~!)c;C) zw(|1YfbCwjUSC&UozGUUWKz>rx;pIIiS_H=_|`2wdOBoseC|)K53BdhH=4icPS?4x z+0lV^SASeddYC$E{fBwCT$+DgeimL8|Iv5iJ=@S<Q}2dVhRhdOdncfErWenXsgp0y z7pf1Mb7$40Qy(<X&);M5@5_%X&%<_?ZQGR`TJ5@h>f3Ptg6&dwvwENTH~8PYly@~v z`_jrs+kV~Yy8K!5#&QkU^~-E-t}0n|Vr^LD%4I&G&#kV;JPPTETlVPosWV~e2~U?T zN)r8b^-f6SJ{#Ax)pu4J`cHjW`zrAB$|Gy5>a~hzTZ+a^y}$m+yib2QPu~kK_5bMi zvTWn5t&8?fn)G|S=)CoRj=4CQ8AWN{$tuuxb~&nPwc2&NkMY8=ion!WZ(>?^hsKA# z7uVJ(+8#LF-BWI9w5hh0<W}#UA*m~#%v}8F!o)?<Ud1cTy_f2-O8?j;lC^s3gU}5n zPjV8OtFpMJR$ZC4(5m0b#8&Iw3iV=l%S*hi%e`hF&<sClx$48JD~s9Mvs_zW?e&^E zxuASS_zkPg&KEcFJ++!LZ!yd2xb;QFQ(uNIFN}H0Q@U&A%xA0o&#yie(imszCggQ4 zH0_*BYkA1M(2P~rLL%K_JDHwxm#X*AUMwd6_g&wn)w66)z12FdKj&uARXOp4tM}9> zZsv|xe#>ece_&6+PuA1isrv#n-9^p$=LH2{+^~UrO8ksJO;7yS+8_PNdCq^O{ltGE zzb?P>UsY6>wD(ZvFX8#pd**+uyR_(gu>9QlZ{|HI+qHSJY|Op$bDz99lBRzB`dpvD za<AUalVAC*w%+*eOPad*QtQfhSC*A7H`%-2dMEEYk+kK;{nmk2W?3g+U%r!BtnO#v zTT*stck}Gq%+WJ;3(uTul>g}N$@P5ieb*ZAtSlB*khwaWDcvdbaEp4r(L^4l8OG6h zzb-FUp7U~h-m)9|E_ID(#1-}%JmXH<C-98BKfYj&=NWOS{Re)u9@W>VQ~b!?k#el& z;f3!_4_goE3)Cfk;BGShTE}?Cr#kHr?`F=($h3lG$1lb>pF62v^RsEXe#uX!=^MDC z_X+%9J)r-m_TYPU<M<c9o2Jc|+kfDD$dlmt@i%@uP18^Kd1$Ksi(19^+!gj4|EWCZ z4%=7t+i9wPz|TW>!^79ys!2Q*yv08A=Bg>L3bc;s->6;qPJ8eAAKO;_X_~S&YQMvG zk=Ooh@g1L7HR5OdZn`5ruSW45x8FX7@2%VQcjUJ2YQ4YQuU_a*)BCWE_PTjfcedWs zeRGrPec0CM3!7W->b}TRJTLYkN3oo{d|SfvmFILH<UK6o-WI*$F4J4FwCxJJTdkry z?lQd*dy(_7l)HG_gI%na(HVD{UW<ioU$CpyBD!I7>lNKSH<@0E`E7rY#=R_B<1W)n zv0FKfXT`4NB$jY5iVoP^dO^1#Pw}jn-S!7NThHkh<Run!&x&@~+-e+s;!e{{-Gsb{ zMcmV(H8!`N(hbN<EaILN-EoI$rmjO?;u*0cIf`e*<hDQ9(RxhRATP0yyDQq`4$~ts zzU>J+S`X<8<RunxH$^jSZq<+eu&Grq`oX4F-Dr+GOb^8VZF{i2b)Rm=O{V)|-?mLi z<&M}^kjh;Zed0FLJ+U=83r~ry$a$E@9lR}Jd+RP;kDE+)#TMl-o)UYuO<{YhdUVI_ zrpdYoav$b$=f(f6d-^-{eR%c0M}NJ}hwqMmSvU2$woUxgy3*&`*6|PPN`Fs%8@@IE zVx8&lsc*td_jUc{`lf9azqiixx%Tz-Pin6I5`7)MI(}uH=yPq0_@#AUpJ`uN@3+6` z^VFB&OXDZjU45o)7Qgmq))(!I>#x*^T3xy8(-OX5fBe#)uRd#^UvIVl(Vwi(+Gp2a zs3}cTJG$Pe{grU)r!`%lrp{cwfT#7l=rf;<KD)xFhfj;2`g7GM?NjU3_D}kw^-0^v zcw!yv&#N=mAE^<2w)*&b8FuCMQp<}zi#`r0iPyasQoi)Z<hQ<|`@H_V{HA?mpLS<> zd-6V`KdU}yA5uHB`rSIV{X%<~iiKZ<$Gcp%acil1`c(7O@;5f6&sW}AzpLixQ_Z{c zkJwy&wpurywT|^i$h`Ic_I>)j>b<tEzptI@Ptp6~)$yr6xlV_N@4Hm3^-1f!_MY`? zYC@lCYsKI7W&IxV(tl>KZRM%2D=p)FcW#=k^=|p;<rVWc{aKaw>XYWB<!5YKpK0D- zzr?2X$CdZNvxE2Aw(c|!ou<8O{h}Jyr>l3af3mOY)0A23A35zW`XqWMJU=+J?x|Vm z%$0h}7kvtuwQ`kDYO2<rm7kVfs^%(LeSdAu@=3Q>eP4NV?KhjLKc=Y7TwAgI(&nr0 zf>Y;7ZJzortg>#?9j^1i{n1bDj_$ZRckLS=)->%q^H2F)O<i?hx!d+#cTbhAzBONK z`=Yy9-!!kTO_}di%XKcSbX(Wo&O+@QE0^TBrmxyH|A3F_)8!6&_O&-(m9Dl7mbFv0 z4t*3-9(>kz>#nJ<!-}?L)plL&D%CQKUh5}nDf%=ddD*NvTt(Vf*FMT&eZKs{+Mw-K zn?+ywH~G)~w<K+qNpP*-)*V-0hV%rRPP%#Otp8&BPP^7!Q(uPk+i&y}eYV^*dTO5Q zu2AV)%enr}yY+d>TmOb&#k`|wt4*VO?Y909c^BN}zt_$+UHjtND><USMBaogh+ca0 z)#X#4)wP2q^RE7!{48vaeXg9{)nCGo{5zv_^Oo)uowfGdpDjN^o`=nho;kBCUrRqa z(Qj$$s(mZ}FwD=o^QuVu^x9K7p*uyNhD{DGyy^8x{peby?Mj<PpM)iE6WSa)W3Alw zqC2Y!wU4b8+a7dBt5EyMTE6W@cUBc>A6m=SZ&e)fEU0i^SJ7^fcR^*-F5UGi(7d<& z&b+G0NinBR2bZ{eE^|zF`?7M6wts467XRtsfN85XPk9qu;9VQZbtb6En^(_uN65pV zHg8$I)*T^_f;Lx8>$)4O^<8t%uH|p$&H8jDcIxsqI;H8_2GO-QPn`~n-S%kH)oE*) zwlm#%^?t?awSTs~y6ts3tS0(x?$lImo#@z`Uiqu{to^WU)27g=YggoyZofKZ?VD|@ zZhM^yD~Wc!$#p8MIQnGn*Ob*e*3QXc-G23USYG(wxTn>j??bECJ=*JaK6H2Z%ebk} zwQRzl#+9b8Jihvk&eb2)Q=SI(g+Gidt)BWebZhv<YoTZ3RA)b3W##=+*L2sF$)^^7 zkvUp@W!~bd{!g~A$~3nxz1MducGa1uK{LE(T5cAZwbaFO`m2~z#%F^Tc(>{;-7)1! z;41gEa!0E|R=*A`?yHLBdZ%$?aZ>-P;wf(eH@jby3$32=Hn3z`(Wa0)fit{M>Y8pB znX+_|j%#_yoW)OMLaU~{49x4ZvgP`^!rXnS9BaC!Ca9^AzS4BH_eQ;|r?!hc2;CTd zaF?EG`pWA|x9Eue*e)`C>6MbmSH82CdQ8_U-F)R`&<bzeIM;N|8%s?zU-GqYDi)c# zI<DQRw8iLcZu9dMTJEf$<fd9&nYUP^U&+Mg)X9ML;b(WA`l@9azBTS>)zw#lJ?^G) zORGZXTwZ*rN3l5MNoc`3uf4C%hOX#v=jD5<rT%r*<%JRJi;AZ_2yAtJx${(s#<}xX zS9`5j+Pms(XwJH*;;S!07lp6fsr5zc!a^t4^<KqSp9kcv`(*PfZ^gycR_#oCyFRZv zyV_*EQ}NZAtBuwh6^lL#ogN<fD)FXu*ZWmQ;h}M^l_K|K?k-+)CC;?7`bzQ@rIqX7 zhE581jdOjbbz=3g7}aO14BRt2i>BNU?GLw<Q+>L^>cHYR>$3KAeOz^Pwa9v}JzO7G z9bV0|UTaU+hgAnxv#e(-zB+yNo|vmowe-SscWQmmI<WfRx=*`Tz1PwW{}{Wqec9(_ zmsW4eamwAvbviV>O=*wU`&D~Ze@OeZ$MbAx*s4_)ZIY|rFEgBynzw0B*Qu1Ncb~jT zxH@HK%}k%qF{$ODcSD2LEt<XZ`znoa$BRLx=D{jg<~|H9niFn2)AQr19a`$)2V=jM zO}!nmKlrELRqLzsR#t3Px%cI1ftGFXTfe2&q4QRL@R@3Tb?(YHKCRYQ--c`pzUt>{ zef3Sqrr@hHwaT<^tbF0~)#~b;l`+dF8Hc_OSrfe0Z)uhAy^zAXU3)#>tLbIr-P+Un zd6~`ml@I>3+-9)~eHD`bWy{YcPJ3UT@eQo|CEJy^?EdUm%dGaE?0OrLSCqf$cjotH z);49!jOMN?(Ymzqme0|&Ri?qceyUbeXRUNv&cw>B9KFF~YTByvD{Yp)n$uOh>g-CB z<xa*|XRb6_Ze%R_EM$6cq+e*-s#7a9md~2wRkZ5F$`gN7(zQ;kJm#aCw#p#b*6*oB z=;M%{U|qkZ7NL(qI)Y{WQY}IshO`9p`l(uo&RDt6=V|IHz2L%`S*Jr9gBkt4noqqS zQX8B(vnzkq-j$ntT2ohP2fy^4YA$*&By8C#BhjfV-__mv6#O70c-f}etMarogD?7u zrfO*fpY>HWzdCv4qh&=#qIW{_gLm3~{XOM<@LvD7KQrHJ-dp~~X6x@M?}97m9r_&d zF1UQ&rq99CmKV(5^_TO5=I#0a>OTEm`F`cC<r(v5)p~tfX=VTP?~?Dr_kuV2AGC9Q zzViC=XEslNO_?)aXnxk;mmf5*&F87t`qTMg`N8F3^Mh)&&iOalH~xHi+P}yDV5QdA zmFD&ve`cNyUSYqo^3@kjbN`ukp}$042ItO8`g~>9a<}<He>KkpXVnGOXnoPVu>6wE z*PoXk`}f(K{(JIN^ZatF`ly<&&nwT)*O`B*hU;u_`n*#$r_Kb=@Q<}i{TVWIxz_we zHCdlDPcB!gH~K96EI4Ie)1Q@}mY-gJ%trOuawGrN%2yvXk1v;+pH!3eQS<0>q4`cV zuRdrVo^LW=>rduq%|pxC<_pzuoe7Sg_vur}^yNEjwmw~XU^&D5U)87HuiUqMi_OvR zSMCKz&O7w!%GBjw=AEkMdatSNA6nUUD!AO=xAN4xmAjTNv2pz#GG+M!8`JMo?gabK z>#9C=GPuBhukF)qSKbHh^Zu&0HC^-G(l0t&cTag2R5|U?=8$(m<<mB87I_!6-TS6q z=x&j>L7Tl#>V>ASys<Q4`l8KOUI!IVD~k0x7qr%Uqu$nCQ(grXPHWm6@+v5Qnp5nn z5>0dOnR=nSL|z8vPD|Q+W!6%+=|ZtyXM+}cH|kAITX}w|&Gc6>UBxTUE;X6%wE4=+ zrAE_@Hj6w9n(iH`7n-*6)KZP<vtqo8R-RaTLWgzdl^IKq=!mARJib(BdR9zV;mV^+ zMW%bja22jRyp(6UR!mpH%7aT;rZa86GJWYDovW#udfvH_S_PU1mj0XeDSB1Drmpu# z-L2b0rY+r|Q<|!|cj>2ThoVoN3JRUJXw#LuLBZ2DMX$=!)bzfnE1IgQ;eA$Db^Ddc zOCL=u+9Yx(DBpXh?APik?*sR`zqRc8u3_u`R&Hr^$h^fLWTsYMnY;LnOl$R(w}IQ- zugbYrUwIR_$^ELO);EnCi(klmt-3O2aZLZD;*i&YYuwk$Ev*Wfv-p9`)T%497YFoP z*`7KZxXgX3oNHCc?8P@^wmw^Naj{E(P;tnMzy<D0Enj`sIKSAc|B+4BXN|LqFUXWW zTXAOb8JX6~D^CNbxlgrR^-1H@VzvHBHd>!FjNBdNOrL2Oy0==s`lxYyu~dJOP1Z+^ zql<<5oorrx&^Wx9t6#|`>x0I@#Rp`*KGo27FSYDC9T?rmWyAGh#s0;A`d(Rkoer#V ze=9rnsfLbwtfklc6?+zc=-X5jGIj9^nbPtrQx?DJTV?HaDzL=e)spK}V6pp2*{@Gl z>{vWUhPC|4?Z7<ezkE-vL*55ew>^^eIv=px`6b`fbPXHlr+lU98rIGa`AV&)ybaju ze38%8ddi!C(zY&Ht}+cP=e>NU=^ED;KH<4)CGt98wew0o(R2+9=cRmK(=@Iu^lL9N zp7Jtasq;j>t7#f$&TA#JN;EDmyuu@DCGsL5v+bA6sp1vq7Fx95lJP3mFmawMsa33D z?3~C~YB}X;Kx*46nXaN0Cl{);cgb{}37FvAE9rG6AhE4V=2YQ|V+$qPqh!1a)y^&y zYIpi0^Qu7O@ItQqESau?6$clxv@;oBnZ9rj&(%~7J?Gq=CqFJbzL2S%X^PCN_sfhn zIsfFnYCc&t?V0cNor^c_b<Uir$@kJ{sq;tPt>z)q7H&B6#QMtAg<slENpt0^T{Lx$ zoSFHlV1<@*VBr**SMQcNuFy13l{}TVV%O|NJgTW0yJo-n*4AYtGG*bjwn@^jP6iY@ zTS{`hQ#-WqUS81L%&%(OXD@NsdH2iD%kTa7*?;}FMg6n-z4>46JgK~_A#L8(W8V31 z%kRnW{447YeGY!-UtYKAv+z6L(7Ii=iz|bl`){+q`p@O}<v0GD?63aR{HA_m{tKJ1 zgpV)3F1NJb`A_Bf@@w-W>ZAU8eqC;1fAFW~*X8E+3;&h;nml{HPrcUP&M(W&z{Mn} z$^380v*j1&JJfr9zWm&Oo_*=RBR?-c^PgqE@aM}j{xj`o{^b0;{PcXCdacjFPyMIZ z`~Fk;8T`aQrLO5u<{AH_I<C*q^7F`#%a8oK>{b7@{J8wkzs+9spUaQS4`8KpT-~cr z!qew(`y=v0_<?`D{m;KwzE7Su|3}@e-<qfWBkFd2ntac{(*EJ!l&8zJ>;r$ke79WF z{^sA1?~|v@e^J-<NqEZqXLXZ)zdY$*Xm9zG^WF08^Y4`Xx_h#G`MtT{-W=IIdEVTz z@=JF$&-+!Box1z-oL^blp}Q~3)NjwtDqnOrvrPTw+*fZzb_>7pD=DkGn|aP}o%P9^ zD@)a{%?&FLx~qB4ub|B9?#r`&E3D_fdy=Mpd9GKv(%qG3{c_5pHeY_>x5#?sP0bSZ z3v(~M`Lc8JbHBOPh3~fPoczo$qs(e^@H4-(vO{-X7O9_}d+JTdPT{A1ldV19iR=`f zIai@vYV+h7a}T}wvSadNzg}y@cUyK$e&p9_t@y5F$K;28t=59?Om<9u;FnPLYt!WE zb9cQtlDb^in)Myaj?4G`>a4%MU6QK4Z|=9UQ=5dR&0Y6q$@buTeihb{H&>qW3n|-m zJM)xZnf2ATDyizb<}P}}lDd57+$UvKn}YB7`IR-@ewnMjeRf^`r@bq`FS9lOcyGz` zWp`(n<ew@Qo;SNFe^>G3xw8xMckMm-ZP~5a8TqsJdVX7GWq$M?OSSOa*>U-^iYLGJ zEy~N<+xd0b)!8BWUB$w4W(Vb06$`)eU2eW}=gBY2F3tAH&ngz4J^R`nld8!te6#Yn z_Huq%W@27@?@49wbKg1Ux%ZY-3eTE-?#`6T$unmg<nP*Z^3yUS^U!-Pm6xCRPBM4B z=kiSL#Oz~tRGuv}Ft@$;q$2pSZ;!d|y(JaFk9<4KW$&d_1V8j`G3ULfQXxEJ_P#q$ zo-WffFWi}V+PBf1@!ps6$@hJ0%`<m)zF)R?_NF^6PnT(%zq~u8T=<@ESl+54;i<FV z<;^OZeAl<s+;eB=yJZ^Yjyp5osqLIS?~X|M<jJ!i<W=oHnY--%%$oF9b2HET>@j{h zGqYUn?#xeTTGE%@nfdNaO1j#enR)4(=B_MLyEQW{ebU^O=X^FAA3W!hzU=zUXJ?*R zO`bC|Dm`g#W~tiMnUBt}q%XTN^WK>jtIIEa^3sgvt}IczH1pP(BWcS_jeF0jSWTWa z(<z;4uI5>v`Noy!u2>2`_nB>+d9EdGnXz%|xs)`uGc)zlH_cgj#%HQ=;5ie^$xnPH z8c&?bS+va1xO1lF8J~V*%X3#Ogdh8K8*84MVj=v<r`=fcT!@A6L!V}2&T}kj%k+&a zf3if)nAW{sZ_7GE{WVOku7$fUbaeHc+H>H-u{|4Fx;hTc*mdSe>M~tp)^jWtm+$-3 z8Gk*yBvozS%x`I@jD)AnTz6)PdGI}-3ggI`D^K}^r0trWdCI5E`081eRJC0*7oA~A zUAA-Ple8+M;5$BkX-%_V=BjPi{u6ibJJ;Uu8^x^mv|sEz^iH&7{e<US73(Hcw_1m9 zC}zE*eJAeVH?FPW3-%s*Bbu^4;5paE@P@ribG7%xC7u(FS+DS%Yi)SK-b1fML)HsC z=PFn?p{mt9++pvbm!fxK4u0WU8m_R{X}0!;orh*=_ry7V;mTSkP{n#dd&$m2&qZz4 zU#Mg~r=1h$_?atXUBNT1nc)V-tY@@i;tqb|N?jLF$$CoLC(iK`*TiszV%8JdJv*Cb zXt(S<^jK78{e=qFW7;}#jvu)?!yWb<dML`XKA?j2kTy@8;|H#UbswH`#jU&Wlq+W4 zhNoPO;S75Y-533{?m{`MZuo=Uhwh1PieWr0x-Q1?Jy&J;f!$40wL^9?P1U{=n|Mm} z&ANbcR?YAQyPc+JJM27kNA$_M4^OxX!wZU7cWCd4J($b2KlsC3r}rY?d>YHSs+MiA zX1%NVWG2%*%_n{j&xyS8VJzp`9=u_$(_4{@<p$QQH#M)!bb2F_u-qY?YklwxW7g}M zCuTam7KvEC!HV^&=8>69b2OLuJv=KCu)M&E)jZf>uG32qkL4HAxN??lNaI=@EHJle zmS&3|V+mL0vJaN5=QT_G8jHDR2Va=OG)r^NOs8ieXM7loxuyqinBz24GsLg4h--52 zggH)6L{9iH7I7snQ%K`VT=v0&^|+>qUt=LxcW}TQr$-_J%L^=64{M6}H5PC+2Mf$$ znxR=UvuV0!$xNo{nmIF_9*8h3|6tC#U-OHv<7tr}%Ql#|>I5G!YSj+DFx%;#$cJSK zsa&DU98$T;gJ&4A?$*5G>v&4!#WI0Zu7G6*=Bzt4ZDu;%5t-w|n8%eLTu^=Jy>QL^ z3%^@z{U6je%~OA4=lGqoa$du8&Yk`{KC@W+AE-U_Ryb#Vz;BjY>Syd6zj2n%6Zp+? zL;XVKq1VFCYz}_qESh)W7t1yEHFl0)ISc18Jm*~LU-6mciuxS8gJ*?(<_G*@xum|O zl4-X3n#w~jgk9z@c*eQVpP|-imU@ld!Oxs?{U6jEdM0c#zu_5Y`n(B0S&aP;)Es&$ ztTR908Ru001vQ7B2&>E&_{n1A-%xXChI&V((+qVRyMrG&d;Jq?4m}bUnLptN%Mo=M zyMrG%Tm2Pk4m}WNna}WyGk)HKr<}3#4m{<Io+t2w<$(Gh+k@{pYyB^LV%ewu##ZsP z@CKWQr-Z-EoA8}wk9tI<(^U0<%0qXBm)RVA$64w>;S<X)b(cz}De7lz9p7>K&lC90 zqVC^NedxCEooOF-v)otxqL+AHsABqpbk5!07h;{}sXmBwdMETw=ioV^g6RdjS#GOd z(Q7Q@-0VFe)@iQl5k1B-&XQ>#cC}b~7sNKrQQe}~SjxHDJ0R9+j_QF(r&mJvbQnuH zmwOj%X1T07MX#}hGk4m7G|ud42D?};s;-E1dLiU6eL@=NeD4o2PS1rbrf=BEa#nRg z<e{0WDS8Lb2pLQ-*vWEQbxNeuQz4D%20K|!s=DYko)J=*Zji>AG)*9lv){WQ#_6$; z#Pkh2SdOZy=rI;@wtE}II6V~Nm~OCx<)CUq<e}-R6_HNURSO~yO;csjV=UmT_x=#= zbYEzTPGdf2wfBilEIQshHnHqgeWJ^l&lxr?AeA#@TEX@fP45lSPIrZt=rrbW22Nv0 z<t+A|5PfK}>Ji<8CxsqN`>>5=yYe5ogWoy!y5A^fxu^WX^3XfMlKu(LIV$=lRJT~W zZzyKDqkKp1;5Uw~?h9-Wy%9|54|vY8(Y?WzX|D1fxx{mVG5re9Io7%-*dBT%7}78B zoTH#`LRE{oyMyhamx6a>4u0WS>aJkxG+TLt<)K;1J#vm;II{W#s#q>4FR?uIJT@af zIwm?JIWEH0mHE@2LtBn1Rmd&;%rVFPhE3Bm!3#1E&j=dzCp_br;l9JB>7M$&9bH}P zj-5JkK~F}s^g&W(i;?>Z8>Xj%YW)h8EgssbaS4)26D*mY2p*GhJR^8W=HW+<KKBe8 zrpJO}{R=8ujwmb0J^aAY=B{DG^iYtkU!j7<V0vXE=nzvOtxH`Wo^r(XU3kh7)3@O% zN25D~&7u2(fBG(zv*@}%us(E8aFYz<X~A_ej_)}t-49qdO;rxDWSXjcM>g@4_%^?u zN{}&1T0+eZl`OlJugE%{5`57o@RTD!>5Q!7I}ZQ0fXbE~$`+PPcLeYCJuquIr}&3Y zF`r{jg|mlD(|duc_6_MAdz^R3G|f}=;A<@8*zJ5l)@h#N14*ZM0`GVZo)ai&FR*60 zt@wxcp#K>GncX}GPYHZ$n~=&e!+FKh*62gBhi(hpdADcEZ=re9KfT$v<hkm->EC|r z`(n+a<vbyJ)#piXyf<&vzqwE3_ocVqi?ZXAK3{t6y;^_czALYOU7BO2zq0ZqZ`Moi z{5YdpP1AGUtMps<r94wTI9<s!{#H%r=cU%?r(fE$1#~d6QT(E6&#v2E`}A*K-COcZ z_2ks5_(eLOCq4C^uAiv&cioofOHWQ$h*$c2>A82Iex~GSp{e_(v&9R2Rz2f2Tf1=G zm7SBGd(GF*TsI|6)m;1JI+NW(Z@so^-&|L+Tj-hBOzpXmoM*k#!kA(;OH@s@JJ*@) zx+HaHYDjog?8_2W%Qf0}BQ?uaZM2_8dX_J}J2fiY33N(vRoJG@Lht#DKL1(pjeYkX z`xk#>PriM7P)>FCZY}M|p9f_v%IYTU5_;@atS$P_;ktq0q@H`tru=z{ug|R8_fO$V zv8t82f7qnoj4!+@8ge{*{llH+9PZj-p=NlQXW0_9mYa$v_!gcMNNQ)WX0dXvkUca< zaR;B{If1D516D0p6<6>no)dV$qgcw3-_~H&a#?YQWYbFluXYEk7BlAr;}%nA3E8F> z0#|qxOE?w?T;h3H%rVdTg$&bk0kif6mMkXD7RD`S6lX{>JrmGtPq1t;a$X_B^i)8t zUBR;DgyIBArY8c&cpT3N9O8Lc$kFGVA;a`oK&*X%MavOI1-^#`9Bs}TGE5Hz*xD5= zSPYzh7_sO(zc6CabG~8JazK%R?_oYio%0LnrfG^FcoXwEBHJ2LIl|i(n78ave879~ zl)x&U#!~|C+6+=T%A8k7H%(Ezz^iylU;$5J9!HULg;C26MGHx$I|BFG9(=p>-g}?^ z*L_<+>Fdj$Ex#wd^RA3L^f~CAcX`~V&qD9Kx9i{B7xG)^t@mdAllwxRFTF86A$}1k zu@%P^)oNDuH9nWvd3;APkM;2bw#VKY=JW?v^V~{4Bj@~0qO?!2n&(FH1<PZv4WG#z z{wh(_cd?4+TJjn>=dTileT>f~Rvxb?=DCtQNAB=hL!bV@DxOQpTP)dTC$F(Q_QKGm zf8jHUg~u6e-DV}%$Q}MHG57cbn`6%mP5K+3Nu>8ptmH91e!%9~Q$wBpz-JOuk1wz} z_QX)7U$BzL=y-$8u^Gu7mTohWZR8Grl;}O4U~}w|p-BJ43Z5g$GIEDMNVFbTusQa? zkfopTnM8cw!>1CleFvXPME40+@El0~BYXJ0MD6hlMLheG-^ePTHrycd_>|$7zKP{L zdy*q8-KHi7SRT7;xJ>5oJBiZc6N-3tCA(O%O-Vi@>-<i_zfZ87NBww%^|9NAciKK$ z^W0DT!k2vBprU<Yy2S3o7i8V$B|eaJduQ;D=kPg$g7!jdp4*96_?pWkHXoiK>ozy> z2p@BqL`mC6s~*e41+r~(61VU*mrATY93bm9C-H!!+be^6Jj|sM%MTYA^IT4x!q;3P zk=u4KO(MI^(2D0`;tEN(7X}XP6VoK-AO0ZY_T0dteWNAM*~A5s$7Uv`@Ety5V9;J@ z$#Xh!ilp091C4e=OP-U7E_}^r3>4Z8(<GAG1k)t?4;RR|JvNYN-)O;eG*N|*xlp40 zuz`%*Lj#U>LkpgRi4Bs+rYBZNx=l|kkUTamk%f=BK%)Ne2Whwa23vTV^ChYepD^Ol zIlRM&XK&&YUgms>u(rTdiIBEJ^B&E^8>HRt8Z6;y&XWjiV@#DOK0HDC*yO|`yoXO3 zJZSr9#<M;C&%Z<8#rN*N@tONx{EMGQ-|3gsPkb(3Q8)2-xAp!FpSkbE-}!gwoA}oK z3;rH`qn}bA_*{JB{)WG-bL02?OFE|?Q?K}3eC_^(zeiu`htvx`7cZ!r_^aD|zr)|7 zFZJ*IIrK$*>3)U3uCwDe{5(1<zUQCQ7xAn*!C%}L;+On9`dr_p{^C#WbMZO<oIZ<Z z)D=DxpSj=QGxwSJn16>piKo^D{^ULt@AJ>;lla8_3ZJ=8#P|Gcoe|&i^XOxJnfi-A zxR1r_{B!y!-nrl5&(VkaJoSM;xDUni{B!yso>2Gksd!x7#i!yibsL|GH|}TnbM(Ic zpSp|RxpntH_<i)A{-!@nr}fwUae6Oax&OfL)~WF!KUt^7-}#$#O8-q=;CF7#{R@7( zPKkH;dGwC{le&*j#0&dt=Q><|bcjh>%c=O%Ro7!m4uKaU->2^{sCw5>+v~Zv;1l<b z_&t9Qz1@8Oe2x9<zd7gA_soC!Gv~YU-SeMn+MaK|bN(IAH&eAoYtC#<Kl38y8kcmm zdiQDW*JsY9@0fqLF70>Z+w?8-&(^8^j+}cw$-en-&$+aXTV~(PYg@hh=Df3Ca>AbL z=;?3TeEs~hnq@D4&75=I$G({P=gn8?Q|B*L{2ci@y?=h|%{O0-ubnrt@BZWS$vD(- ze&$b|51V!87k>OCIpaK!z4e_tYs!t|FP*<tGwsLB2kDUy?1KOBeA%oSVz>I!%&F%; z*j@hp=5%_59rs_Kv+0ZG_ttHDW^6WpYF*h=W4lA=x$L9=^jv&vy!-q;yW&rgbMB-U z&%avxY=`8Vw2iZ`ZrsZ=?OfW<*>CffJ>PWgY@PY;&5>Ep)91~vt=qQ!=4^}kg?Za{ zNxn{7HT&SroGy8Z!#l5<7dU*3IdL<m*!bMJvj)+==FOYBFYk(d@#^N|w9OaHW^epy zz4_*}vts7nn`h37eUPWN>*k85X(?vhdu0B&EZx+7`|O=H8}i!H#MiCgFx&H{>)e}X zP0A<Enw?&5yu)Jl&9_Qrn>7mSckkH5eb%_FxG1BH(P~zzFyEPkhk87(m&AOlp85Wv zo?7|D9o)xVHFi6QFiYOt(Y+@&AS~+LBcl(6;?a7yd+)sYW_0)ThZwc$_H$`_ww4vC zJDQ)~EIIFVjP2(hscC`toQ_!@R{hd{Mtn!egxrOq8d{TVqW}7Q-E1-c;7^^eo6YAh ztSkF9bM|>3d+onHUpAY~-}p1<Z2IE)opsBeZN6~c!QT7xO;9kE)*btK^I7_=`3rx( zIg>tf{>+~|pEsXAuVb(MIr3@xl=;4OYCj{Nq^H<5|H(O%o@B@U`R3#FzWJtg$9~*= zl-@O8wXW^Q&4=l2^F{02e%ySJ-Za1Q=bO{%adxjiNlrh%tw!vJ<b(A3`9Eu~eV;k) z{13a^zjZ)Sz5CP5d+C+)AJ(Ql-K;e~@aLO%n>FX(tPT4<bISP_cHN&Or<{LgH~IIQ zlj()?Er0U7+r0h!9kbte&y;VzclKM(vE4K0oh>uJd{^guT7}u^yKl~=m6;vB`=-qJ z_Sr1+#dmYcjBlQOl_R!W@=aQaS@qqVb7|{lpS-!I)cD%jF!SKMI_J^~%)IZuIh(d( z_T0Q@X~vh&dYLQVU2`@q$1HmD%@=8lX0N=dQ(}DK?4_J<J7+#mn>)KOZ`;n9&(bo? ztT#tKOG`65eCJJ(@#(Xta>8~>K24iE+cQsWr{v7D3g*(AXU;f#DCgUbnUB+YXB*~i z+cEP|TIX!Vys{lLAEvd=7R)o-G4nxMg4yp)GpC>3m2)h0v+iuxJhmM-@2Azx{+hci z)p+08Z)T@ANlrVvE@#>H$a`rOvm<Y=Ih7V-w)=L@skE}$S98@;jdz`0l*5*~dFR<D zX4RV_@1*&eHQ#=dYrH-7&$~nA;(K@B*vx$|_QlPk@AOK_C#H*6lug{-ZM}QLX6`$& zcitT;6W_Xf!QG>8^is+L)5SOLZn(=jH+Ijvq;q;P<%;RzYj-EyJ^D&7q+Bpvyr692 zu5R<)4tI~f)VuTMP>J}`-3oVIXUA^1d3086&pW3Q@vJhzUECL9m)t!1T+gQb;!f^! zu{rOYip4X^3e&`A?l#!WeI_>M-Jv4!)Uv>x+^1rF-Z>SCPu#7rnfpX+&&}2uu`M@` zKGu^dzqo_@Sgg)Fr$X`0-41t-KGfqW58T0hD3<4)Q-OFw*~e7zxU!3>;xT0#Q^gy1 zGu%0PU++)Z#qHd>yC2*>dQWfD8>Z8G>)tr!i&ySGaJzMCY{*U4sj+w7CY{oIQx>?L zTXXk<+pbe$9c~`IqxYojV~Tj;?t)F+J7V{|J@j_d{nIu2ulMGhPu(;9<<6Y%Mt4tt zifMbk>CWkQF=@|@?wrok-@JFtH=|pp)AT3rU2`sV<Me}ZZqGMeKm9D`S=G!rr=#?f z_vU;xx_bIi4BPWfS5DuHX{);VGBr=nc<-7oMwd?CiaGXdlj-!{IJK&ovraqdGw;<o zn>v4bW!$w&$>*uFr)S2sJ=<hFJvA=vnbDckditC9tT~f9b$Vc&S>?<psS~G9+{yE4 zli~EvojPYy`=?vRU8|6MoZ3BIGj3Xi<fGK~>5_3_6_O8Ao2PTeu|3<QKfQA2n$xK< zdbf)rAEY)+|NGbU*wII)Pp?>U!Z$qd;>B4fZ^W3HOJ=T=-ek6G@>|BcYl7Xx**|^# z{)JcO_z5?g_Li7GTyN`6KD+e6Pwo1z8FP-m`K2eXZNlSH<KwM0f1XBhe$xJZ$%RGT zXZ6zDzm;t5OIJ*JlCo4aEp3LMjs1FqwT4Wt&f8K;r{9cKE5AAU<cS!yR3o!oz2e<x zPNo)4KO5_2esh-X<d;pCChq-g{i{myPRhRNjvI|7Ghe=xHkmv(Gi8oXV9`eb!!t9S z3?`S}G`cCS7p``A6Z_G;O&XI=`kIyhKJC5wheS%oo8%4YMrUoF{haYSIp6B_gqgK} zKFxTQyt;p*UE22<G6%yBA3w0A@{Pbm?w83c`UUNdJ=<szEq6JH_5H>@R<;q}<StkD z<e$HtT+;pX-6uP>)Vz)6{X6d#Zo2V4*<b8+txld{xb^ZaMMdJxu{@^dUL~#HI(Pm8 z(22!r9;Y&wcBLn3+>;NRG2_~eo1KPR7+sxrq-3bQp2M^G)8|bZlYjbslQxfhpE7H5 zW862hn@jGdY?yp?W=`3rD67d6XZDmBnNMEmXJ!@oE~Qefd$U#K>y&l7C%gKkS<HN# zlBDK6r|09QeJ2n3Y-24jI&^ZE&$FjSx|0)k_PpP;_w**8X-|!GrpNB|dB17T=?{9F ziz26<UJ+APesjukC;8ydGhQSw?q6H^=G#Ww{;zg!zh}HnE|xo7%k#z1y8mva&i9SC zj{C@4*Ycc8-qe5AuI#(S%;O(yj=lJC<8kub{zSWPKO>|J`+F;SJ{h{|_A9!{`PsSs zjCh)yDz~}j&8E}IlXmsLwOjUlqfP%(JF&EK!+S?V<f}i;m~#BtA{#gH@3YelZ(Tc@ zC0`wVW{Xje`uzKGagP=}O9~cSEzQcUXK2C`6CV@n>dJU*%FWoY@|jakztHP0lALn- zncn2xZ$K%;awpHbP1{f3QTsjjO!=mJC%^d|vz|HcWSRQqxjN@lD%4KTeRD3QOzrU8 zH)Te*PiCnvo|{u<bo1mZA2DmmHz_4*)pK*srL3ELa^{*+qiZL_)Pv{hoJ%QC^Pc<W zY|4tsbN!yB8C^c<rLH`8&DoS3wP@p;FH#mwUO7{z#OT7wOFrK$XFgAvJGszro8`=B zDH&?k#*xob($o&mc~fL``s68}FiXj&DU&CA`iWUe&OE80E^Rz>#>qoI-$3!!JK4~0 zo5jpWDV>uQ{mLw6K1^wyEa+!uG4nx6g4%DRnbS}1@;R2eNp~`<ADhL^`zdvkzxpmq zHQIObo7!n3$!RCo`7AS!yq8ijIdbNjQz;>8yJzQ|N-3Ls)mJUmXxGU_K5VI*cAk8q zR&5k{C&f>#dG?!JqwUA*<UiN0`M%M%|05_;?jA3ZKmA!^-ti*&-JfU7JzgNcyY|et zjkk_x$j`3z`L@xj|EL|?Z;83b<K$<5p7A=lNG`j!=j+C+$3x`1KTFIx9wcA=S>jdl z^8THbXTEH_blgKe`?JLC<JWA=e$9B1oF&Iy%kyQUNq?=~v!4;qljrp3+AaGjG3)p_ zn`u91%sg%&zq{tlr;SGap>}RRZ#+q!)bDEN_RR3a@nbe>&o&zL+uA+*5%D;=r(f4@ z*^h`v$sPT&c4<E%9wxW+^V+HXkeG3NpUtzU8}<4ND|1dKH}*5yefvJ+esXPpW@XR& zjeC!8vT1v|QM>=8?X>R__macpR)3P1di<T->`yc9CYSbmR`$HxsL}6One)zY=ka+q zV&7*>KK?+iy82A+#`{NW#9zneoKM=*{W3DA-0<$vPdaVs8}A%_r<0a$c;{%I_~zI( zWrnworio9EU2`sJWA{NlxAcwIk3Q3Rwrj?mqfz3?u{ouNSC2l@VN2h5<>)<~wp}+~ zCgq74$F3<cyma)I&at$Orro`IYP)94I_f0O9IJCSX?}O5-nE?)&y!|%XX>@3Z8Yvq z)k{k=Jabe}d~?j2Gf7js1NF>y&UlhEv3p`9Ptiuh?#@V^GfDm3mU`EANIXvJ?$*?s zwnO4kQhT?gUf2$ahe^%doO*0&8}+*@BiEcxiV?fLDdIs=L-$|ZXWJw0Cq;=pj?T&7 zsMDPo`Q}toRrgcfw(T>f9$ls5mb!8G(PcVm+avBu?AW(s7mJAC&DN)0g^!o+Jt_;% zm5Ub%B?XBsj@CJq6d*P`+9z+LdiPP?XDNm|j@}b1wtn;7;Qrw%{@cY8?-Q%|Zrl2N z-(b`J&{F672J7~lmOS4#+&-MczuLCv+lHHmQ}|aGN4!bg(0);pxlK4eCf3o_RqNuu z9Xuj`zieG#`{wHg%l4geYR|<swr`a?R>gfiddt10uN$r&4#}Tb6*1@V1DR=6H)coA zx%cR-ZouJy{WkZM&gin`JFckU){o6QxMM~+_ujqH89Q0yr$(RgJh*!I?$*1yEAA-i zz4>Bb-ab<<tV-f#VlH2D@r_xB-S~xVea<E>Y;TmC_H4uX!#4b{ZF)X$ID6QH-?{k4 z%)>_Pr;TU4OW5ALS1v7WgL(5@KCyIzkn0DZ9gy@XH3+_TFp6DTw&!d@_7xtrDv4)_ z)7vBE!k%q7by$OcHqT@molgcv?T&J0&kPLPTP@!dZZK(Xm3w9p@hq{oSyAqo#f+zk z-R+uk(<&q$B`j#3Yx(Ab!QsPP{K_&qMFyu1v+)bd@SIId;QKA~?CA!*_Cm=s`5X2h z-X<efA@Lxgy#DLIrPeb<475si@7Y&b|CH}rT58?~t%_z#$u}nx0{{J5TFcz|EBr}9 zY5QN<XXO$16QlSZTj#vrpwpgc`Q}t&Rr^!fw(=QM53iDOd%9uw;bk0hY2^`j6NC5` zTkD)k4B(q>?elJfdizn?XHN`v9KOd_y!+^T-J1N1)!nw+AM9<N7yag()ArVPx}WYa zo!2eOFMKXmme*L_eLMQby+z+Ph;7+EV{hvl-B)*%zKLzvzM#1Kdi0r{t*>>T+*$Nh zY|ZwFy{)fwAKhU(ryG?2@tN3)?G}4mXGhPtx9E%5lI<DA+-BP&in}jHcieM2tLv1{ zSk-N^{l}ix=ekz;2P?bJMrYh(I;(r`j?!nbw7ka3?$gl`_ZFSe)yj9Q>^>RoaZl-! zSV|saWw+sWf#Pn1?G<}kAL~lxAFSv;8m)0p>7!W3c8fi&4|Tcn9V@yIMswU_I-|Sq z&Y{z~yY4id*4=hT>4R9q_8+@j@9X}`TliG0X8VoZuG69ub{?G?{o=0Dd$Ee`8;ZKM zwl65^-W~0;v-Pg-t31W0VkO%<inukl&)D61M|a*GrFUX}d5q=V+oN~zezTtOK4EY3 zTgjes1KZ}ee9NpO<{kXNGtK(O+=Fj;+N^K9P1x3amCw!k#+!sq%~vIL$_#ECe8Kb0 z>c*UdG3=9#BVH%0X<o~>%qn8e!3R9ktZvLc7{G2Vd**DyvgWCLZdMVq58mL}mbT&I zK^OL5<A@gt3!0Zoz9}|1f6$8ku}n^}!P$csc*@c?oH=-gr_J)l(}ZcwQzh3F8Js$( z#y%O8+KieV`OMM`44Yde-xL}gKPbhXER$1caP*)MyR*!j0)xW`x!9FuataI%9z4MF zE!9B3xm2>}bV4*6w+v6ghW!Wsu)UV{Ih|0`{FZlGs)0^(tfWu=hCK&Aux&Pqn0jyp zPnr3RDF@%Mt(NvVl~B^`D#>#yp}6@Z@3)i<I}Xm_VKcvRJ0Y+B@4u(N!{5hO|9kY; z`+WTF`j`Kvf<|SY{wsa1Z(aZJU+M4ZZ{xStU;JnKd-|LB(tlllxxeXK)$jdh`dt6| z{wIH~{t|y3zq)?qKhfv<7WGU2eSM~XWxwD5qR-P`#xJd(`0wg7eY5(tKeNB+U)+D? zkLWM)7x9_@e*HQ9dHuQl7XNSk@&2rDQa|^n_Gf+L`ow>wKc_#9PyM&*Pxq(wC-<xT z@A}hyCVoPF?@#YD@rnPc{+#}}{@8wr|51OuKdwKrU*NyhpVJ@KAKK6HU+Rzd2YrM3 z+MlOS$H)GA^y&Jv{Y?Lv{=9y#zkmO)f0sUq-;b}ZPyNY#IzIg0rQh1`_4n*w^C$GF zzE=I+zot+1ckh4oZ_+36yYYelnm%2>6JJzs`&0X!zIy$^zhA#izpYc3{?NMnUgVpZ ztnYQcoJlGd+f~k~AvNjmE%h_v2P4<;{c`wab5niYTQy_O$te@l#VXP!T6bG--eAmq zC-Tm@LuF!HH!qlb^o>qRdSJTP#?1|LS?5OXIhS-!CnjAnef?3s->=1jcQAEdi`>vR z<A`e7#$TPLZ?;^EUTkqRW_88U*v&VN=!B#Tri&G%O|<GZ-|R5==u4eDXAYH!E#0gz z*L8N}hM7laMfRL?DiO;{6SU&K5V>UL(dRlg^0Qr^>sX|3%rt3vz@q)*#r#IT4Gqm= zySRHDE}p;FYA6=N+;#1fk>Iw_ZAx!kX5N(GGFhU;6qgv4>^3t+Me(SCp4}#g5T46( zSZ77fnd$mW=gb+VVzKF)H_UOJ85we}sYq<{<_U9LpXi)8!&D@eoTiv2mYDX@g8O)+ z$+@ONvF^<Qb6g+k2&5NUa378oIoDJm*1TC@4(p7_nwhQB4@dl9l)JH9wSPU=yty(W zy9_oN8i6k@691cUF;y%kZDXof<7S3ANAK(WNxNvyt-JZb?4$Q|Hl1NQt+VcoQ@&W` z<^!`^r$&a%WSts$=WNm`oi}NL=G>Z_7tD5@66rAW=pCIWX&+O>3O5%Raqo!SbN0~N zbqf|L#qF>D`R?lO>+|+je805$`n$MY)lYA7pO4#FefQ?+b8$PW@4idj9sV|MOZC}z zs=LGI?oImMbhrCl-1_RXH&2)9TUKv<cXZeFS8=PVkKW`y7q_x{?@jK~^_TbFd6T*; z{AJvd>a91s&&Fkcle+6&qHkK=`i^y1_^iEl-#^`1U95j@ui5uYceu~SrGGnh=k%Gl z8P&1xQg?>W+^h9{(Vgrf{gZo@zAJ4Oe-fAcO=xrYjJ<N-i|(v0)IYXY?0e81?Lz${ zd-=W_-C13re`qh;ccDAnXX4_&ecBX0eeaGpTT|B`*vs(!*X`5!>-X*5^5*FF>-XX! zza83ied^vX-%j1;&ezwj4wYoSE`GiLWv9L#vn$7$V*wJPim@9S+{C6O>3Dl*cRK_& zZctvYQGL;NySRvYLHXBbJ5Psx=aY?yymnyk?jOId^lajE-P{@Z%!nstal%4z(Mx94 zci);m)w5Vtee-T>SjZRW<E!)ZHLEYa6;0LGs6P8vb^G<ndmnu(+9ZA_F28!`-LKWt z-^cDPf4j5$yPj?N+j~o^!{_b(aA#`u^|`y>+-a@8{x)`7`PF-_)z{y|ZYsaJQ~R6V zjomNqe66}ZXLro|NyXu>W7m|gy|=U~e9rC%ccxZdpS?Taz17~+XJeO@Prc__6+V0S zjXPVPt-H9}<$X|b_>0&D<x6+I{;YR?x7GVcd$K?4o!x!mPU*9CXLg^t(^`4`Y3#J} zsXJGH(mS<V?fs-Z+Mo1{${p{SKGQQSZ{7L&qu%k|Qty-YWPj8<x?AYI)1KEK^bYUl zdatx6`-9%W-3RV`eX6HlUb?gUbZqoHu07lz*6rW@=iRH_-lt=0%HP^P`)d5$Mf_So zU|{&P-5c(dKGoa1`_sEayHB5rQ#%B{m&?mBL`3l*=O)9AI(qu7iELd0C80aJPsNs( z`|dpbZr!fkOYXRqhfmqP;Erke^gFTs@49xMJ{en3zW46aZ(aApf9zZIU9>vx;BPM5 z-#wK_^`2;##7}%KS`jz#cbE104WGI0gx}eB=$q))^$Ti`zR^yJ4}31VaeYHA>)h}? z`;yLS$HXf>7hStPq4wx2?T~oE=b{C16MuD?uXm_D`cnJOo<m<mm#$Z+b)6l)q4Mag z@Sc56UqrLw1b=Z|2wzfp^trZ8{KcPK=fZRLIeiw*h%0<1I&;0jXD*+E`}RBN#mcB! z8mb?xFswQHR9h!L@R{h;^$Ti_KG9Z*7yQX(w7#L{=#21=O4k|THv0~J6zyG~P;>N= zwn+TMA6!SmW%eEVAlkZKq2}lVZI*b(XQJ_O51)$0#vObr8XYJ2gX=)}pS_3Pi`K5c z@QG_*_?x{-r?ofid2~wqOWefoTzkSJDqW|B2UH%ttG#T`p?9LC>nD8T+7<3n$vP$c z%wDH=qW*D$-?`M+H&h?Jt$io#<8H3|p<mV|o!6=eU-*1Otmy957h+xKg+7RMeW&$q z&7pHz1>)6j#M;ju%H)-PR?u$yCsCmN#}TEl!rffAL$9oBDihtjdP1!0+|VQIn94*; z!anZmvRqvd+d3z7%etmg(bcO1VqNEi9*A^(rFCx&Q>p0k)dicmE{9H8*Hj{!8+I^F zG&{_27uUtm6_Ktlv>d`Grisp9{UOHnxt2xv#+_VeLl;CIof(?4?$8-6gXdKu%#xOo zmeUujrm4+3yYq(yt8{oFXqUv4NY|%Y8sUaJxlV?<tZO=>r4VkICYlr`m?ql4x**2& zv6e*m#vNQoLsix>6^gd6Hi&V3sKpU(xP$9pXhY=D>7f;ouG2#cB9BfBWm(5mAX>lr zL$vFCtu1Ss@<ppxpV-8uvwFuSuDzj8)-vUbhJ^*DiiU(0Ztv1uy&>B5uGW$@O?je$ zVT`Gw#j7VoADtX}WbL7oS`WfLZsXd%v+nt)xvR_9*%p61xAeK*7KW8}&A$cSUEY3v z%Hdi8QAyeFg3OlJyql{3iXO|CH~7u)@9b9V>F;7HpB*v|e-~5!Y?HD0yO{08H_wGy zi@%N8Tzv9eX!^PvI}@HSGQR#grubRWT<>!+Yl}CY+iEraRZQWtCgbo|G5OD&=Dse` zGcTTbF4Ri=WlZj~B;)I|cDg+mn(KWwW?^yTxv6RE&hNB&{%THl@w&4+O`ba$U!S?t z=(&-x__LVl#gXSi)7G8ZsquW)9PgraCw87V!)keb#?B*WMAOzC-zoDvYfg9Jx}!Tq zo_o#VE?jqbC(m=OIo$>84(?=m&SZRj`p!LPuBPhg73a>>F3>x$^WU>ivsdTq=@x%H zyVX2=+RhDUN>lar?)>!Z(CpKvVnUxSGP-^@CivN=*{k#PG>b2u6;0LCC_Z~u)%^P8 zosXUs8HwMC$uHhH_tAHeeam0i9-SBbVV=`@%`*Rm)m=8r4-|LZ3BF_5Iyd;iyhq<e zwk=;_%lcL`&0n#)%W8SY+>^d}w~wE+EBtMvX>>dL_l~!pxAEU`V=J1_YLlY0I(C7E zgVr0(7i&B>clg|JU9i){xU$Q5)*Ozmj&&IecU7(~&^6e&ydcH-+1iuAJ()EzuRn++ zUNef}7W$}jc=NWDvlmw_np)m<J@|~}l_xew?`!_@V@%WWTH~*$xogkUA7OW+_U7Bp zQ+=*;b92$=82^Xn*XC_zI{!(!`}-Q5<rj*$4s2d9=g?P?BEO4OT-Sov%yarGQs~F{ zTx8|)iej!S!E@#vI;-j9zHoKANOg_-$7-(a!F#68YZ767ruoUwv7Af0yFW=m*<G=+ zOJnf@8`gW8s}{_tQ9gcEjP<RCgr|GBuB`FJkg~d_PkFBvU2s-py<R3#n18qP)3kZJ zw0AGw;Pjkj!-vlI(uwcqxjXbJT%NV)tWwVQv{3(p&jiCC?K%4E+HCh3H@#}PO9YGM zK0aA{&HcpQtya%Pvi%IJxGn~-sLU=A+|_-fw&j_olRslsm&x)UB}<jHeolL%q^5oU zSB*CBn`^UNQ|2A|ED}9;`2(Ay&ooW^8=r}!`%SFmGG2bb=IB#R9sj^*B2$+yusQmq zQAx>G*L?YenAat`SGETE3+@z~9X!F3^-0vm?AA!`Gf~;sf{MEg^F`-5ozXlr=g~)z zzU3J<tdBLt{1^VX_9QaSZ(;@4kzg6ObyK&C-HQr4Hz~IJR8-`(ThZP5y1L5^uB<A$ z_AYW)`cup9bDCV|5815#taEO&+4);VVzYw<=3RZJb7`~Jc`qC8k82KZ<~e^!ru);{ zUEAN>tNJ81HJE4J)oQMTTkHH8pNYi#J$$NrY`e_;s+iRUx`(&&`Coi05_8Q-hWl*9 z)a5sf!k%d|ou6gR`XDm$TvJilJI#N`e#vxy7pYtR!us@kk*ITAGTG-ffB0=I@6uU* zpr}iG`2}m&dzv5o5}%5M`Z+!oDPKOLh--K7mAOu*G++1$J{1Y@Gc4!Y8Ej+edPj54 z9Hw_7`O6EWkG|KaaldHYWxM!+Z0o$hH+@d!B9(59=^{H9?=a@FUVK3I=v$2(_dsi| zTY+c#oXSK>-2|<<ZUkPCJo;MWS<j(Tks`N?R$SKt*Yr7+iWIsrri-jxTw%<0C2&sP zp|cu3?txZZmjbs)vd#`%BYE_NhKu{cG?9gi8Dw2&1=jQ(Di)c$_<_vPXBsB%jcFq3 zZWArJj29n}ISSfd5tt@2b@2k3qfazc+yyPUj21V@9Gwx^A?Z3J(5CNDp-AuI1ev3c zG(_AdT5uf+l<7NEAkw;6LFVWK4HkFCG?93>hp8g5ZU<9EqTK{7xDEvV={=M$QoHzq z5!b%JH@!-yH8%7-I;HW&ZK65Xp1=r6*QtR4l1J}qEbBRxCsMk2f)UrQKo?2YDS>Bt zo$^Hd-2~0K)E75MAHA({=iaZ{)8E(L+x~6O(cjbNZ7;ijsaE@Zbj7_>wXe@bm)$#5 z`}&*i?d@6j7u9Be)4jR<m5o!L;I90mc1}ftmG_!zyU#_h&p%svWa{>q`;$J0y^da! zb}y>8#{279i~NI?+NFX!^7lp_c^AE+dqeH(FS_Ra4LLPOmMop)ekStB%jjkKQ}?<4 z3Y)$C#-6Ru)?VE1azE&E*bC)H_n7W_eZKZwNlSgZjpqGVHQmKx@o{nSuCC7Q5@x0s zbLK|2=(lmtOxtGQejqniZ_)RrR^8KUjiRSUPwBq#nZul0GoYYVSEGo*V%yTIt<#P^ zZC$qD#KHU5o<vW|cirduO!vh0V|!Getu@HE-S_lI*yHG)eBFIZe}p}X?#P$jm--{@ zVRTDA?>^NZVl%ey+w=74TD|<j%Iwq8jrolGzJ8x}Ke{$Qv$FgB+P&L1?P-0wRy+UY z-l^Zk?nQ^)TlGn7>h^c{W__A=H@Y<6v$Ff$T8(_i%ItT#JGal<Bl>;X<n0gcRaKwP zU3-6P&GlEY+2^D7WWS8eF4w)g_0yWx^tE@kzFU);u6t)|-t|qftIKq6ZB4sADR%X_ zsEyeN*SV&zy}tF?ny0&_&Dk1tJt;Q3RQKxEM{8Kq*IwCrZ%ym2YcHent{KIyF44WT z_12oBX=_chd)KM%nl@{z({-j;?Xywyvn$tK-6{4wYIb(!y4JL{#@VUsQqy$LY}LEI zDQ5MVsHxe3>r8h}dlEG<dtxMa(OSdo&PeSuQT^GL>#pt)dmPoBt+{UM4zWj3?b(v+ zLU)KgjB3v2T*sQWRzJHka`ow`m}|E-g*}LB$o{+b>GrVuQBl_(MQ7)))yYnbe0?ga zD*Nf$*6q`#Ze6v;HFfRot;^P=ZV$T~6?AP;wDzf}fNQg&z4O+pXCGbrG(~sE)_d2A ztY3fExxcyU{H<cK_mNfSZrOT&Ut^R0&{F&R8te3%mfYXh+}@mXewA(aw>396r<`9^ z9QG!1L;6L_*I(CIrth4m`h3l`%@OCLY`wp(u}D8?sr_}0dHTY6rB%~rZ}vH_W!wE_ zjam9e%j~m}i_<&jEq%7;!e)o_Ud7j*N6t$xop-eI+Ox=6=?g7ipNX8AKGTx>^P1C} zb<S%Qhdqs)lI}ZCwKD8UWXicFo9r`@N$0qVuRV_JOE;Z&wBp*M$gXtNd94-K9!9pM zi_UYcxb`5jDZSD1_36mCbFYfTrf=RhN3=rhL1caU&$(C2r%l`Z<J>K4?bDGF=XMoM zyBArR{%~&U(=}S@ftIh|t<g-sIXAR?+LX;N&UF=uP1*eH+$8JQCnF2fEiJj<t=Yc$ z&aq#zr_0ye+xV^LsP(jY8_SMglGQ#RQE}{)?CWz8WycQ5zAn?by)o<fBH8RRotqn9 z^@v)Fy@@C}RwbK#E@EBsNy*ivI@dOa9S@S#J{M7N%uDw5*@zX%bNimA>0I9EbzDhy z_1TD=V^PM}UPLTPUMZ<vqH|&6rJk>r)1F7nO)l))YB}v$M8+{I<FIEDX~z!9ye`r? zz425}sHND`h{?&GeWI3PGdC(6molC<W8<NouNKoDNAxBe_HDJ8_9&t=S+TFwV%o!q z)?~pxQ;TU2A`*`MGMYAh<F1~gscUqTS^HQmuHBEQOa9usG*xHc#&5??8Hr8XxUOfZ zdDy*(isVSi)u$ptj_s1pJ{3`xe6?3KRcF`6MLn#kYj$pYa;(ZI>`sK=u_o!)xjNhZ z|I{7&F1&aCjnAC-{9pV$@=m?Pe&TcC3cHEFJFVw$_{@37|4!YZZ^B#WFZg@pje3fG z;B(=P^BexM%=O<>mvl}&#$NHc@Y?wae~-LU53v_~E?i(Y@mHt$e22eBUaH@zIrK$% z>3oI1F0=hN{5&$tzo*XWi*S~m;4jV#{!4xyd9H3_e-U(?OHQ5BXW<OH!e_!W=No+H zJmVizcj%LFs$Jku&QtzAbxxmzC(c*+%z47U=V!|d|CXOe9;?gPU;M#&%wMO@>7#Jx ze1|_r9;)-$2matZ<j+&*^g%em?&DM8IJ=8ag=6eCJ{4}9&+zBSef2+f7r%4r&VTUx z$UXH<HB6_~*VQ<^7p|Ot;CIVZ|B#<7Q~mGMCY@4$V;A_HQ*-`;-!4=99ey6UqyEJ1 z;}hY+`30XiclhtAJ(MfFfA)vFF7MU8<usKGSD9_x&3V`N$xW7dzEAQVol|?0!&EN3 zefEaCE^pN`%nf&Q-t@h4)8&m?g1KY5@cP*^HgjJ0J#o|JwOWMv#$B9OeUIE^nd7@8 z@6lPc0Q16KoaVC)?z+5G^Dw`dCY)ooF->^!Y=OHivwU0fm`a2*%|7nrJnvhQ*HkP# zd-jDpEVF#)+;n-Sb|#0ZSa|yE4R>5-`iA5+6$wwCJ>iba6SWgLOhv-UW{PRTiDn;n za31$H$!jVU?w%cR$K{clfO+8#&cnVUc})ev&9epWu*~qSx!E$^x8x?vbl;qtE)Ucg z%s+1D-0%A(*Xgv{53`NiJ9TCs*wm>#`@(IPdukud5>tgk%^XvO%V*En#JSt|O0Lr> zwHIcBslowfhTA!J`r6!dxuZ5Ghbd1ue|Ev{Bkxsf^e<L-+D?D4w`HF9n>eTMLX~=r z&xLkQ-%-qIJ^jGmBX3o6^aHCoZ+V}IbNVJ!swY^@dBgj{&Lgi?pT!*dDpaI*v5NDW z_nJ7TuR?`-jL(HuPOm8Dyy874?$B9PAN{~8&P(1~cCyU&UbFMa3so2Wh0lZ*PG{Kb zGRwOr?$BqUxziu)Ir2=^M8ENwP`cj4N>1bH2lgC!s;Z+O_)KW(^aXp4JW*BA7p&wo zn%=PI$PDj}oh~!HZQ>4n6zZLxu;<7lRT2G(6`V)BW#SHf5Ne&Su;<7FRTh25XF~CM z51$If>K%M46s;#%!Fj;@Pwb)hLbcN`6mjnJeiN&7T6IIrqf@G1^d^>b?(vS;=`z(j zVCRv$s>@;yy%Q>(KB0(nm$%DKmMPw6Vx8Uz`RfUmbE;2o*nQ-->K(O@)|~e}zxXAc zSE*26m@c$?@`brB^E@BSba|)p&galMl>+rbYtGxASNxjFgf>r}FxO?S=Mg`qGNBT+ zk5-+QlMCjy%<<gf*HkLBdUC*AmpPsXX1csmx#z=FDztoZfidT0&nbRQB|^Ds2h)VI z)eNmTFM6(+>GDFwL49JH(EQ0C=D0jpu~6S=$$8du!OSBwJyZM+ol!ARFSO)5?Kx$p z%TpB%bwf+elb$YqO=naT)D6>wlGFs#g!(5J%yD_FBB8#~g7c`SiXT&<Q2S(qIW7-X zIMfX-I1hR@%sevPvtp*pbkBmBN2YnQ_%Rg-)ldE~+vUE>7N4ekq3X#ej5u{B?=a%r z>-of&DPJf|EihFmM6J-gQ*-i$*)DfgmiRQ~2?eS#rV15Lo-q5!WX~hMhfb<IQ2S`c zx!wJb-J$P-d;4#E=D6qnqVmW)<r4Xc&jl;wCjRcQ?%(j4<Bt0syF=duxArfnJ@Q65 zMLzJk;Ku%jT9&!)d+d_VDaXhwJ{MfupHO?`m2!x@;B&zOxrx6z%=;Z`kGxdAV{_<> z;L?7DT9?`G8!C^?a__No`XZPmC-{rwg8P!nBhQs><S&9QTFS9=`Yf0sSNKeDX1~E_ zjx+8tc85L*rpg8W<T&N-W9RfqaALp0XO0u@J(Vpp+*>M-JXV&GzxadWn7fXh(?`M1 zeutVP50!c31AlNFa_6yg`XHDf_wlJ<oZQ8yf-!O%p9(hiGt?Zpulz^u;&%?+{s+}Z z?kR7wVLGk6&c^AzU}gV->XxbQA(bps-S5~Yol<@y7x<k+vwuOg%M^Eq$|HA_pU8cD zB3Rg8@QGuG`ySgvxq|z<Kg7DcSNf*YR4!O0wsAMdUDqd(Ec0BS=sh~8^hSrNTyT5$ zhFF)kN*UsYyE$&UUWs&hqm&@-m@c@!d&Xvt>#ipvU0y3ih;Q7*an<!mB+DGvC3=s} zDg}ra?&2`-Hi&h3spKJkF-<T>Y-5_>;%<T1mRYVXdQ2sPnPMMza-4T9(Q7IeoZWpP zhGmxPoJf~vN@sMKiUp^4Z-{Z3=^CQfR3tdLd%_>Cwcg#V+`^h0E*v-(pde~_L0C|; z$wxwJM#Qv+MI22^3gU)of=Ob6X@dRT1u-s<l_bPB?%+7;s-nkKDA?X@z~iwqxvrj3 z;+N-ASuUo87wnqlJt?mO0s<~xm@!lAR@VmMRA*OL?L&T3ST(q$q_wn+q@5eh7Q8T! zH+QnzzhAeaF=ES)9jPA+Hw(YMdp!5u$q1QP;rnX)yN`<9Qz_dv?VNAH`v(_WRRdg( zxQC_7n>)#Gca%4Gls7ks{84k~&$nA|9<!}5ce^#+{QHsPyn23bc<0sLxwGhk>CfCn z&&v$`?rdOmb*Z(>Yq86VWm)}W2Wy|}yT@hEpFdt^uC}xP_Pl=SIWtyGslBu0hUv$> zC+8i_I_guH`n5$=l=a7#LghQhb1xkC{jl*$?VSTQZM*t!Pp`eR=gs45sq*G}c6rg? z3c2qb=Pi)mzQ6X)pKpb)Zy)zPZI@T`d&k?ikEf-|o9q1E5qtBvZ@&EYJ+*f}d@J0% zsXuyZ?VS~G9+z$JzbztfzPt9$t8ayqKh3yfmsiq%^zCD@RC#la-#gB}eXO?q#(TRw z|C&3Gz7-a4>X*J_mzQssm*-oT|LFFT?`qYvKHPKhpL}=ri+d{PeLvh$`L0%;m-Jk1 zhxyLEoo{`!@|~(D-<*Bo-jZ{^N%>6G!d8h)T^+|>w7oQ|+<S7)h6Rh1+*!<<b_kxF zz2lzCIp3)KL%Sxbn@8U5xbODMY|&G-Rpy<a1&{Wt++#WC8<hX)nc50-%e|emXV18| z<cr!8>BK#rvuAJEd2&|oioBGsYKzSU_jb;@{o#yQ`Q!^XwbB>Q$t^NFIlJLpSjA+M z&AjK-DrP^=ox8p8-nL5VC%HQ|3*LM3S#6$t<)?`|ZU&`S7f(KS^W2$f=CfzcE;z?# zEj{;UT>9+d$!Bk_JG0C@`kAjue$z9x^t?%x!p7zY_nds{tCPQbujkC!A@^E7sZBPY zxX1H}?}<AspVX4`l%A<2=6$LVK0e#zUdu<dZu7uBo{xM5@{1~j56>34*YZKF*<5fB z=Zx7kJ3FV(F4@UBeRj@H&j;=d=0)ks>!lm_az1d^vv2w-Xd-{{&xvQg|MDJvpLnqM z$h{@+)uQqal~3L~J7p*5wAnE`JMZ~^%4;eY);3>RB&=n=bN9(9vv1s8l4o{tw#QD+ zyS|I=u$=OJmZwxcS>3#Icjx5U2kt(3tCnZ{b8hE*pQ`jt>1un7FB(t2JM+akmGeFy z&Zv~Dm8T`8tL-q}Ik)qzPgc5<_2io~Pn=tF&L=6I$y(UTxN`2vIWu>hb2;Y|m43)- z^3|Cu&Z(U9d2mLhR4qTP$!hZDnLB27zVz`*ce0vnW}Ij|+0<BaZs!Z1D`!+n)D{?f z8c#kyv*O&6VzoKOH|KOd^SN;5$r&G`^rSSk8QXJr>V7agaQk0ck)`nInNwzZKK0Q^ zH?kByIn(7_%NZYqbfYx2q%@&4wf^ll?}b%Mzs)Vk^WOXRZ0?HfbMGB{YPS3KtF+t2 z(sy%{^0<p{KhEvjZhFrwRjtoBa}MWYAF=dB7L$+6R5<sfK&{PKa}MW2A2!fsUIxZL zjfC}$Um6MP8Q(OTd|)QSxhMH*b;d7ecTSu6;cQC2T4Y*Ns#<v3BJ;_6W<EH3<dn~< zGcBil-i7TpnyhKOakl4OpCxBn^3(#;m{Qe>jVI1NIeF%hvqw()JV^UwCcOPBQ~2#o zQq!;QS~D$m>$R&-);#+)>HhQ|`<8rHt&ThNTgX=bW^Ly??@xPJ&U+Wd7d=-k3p@Nt z=+5*z`;L55-MX4{o!Jhlhgq$wCD*C#lzN;M7U%R^YVP%f`0m=Z=dw46Z`^z0t!sgP z(sQM9y+f4~cU*lIv*e4?vh|6Tx~H?N*MHo*?5R?<-lb~6``v%`Ju4HubTuw~c1&;a z)^k^F!hhHJe%^Zax<&l!nzd)L+t<(BH|@u)N7>!$FYXKbJ?m}u_Vo|Xv6;`Fd_5vw zyOy_b+qs*U&ahcUzsy;tKeM*;jrXfPD&JH$=r8;{>H73Dm7TA>pX^!kRdtPiWNqgw z??-!B&UpvLe|o06LOQbM<V){6dyafjU8=8G>p6S+hRTz(ruXb~`J$Q?C-h6`!t^DT zC!c%U#9#U;bgp+#?vY&Ow(XgfC!gik>R+tkoE4vP())Drtask$_NaVTO^a*#Iq7u# z8(C)YLdkY-o$8x=mYng{ig)@s>Ev{eeJY<+Q{tF@PBPRN{5;7(zjBV-V{aM%+jHHf zTz)WT*)x-im)-o6ZP$J^v0T1$o>{t7kA7wi=VNcN_(eY^9ht7M@5u+%Hhs++&WGM? z@k&304D^3~64KXy`AJAm|K_Jj2c|RZd-7hjPXA?f=d|e`_NKg7jf`u0sv7Q=EVK5b zWO?Yk#HW%K=U;r{(LeuVQ_tPhE0JvP4d3W2E|=VQ`bTZsb7Q;t6Q4;gD4e(OSC7GY zftq6vjK1}>S>AfOXr}Svt(t$Obp=luO7$OH@q_0?>H)pxb4GER^BvtjNlsq=bY9!@ zj}kMFZ?J89Y?#q+$nE@HqWJiV+Rl64pW>Rn3u)`G{3N8Mzq9(}l<7D2E_tUK6zBAP zl7@ccr%5}fpV<54r1zt^M^97>^ed}7Z+q2+KiWO%-qbgdobSE9oai%)wx0DibMo@Q zd0~}NGcW7-U$%aG%B#%(bkVJQnJvpj=cQHLdYIX9SVHGZbmzOwh_FfNsuf|Ac2Ba_ z-nd!l&eS{Wj+Cix)m|8T@{LzYcu>0PM(xH}&bd?ftV=oP6%($MuDVt`G4|vuuaIz| zbk%~eNxLSQYdglCeCc&(&5;t-rTc%#wn^VHnDd0!IbU_j+I8zEYiDj2GSiOSJn7=p z4!*~yysoTKDN$XZ?YVi<`KcA_mK3Yb(Y_he`ONFWnkQ$xjNF}LJ7-Q!Sofqzb(*&3 z=1Hff2CPddQk|sT8N)eqs>8aJGhRp5sGRYV3xBj@(y^%q>rx6;yR<!HI3IcOg(vNp zbZDx;x|9OdCT+&elk~MeZknX0{czJHU2V=7&Iexq!X9m(v~OxgB<FpvZ();CRU^WR zQdO(8Peyaz^IEfJ$tkZDYo6q(28ShWpR_CUk1un9RO96vM!b5<ch3D*CUqy%&#znh z?bi~i;4r0BsXpz6(VkPLIz*m~nUVQstxKM&f0)pAA$9G>*=<uYulOF$Q_a^dv_ARX zv&R2Y^(0&Mhqj&bCcl~I@?E8p?YES-!1b%H$C_50IH5k_v|a4CkB=62Z0%QmU{@Rb zt<i>a-sC6qo}BZ1Gl%87%69dQww`Z2GyILJg>Fv1V(IzDGr`~KxypL=nZ-iaC!etN zeC-+Gzo|;->f|GqoO32GnfK(ZXMlfEm5{l*p{?gjPY?e~&s1{!Ha$~WtS)HVIcsvu zJeDsinSP%th0aednb-1JWw!c78_rpi=U93^^E@+$<+I9k^^G>3Gbe}4Yx$%yS$(37 z=M&Epb67s9B>O2nQ%UsuR3UVHvdO%bk1E~jfi|9xJO%uVDufPC7Ma)bL8Vz;(1vry z<QmJ)>61$=Ij2v~vGjc4$>9H~TxkE~FLPZ^d;aj-R6a>Z{b11~ZS{-Rp7%UI_$576 z3H5V&s#2~#vq)(7<STPsPI<oY6MCu=;Ad1Wv~#kJrRN>bIdfRvspP8{N}qi1QR9Be zdXla3L)p%G6W{c?l&e&_HKnWURNiSUWUYKq_T*cS9QPn=p<5Hr^tqI&l)4F73*DG_ zLGt8l55G;5rYmT0Ezn9@zyH~xR~y>=Eo{vuSt=LGcFvi&rLUz_WwmmktmmAG2P8dT zdEDz^DOFjnTxcwGdE%75mJ*d*w?k<v*=|NwLKi2lko0`v;ov?gO=Z6FM;XuO9v1GK zEQQWaTp)RJ=ERh~BWFAe+>0!QPEVX7>G{+{!`;YI=;TC~zLql{3hqW}DoJiaX)687 zg)*LxJtW*WSqL4SsM5z$sM4-%DC7CigTvj(Lg?Vc2Fa7tCss&$PM=sHd2-rBmOhpO zm3rlm(w_G{w)C{*t5hqWG!oKL-f1MXcjA*?mVA{kx1dy&5Vs=pNt((Vr9JO@Ea_>< zQwelqN>wRVo+y2C^28&(M^1V?aQkE?w7vgN-I4Fgd*yF_7QEO0;^&EX?j`n<o-0?_ zP5M32T7Kha!8`qT>W+L<-YUQF?}<0=DfU6nl{d;a{^gk4zo#zcoO_JD(sSjt@`-;> zymAk*7kaK-U^nU4M00t^zb9V0->EtBMR_Uzj05or%<?y0uo_!%`gU`3^Ed`JoKjvQ zpZQtPOg{4S#EbnMbuMS!o$Q%@O*E1J`KRN#yOsT+pA*maXVkHrbw5|5@>w~}uIcB* z)BO>3OU}4!**pE5c(UK4PUVwwiXGF>iH7ompC=l~SN`dE>@H=0=*PsP{Tg*DAC)`g zE&p^pbmy{n`Z4ifKSv$Q8TWlPM^3x%s%bgxzO6>(gK~rX&)*&Q-GA9Fda7I_fAhD; zwEl#jC#Lqls8xBdTp_>l(?l)#g`Xzw?)UlGao7Eoozhd~68X+gf*SHOe|Ow*pI4*u zPT9|n>HEa({X24>lq>I(etGxAyxtFaF6Z6K%opvRXd``a^Ta#7cW!pf?R}8<q)d66 z^vb&&Z{5<&m3B|GlJ30A@y6{%&XZE*VzWoP1TCdA?{d6$3o~D|Yodj8<K~H1diUJq zc;)72{wPg(nY89zj+bt?a$3&1UCT)+QC=h+xOw7*-iADtvu<|gk9JNx*ISU6Qmi~n z+HvzlW9gH3I%f7J<UJ`;o+hoidE%+wfV`9<<w??=cQ|JDI^?CCaXXTua>h;0{Lzky z$9fI&QVNy3q&@F&JaXeRPuel@P_IB<N`Z2dG~?!p`qCdaP1KWqxM`xUH0K?T2X6n& z9&MkvuQ%f+$9=bNW|LBtBg~3Ym8+yr-sZUHwkBuEDYq3lPx6$5&62iH+|}!GljE-2 zq8ye}ZqLk=wog=-?!4VGx%WWslU(Jz?SJn*tB!u3Tb=iKukZQX-P>Q@oA%tyX8Y57 zWzWs5w?DjBRz3S|?$+%W@0nH4ev@08*S(kbo0--2z4y$Xn_a*C<j%D!>DRfdx39b> z_T0>3`_g;go|#>_?U!F%Jo{zt((M!PU3+F`wtel++%IMqZ(q41Rwex+H#6_|p0l5~ zox5$3e|wMbXET%Sb9d@~HZ$Iyc(1H-_S4+dyw!VpKW#gCTP44HPw$!B3EO*j`ku*6 z%&XpW_T#o=w<YqU_xOI?cI37|zV)87AGRI3&5<v?$M=Jo!S>pnXHVzG<~=UDJ?%DA zKJ%Wp@6Gn#{*`yRNcw(m_4d@Ayr*-+^Dgh!eQ&ns_L@6kPtCNp-@R)FZu#a-E|R{R z8<^Kzbo)+j(RSONy6?=?w;#Owt!(z~oc)`Bp1Wp!d*028^vlM#-{tJu{B$Po`JA1b z@6J4XE@#K)yXVrZqu=Ii*?jh#nsxNtn@Q=-b9>L_tlxZg=Gjs+%gtNQ9kaUqDreQ^ zqceHW<*eMicP4M?w#zr~oJj*U2A6E!I<xm|PIj8~T;CEi)6K2t*sP*w-Ly;pJZEjO z*}0o$>6hp5p3O;5J3Z&@nVcD$W6z~oM$d$I(QYcGD;rBc$w^KVHjbWgQ!c%D&e}q= zV>iXpgXicLnjN{xmu@^~ZGqXLn{4UAb9m3>#HW2Wik^OR$C+)Z+Ya1hNdG<iZ2q== zH@BQQW`6r#PGs6)quWz&en~q$n>XJ~dvoZ_-cvc{n|)`V&D*x?=8`jR=FwAbE;wUm zKKo9Ne_HqKvnO*3Ht#+A?AxvP+56Ui-M8(z>AmY;_H6q->s@wb+~Lnr@3PC|Hh-3S zm%V-c&3$3NrQT+5UVn05*z>J7t|!DV{(S3oc5z&Bt?#+)wd*(T+xBbLtL(zK=Fd^D zvh(AdYu|n`HD5n-U)V3Hm)W^-$)9h{y6zS)T<d!_d*S-Vebb(8J%8OM{&h_+s2Oe& z@BI1J%<D$+#-F90Wlvupxi9S5)>GFt;%C?Re%gBC`iVVkKX1*req@i>v#rOk%fx5b z^nToW^twpAcMb2yt%tAk#B0~|e%N~OI!iqB=UdaS@7Z(hsj1%j+)CXKrU$P7i~C%? z_Pwd@`j30JeUF-UeZ!uzr>1+ae~LR?efCs#Xx!pYx9(;K$8D}&`_5Ez{l&dvPfaz} zpWUnW{nq5`kK&3yN!`iLU%xZ<$#<oF;xG4}nAiOw&gHyonf{{ci8kT~iznXczO%Dq zZuf(@C*PE|iLczt@zym>U#WVcm3Ze~jyJ9^VxD|eD%N{cC1@$0xtHU$Ync9`s)-ij zjl~nMbnn^8@ygXt|Ist0W#XE9IbOQnifK9PdMzg9i_#+Tz~YG)x*OtD&br#^KdPK~ zuDc*E<+IW(amV6`#^NXUbj<8dh<ox$X_~lZ@x)Wz0dXmxlqQLH?%|l(?GTr8#`Q>y z${ANV{YMoOk98ZwrF>NC68GH0@yL}=KdEBkp>Bb=ln+Wx;*7-;^~FCHP1F;ASTs>r zoO2Jy1J{3gkIE<R>(1E8ao_cu-lV5W5qd>Wm8!%~?&i4Xx+Z4HDc2P-Pu?j7>m`*> z+|})|ljE-Iq8OG_uFv$8$|tIeckb?(+<hSS$y=qo)qmGL+a2{jt2*p)tnc}(-K$@& zo0e{Bv-;_}vUF4H)eqN|?Vj~EYwPNZ>&$k~dXrTe)*Z`RW@@#1?>e(|)9Y8Cthu&J z>UGxY)hpMDrJGu;Ub^mEn(39Re&NNNXT8i?x_aWeYiXuttJg;6mY80=dS#8+E~yt; znPI<U&Vssm7U8#Je2Yy@R?m&pEjBe?ow%-S=d7n$sbQ;QdW*K6ys8r39n*UzYr^W@ zNZ&JAiDA_-XA8F;yDAYL9phWL^~hC$aO;?}1zQhY<p`IK@hvblSX~==_H<Tk*yByN zrd?$UXO4NBZ@T~LudvITr0!=`uTG8RJ)IREb~#!%-*nH_HEY6BO|@3vU2B$Vy8G&@ zu*sXG?q&ssHE+6gC#z_+ZKQ6Vsru@JYrk!qb=##*{gL&=d!27)a=dr>;*(OYv`hG; zv7n9c$+;)ycHWrD@y;brJ;{3Ft<DR6DP>BVgjX6*ywQ2UujQOeoVroE(mLUZ#uKk~ zZtzPfRazz7X)I_V>^b+u?9K&#PfC>X)RL?wUh16Sx8$tL4WE>=E^g{dR)VI&oO3x| zxLopiQmiyj_~jgq=PqXIi!23Age{FHp6Q%1ljE6-o_dnyL?hvqb2y&5sHrPiPCU^$ zVJ62Dmt#IIXIu{XJSkM_6V9B&@z_O7eUZh)Bb^F<PYRUUgf-`IJal1GSF#W^5dLW- zs4x7|NKjAsrqRR$oeX|Y@|Eg@U(W8B*7?CVC0{90ttnM0Ty2s0#66u4e2<)RS>@Am z%H^G!QL0jz@XFa8Q#vpBs+@9J;FFT4R3uz!G;v3##Y~PnF89<PeVcH<{fFI>?~2uO zhkgs#^53lOc<21dhUL6-k$ll}#WK03-xF@P->_TqO>qnV%-W7O&aZ4#zA0|tU-)^# z_4YHB9j~3A*ev;~xQ0Knw&RuaBO8`;&O!2@o++;2x2)}$-9E!^$rr^X{F$Ex%=jZe zPq^6LVdrwz*-4)1*8~&(pEVuNovq{#{hV;NJ;RRWtn)b=mCuT4a!o%coNkY>TXM!( zOWx_{gp=(ab}F9~Q{<R_PB7#b{5-*czp|#|v9px?p&t{Dwrkj_d{pe<x2)-S=*%VW z^kc%ob`Cq1GtT>Lj+}PhWz%xnd7F*O2gL^dpVb}roqx$Kda78%f3w<ST6;p}iK*=` zY*pSXR`759G(n4h;in0^+kGlK?mEAcQ+ldc!r%ExK!bl~b;lj&c{VEV6#e9wzE9ZR zzC-s(x#B+Vm$4`2wSLfZIqy^^zG(LZ8}5UfC){bh6WKAh^?}}#GR1A&D`Pp{I;Dv# z?Ve!8-5JaA#_5I5lTyWEu}8ZEEV(mdIbJ)3i7(nU!GgPS^Mos{dm=erIr)h{N>g0M ztr^Sl(&?5?%UP#uIw>WJi?{<fPq@(9pr>-y$xi&y&I#vQ3-nTo6=!ifZk}MweKMwF zW^01plOn}w+?tywoN5iwODR&E#N8RgF|*Y{FXfEW5gnB?PIBUpc1$?dYM_@=sMy8r z8N>0&iBCLf$Am+z0(vP0icQ>%n<waVf7~=dkNe@K3A)^zF&qz^{)s)>K4D*LMkL35 zr*C4DQWYb_ic%G;xKBoN+;du^v*eW13Y{l;ios$@+b8U5^@!xS>$FIR<&@JiF{SMj z)VVvOJ0`at(0!7tn8*Ipw&T5H75}E^3VYZu7Eid_{6bFUyyFKMmG27Wd`Zt0cChcX z?Re{$#qU%-;b!v*xh3ZullYmc1+3UBZBNW;-XZ65&M}JrP}PL1%`4<o&N)7iQTeKn z&(~Bn;d1j1%Z`_hUi?l~6U^8Xizk?}OWJn4aJ(X;@<m|*yJzu)^UW1<OFk>iVZUk9 z@yzjp%#$;YM*K<76lSpRwCQ;2cuHo;Cxt2OGi^GaI4bcwJyV##E?F#K$j(_j;drx! z+>(z9J?xP-9giG^_?s#w9B!77Tk=7ng<aC7<ALJ=nJ1?m_sA?c?YKiG<+LLcKU2ko z{mnmQm%LYq;yYA6VQ+JaCC9Yp7|V`(j-U9N$_2F9R~89qvG24#F{Sy2?2>m1L3~c- z6ExTxize)BJ|X+$q~jyLM^6+A*ek6&ZadVmKeC>1ukno}$9sn_JSpW0yO>WJ3)nE9 zlsz%G@rESFJBK{>B<l&c8ZYprlqqatUTHkxM&kj#mU9kq>_+Jd>zF4RPq@~&fiI<0 zVHI<yv491$r|gN@jSKjmlqlq}C0R|l)Hs1}$ytXRJSk@#+}M?@1WcJZWjS6rT;h3B ztT2!Hr3}Y&2Q&6XmI5Zsmc|p#G|rIZc;=wTo@6<}h<T+9$5RJ2b|uRRCmJV6ay)T3 z#^Z9v;SkT0LWMr&Oc{>H4r1(!EG8UjRN#A3pwPywDZ}y5fsI|sLcoCer;&g@^GhQE zJ?5K66Am;o@IA>_sAGO9-7&5418+*cLL^&LszNy1BJ&A*8Xxc;Ipwg5r{$ExJ2s<K zg)-)q(j8M8FYv0Ia#+BVlBZC_Txm36N27%##~p`zY>&zq_Om~zX4uQV;W<MEzr%UP zH*yW{7@t@&*sxEiW+>-7aE|eT+<~`@H{=}NGG357@P_e(oWoq^4qJvB%nOPc*0UQt zXNci<ILEj}j^Q=q8o7p7j7KaPEZ8ShG34_xd}Z+CKX8_DhMdDo#tCu`vzZf$8M65j zzA(7(JDg=~kz;tlxWuyIJo5*ehI7mhY#PooU$9{?VJ~>bV8nmm3}b@afv1cSat<?@ zSJ*I|VxD2caFV&hhQWxPp^_n)&*2PXi)F)c<^r3BW6TLQ4M&*+Y#NR*JJ>WFW;U>C zIK-@A({PYkz=pwq{lim+Ju(i{nI9A}MDr<pU|`^X@Sfoh--7oHTVxpSGro~^n8tjd zh#{QM;XT7AK8E)UYh)azGA}4%C}%f#%J7D7!8?W}G7NVaT`U<i*bT}Vir7CqVR*!M z;3VS#*#oy3cl>?)U4GyH7k`h>tN-xN`MiDE|AoK%ZT=tl+<&M3&d>I_^$-3%{wBZe z|BAotZ|&3mEB@}c`rq-F{f+&LKaao47yo<si{J8p#$Wc=_F?}Q{_3~*-|)HrO8uUn z?62(o{y%&szwE!pU-p;wxBfJrwZHZ!`HTFb{{f%-FVr{uQ$A~N_y6I~{&V#O|B^q; z&-(B1x!?Hzi9hW#>l6Mx{v<!`zsBeOQ}qG=l0V5$`rq+~eP+GGzvMIaNB$_Ev6uV* z@JIi#dV_z-ALYCLd;DR4WY70M@kjrmdVzn*ALN_<GkosX|Nr4rzux}`pZazGbNpd{ zVE^ym!|(n3>N9?_-?#tvZ{kz=h<}Ao<*WXm_|1OLe$Ai7r|eh!dHha3_+R4p{$2GR zKiTivFZ#oL%Kq6u#qa&<|2uxSPp&`k_wif#yx%|Ww!gQl`o1w;e$Vd<oBQw9zIdm6 z-tNO2<#PG*Z;9#hJAUuD+y2%r>$~Ib{+qQY-Yq_7m-L-+H^0^Iio3_>)b4oae9kWF z`@voPS8G?iQ$A<+;Ei&reEzq_UHzA9cie1$Y3KFbaaX_D?}W|$roScbw!g5u@<zEt ze!*{#&Hd+VE8Z<GmY?(c#+~+Ob{F0}K4WL}Juyvw#_t_>+Mn8;db7Ale#-9|ciNxW zDSdZLlb`TgVl%(tZ;s9V$7?O#EiRPr`5kem{gIu}_r@LlhifI?EiRC6`7Lp${ej(q zH;+%-?Rm5KwB3$3$*1j@zBBIV-(UOV?c#j-sBZ_i_wTJuxye4QHs)sgJ-bie8n^Rn z|6Z|)U+edd+sCKW-gvt>Pd@0I<Mw`y-wm7kch;VG`}m~Yqi+vW<O_aR+-|>ZTlfB9 zb^pEUH#^zi+kUx|{9SI>_Y=kZHs4R|JwCVk#!mKkwt4RptNU+NU$~e2O>Wco6~+BG zst??2K4%;E-tf8Hy6+Q;`>$1RxR?A@Zq@gWVt$M79(#|^u3m8O@fW$gcZpT~m#Qb+ zTYT2`#+~G|wr=kgtN2a7bL?e*VSDM$<Ii&QzQ5SR{@m8={lZFqlkXPA{b#CY>|}pt ztM@*!vft?YiaqR4ZPnf@R`#E$p0JbsiS4mF&Sz{7-Ff^`uJ3!s9`?t!V(%AL^dG5K zxcB&jT-$ezJ?sx{*+A_IgYQ3z`1QZPDB{=qexs=WKsCd?$M5CpzQ5SrKCSx0-Q@Rj zk?$Ix%7wpMSl+*<`oZ19r)*c<X+CB9?w#RNxw7vocDGNdzHnFhl<k5$$?xQfzE>3W z@2Ixe$$rQ7-n)lp{r4+>oLgKjSN-guHNWlW8*|&=*?c;~eBP$$d11O-*|SFL{@ax| z&MhvJ+wytF-1av%ug)l!$!++&z_|Z<<(Zl7uWg>3SzIc&=5xf{_E$EK&M=>|33~o9 zO>V_!i@EKyD`%WrTq3vRbA~a$+2;u3{)?3z=bX>lI6Y^y>NolPV@~^X8>{CBE&I<_ zW}IU_Yjf_5a<N?6vqsDQ)0GkD7N4=vdhTf1f3ni!oN|#|$}>jGe#6fK#{CALE9SI6 zwvl>%(4zlnrN%ksLb;C57IWGk+HgI0wCF!r$#IVPjLp6?hfmw=I@5gGX4@I%0=b6I zKW4YzxB2yKVX9os=Nq%#r&T7*JU+GZ#aZQixr)yljQX`cFEHxgUFkEk{jSZcXNswE zC7(Nt_%%MynB9KIX5Ja)JUPE-jOP8@D|hUD{9Sh6`xmvx=aqlh=X~C}?Eb>veKzk8 zeD1qbey6g1Zux_KkH5)od%vQV{jGJ{eZ}8>R_{A%+22^d*z@?SZ1KH^zxXWQXVkL4 zwhp_$@K>M3`-abbSIYNPvcIzSyZ`W+?6UV7wd^mgZ|!M5Ykh4`@)y}f?*l&fT_|tZ zr+n7h?*7A{edo#x_9cIoo%P<~bD#116E*EK%M<oJ{v<o?y~gLhQ{@5sl0V5#df!pQ zKC|3mU-B92BYTw3Sj*ji_@nPwxxv2VkFs6wJ!;q=S@Ydb{Ly!)Twq`F2id0g44?b- z-+%bjr}zHBr#{{H95w6@tpDA6_`Ppmc}6Ased}-cCO(yoxL5d8w(9+fYW929YxXQY zWxZn0<9D*b_Y%MN?JD=EWWQ^@Xb<x#>u2{AzxS!X@2GB{Tz+8h<F~STuYbh0zqhKo zzA;^P&+7}D`|g&$Sf_m6>cbl4a@q20iRrRCUhjx)e`}R>-Enu{&C(O=7N4_9y3V+p z&+2tW?D09JJJva$vx>TYa97{e(iQ8J&sjZKqg*PRf30y>-{sOBk?k+7yskU$>N9(t zu({9lwM1<D3#%(@luKk6y!P1KcfPb@-Qr@|Ij?WTv_G@Du;%d@E2Ha)X|gk3?}%xC zYISPO;v(58uV=)xKe1A}?wBS!;kCqOKEu}>oBNKJTC7`KDBJToBBuS3mC*IZ9esyO zCDtu2kZpM_5!3#_>cE=Er>*v^S$x`R$C~8RR!r9!cl7Nq{jqj&zHHRBgWLP|mZn6q zPb-ayY`<sq>00A<KJC{lHt}h_-VuF#O6iTYi}PfIt~qY+(|Fymsc&cLiM5YUT0Oe< zFh#cDbwzahZOgjz53Bp`6~D1$e{cC^PV#q|UC&Px^VvK<VS9XT@eND%cb0kQ6RZ1f z6<?T_{7q)l^A*K?H;NC;Yd&Wgci!;1%(~|jiu<k=Z<v?-Rc6)mj$%HG=N`7lXBRJ+ z_xOuU-nqo8zDvau<}E&Jd1FrUSxdL`idB53&pB+_Uszt6^Z2vOyyq`$*q>XPonKhV zXY$;lxbIBy3`_QBmU`zCEBlO|udrc%YN>W!v9j+(@dQiuCzi+NIG?dRH0SY0nZD;4 zHtdfr#m+CR=sQxZFz@jPnYQN|HtY{A+0H9g@EJV+QN*YJ{6!I;-t!wpeFuse<~@Ed zQ}_IZb^Em94|9{>%S4`Qd@2)uZee-fp5g~{51+DJHK+NM<-2o+Pi4xUudr^PQhZ^q z@+r#&bCTc56g{sf>f2FlVaa~S^4__JW_|YyfAlRbm#IE>(3;Qo@eSGbcNU*|n9o}j z9WP9mDLd9^-FLh2M&IHxnJtfJ$hN<+c-5m^CbQx30^`2xg=Zw&Ut2usSzIc!=5d5< z`zwn_J<R7Uf{uSolUecDLbiQ&;f%h;B{EAMXBhLDJ&rK$yI9!K=X}<}={TcRpULAN zGVRYTtd1YF>^obS(Z_t&;#`k%u}s>rM$5j_g%N#=&sb<3ceLy~S?JNHTqKinjM1{s z@Ueh#pTXk_nfAvPQpXQk^c^kK=u<9~>3D1*)Bezc>$syu-@!tTKIStP`+5$aw%FCv zeA;4Lk8*)b!{Z;)?e{Hy9b1?xQ}g(SwEMKe1j*x53t#jq=gU+)-eA<H^>~3%-|j*m z$@aSzuZ}6E%9K3rFyhmAJVUzuj>Wtl<vba`V~pl~+Y5KpKK?Gf@BWLw$L8gKsB=DV zUbcVX?_Qhx2R`@S$-ncnZEpU9y2sz7x7}aym+h^2+J42~y;k=-{<6I>e^K-Jt90?c zhrf6&?`QmFdu<-Jf8noQi~9|qd#~j0`N{Um+;9KGXVS~=Yy4$<X@0Aw`K<Z1n&dCi zi|z+}?!A!TP^Wy>+;0EFpS|bu3+j?TOV7IR@VVFc{)s<rGxHPb9)FUac3<Oj@2UKN zy5vvNlkRu?VVjxnP?vnh{78-R8FRV)4}bI?%QvV?{wUpb-{TM4BXhp}i9dP|<qOm$ ze~@mv&+xfd|Ne(hy?XZ_eCpM`&+&)tf%(6E55M>B%g^}9cHjKlzKKtzBlZ<Om9Dyf z;y2qp^EEY#PnoZ%dHhZ~cwgf8-d*_~KiTe@FREcaW&UiR;`d(l`yIdACg&fhef(BB z@Ai+oZST#hwr@<A-gEoH=H9!xFY=Vnn|;VpE|)IfmY6QR<MxibZEwx8wma_by_tI= zZ}B;^r0tBmd97|&+&wlYcSoM{IkTwk2Y2;e&0UeFe9r7aj&iAV{<g+ly_a)$+-!Sk z=C$2%SFhRagw4ICw<Yejy)e6yqg*1r;I_x+-t)N?d5eps=iI(=r|p^9g`CG{%#5}t zrb*AZz2i>XQ?pY!i;JYE+@5i#?TMMvcE>d73AZIS^BUgf*xY+O*CKCmp>)sfh&yeM z%!IZ#?&v+7E0MRjK)U6&#GSSWW(RT}pElc*v-q^xj-2GvW=z`|cl7Sh{gJykUpi{r z!R@_!b5m}zP0NkB*>=zD)3(O#yxO-{Y~t0rz2o+=DY-Xt7w1U_ZFAh-t8u$wQ}52) z6S<F1nmyX~Fh#oHcE#<s+opBvA6EC?%YL(y?Y-%jnB?zLyRM%o=C!$gV(+oJ**A8w zy)(^QpIF^{EBiuR@;9kX*H;wx-pD=>*L==2ZoT1isdd*U6!%`s-Vm4kRch7sj$&Sm z>mGZL&CXsB_xOuc-nzu9-b>jN;ufDZy%Cdq*3@miVim9Hb&kDkFHA4RJpL>-@A`{9 zY|l;2)-SB&HMwq4+<PW_#!j|prh4lWD|?Nuuh_%()KqP~VrB1%><K&Bo|qnsaXw>u zDCY4;slMwOd)OYEimhK*(R(CYA@1=9skZAHd)OYDvaMIF;5E4Zqlj1k`iml7z3VrM zdJkkX#65m5Rd@Zx?zU;!A7Yc=OGU10d@2>bZee-vp6my)hfkTVifKM&`fi=!Q>n7+ zD|WX{$-WS)e9Ck|O!7OaqU#k!y*sikcCy_uy|?b6S?~SKAAXC=rK*=5wC1(Fd}D6g zJCjd7%;!ytmKUZ=l`U(u?!BFP!*6k!)RxOL=C-{tdF7*ACbi-60^{E6nP+CUy*7E` zv$#}h&E<%>ZLdro`7oa|30nR!O=`tui@9yHGiUfME|FStIm4LO>~e&0@5RgxKj*V1 zPRkjsdQC3>nA7&$#A^9L%ign@8Gg)XP0sl!7fYorYqab=of+Y`_>76xa!1SFlbIfV z%0*Hs%NQ+t4KE8A_ZnQTnA7&yL~8j#i{7J|8h*-!QXQ8q=CnOD;acu!(R(nH!;krl z$v&UMr%iVGG@mxv=A&F7)o}U8?6&(Rzm_dbm8!XXW47D0%!HZ8re?nIRnC{HxV*ur zSL^Zuqu$+_J~P|yn!H-3m?~9rxx<K8<MNEzZFfxO`6%Z}`7L8K@7<ocWA3-=S?@FV zE`Mv;``yHL`P+HRs-xyz{xD}+^{u&=-^^*NzV$Y9+w!aP+^TQA$=tO3s-^BXlN*;` z%=uPzYtH2u|H;KsuQS&yUpsGERn(lz59Um(x;6W9fWNiv*|V9;mQS7MRuwh-@{Kv$ zo^82!*~LG&IO;{_g5^ss-+neZf7#0au}$u0le3pE%qe@e<;>+XbJ{9zJ<Xi9e5&Qz zPbQ}>tNBm1(fwp%wA^u?*)tQv<*k-)KbjoBEajhUll#%+=w%^)XPdVlOb%b>@>jOW z{a|wN@_{+uo|@<{F9ij9v>&$(?}sh>FaPm-ZS8wHvu63*xznDS=q!)5^nJf&&*cw( zn~S2RUS2V$to+uL%WwQvTl=2MELrYq$$KiZc=^e>-=1vQae2-hw(?uIGxHYz?R#b& z^**E8?Xj%y`HbC*U-nH)H?dj#w684P#Cq|=zB21sZ!@+ozSw7GJ?l+Isav-!Z<&eJ z;=O%l=_c1NKIyq;CG|RE_2QL%V(BIpi<kC&OEbB0(a*iuc-G5|rHd!_T}v}DTf9~> zx5VV)#Vb8xR#GoAGTna5oGspR?xKbJZ5iKU6O+YrC3TBUj29>Nm08Yunvv?ZTBf&X z%gKu>?%guIXEG)%?v?aClac6FEpxVT%dv|R?$I*7g<FnX6mYkeIa{#h&_xb+X&K)F z6NAOIl4nn6#JW8;x;5=0lRLA_+kBJ#7k{~3Hj=uZQN1`-lJ|5*xZ7oE-F%Zh7uWQJ zrJ86hzT0b-YO?#{E4Rr;Qg<@~-I|SV-N`6gY%8goXQICNVDC4xS+|Yr=0E)1b1(f( zCEI)BFE+{FC3l@a@tMcw{E6CQbJK5Bvb{6To1gf*=T`a!yX0?@o6fKJ+;b!SfL-%B z<GA^T&n4HLpYXZoTKWdN<gb#e&Ubv~u{iHhdu(?40=vgwB=hDa{_44uKEZDBS>qcv z$!Cq-<}3c<F+I;w%l5+flFj4KlJm~Ls9}3<Y&L)4Pac!=7N2|0q|c~iduFURKk;Xe z(fJiMY)_5V<}3c}Igvi0lI@A{F&pPI#)oVkf0XPypHaj1*jQ}-!XG_H(iQ9;e~@fD zuTjJH(3ow$;tw8!^FKiA#a?{k(K~<RQ_q2P2D``aCF{<=sBW8<{=qi+y=3IP#;20u z^A>*Z*^~aj_V6j=RW{A1jNi>Od@5OXenoZLl=KU>%BPGM*d)J`EIMEDsb@#JMJ3xE z<9qWSZtJ<9`a^GVxn%XUgS&ZbPv3}bduQ}Xhxxow(e%P}$+BsUyL)b@-q2fICb{MG zjM%m}Mz3^~%Op3PUa-06dg__Tw%0~abQYINt~nhM+xE)nkq+}YqoC;@(<E1%wuo(; zojOBraf#%T(;1t2%uYva?zx!Sq33+o$Z0y`t{#)qKVsUR8(B?1xU=VMYK9*3S)+40 z%Egjt(;9d7oKB6<TYSbyYr5mko|CB_ddfwTDbpBt_86WP*xX}qx+13Sv60mDgFAYT zrfTRZ7fN=VwuotaXv8($aYxU=R1Q7nGe-M#4xcvKrPF-cXq%35fn>w!AJJ|1jebpA zm?~Lw`bM<dwA6&iV^dRK=ql$+R-E3jsYmPdf=xZUQ+*=a?i#(CrkE;Oa=K#^kH+a4 z(QS8(=IJQsN%~D=+}^W2b%*TZ?-Kitzpy<vFZqL<^LfLv{)N>&?Y%1&2Yu7fbE)X? za1v4M5=d!F%b8T-EaYJzAi>tw9;)`dh+WvhF?xxir@&DSf#xZOrzD(v6qTT$a}x7s zi4foF*_PtE=j-0jEe*Z#g-Pi9feSax(#7?~=5NrwnDF?<tqB@_ml6^*)UI8#d~$c= zt#!`JIFD}mr^w^=aCvM@p?0ssEu)?N+v@l0eS1`@*VdBUsOtD(bL&Ezy<3lLxt-F} zGiCF_z59Nt{`}arW6s*v$^4HZ@4Vgg?$RCQO0K-E(H7fI-<&3Os(Mn#+DU=Rb9@(c zKTZ3sZ0Vsh%WU=omU9aww_Q_9bJnj|Ys8Uw`r6LV?$dGwpUOWC+xDN?I(>IeQN8BA zjnRTZd&70*c3oL;skblJ?Ze)<g$DMGKJ8t+b35K`7PrwW*V`R={!iSIbsO|L&sy?J zXKv?FQ!}b(U)^dNy5+&)uz%anBntn3BPt=b?Nm~FS)EJhFRn%0Y05Vww60jWu;*~H z|9SI~bGCBw$$j4hZ@QSiFl&+Z5vbSbsGoTK`ZVuVEH%8neDd1srz+_^UfX%+Q{i9d z?rUBvTq7lVmvpSMOyAmVG51<Y%CrX?GJREBt{z&rU~5k6)1;E#>#KM0T0B0yR(t8{ z)K0d3!Gep|A1q~H=Uu&h&-bq#*Ofjc8yI(l*2=~F{-i(S7h~d+s<Pv^mw#$C|B>a$ z5$l;SF;Pi&^}5KNRf;^`2TZb$?2hR_=zD&{_q7e2+jZw=OgQMY<&@CfvkuK)HvdX^ z_uI(F*kjgJ(Su=@8s3dvIT=e+G6EN`<9zme-wx9aH-5$Gn%VYs-!<HQ^j+(h5QYb# zcj|mTZK*i-Sdh`?Lj8%2nfFeWPX6j*dw;8d@6V}1sdxK=PZd2~^mEopm-<UyrK|7A zFz||UJS_R(EAS)vtlC;tj`)&?$G(TVrT7MTKUL-58ST4uYM}6fiK%+41k96DST`-& zYLH{XTfqIyEub}f*Cfr$dNU49vhk~Z8pf#{voCOi<Q=ZZEN_LivrMA8f;wB4Xx`r$ zJ*ncBK$tgc?7DcL^#0_SwiiK1rYu=l(Q_cmao*CcYO}UHlxq6@AX@X>s#~WFMe3h) zUQ(Kxd*Y{1&?XLT53^fbzuR&bs8lm~dC8=9G$~wnbgtR4_CfsG1%{y?D|{_pDXjYa zK-Q@5>8xu3oY8;ybUq~RUTd{uLAXamfY!R}l54y!6xG~5b#t%#%2S(uM3-B=Tsbw| zdt21PDc9Jwg)BqjTFrj59t>N@c!b&V=9Uk~?pmcSXOdqP=6WU3^Ked4i+j(J|8>c) z749_NEUge<c4CwKm!d0Y86Gs=IsB{1zfYOXzGBPG6BBPuaJHOfdn|dT)ux8^+VfNL zU#wL2*E#;PVoBSBO-~lEJ(cQeZ+XmT8TDF2rL2Wr%5qujcB>B4TW@}_?eDwx_mFhV z3T4X`BI<T(D;8}jeZb1}bz<5LhVm&p6^|Rd7C3g;y|vPENA6nZ`wylbp2WK>OD)s- z+PN8Pi_(u>YfJT+c6}lHp7f?fA)A1`kHd~WijZ>hittVhRxm9JRTZg7k}0?&zGai9 z$&5*f&p$D>t!BuabZh>79R}_Oh8N+VUoCy&c=W<!r-R=o#7@gy@_uE6o{sOvoYvBH ziW<{oZn~e}_g(vY<R+_vtNe){-_Kq9aK;DjeM>pD7i^Zk7xjnbf1Q$XyQHeIy;JCv zWX@1oQU9WqN3&KlYWHf#&Mq$6<Mvy3<*z?Ft8Vz2znQkQ#VOZ$)x0YGbB~zsa!G%= zec<Nx^;ZIyBnj@`Cw6A){!g1PrA*xUBH19XUU_$z_n8Aq-CWmiy7MMK-NF$0G?lsT zN?htqr(_jDrai(-`7h4ZUHHS{R<rsq!P^cZZ*Dnn@0nAh^H<irztMA@L+yLXgS)@R zmTLZcRwO*Bm~-iSrXy_)$6P!XUY*Z*LaB!(YY}%><AW;-&qXvh8P&Mt@c-X(=xc7W z{PM$7E%rTH@WrR2ZN(oQjgn;<Z6}UCtZ%+>r~QrEw7!c+1g|M`N$ok((O_-Xy;6VD zo88W-4MD=HCUeX-_S9{(_@LQV(ry#{LGT8PT;^WoQwugdSlQyeWjAxjPt$A3R?{w9 zZ+gqX@%K(=$-lHC3mvX#Fg4Av`jwGvyZP+=edW_U@BD4+Vo<$c@A<@<v8Hlit0=!O zW6)2*_+Gmzk>{@&p4G^*TgAKkr`qcNa<KZ%&$|0{`u|Tp&1bqg?yJ3d&GY*0u{ZT? zo6AG~TgKe2*rZ^?E3)p*_ZT(q_iv6F-?{B{FP*PAZ{ohlLyF(}Ug`7amp{6o&iZ&^ zz1BKQz4bS2u4o9}+O5{7qpV=P@ZpO7U;iIH+^FYvac++B+cl-R4hI`<#oV}7rl=Ai ztZrwJd#&<9u<xrwk&6WsY#IDQ0v0Bp`z6`Z8k}VwnEg68ppxs2+PPUfxR<ZV&AJ|U zZb9DcN#V0su6=u|^49w+H~f5FT$y@f)}`I*A19yC@;5gPRJ<_pmT13L_Lu$w!%cig z)ONP*D6~l5G~1iiX-?Le)|y2=ot`y%Ij7#n{YZ}LoMQBUnxo7fR-O%O7aD9ivVd=) zs=GOFr}w(YQ_Z*vUv66Q$zNQitEWfx6ibDI>jWEBo&(Fj7HkZ#Fyyi*QsCS3vafux zX8G&9ZPDg$N?)h#seIj0xV^8p?DZ9<;N7K{MH8agQ_rrQ$k?#4R5WX4?epS*Ex`>e zHs*>_ULCg<>AFsR)LC<ct&u@k-ne>ZmeMPi*<JxjAJ|=It<bd0Q2x}eB6ddQUkZo7 z$K|gVGD+2js40e|3NA7FzIDsg1M>@JJ&EuYbv-5RKgo^#yI#)PXT}e8m@NNy$(rZL z<tOtfhb9PZWbbD5zQ7~Jdqnk8Ak%y)Ii)abrjLnJ*}p!x!K1^zCUM4V?@z_uMo(k* zdMNPoZD0>#uQ{ADZI<K0nTvcJTzegZg^m~`hCjZy-09Rcjx`L<4Z5EM;w+B%%PhK4 z_ExiCo?+SD^p?qD&J#1j{J#7;%>BTH*C=qyRj0r&ce@ucbS_%cEbJPcaaUv2DUGV| z{Tf~#hcql%PkCS3rY#v(tl<&Yw{33P3p3@|l@(QCw>5H0UH&}ccr<-U==O~_&U;SS zFSFLlYw>NKlGu;0I{l;`U4Ib!G{DePJGQZGLNU8Y@s_Kq_qNX3nC)r!eWH@?ZtLy0 zJ@^%MGOwoITE%7^^;&E8)i)W(*S)gX)E&hYafoT@x+>lyZw!_{-|>oLsh#%%Ikv!9 zQP!n)NrCGNRyH5HXRcwgRrT`wDgBpEyKXuzvaafRP`|YG&XfC+?^?WZO;&e(-MwY< z%5~b|a(>f|x37E8@^al$b{4%?YlHsRUFPn(ZvOJ-w7#$Dzmi@akXn7E^R=^uzi91K zH}}~k^FQ9W_tCrd5##O;6Ylw1$-C5AH$R@bdTG0a^_pLQUcPElkof=9hW*tFxmDh^ zo3^Ff+Fn_=T~hVho`Ah>t5@8+B%Qf(v82h#nw>4Xj})YHJ^Z`FWvx-$t1q`!2gIHW zI?uVx$<W!daqC6ZiBnJe?lE;Nz2>pf{Q9cNC6`PWRhj(oO0im%Eygj;ieJlqttm&U ze8Vml%kqm24txQx8aL>(HqDtlCEj<{InySE7_KWutmZExm}|d0@_q8qNK?W5oA6)3 zi+>+CpKm|%ou~SWAJY&2M?MYz)@t)t++gc7Z+_46Jml>fucY<6*WYto{@$-6Nk4z; zWUju;%J$2(FZ%Ucx#i6HdFtlty;ZgMGk%CLyELBZ_O7_}yzs{rVV_l{Z{{#u<`Z7_ ztoHJr*wfS1ZIkL|%j`Rrxp~t28O;9|KQDWB;*>zkuDq9Lx5T;5EnXS!YHPtzAGO?n zzS>-~ch}q<OY@voaRr)7Uws**|Gw~~k;1E(A9){NR1_b{;aT;+bGrMLm+`4iU%A%J zp7Q;&X=Gr3tzu4rDPv*P?ep8Ul%~DBYH!;pHEFZda`w-~yCxOCE|fN|eYVw8-y-(8 za9z&%pO=^0hKu%F$nouazUT$#rNjF(JwA996p6(0`39+7T7FA2^rCUY+!H^Awpu@( zv~B6;-wKt<>ta2V<T*WBf7>6nUGzz&cviw^&SyJTMCa$U+y1V&_W0+^f5l&m&K~}9 zEq&^)O=(iA;?u4s*L4fq*IM4_e)i>wkNo!ed5^wGt>F7|&AoYDkp2Px<r<}etB!{* zpKP~uh4sX_LHfrkEzW&0)X^=i_*1fW#h)oJ!wr|tQ=D6J)pC`wVW4cB>Q1M-yc4EX zDTYi8eP7AEN?E9M;oHf(OA`~l_paWXHz{kqj9%%z!zH#^-uu>_fBAO1-pm!pr&y)B z)Fz$xYu|GErF&ujhp)RYz4$hp{pvaPko(hESKsUZ+Ufny+(uHj^q*w)Uc={;;{snD zEw%k|bM}|npLM?KzGB*3ze-LZg3*+*v3zB-O5pvqR~l_YUS%9u;<S=m@zu-k3p0)c z3%$SaKdd#o_<>2n%I|8gYNr0;dBnijA$;9x>A(KxPd%->zG|{fxVcC0#Op8iwFh2$ z-u?E{=-f-;3(Gn0g-!^|_;P2y-5<-?Epyww_G)~p+&PumqNieZ-UNrPrSo>qJz?a3 z<DB9ofmu%kGi0~sUY=lZHDFmp!-h!9#0ppOjw4sKDt~Ouy%Mh6Wo{Pr#BKZY;`P1) z&rc@WElQqy(RnSaX#Yi?>9!qN*Cz=TW&PFlxOJq}$>G4#-rU_yi;F@YPiwQe|9Gc_ z%%-vjJU`zT_pG#z*y~!uw_B!r&Q{&dDW^Z)`omSvded+2qoeO%<?IvwG{bxSg5`<K zQ#N--{aoa5qHBU7x5n(LOu@%Bv|P>X>I?2X`;wj}cPzR3bk6fNPD>B@Dt=S%=+1e1 z#9HZFv_(u<&xWr4&!@7lt`c~eb)#XM((CM*jVoop+dsE4wGh^su<`w_o9SPFU)y4= z^@~MAS#FBil9+iqj6O;2A9>TOEuY%W@!i&v6&-Ra#@IBwCqHiE0+&vKO1Z;xjvhY! zfa3t8llI=HZ!A5vs;>y^zkRN?`;d(Pruh>#+5T?`xq1G){kE$?rvi7n_a`oyak65o zip;~cr)o2=O{kGS9rxU93eWAf#KQ7}?dHkvzF+8=op{0InpNFnk8p-(#y*ns3OBwy z^8V71ARQUoZ?~gn_^rO?b?NBj(odH|EO%F!&b5(}I-(Gg^7d4ca-$+|%9++%A^Qps zz16vrSY1C`NY^xD8nb$n)%o=4F5weHtqOm2W*uITFnz``$@~lQ2?r$)6g+Rb7_o2t zS2?{Fhi3-w^*6C*=({nU@D5+Xv8eOSTpsRK+YT7U$esFhduspGC&q%!8zOqc4r{Rn z+)B#OE{~Y|B4n}dX0M}Z^#=E^eig}{cdo$mPFRBAnQ7;amN@JGTRL;<2FVvs{ux>r zYA&3+@qVI}*Y9If&ho73t@|my?9+nRfg1j+=gGZJcKEf=UR>v9>(xo&|MGgSI4DNf zzu^<{d61RzHR9!(BkP5itg4EXpM19eT8*eImpNCxr@xb!osR3Qh+{6*@e#*jo*dm5 z60v+sqs_@vYkRGvsxOz!U6W~J<?hQL7~$%7<inCfcQ`9WH@{St3H-D*OVUQutR&S> zb%~ltr~2$j|Gr-Jbu3jKW(K>j=Qnz7y(18F+V7Eu=EGCh?M&s@mQS1VCI8W+eFjTb zha~f)KVLODMTpzkM#KD0!`3vRmlEPW_g%M6J$dWw#ua&)VJ3e~JSvR^=Wn|&9%8lC zwM2f+^;+?zj}NHzz1*@!L)i1DVD1&M?4(&MHH@Ymy}Yh0@N&>XjfGh=Z8KGlEb|xr z_^`Rf-fVN&oY0D;1&Yfgo^>;Z{BE0Y!XU}%!j?RhDS~!VGq}}OXqz3%VzkuN?UGu% zW_`u&#Pcr-lAb6Ohj!VOI?nqmyrskLa)HjJ7NO}=X1(}b?=h2oVvfm<7glE$&yl&_ zzo^*npZZM3<aO;4k2XHAKA9r4YSH&g(>@DDU$UQNBE+M<__l||7PrU){EESWrlJLl zk{g{C<|Z2GMP(`qs+c@kJug$$T<>YL((<qj<(uCtjV?TV^R%a{_r{E#gUp5!yK`$_ zD$G2-g~5$qx$DqfR-u5Np1e~GPj0&KxtDV}$#TzhF_o_4zx+;h;SsYt(`NoQk0?rb zeDH9N@g*Vdj3qt(B94m<q|2h?lmaD>%xgQdA)&L)ZvM7z2I(Iu-d-m*wEs`o^2da= z_kBuORI0}(PoGyU0%`k<HZ5|usFO-kon+glyz{!?hs3!?VhauO0wy{iJk7%5QK5N* zNo#WGq1;P?M;BarYr}X{Kh@EF&E+Lmre!O2L`NR7>U-y~G=N7ri6zx#ZIs}JM_rl| zj)<^o^a|`-z#E~EyihfYv2@?diMrlq2|X+9S|<3u4*#PPpXF}KTKb{*Y+Ikn_BX-X zGW1lHTEk^!m&UG0+ck^FK-DnQz$O0Loue;<UinKD8?D#>pnj~tyKpK)#hNP%BPLZe zb4u?v`jNJRf%ABWN1Dh@o_N7`wj6;y{y}9kW;Wjwnf2TzSR>T1>PF{+lG3Y6M;8Si zIHR)4K)qz*8oMZ#0B>d%5e5)oj`xWwN&Ig7nwfzCgt-_v8FKPV^7Zoaa`K8pLs%J@ z-Rst*Yi!m3n_$ktE6-rIZMXM@4L(*5wmm`o_JQ*+1%}AFWs34Q{L}op$@%`Jw1+w$ zFFyKr<iq?<!QGY$k~jB!m%GXRc#GnX#jCPzZA;`i#kG(@fJwo{Z1*OqPt4mGmM|=4 zkk?yb>73AHI^*7-OAGnFF3e*W*udAyypOLn<NV`EIxB9oczh3eV7_%$XI4P=g?oD! zi(L+V>$3ewwLp5Td4farw>F*{S+>TEm&^G6w5DBmcK*(>ZXuid(S~mh+yB@!bvLu< z8JjH5ezn7L-?7&Re<WR)F>S6sn_G$ZBirwXe_OGv%9died#U;8yqim8T3#AVJ?JdC zW|eM5nDCoKe#Zq|OB&8@E_-Bpm|x@1gyzGGXP%lXqfnybZGTa^Z`VP#=WTnGZu@>& z=FFEhdDRoqhaTUj>@Huj+gHn_R&Kh9w|S{uNqx0}Z>#+k_FYTc`KBlrKJ`tJw!Q7O z{N+!VnJd$aukKo3cVB?vyw&eHyU*{q@cHfeZI?f<tTKzt_Fc8ic=_&MCmL;@yA=G8 zWZ!*sUcSn<N$ImsR(`5kHbd+DvoocMTf}G0<xjmk?X~6is$;!2_fyWBO?h7Y^yV7d z>pCoq4z~^l$MF`6MeM)Ru*zL9f3NWzl}jRh*`=+s72p0|Gy7oT#<EK9oBZztvpCp0 zqn<rkksde6>X7TQX$4nSs@H^O&6*x?_c#0MWWP@rdGnIivahc?=(;BKVMxECT#~0~ z+14A6%)F~MEVun0@w@Qj5nc(yHE(|$uRGTNO?ltpIveq~&o6&p%GW0=@@-q*qL=TR z1a{n#=K9&m7yQtQ<zG-@rRNg4n6guhEAB*9&&is2^!6m}{mSJN|Ac-L3_q=BY<cQr zxSP~d)5+Un!!K>WA7J&3QC+uhN5d2`-#HClhvOQqDRsV&v%R*%YwgF%&2_SkTQ$$T zDts=n#9gv~Yt@{OLKEdO|F~Rx-2GhV<slW@T|Y8CKd<_{=BD$tOaE^9YwJ$?!5Gx7 zX{WVy#UnLux%p8sAFr%(pW7T^)S7I2%fh!U`XF;d|B6Nf#=~<wCrwgeU1`Fmuvqby znP38MtD1x$r;CbGSLp+$wbT9Y^08@_iCmI2el0%rYW)wkgO8?aADH!KQlO!G><0TK z>sIH?$=tO}*{ROg<*n8yKdXpOdo<4ml;3)Kg}>Bo_rmlk($_0Lh_Z!ln{=`9S8kxQ z>%DDCJ7m{w-Yl;5E4njZk@tNXpLL`19_<a285|8}Zkfe){YY!;MQKKB#`oLI3U6#o z+$6fPSdles$vke&2*GQe&!72n&g$E4z}GSTfTI)NDd9ww(<Z{wT48#IFCTyQx@y=M z^tq>Rl{9ng!dX+=)bp3HPFj>Dv$`HuHgGV2%7&9OH|Q|9F)~=@u`$5QhLqGYq_UxB zZ}<e6lUtWndTv`I_NUQp^X!z>)#>GFXUlSQV_jUHDX$8+yC#>RRZrx`S@j$5a&GgT z-LW~jd|mI`J&xIHw_b~a5~kN|H)|Q&e)`dAq%8gP|M&Leh5ZHnk0;I)JZqPECF9%7 zV=v?X{p;WVfBOITyVLgn`@aAG^7#F$f7t)KT>tN@eO>s+|NpN4|G2*X|Nj4<_t&Zi zJJnzRBLDw~Ui6>*`+r}r|Nr)S{onWd|Hc2meSQDm<@LXo_n*4A{?GCC|Ie@g|MdO` z%~N)HVPF4#56xX2wJkIDCXakw*tg%SKAGJ&U!8S#%bv>A+wxInhpP?OmZlch{3*Q2 z9;(}WW7{Uxy3du7i&stCI@MIS%e;EO%U0>SPl-2ohu(~>P58I!+`q4ZkvFTa=4Sck z{&@R4b$|BNEt2<sZdr5YPxh5Hk1z9|x|ex%S!MB_+biA(N2lI?wLk3Il@Qa_N8bCG zS_aR&xolnH+JFDz1HR?m%nzzK&JuOsX-|IE_Jw=114QrruD$8*`t}g}`>B7g&8SN* zdw8Um|7@C?(4TdBJB&W(=lY7)WxvY%`@R16)^GKHuE&3rzP;g9(b5mn!TEdde7aum zJo%A8-Ss6rM{Vz23;Po|_lDVRlg-7qSHDkb{$nBbWOu#JZ=14)TmP13`fe7f`|Wx& zedFONYySur_q^s04g0ZSN#py!JKuCqVvY!ZoBxLIal2vk`S-rI_F-Y$R;^jd|Fc%O z$kgt~k~fFnG@jjMXX>t6Ua<M7QSq8$b}@r}VP{`$&&~19edRrW=B%uXM>1|E&%V25 z;>)gQ_5ba)>;4|M-(>qQx;W?tTl)VM+v@)u`xE&4d;OoTdM)kh=g;^5f4zRjwRh(6 zQ%v>NXWm+rdpYfFmQVK^`?JA2yk9YWum7BSGks|lmwtxAhe>Qg-!m3`n8hZQe`0}( z+=N|+oP(}cxV&PNn_ShQzC`Y!=E~(Cd#)H)_`S>xs_=frBwO!Y;v#+d=G;t$3!Q92 zZ!;ELXk?RmTkp-PdU5s@(W;5N-28+1FEn3qE>Zu=ao5APciobCj^gh6|92kymwfI` zxL^A9*G%klHtVflUeLtGq@Jlz*u}=RJaa)|8;{o`g_YVeN~OJ@m&iRZSlMpj^h&UA zQkB$k58LL)Q}WKe*}O!rpzjq&bz1!L#sj=k9(R^B9@3S{xTDrQ>4yI+E^&|8$4V>N zceuP#)SFz@qP=9^vCyFN4{qfLd<zWI{~xV=H7x1XaaX5|mR9-y#W%wv7cR<t%~f2w zdfnM;fisGxsamkO)&9{>jW6yK=~Itn;ZuuL=~Igo@l%O3Ij3ggvFN?}9_NMrP0owU zo0J{av$%I`<eca>W5NTE<e(<y=96;ni8*;51spkFOR6tc%$=JeIAe0k#2K?w0%uH5 zxfn6wf<k93CtvGNPQKowoP7+Jg=7?$saq(W@hDhgXnb(u!8J1$Z`(Dsc+!#$8V3~( ztggLP?Grhs8p(1@JyJzYEmCBeN~Fm*RTGaS&nD-@%qHjL$|mIlT`cY$4>|idl!aw7 zdprtK430h4IK%W?SVqn8Q%UtjodUa)N(G81l{Q+QT(m*!<f4sg3mt{pg=Dzeg=M7N zg=84}R4ts|_!hWW%zWVSxTHzBxmdnF`rGn7hjm2e2CKAAe_s^y;O6f8Q~%yPAN=C0 zT(&^Px$6oao6I%#JmQsFf75M->*;D4^YFT9*<VsC8|rlUFV24|$hOg@w^8SO0>eq} z#eaBj+P50s>$7QdRo-KrZ=mgePvPP`bB#T!{6!Z}ygOhP^hoozM{D1kZ&Gt3<rZ+C zb}mWX8F_G%P47IN^9znwf6c6O{VX4x8+>E(`QMwH4&;Rg+<3_)W!=S^`0B<xE~eWt zj)~pc8EgLR2)udRa^bZ#D|}UFCv_b-He=BSyJZ<`ibbT)+?t`Z!D^YtoK8)t<)0Yq z?r&LYaC*Mrd=bt@!K#{1r8lQrE`0SnYoeZ<t)|cK3;wM0YCibhl&>{u)s#1iNYH9m zJysBrXdyh)^1l6t;8t;-s}(0NFszr5dSvRXT#}Gv#x349%a!}4?AFk!^(%ip)Yt5J zu;G9Q*YxMx=XuGVsLGk98M68nTRhii2`z*9Yj*X`;+c7vN!UVX<NU*1>@!ZNh#7<= zia47-Gcq|K(AFk#>H^1MiCM=o+Gb7p*1Z1IzJiR;VprE@Ye#Qc^;f#8_QUf{_EQeM zf19psYyTto=JTUxeA1ttG5&v4kZGoD)Ry1Pzh)bhu80i1oEEAnqg>iJS^moX(xNXS zyXric8uLsh>~IQSS}>JO>UxI211rh3NBn}qZ#ce6d+Pj(F>G>Ghxrn@g_ql>%yUZ* zI={esWn5nWq25csPQMM@5q?WB%s(+KGd)_tU^<)7=Zpmg^Vy`DGXxf}-{k82ouVxw zH(}Q?=OF$M9ao%vRK80mTCH4e*nGu!#?OcHr)TY`(k`xuT6*EUGs`dGB{sXyGVlvZ zL~Q#1YT4OUXT{zJ@49*X_eZ|-uQYX*HXaa?^3Yk<ct}YqLuZL&18d3_p}!un3-woK ze^LC(u-DV}h(S>QhNdf*Bm7=T>S$j*{Wfrh|0|}+Ue<?RY3`}(XJN|EQ202FjqB}; z+6<dz+c_>w?YY*o^SeguL%Ef+S5CdcT%z=v|Kh|e$~MZcJs)$fO#kp@?+=ZY+vfAn z{&@Lq?JSNGb&txj*>ZA97e4>BpJJ+|wv+o+YsLPsZ6?>xoSGK#A&}D`+=p4L_DiK? zm*clb)rR+YiXX1cyS&M))mu)f;Md+8p*!a-DR^DWFSJ84KS_4dj)ylVAM)kDxZ|lJ zV_vmK$M$&~N^d?+F!@#MFqtRsIDgN}f3u|SRM<~ryBhK@An>Ni@Axk|-E(~+cgpNN zm9%on)y<IsX4hZFidiqSjOnvey}fmv>C$C8>o06i*}W#NukK3ORQr_etN$?mUHMk4 zUgLPfrW=-Dic^D&KMAcmpx`uB!e<4S^P|6?ic^1{pQ_2DTH2VnM9*-}6;ZbdyWFaS z_&?axEj;~7F>XRt%k+=l%T5Nqb_@J-W|cCN_+#g#^Ok%#)y@)E^=k5t2Z~(JZCxJE zX=kx`t*Cge!lOl7ZqhMn{*w<%YeZ)5Jk_&E{Jr@61zKnHOkYh~{B1YWxh|tN*0}nJ z`onF9G)pue?{Em8=3SD!)2Vz~Hcv`r!_9LadI~$uV_u8iD~ebw8(!Ive&?E^RYA1- zixUq`tO_lf&++6<J|x38dn(iA)!)Ki8cpNYN#A*dYnt|&l%2=4^lCQGd$=L;YC%LY zV>`3XlY$OcnLdN=!<jk{3)s9hW6EzI=y~cXBPQYcHA`-}!OW*j!4Z0fQ=f8Oj#y_n z_bFpq!P&_YXA@fuWv)q_e#r3HLMF+kUD@YBft0Z2oP!>0vp3pIKFsueg``b?@Qouy zjkY?|Z=5b_t<|}H!~g80uQ5r+?V{TXB9gV+nR6Z&bi9@6+t7SC^Uae2w%v9*=kj#* zcAeb*|L>#OHI*}B)8BL({|@xJoHjL7clM(L?RH^(%^zj2ZG2_6)pw)$JXMi{+nCDh zp5NUjv-|JuX|r?R|I?ET{_A`4nMH_DzHN5%R2J>?_crGAwZ>gz>z-2`+-9o1e%02Q z0rk)OX0m=eH+!bJ`1G8KtW4f>QnoMzMZ8vIdTry9w6|R&=Yv3RubfbVx$KrBK~fqz ziyMy>NwGvYHVbfP2qcQ~UW)K-=D9ZEz?tmp843k+-w0bzxBVc{*e<8^@r1L8Ty@7W zVSdgJQ}}MJIg^<n_RPfKb&=GJR7+l`I}06KcAI!S*5WnV5zlpMbMjLjUY5Qo-#o>Z z6kKy=Iak?nq>?{y#{)$vaa)xGs#Y1Di%kM7+?abGn{X5~u_^jpQaGe3wWM$so1{+J zJGCp!#$kGsqi1X^YJDSY9adH6BHVaTPRa0yvq)Q2$1wwbPJ=r;_fJz7s?At%p_@%= zZ>GQkeO{+8iyd43nRp~K@ES?{2>fW<rgNgsD8cPChrE!)OUGv!WnUB<r>8DmVY7XG zxLr!vN4b>!W{;hj^1aWQRbRjJW~KYdRugWPUDn%wa4EEw$tiufvU6def>89_+x@Ib zo9y^6-gu+PXlA*+^0Uh#>AO;`>r5`ZaB60ln<=nJnD>m<e74Mz1&(ZQJrnw#xNT~D zW|61x`^Y)Q)3z=NGuvl;Ipi!dt)k;t5<lmL4!&C|-X;MN?##VgO*l5Rv8i=0Y&@Bi zG2xh(RLX`$B2&_jpK_AoSflrLvW~~Y4ecB<p9DH{<rWnja6WVDv@_G2#m&<!T$x)Z zn_Q51Uo&Sa`?D&~e8EQ+yh<{Q9i`keCma+Mnz3=ybhfmu-rqW9wUcdJ5?b0lc)km? zCdw@`yy48`v!r?24PWNgSd$AkJewJ;GZL;HGU+Ioz?SK=z>zI^F8|(53cpv*lhnOj z<B_n7edc1z!|g0-LZG0Fv{NxS-h5`?vtJVq?D?M5ed$zJSD0`1s;vLh4%O%j&v=z8 z6uieLantlnpHG_@ocHP+@zC!!c(wNtzl6@|d#fd6?Gs<y+2{6^eY~>1Z;RvaprAba zsN6n2xl3&NbI$MPIeCv)@_XEyXU?Ig|L@(jXj|Rc`!AJ+wy!%p_i^><`ZR01d#nDW zZ`=Gh-Ql6}?Z9)(eO*s1skT!wRGP>5)c)Mu=Zajywl2v{?JN?HGJ`q_=jAH(e4Su& ztipqB@m&5zn~r?@`r&)`{?BPo4;|lm_Tp1z``qSrAMaRy&&)3_S?nlX@?WFu_Qjtv zJ1p5Y?%{QtI!AIRf7k5fW$jxIuIYbOzbnwc%icLJ@87{UlkSQ|-%9ZFe7f`e%-P9p z?OP7otUC9{{lZzp`A>5<Ojxz^6Z_`#JLN91U4HyG&VEtV(f5AWwyoRhdizJ_$6lV* z8=7;qcv+SmVP3(k+4D`HQBF>&&}iR+lY*Tmzx)$byXcUt#LMKfz_H__NryonTV~Hv zN4Dfgubr9q<=^|#zG|Aq!y2CX&Y`-&J3L=89ruiVB(YLkMzyq8xh3xJ-|rSaC6%Sp z#V6X2eLf^3AmPN^dC(+4!iBlF@tn9v(Sb8Tx|=7;YH8d0X3YxS?)Pv(JBLh%{<PPg zT+y{23EljjIp5xRCGBt5c=K7HcdwjKLVtTp#9KwdvPuuB+qNprxv5KS{}ubj_U9{^ z{oZ-(+YdK>!|d=y?>DKmR@<o*TyIx-S5cN4Hb*XL+8nv0Yjfn1#OBHgC2enasd%KA zY4c$M&%5bI^|slEo0*<`o9iXE!}VlZr29!ObB>!!4qVrad?2Oj9kVp0_4LP1#ovGB zg@39_al|ZXJaXfQ>_nLW3*Y>Og>7t%=PoH6v6OPL@MpU0EuNuJ(8?ynpSj>e2b)xX zroaPDUMHKyjxEnW8teSuyL#tG7ybWxS6hCZv+cv))hF##F5GKZkvnR9<xlvgwCbjS z8BZ0ZjBQm8@bGgQ-gQ>;`8>g7S(OJ{@S~Xp@3bTN@2~z}8y?ziw*N-_^t~tlKhj># zk@NRRsLHk@BCTf)U6U`Xf1Unt&ZTABe0{xx|L?sYxa&Y|(DVw2S6uv_v5zmlmzrKX z>3VDKrQZJJNe7PkCrvu?w?Ao;<krIJ$C_)@Le#HrfBo^jhr)*zHX;Aa1s{6Yq_*GP zc2oXiZ1L^1`lH{k^xpdC_I}}Qv)`xpsTWRvX|+99>HaqHJcq9i4^(+o;x0NoH03q9 zw<C9Q+V=3f+dMZPKAv~w(wkR-F-FhbVvIgdzHK%$|8}(bf+~dz?_`BMwk&USVwcJ& zsX5;1KJ#u|(d}ht_~ds4-cCO&Q_?@%$a<%Id8SR_?PZ^GUq4|B;b%FwyLTPCP<!Tr zf)+L@_q&gmoz2VNnR_{{{rjC2TW$Z|-Ilp|^6tXv$Beh<THW84oagZMz#8QYg~BE_ zF7?a>g{N|(6UuJi<Tc!I-=ou8==|MntZC}{k0u@S-k$5YFL(LfhF6UTB&9s&ENwhw zDU~5pbX)A!<)gVC8U3@Rx7Oad_<F~Z0_%MXCC}yEK2&pCZwKR5=E)%!9Ucks`aE)D z?$yq_tyJx|OVKT@-Td*SBhlM)C*C~SyTg0&-Q(x#7T4Tfw+p0U!X<}CR=j8OG8YtH z&W*nHW?Qp#(yccis$z^TPqWs#oTeWBSg)5a@Ajpi+wK-IuVQC9pQ&JYY;NtV#zPTO z89l|%Pxjo*wKhM}bMxWU9V!<u=0+czCsR1xO?`Xr%$nQBb~9dOZsatXFvEkn)zjp{ zj6Z&bZ7KS9`{(+cj@xN{T%=Ra&~;(9&&3^2QleT{f16US5u2d3(%VP5wDsbWb%uv6 zqb{XIt)Kf?A$M8nMN7U*X;I>jCLQ{@BW2dpGYXp~WS%YAWx>yqcJaWHHzolyoSA!f z=G}htu<GHqvvTW;^R9erkS$LW$n3vybBFiimfPD78P0yr7Gja{Ia6U{0~;52=7NnU za%Z32GH++@=9$+d&9i1_&Mmo}X1unxaQe=SUAfvRQGf3?aLo)8m+~lC+UR61l~Ga- zl2^~WowLmJbnmUSsO5LJ-Sm0w6LZPp^|rMWGQSouYo$clW-46lX5-qMx!~e)um!t8 z7FdEU==bI_zALw3<~8s1vMH@TtJg~hC|-W$&fIy<BtXJ}xwjEycIiQo*}->L<Sr{U zd>1rBraW^`>Fux+TiA9oiW;pwXfi><iMe&5$pwjL+s>v%9lx_8cbVx$ke=y}^;$U# zr@Q_3pYvDDXzjy1hgP3#7G4#%OAd)5ye7-QE)fN}M7MbHwwc$i?(j~Gx|1sAmv@_M zW|+VF%M;9v+kdLRv2u9C%X_A43Y*mWy4OdNjn`)9T`{{H_1Z1hc<t3f&&1T2OD`UQ ze7v^=<YWDeglW<y0XKf@ah}<93gqW?V4Gw>Hr?&mEi&y`tkm?oAHz;;nYU}An9*8w z6NA&$QW_;?{*6w{u0Ab4DmiKIp4h@7wd&H{KPRsCxYMPX7AhQkX6ogWTe!lvtvk1O z#i7=<(ks17)Jt3AmaMyY_~g&2XSckwIPQ4UC%ds&X3E(u?~cWCeSWOh`}*9)pjMyN zb1xsQ1dYe234(L>jF+cD?rO6PH%&EOyA$M{U6SRRI(@rMrdcfZ5j9#H3l1!1UX^Q? z9TH`EO|I?A-Msvft9e$n9$%NY5cA_nhorXWek<AYR&M*DpF586t|)L?x2*Aqmeh>S zZZ@gUpro*Rw)EDsTc+&}yzR3({?1YZ>vu+-bE@xf&Ae7*zQAeO)*dz?@5}`oTG*tz z!OEwDl&9?gDNnz%)WG)Lr5DdZ!KzyX3RdpSgiZBQ8fz9b9&_BDYj*k7J5cz4%)4^) z_^zX|&yMu(Hu<$1lup_2G;qzlRww20W^v=rRTmwS`)`}g&g3lxMX?qr4%T*fr~MR} zZql{`q<l9hSzmLL@_4hP@erR>Mh-Yx+kujv>3L8xa(<-O`n7QSv4HKltv=baL22}s z7jvhsNx%&s=3Za0!UG_M!Jwpf)%sn~43YAgF6ZW|bI-hH0`jS88=KJL%mkwpsf-?Q zraA};ajEAZ4=gX7erW5C({se$6>6tMDTCc!AmzccxH0nP1&77kCuiRDJ|L@pc~f}9 zK10_<^*(_WPgA1Ge%*hV64iRMe#2x%QMLb$OY-!VEXfmFv?Ont{_~8?*_m%ktYUBb zWOq&u%bcC5+wIM@`thV=vCn<3wEC=`fB9%-!mfTcQ2W@Rg-z=79Z<fVSKOC5J5#m{ z6if?uEGbaX%e+$#%4g4Zf%2K9$%Glc%&n0o7iPQ!<uiVertJNoDEoF)wYaj=TZ;eg zHc_LslHinM#jA4cl0%{vugS6<xtkBanRwgG`rNf0fwvpCDeGzG^m|Li&Xsf#KXxm{ ze8HiF*)GhTohAV@9GH7Q=7GwSJF@v^mv6lR1yF3^^h3*boc7rV%9YoW(?e&nrzO5v zGvl*Ek{s`urY<%q?t7(=HqN{z0gBt)5|I1bcBHJkQRtbx=JqzHWm_-su9%RiyQuL< zlGF^InQT(tpwyN<1(Yh*f>Xt=9ZNP??^}2*102IMK`|WV$2|GgMTbN^UXw6zk!WD< zGCT8ar?*h`<4K3wb}ZR=KQ~(Oa@1S4kP}<3U2sU!<@HJOWA6R>UMxQIZGrTgn&RVq z+jP%ey}`F_t)XjTw9iF}Covnjm2(g8o|1R2I%xV0mse_)Dy6-;e%)^rq+e_~(YG<y zZ%bLn+dfUM>ZGn?49i_xKbl_bTw<`NZPKojt36^9Emmgt6hx)8Ut?VET9zVPxM(Z$ z>kOa38<N3iZk^8zObqEQQPSjD6}TjCQ$kR<g!8MkRYg%L>{k?*yO!CkEm*Ym@XLZT ziwjhiWyq99g-Ccmp5wvgoN2St+ee{vvd_d_$5fWPmL0rwx%2G0@GvXgO{b?mHFSL# zk)v(=yC!7M@k3Fmn}j_RR~ofmQC&7^*CCUj>oeYMIiVN4%W~t*&{HdCC~drwIWcx| zPIsk=n6<9fnt(Kmi6UYe#-6cBVk@=JsFn6wzQ0z_eCO58^m|uq_phqt(*7Ip;Lh%^ z`x&%aHDl6O9N=y9nv=TXkZxPnowSSw>wj#XbG|#ba@VaWyvWZS^Xag_^uGp&MD2YZ zuaZwIILm!|Nx^k~)_0Y^&;MIdX6?*cW)PC3?krkn7?Ql*KBe<_-HHzf_|JA7<!83} zbXcJOufZW<d!NS(<kL2+6}e{Hc&g`^ZJma}yK>dP)7v#=wBO#f5w$oM&?(FlYH>24 zH<*XF;MRSG&Ck9#xBjhLQFwr#Ip^zPf%ZQJhZ6059(Ty66<pyyn_=^~oym63-*orK z7t8;IJdki!?K8geq>|^V#m_$Tl*#vhFFa&tZ(_M$p3UMxyCUDO#1`ke8OIXs&pbF` z{nh@+nM1R5*3C~j`_uYUaMN}9vfmqe{9o5+T-fugeHGVluP4`XPrrS;iF^Oj&I6VF z6D^)Aa;4k4JU)~Ex_)<{&!+p|?cX}9HGfp>41QlRVJ2rybmp7|6Zh^co3-x3y%g)m z&d=U_4b8ARynX61OR1Z`6L!Dkdi!_7Vmp<>BkdyRet%n9IOE=_dz-ADI5T~&@p#0v zSHD{O^@4nDW8X_B^vb{go^ZfU-bnd=`<a?Y&T8e0CdMwl_ffHNw_VC5M){zM7tT`r z87bn^zSnp>*v9V}vxL7Zw)ozs+@*z+-O5ZW4!5(c`#s@^seI6mSI$!587b2XroSk= zx%Ez}{G=UkRtF?D=WF+Re+etQTY9FQCGF>gBSKa2Wu9gr*SZzeZQXpmh+i<`nW7N4 zjZ0!*d&U{n=F@evE4J`+p82l0Sw+=a>9_9V)_rSD#4eurb|gt&DB_W`8jpNXgqwRt zb+Tpe8=HMi>n@yotX=DoG?jm5>1O`SH47)sJ%0VygacOclOo<br%m`V;aFGsip|H$ zj;VdB*;KpnrnAbnijG5J{1<Py3Ek$uZF^*msO^z8!nQ}&2-><Nn|i)kx@LVlN6#mL z&WUo13=TP)v`sz|ceJgt<3IraM2Sa=T+OyF$pW5lSaTM%bMSl+==>+Q=)!qtlV1~# z#O*#-(QzPxf1<>5MXtxTF3C)uZ&I@twR8A<66icBx5(hAv&phaN8&avtL!*X!ap&> zvoE)L=d71E-5#IIf91Jatp4o3=(}rPtuMPW|IB@MJvBAk|4aUP`c~e3^ZSST$Ev7s zv-N9Mh(=89Kcy<P+SVnpw>@Lc+4ZJp|GzEWpD}gnp@)BNOM0(7aOqDs2j{FqEB+|& z<<z+_{jgB2#hMGVJI|y@{WNEr7Swu_$8>sR8(YMQ3j)CsQi(>+mv0=uz~eUg?!@}( zsrr1}UzQX+^qnDWp<^)b)$3hT%eWp(WTi=LdS#WhES-<L<oSjpm9JRV9Os(7LT>G0 zp?t|z7v^P7b+c|`t2lE-CP~~`c}`M>RI|jagG>I*Hh7(R(fQNwC-+-k9(a@du<Chy zdF1g={L_wWF-=XMFWZ0l=XUOE3*S865WK_V71Q)j{&IyS#jQ&k4-`mw^ekvR<RO*8 zv%s;TGi6KKS(jI7KRsThJyQS5;QV=gp4K&IALYM-%O+Pz8GG0^&s<uy)0WHKGd3YP z(>=nr^{a`2r7^F{wMz$L@-h|_PGe&{H{qh!8imr<sY}*voO^{iNBOHjw5RPMu^|6s z<CW<f6#pN2wkPhp+L=^2r47>+p5Dy;R?#uD%llit{L0yfHvhTJ+Fjac{d0M(Wfhlo zhQfxqY(lp)7Hnu`lbW3=kf18L_K0v$c!kR=DSl7e1I$7Eh4Zf{-%<W*!Z*2!&D#5G zQMHKKq+JKvF7<7w;yRwGP|(6Av^!%#K?j=@d#1nx2FbNYtb)QLykDiM`ay=_eV63v z)h?NL%rofx1DAiEPL+G#`Oc{IKIZ>-w!!%)^Jj*D+<30KL(=)D`p#<;@34N&``5Ym zYth}=2IbG@OHE~edec1d-3j~2TlrG-_whfnK4%~LbyYh@%t`xDQ@5asht5prZNJX! zp4+Z5=d*zE^lFcW*K$IOivLV_DnIwuLFY>qPgm+TPyfg~xw<54`k!W=*H0IjC(i5e zU$E1C!J`VBsU`CYx(<Kj4!@i1W4JqI_qC(>hvtNCiVf30KA|G*wN08x^XK&|3JNTr z)ks*kZ^}P3$9Dx&xxGfHYM$^a%X1&=PTIZFY2{v`S1|dCD&NFiha7{hOZdEEv^sWq z*&4Y=k}vx|*w{V!?4M#SWxx58e~R_A`fX7?OA5}mv#hInH96v`BG+qMm*my$EIVE+ zD#}%NykzC~e7vbWrNH+8jjc8t7r!X^XB%qT>Yx64+Tydp5q~tBS(iPvpR=@pYjUKX zLF-eY;K+3bT~DPh@BE<ZRveMY({6gK$l`znU%SNlhaAEdbB?+2%~n-ve!KahrH;Ym zm!ZF>b_nW-zc^CVc}^$%#i63!bumALHe0MQn0;8P*g_^juig2~<ARo-GJeU7?Zy!v z4|m;WN?TL*c!NXvs_@Sit^ahyB@PsIHtK{+94RX9d~j&`i-U=+^JK2QIQo!bucgc) z{&wY(Ck0ZqmUA5K*=BFFYB|j0JTq=<^4G8GGU647i#oUKgl8Oo7r)q6eBTiRK5d@o z8{F9W*ekwqHapuqFK7{$@q4V=Zd~!z^RMonJJTE1#@O30-=J4-y>ANFn)>DYvYKX@ z+P1Tn72Q~)W4UI-?88#(7BUZXFY9$4<q5rUBB0lohj+uwg^Jq@Ob&&$E!)_;P%`Ix zuyM)lr~4x!yjXATfBn|Wy5Rng>RWxc=iRv`v=>ye9G0q`aX{6|AY`Gkv#FJ#i9>o@ z+lxaNIASGdxtX`6U6{Mzt8fWdt)$k4{uifgd>>jBN_>uZz}BvsR}}G3tlc!P*y4cf zqbF;R3;mrLrp=SE;+R7lo5Ya|0?m?A4;h7vrNSj=9W=Gy8h;~w#R1#;@LLCe%{k1Z zZ=v&X(qXRk7HdAv;*5D@=PbI;Amp*4GqX+7ipV`iCWnOEmVKPEP|~LQ`R+~j)35*e zF@2l;m4BDErIz}>jnC5Bzdqw%@~Yg=ujXrKZ+I5GexdK`uwxsJqzjaquK6lmWUBMm z<hN$@+=F-ja!q==E!uO#ues}#BJ*}%^>bLe`u36Ad(PyaxVqt7`ilF1i}ovqAO7XP z*?daR!d0ocx2K(Tt$fO_Df8Q;n*C_#?QLeINzxk|4-_UbPrRLAy|M8KXA<+w+X?Nv z9ewqdIKN}FG~IqME{VDE@)5RD#kY!P6D(YF0>m%rB{8>7KEkH8{h(iZ^R=_Csi)I4 za|+E?1Q$!osG14f@W~0d-llwnO-Q<v_tmX}88;jfg*Q6JO7rcKzVgKB)~mb;!Nt;V z)XgT`Z~z&{n8ZAJjga(})-CTZ^Pj$_`9FHKNZ9o!|Ac0QeV=~h&#wI<Vb}gH-MHu7 zdWP&*|F|}8d$*qBb+(=6?*o6XZp-|9OJrGyxEGUhmzR)ow-?vqE-$IY-Cm5xM5a3R z=xVu2g!gXh&sn)=m+$GWQzF;46|ppiu2a}}M^q$jXVWpaaE=YPMV)fWS~+G%nQH#7 zb<DVZsjm9N3xCx`hZY7I9=R4|c<9x9JFV*TU1~d{Zms&4-+1!pepR(+|HEcX`5&F^ z_3u4H@aOvW8Pon-ANmsZf8!hbkedI_x4TY(mY1a6-<q4dan-Ke%UxZkuC2ZO?`ylf z)~e_&Mw4aU)&H`eUMp_AJu^1=ea^Kl+g9#8V4vII{UDM#M(DisgP@8#4AYOT_5Z(o zQ-0<2BYRUOAG(_|`Pkf);0E@S%LMo*`wGgb&c3u`ZqBI(oqK}=a<XDCZdsZUeR0dw zlLlIa>n85}HtkWGsi^%T_s8o`?bAp#ow`;#`{HK9$b4Vv;H=p0xZblYp<U78X72gB zZe6Qi`D>q8?Tfcrz81B%D<VaI{R}Y8s}AVC_Tv7Hl<1`^e6=qZPnB>m4R~g~t~z<q z<CJxe*#dQ^l**dVShs!O<F>$$r*e+(&2b5v{d$Mrm$Ge-t*R%y+>vJ&(z|c|#S<kl z;j12})E+NgD7E5~?DdJSET+ER!54CT<*vSL|Lf8B3*W9-wj$@a?J_IBtEG2lyFDq} z_Bak?K)78<@5GSfD|3$T&2TB1v+Dhh=e-?luWF9lE^b?OuJlgus@7fW9?!e*^;-Fz z=vA6lw+k1qc)aknRi4V#hgP=>m2FOx+$oS>b<AMZ<C1NU+k(>Ptb4yhabxhRb;sZA zuXvGsw*JWKWB-G`l^Xuu_ai7WQP*1f|L;xp-=g>ZKX`7F=9`QT--O)9{{z11cWx88 zW_m)sV3ng?=VRVanlc#^{2xa&*D&^(oe+QI(Oe_QXMSS(v4w0ujc(kVa9C~QTE(j; zMbb=9s2gl{wCj}Q{iNxWKfymas<}pOZ_Wh$BQMx~D*5D1(C_u+{gi63QL)`OG23}n zu%=JO1pfqA=d#rsmnv>!m@S>ey>X@DHV$d&B=6*q#cVf>BJSTfs}ma?dV1BZPg*+u zW}%C3r_WjGdimPcZ*qQ*>%+hG-VeEZW)0JGl`58LDpe|Co9Bh)&I+B9Eckk5=C@*B zOEI&5&o`~#BUf$t`q$0>LEql*>08u#qv=?^Ms`TfmhJO`Zd`4dz`W;g&jjZ!i|+{D z3NDh8)v#>t^WCTWJ9y%qHH)-nJ8j90i~X3KYRuEMRI)Pf{&Snxn<a8?ZpmH!YSyhc zOUrVF`E$aq3ca4SBm6tlmb;tpxMyq4Haq-FEw5<u@|`NF&cfdV!s_lXD@`q%I_2-_ zKNAnVa_K*?O6^^xPess-NjXN@e^VwOxsfvY(2kVJ$7ZAiH*lU@CLlc7SMZqn>`OCd z=A23hdlWb^VrIm|om%Jp%s9_@nt7h_HPbxfZI*e)-;6b4LJrqPgPS6WftxgYkDgq{ zGfhQKv{kfIbY}OvODU5NY&x<xbB*U0mKjrCa2hIK6i%#Kq~4p^Ige+VS)sVx{p%*V zfj75pTC^zF)z>xE*SD1SnaQWfGyjXH-R!-??Xz-eY3OPBS>Z`xIgAkob@#8FsW-ON z*|1?^bzngu*X#(r4HKUVNk^>PFz>0<>j=37-P6u%5-VG->i8!!oi>&@R=WO(US6?r zEpO`10|L{$dD3<sa+sFQlTg|4FXppISy4nXdpmQ^^Ma0CnZ6BE4`=2)EMQwLwNo;V zar?jM)1Ow|64|9@!SYMZLgkl=g-DgUg-MlZ(Q=vN7uPJ?)p~M%wOSQRl}XX_ltYsb zElHVt;7H2kBOi`TP}!wkC9+Gk%H)@76_bzumzEjRUUV9mUYvYvii<znWa*u+F9n^C zpKZ22tL@`G@79lhV`4Asv=(}bHE#42>ooKfYrW_x*6ZpyjX`;mj-s2{ujI(dO5UNa zm%~=R2}&+|W0oYF8Jj9rHorFVTO{WyuZ70EELOE{Z;$<(^QAI*7KhDefzIV}i#{B2 zHj%6LXmFPkdbG9OMdDSazm&6$O7p>z=N=_X%nilYI?5>-TyR!ltLSj5=Qlij%bBU< z;{<_P8x^N~e$U0z+fyz~ekaq)_eD+Z>)VHlOm|<NGP!kG!NFRprQ-Gs7QuTL6ndwd zoOr;>xhlAVMTp}L`&Fmk%@??zY}mS=WA6(^q3Je9p6ETP%&Qlvy1Ci6VYQslqgm}P zJKiZ~-uW<r=bX8cwfiH^yOwEJ?kv(aUi@rZyT_f60<F8{78Ra%W|H|jL7>`J<&Yh} zXY&5`l!AtLGOfo;p3ev@p0Msr&#c2GsZy~S0uR)9oz5)v|H|<>W5Tf-sgw=s-wp=z zJ;{?hr<letac{+0RlPW+@{hCJc}f%Y<3499Y-qUCySIY(yFjC$oRZ-wXOVNlhc5{A zUYwW9)bnwIK(ejMAq#%b<UQ>v1{2@Obhds`OOx>XB+z(LPRa17v&gclj$<bLhBH^R zx6F8`D7fw-`$3+=tK}vg6n*qW^xGrT$@h9C+or!fb;e+iOZc*lGwfIxr(IAu5+vmk z;nr+2?Xp5Mr;362`prjV6y6+t&0}~mV!|Ose!&}$6@~h3TpliL&#?J0f#JKY$`Mii z&J9y+lD<9Kdf(aThP#}1@kcA)_s4o>?JY@7yYl9nKxeGnq74U~P5i1o8jR(H9xZ8i zv3RJMDf49lPuk_ViwnBm$rxuHyF7JfpmE<ipT{b-b!--n%>u_W1s+Q9Ub^Ai%+q&d zU-l&Co!!@WRvgj2b>n&mdxW%nmKY1$oCOXU2MW1d*kroT+lwu^J?mr14QCO)z^OOe zpUEzDY)}q~mE1l3uiT;y{j1K{NxWC!Wy(>0wMn0Q+Kso0T)R6?ZF<jn&%2qSdd04m zyQj<e11%gv)8rd>O=e>(3n=y6JzZLEQNi3*XY6i#7UT7)a=W_pO`KkJ$05&EM!(<Q z$u_yL!>gGge8t?1-M@?F78Oieb;j=UXC+<}y$cElDznrZ@BWqI4~*~)O_RS}Ig5?a z@1jDl_Z2^<-M>TS7Hw!+b;fRUF*h$$&QeDy?Ui#~%D%t0bxD*BomrdkTuqAQhDWoB zS%9_S<!*=jY9<q3JXcJseWfVHJWGJ_#>p&W(V27C?79@a#Bjzf&tq+Wy0U!A>Z)HV za_QT+Jhl{_Irk@9;KZ9V*W2@6s_=btWZ%``2OHaGxSVqKQF3LOR(tiiu@p;%SF=f8 zu(hDshTU>WVGraMeQ3GWJ2zhb!ht8{CLWLFwhCu5zxI@=^H!;<=x{23bRZ>u)6I4^ z#;VH-y>VCkrtq%mZucnpCeZ44CGSnj-Cj0EzKaUI=JRXAzdct9_@i+9jkZ=;lPQNz z)9-`ccNT2aIymuQ>F>uz`<;^v<y#L4-CMA6iaATfF=;2A=e&Yp1#G`#m;T-3_`v3R z@J+@t(}N2Ol@Crln7VHJTj7W2KNik<ZIOS$;;=MR%mZFUy-#f%(=D27KmFpnr?6r2 z>y>ZT6j~fqEL1tTu)yTt!a|*ciPP9P`*_wB9KT{0Tqk~CnrnAu^D()50tx#092@)1 zT`UerzS;bS{WDwR(*5aGTGkKG`4xDa@hZ?b<5Q4v#-o5`#=Hk79@v!oynX*>+Mju* z8amH-rMfGe8(Q}$9$~sCkZ6~_A)kH5X=#?SLfcdxO&$LNjvSAI8AmJ+CJMb^X+L(0 z#l69lvyVrw(EaW{$wc-0@m$sw&Bw~_C5S!Vqj)6jo<JgZ`iA@ziG$KCzY1(cZ!Es2 zdQkDhqu_gr7d031wf1rH_40A{F}xL)k&JoTx2sm#HGkiJk*beuz4P`cI`H2USY(~w zaiQ1v#`c6gk7i}Yw|$&sowU&Q)#4rdc-{ue&wMc9#q0+G67wEhkeK#>!@%U=#DkXm ztj|a_yboyY+~b(cl+R%>&%8zAxU^EwQ{K#;oI_GZ5(g#U?Dl3W*u7!B!OQbsOH<Cz z_KV>Yz4>`ra+C8S=cdCtX~K^#WK0imZ`jURno=;?I{!^|^skS9mu{G7p7G^@k#2SI z3hR81i@oM9FHT8cdT~hFNaEaF^KZSz`a9BYiJ!N5{>v)&{OpH!KAyVwS!&vY2@<m& z1V~JJU~sv->F}DBLboOzjC{9eWB%>RW8bGA3ck1C;ymlLZ+v$p?g=EB<aZc!na}vy zW}ad&1!Qs4x}vjN)^qPV^tJTZJoD|sGEC({GD79TGF;z<WTd_e%P`8RSQuFxo@@S1 z)^qyLe?dErNSo+=YGb%w;oR|hkK!TTdlQcN-kWgH;Mty&`#3%*20MQ%ZRnq|Z(Ey4 zpPB_rnYx8apSp#JpNfTvpPB{J9It|uf?mt~P3s+M&U~MK$nf5RjqT<vH%?1Cy?M+l z`0aC>3Ezh{9=@WsH_{cA%?Bjct#7r>|7Ccc{k4H*P?K|#Lz8o2LX&fHK$G%;4i<Md z^Btd$-CJmL`up@lmiHDE&NFA(aa7vrOVT={OZOJOIV*kX#bIfq7pEoP*q)mnGvS-u zbM1F~CVtx^Iro8p#N-DPD$cICwZ{3OZ<F)m+$Lp*-7OYII}Xe>|EBjMd*6PaIeQ!z z8`pa<^*mcA_)YJHL!G!F+s8H&w$E)mZ3S%A@>{j@1tJc9-MZ=d*()sV2ez=ZADP3_ zerO3x`>{PN?hUD+v|IGNckkt0liusMhVF4pHqGZSXtB<JGxwTr4X>ct=Qb0y&uu)* z{@nX38olwL-g3UpF^>dJi>fs}mYN(Pm&A2ic}?05-`D<|Vv^WSiyqq)ldN@`*(a^C zL)qv5O`bC0f7dtF#~+CfG0o2IR^?xAusGkhd9M(+^qbI#+X?HB?P9BD_Q~I1eq;w* zwQ^7X2J_yXyx-Cc)-R09ytJFGnsuA`4ei2J2jf~F^M1>c$=YCk;2>MIb58CC^F!O% zs?Q!;d+;7lp6Qj<K|AgxtUqA7!+)yIS25c&PrP)xH>5rHRG9wI?%ZLiqImtSU(Tez zJ1noeb^qM;w*$ZN_$G2sie;KD{b16K8w}GAwXy9mxpw&PP3?!1A|~Vn&6uBaX~yIn zE(7bEB1vJJG!LCg36{D%$yd^+<L{~Eq22q#LZ@%foptEu4e_O~I}iBI3fXpK?G5os zuRTn|j>*M`^S|L<z3~*kub*)BwS?EVmYHtNjk<aH-n^yB(!0YqE8RKt$#CQSe@}KM zircQ)w99l^#SQ+o*#(D>XGK5udz0w$_0929`$J9E=R^hf?cR9pnst=v+8=_~Yd0+W zq`oHe4d08Z48B#hPI0Dk6=iYh1`qFk55Kr(S8A&1yPvB!umvA&J@&39G<xpax0imN z>iIp_SL)T&8y6GSANs~tZMx0$hIB%9^IpYmCO5J-J!7lBeB*jTKAXDKE7P9`KeAP` z_L<($F5Gf3u2mTn<aryeKVj$n)@E@bA;0A>@3&<eS022_^Udr^_NQiB-fvuQ`qsW* zbA9KN{2tqbr=_^2{|WTHb1=SS>xvV;+l!@lT6e_y2hBJx&GPK{yoG}1OExGSRNP>6 zP;sN>!G#|T%9;({U8tDwfTKYDpx~ptCgnqWS=^<z3(H8_^rluBwtJTRcxSNruyLJw znqS4d2NNnLJ_x9o`QSpu)CU|NtPTo3@@-N+beqLp>a9?fPV=`vGuIh3uRp}|mXDLK zvG0$ciPh&ehVDw|4%I!1hl1`+IA(Nj!og2Bj5Ctj?raXWGW}H>8R`1@)3dX;r`;}B zd-HeC4xNI#n%*(Rr?|rBZaOBqT;{>1V7|hWn(jLSHD=G$Yn|;E`QXuWjo&NQ#bn<< zS#n#H<(<g3ANw-BjepGDB>Ae9_f7fZ;2rO|nE37TL%tPGoN#F345b2-Wgar!nrX6f z!6x61PGL|__UZ^WR6X=^#-w92XG}U6xm{3*Kel^j%(<f?jh_u&A36C1?hp+&srq{` zl<7;4ref8RDI9l`y`=6Yd9lU*e_-PDaN_P_<A~imq-P$yX}Qd!q)U@aHo42KeTLA3 z!kl=;TgPn#ZylXta_`u-QxAHpRZRSLh|N9pdWO;mtz{m2dNjH8le&(5o+0!g(ueb- z$ugIUM{E)a`?Y60IU}khQ_^a}x6_HOoqO?_KkGGbiSlgIF*qWs!nV8VkV5!{7q7V( z+jcp1B+fV2`@KYAhR21AU;niDt^2^;X1#FQ;@Qa>;t~(Jgp^|)6Q^ir^pv$SFvlt$ ziBP{%B%Q^7{j+e@u0#3~&s(Az@AWNoO4y_A;Zwlc+PQ9l;Ym>@pR!hg;FyI%!Q9U$ zh^~rCnzj0d>b}eSxNh$g_mw$$kGJ~T63?6OWmo2U+hjd@^IpBAocRaq-??=eZQIX@ zon`rD8ErCI;sF;IcdTRbR&ADu$6SintbDW5W?#AY^-l}mx)0pfES+9Ut@(e)F3Ljv zN&cth1*X4`Je;9Zu=J#OYOi;SapmM)2Uvs5ucrouTX?>by6$Ovz&D7$u=|Sg9ks6} z?<Q2Sm9Na3u*2u(S<^RiE4lBemv*u*$-5?dB~Mf@aMLkYFTR3#lbq))d&03?<7Uh$ z&6_djXV*l1OPrgt?DBG7F6IO0mPvQ9waoP~w@PjG^@~j29L%@jsAl_(D3f``pI;QG z_uD_*82pd*ww*rL1{wdZjWeCMi@!hMv(S3ZrbB+q*K9nk$$sPQ6z4aZd<NFl-wI{y zA1)O>s2>q3lfYUfdi(4d%fp)9XG%_SZJx8~Sk7{pguY-t!|R&iNpTl#Cd@T@ZrwD~ zP+Y`Z(>k%NRHRsG!RhDor`ATTnep<ITcPvWoS&yO|2Mrn%A4PI?r7u8l|>VSr$xM( zB09|?wRfqX<pHDRGD($%7jAlf@-1{o>#KP?rT$>oBdIeyJ2&mSqqP0mi%+hFkqKLZ zr%61WBI;(9+H2@%c_3oBOwyd-K7)k;=hj&+vra8uRTEhLB<jjq%V)cq4$L_umexD* zP{nc`!;6~QJq4$vCeJNu(DbuB60%$-F-nnPcHL5g%c3l4wSna!uUbTY9TO?O*rO>F zo7{D%Va6gugJmodBEe2PeVT%8N2Zvx9h<__wzGG_i}z7y{@B|r<M8Ry6uO<%b?DlR zMH^L@vD}aecFO6}6f`?F#l-B$6rO9ldjnoPi#j8f7V9GzAswu8?TAS0RYTY0P#;bM z>t!twlEF%AIy5tN`ZXnWilrA`yt{RV>6uG2ls2d=o3T=LnTAf6rWALwR|Bh|>XAP) zgc9dTCp5^d6Iird+vUYmuFNlGtvtVK0?Re?0!uXg5<kgv+?-QUzrtHr#<Ep&NnV&J zWX*fq#9hY%g7^(uuAGff`O4AkVcXldWZprBRnlj!xZOPK@z(zpQ>|z0BL0=yUlx~T zhb2r|W7s%}xo3GwvEqU!9LbuNy@pff9DHGaB{r`&$7t);`V;<Fclw;w^p+_(#l=2n z)3LhcG70m8`8IC<C+4y(=UlW;sf>N%vfzKL)m_Xw$KNF77;U}l7nw9Y*lgyk;A=M? zPGQa|Kc%=$lzqn8D`M7t^EaH({NJQ|lrb!!&d_Y;t*YfZ8?S0==aik2nmwneq1Vsy z2>0@s&fQ<j=Wa@!yz*`_%jA`J_49(vf}<a4Jaaj)#r{fa*4M6+SKO?se{mMi`J`VF zaOXqXjPM@cWo0Kcy=_WParMvHbS!zf%!AHgzK>fhUgRv>cA@Z33#;ExaT{&D**<S8 z6(5K_i<uvNK=;kgy5pMOdrD4mt)H{$SoU(62fe|3AFpYKFN}R?bwR28oqxHEed4rW zv)5nsyO{Kj?@G!!_m{7A&#G42@77kSt$u!yi#G@J6&zfte?)M3OzYxSx%`RJ+}Se6 z{UbnWdgCLxbq9Kqa*iD;T&`1iNOShny}{Qko=#z&Q+7)6oW)bGIi;sUEy5+Zw%rkW zE_<-2_wZ5QGkv|GeM!fUojP>mgl1aKm$GkPgu^VJ#usedp*$<G($FmV@uc8sJKjtY zU1yQnyV}q4fa!9XN4tX89qN7LmU8@*(eaPM)2yDx7i`{<bL`aGN1x75-SM}b|Mb0+ z>-5!bm3yX#iwS4FVLy1bJ}3I#v)}TY-mhMp8T~Oidh_m6X_|NbEuPO+@^|uIufFzW z7y1t~{k7CFY&gutY`NxQ>tRN=Vv8dJeC`sb9-6cjS~Neb|MY#Oi+Nh|<jdf#L431Q zwcADAif`y-S>{~ZA!9a^uU)z4d4bgVe<vRB<QY6)=~TWfZ?nX%lUH)X+_ulyWm;w6 zZtURS*7oA)1&+Ovv)ts{(k^`W|2Fe#W!AsRdvg=yeQW=F^9$|xwe+uDyymK78}#3r z-+kngqFwVMm8t*4T+ZmH0aeC{t83n*GWoyIYF$~xv3KT{P`j^2jp-#*FNIjnxaV_I zYku|21Khj3Qdh~i<S)yes=wFgfoSYfvrxVz=G-|`m+$dOu#ZhmSS9129Q~M4UdX~@ zp5wP0U)0S{$<1=MQz@9S=DggDdr#bMJ}x@a&eAvO>&?ZN`tN8|2lV}%;MsQK*Bix` z-g#4OmDFoI9;iglx0S0s(d!g_-%ei0!uOi^tY1|Vq+Pe|GqzJHn6^gW=<8P{bFbUK z&i$NlpmNi`Ei2bs?+iS4t^8m+i{7NKsXn!~`_Jgjecdrr^X>H;K|5yce)#AV)AfBP z?s0XT)Ra*v-R!4S+Ie`%x`L)FrhOBt8Wt~+dvt5QrtP87Apgf)E7J>po_8_#h*@5i zy=j7Xh^gA&9UiZQ#64mkTCB{TqyClQxrgnM$e{j$wkww{d|ye<S+vVBQT-~fTA_t! zXtGh8aEPhY?iIzl2lBl5HnvYvezW+A$+zGlw%hxE?BS`rc`tbjKi`he$Ady2=q>cR zqa4!uyI$ws+|bSE-`6;tWa8J1d?cu<ZKF5!?fPr;12!E9_A*P?Q`NT7pZZpRc6`95 z1KwWKDqK%;t@lp-{rbB36rB&#CaK=~+c`n>*7Q@~GRtH&A{QvDdY3FpX+5`eQ*GKU z1uwA(?~_8WH6jyhSLVz=v$b&&t69*dBWhk@H)6DIFE`I!n9{g*iq6K~Nut}PpZcc0 z(pn=jL0#2*&BBz{yGu9y-T6Y!OYDaCNul2wk%|B3KU#d1Z!U97R*|XGR123CT<zXv z>TfIToldHqUX;?AJY~&>)gjv)&o54C^qit&ICGNdvMHy^_V_PNY0R9WV>ow`Xc~xr zeo0DW<P;sl*^@+{f%%#<O_s>M*Vc$kvQ*X9(VqIYBHrsHleb1>lA)@$juwdTagvE! zBQnWORr?G`e!lm~v^~L_EZH?9A7-q~IsRMF%WSofmzi_>BvHO;r^@!oFG$&}vN*-~ zo@QiX{>iI1=iOV*5t@*9^29dv?<`KCGvbT>X<Fa=KE);ResAO5DLNawC;6I%YTf?0 zuXz&dw%|=Ow`)cwrh1-!J0T|ElAE=XxX`L2N=~5>F^g_J+|d%iI!$QR5hJJ2h`2?! z7Vc;YU=0&mbwtZ4G$MA<twf870j%eyewiuTvYa#Yp-*R4`-4I!(@2AbUUO7JS~sh% zlC68r8Tx=}p;wGbNUOK%D%q;(oS_f67J9{~hO}m%vpskyePizFw+5CUTIX}wB&|3i z+oo0W=aTzdfvTr>uk|hYc4nK9ti-AdN4?+HY`(rb-uk^v@`@wUZCZQ223fDWUAcJE z<KL>A*Lt?i%=Ptqv$X6^dFl!W^ER(LU))lkH@C-SJ#UTX5#4b%pmR4*=#J-0%kEVi z2xye%nObospjACC>-p5>JfaoH0y@QcOkW?+e{;L%b*AsqpX%lR@951A{#IsH_J8Kq zD`DFYowUDc?pCd%6n^%i{b#x8^PJn{Ca!%R+I0Nd<%xHvpSiZ#{9y8H%fs)yQ(y0# z{b1wQJIS_I(dYTh<mRq@E)(rPVeRw6rsLN>w|s56S;e>EW6RB|bDO`q+^m|XT9ISx zAL(xueLm4_Udgt3+&Q*0b8P#CY_`p7uTS#(c_;Hn!}c3d>x!!<?F=mJ$)0+qx9f3^ z?aqQc^@<!@^GN@^5ShfsCwsfPb8L48UhG?|ZmzX!<Ged7ET%lz_%$X8B;^N|YW(`d z?dU<4{<Y7Ir2X?k_IQ4{Q5Cm&-klXU&L5jBEgF8Ks%Y^eSCA{`SU)XZe%|k0)I{s* zb%oVII|Db)&7OLyw`(=XphD$?$**6YX`N#|GroxT{M^&!7T)hd?j3!rQLZ-sQP#=1 zC-N)1*Dkf)zW7e&p8oAOqWp@jPt83NyCbUTc|rKON!G33KZr%2j?|lWhqGq>_8U=o zmiI+gocxz;FY3K@?FyfVvnH?Ib!E+){afsPmS<e$*Ik``=G$%|87AxfTlzOGlv#Ur z)qVc6xBj1gq@O0T;6&@b-os4M`%88$leo^lU-hKYhMSk|m8AcvwQ=cuVb$35QUB3~ z14;1(O7j0FsdOI*if=h|Y=6%}rqi{Ir*i&iTj*@KBtGZN@%>sSl{VZGU%2Jt`ot9n zqT(C0r2kv-aqE0wUH<7~zQ-nxoG-2`a{kPn^P59Y^u>!B!<8Mca{kC#<Xrn*|Al4w z=a1XHH*xIwdUSp6pL_|e3wOm6CiK=Dw6nJTY~ud(k>7U{2hYc^1qqM$KkByM@G<_| z#hf40CA13e8taR(z3*jdKj3@*$qd<D+y4YS*rvYi&Vjv$0~&YF+rf4CTU?X#g3t0V zA8cwa+oJyI&5XB?EaP@6-k!RU>Fz&|<Dn88*4s`LKbFn!|K#SwTbKD+t16RD^Ip5h zBl_ZT=N^NQ-=JBX%BItNSN_#$7#t9e&YQuPvf_Y(e@v_6uLGB#w;EbqDt1a~e<5Kn zBJtXC^5L8(k0rDW?g@v_=&x~Va!y#JzT<+M-AR5{wd$nqO%weSR~*prj|sH<l*!50 z_;TI@CF!3$_98Q0cIwXUtr2r(O{;$7^;~)W<Oc#XUUTZ@D$jr3&h*)KW9p`f{;4Yt zaQMf}ta)-+Scd7f?ZMQXC)Ixg68v?{CO@c;zqf7MrqZ>4_au7fw1@>yK6p%TGoPuY zL_6!Uf`}xucG0xLh-9^P<}(SmR5x}m<dT+LwXty_<27TGBUWv05vMPhTr)6fz8aTh ze|P4&fX2*w>(1=kkj&G5*5+7Zr=U!j#L2|oKp8%RS(($1gtTe-q^&rn(#9rn?t*}@ zgjAx9^JR(S7kG~SyJ#o9-C@7}|ATc#iIXPldngqA?|ziORm=gjIPpPGyT_bw0<FPv ziwZ9}Grd{RJk7$7xmDZb!VaHihUpmskCb?oViq_`J<ptQ(DM1`MYmps<!(N{w)L;A zE7$k`Z<aHC{})r!bbN(p)c&LmCo3#<F3vp6HP>Rz#fgU*tqLq$s`=bAjz2WHS9EvM zLFr}ws_%@Xy^X^xa>C+erd1qGY@IH1t>VN(27L>eN0#l%HjfLW{4M7k6kg^p`p!Ul zwTN7C!~?<L?Z<N4*mfMfAYd*j^^nc^a>aoQJiBT`%hmtrn_jiQExpWg*4HqT+}OaY zMy*rtt5vc*tIw8?Iaw8SV@i(DjTt$UZcNAtl9-=!Nn%P4mx0AiktB~znuk851WPGT z@|EoIvC$IB7Sc8{J~|_1a-_T3Y?WiGvqjX@X8R;f%HcAwyeX38wMp~P73cug86O)h zu4rLxqvEq`QYJ^fRDI{09KJ~Xz&RKH4%tq*$+y+3F5Q^);$*_-?WNmwZRfob*;PL^ zLGZ<VgYBWSH8TqqxhHrma!<-w<enI@$UV7Yk-Ecu7yk~s&UqYrg>5sxczsE^VEi(` z<BRvIV`rRgg>0Fag=~c`3)yl73)@Ns3)!Y|d3|Y_G37<4f#Jo;$68$c*&a{kYdv&h zN!FPs#!45J4fHa@rIIJ<q~*>|;WX4bDU_HpNwv4pa~cm@ZRq#SC+93Z`@MeUik$3! z*KJz2$5&4{uzLOLI=h&rGn#*U`#JY~3)TF1sa@pWj|pze^KCR7(&f1>9&J}D`MS_# z*Utd9yS6LTTI1|gF5JExY`RSRdgaUmRq<1WY->FdC-Y~n`LK{dyH?|fmVDQSTkV%3 z9y?2}`Md00os*d4+EuA*ZL@vV>wJYTx1ZY?=n_=%V9qU9j|UIKOKOE`ZK{J_yjGO@ zYop>&&(Hbsim+qLZn;UvZ23<<uyZ}LNxjuwPU*vpS90D#6`;MIwqHGMJ_>9;^hKaI zT~6r1&i0myhl+x6RUT67ZB&}C$BXuFzVqaVc(j>tf`9rn?KCFaed~4`#-9qxc;C)+ zckfoOEuW2A^Wv6Wyn33c<l`oRxqEe-)b+g=FP@%y;o$KzDLXD-znx}w=l9g*m*13B z+~sFoSD8Ka#^Y43-FwfhQP`t%z*3*P@b+n?JD)b0<W)tonRA3CpMEB@_j!zZ*UST@ z`jdCOPEFhMYldah_Pst2rcL*}^TnuD`u>%>NvqPoWoM;zq~-T5FWCC8O{tZ2T}|r! zkIxQk%B1f+U^vZNCUxf_!D-ntX_XDmI{bwbo(g{3Xwz#LUwmiHz5Qvs!XFuh?~Oco z=Fuwi)zfe4OrLSMsCB2#^%>_sF>pu7B`Ka()=8?A>W-XuuxMSis9xdS>@ZdD9k0%I zrD%rlJ?5JChGoZrhXT(nWfJY%FI${^$m2IbcYR*)?5CPK%F%J>S;J~_?`uDKs>$<+ zm#Mk3`G~;11qM^hGk6~JGPZqg6Hu;jZefn!*k<DP$uz~F>uqM|)Ew3{K8dr^ENmax zIydfdOq9vLAaP!r$>#yDqS~i6j>#3yQj;s4*@AD(3yU}!mawdO<sQcbsom_oS9SO| zv^`b4w#nv@)wJb?%}*tHdeZwN^CG#rA6Ev<<J9R=?_f<+3$z!x@vvR!_TPZS+45OA zUk@`x|1mg{X5X{nB>&|bFWM!~eEv4?h|~MFw37S}&W&H|G&Y{&7rpjt;V~(Do(;G7 zo!5Li%%T0)pjWgmL+|mud%+P8?q2A*ky@9r?%us9-=xQ>jA>Po9g%TjiG9<T8eTtb zG{ZOUlx}vmz0O?+wGX8c36IUM%vgV1^G(vu12NOQebROw5}B6mlUmu3sl#tL_o-mo z2AkfQI_DF_uJ08(z4PqZA9K$ZHCpOSpK+w9wNl4i-RQJ$+qt623pd|Md7)!2X?5B- z;!x@OLuq-%#s{Wvib;?R-+N4qkNw7}hXS`PWES$bU%qkfAy3(l=&;=Vv&yFInRdOn zQ8{JPr01G%p7AnCS2Q0<ySHFNr+G%s6JEw^pW6hYE1g?%_Z*aAzn9R*a{IDS`%SJh zG8-nFbG&)PD->PP44Q@kPo12UcDnP3S1|8$o5{NmZ9MNb*{&_<SbM;S{d-08f!Z>D zDfURY2Mnj3-=tTz)av*r>z_8hA-sR*+`Yb;6ZXpfJiyN?R~P$ztq#+&f~JK`;(tWF zZ9X}-9<N*R@d!V&&DX;M=l>WSDz^7|+$x_|aF{zg$l_%?)ABuk)AJX^r>r<oSbtP# z`QLztJ@Q#GpAIuj|7&nW)V`<SD*xpj&)Owp{_lF%z3=OVI=+;8ox*S0LL74zHvE0` zH&bfH;}4;ColkHb=<C^hUtrV9|Fd^3KWbIP)$SSlKwzb}j7n)M^Od}_hD%dggQu)1 zoHU7f&VnZb$2Bbv8G898^Q)#8JehstXIAQOd27MMbeqDHLDdsB|A_gMa{kd9k+7>m zmmhb}WHL2PxOaUi<IIiQ=XYH0*;VVZ|MthS-Ycx@Cha<s7Zh&c^)@U?UsXG1VM=fD z6qyG$s_i=*P73M;6-iCkuxvhk<m2j%|4+&-=sWUpwWiGe%||}2J}JLn^2o>4i@*Kw z?Z5Asb@AEsoU3U9eI4~&Q`4D*_jmfruugM|w-=Fkw>C7XX}V_5ccb3III)B^(_1AT zrwY1NM@lW;qtiUmF0JjTfxfWBtJfyh4!3?Ubg<4}E9AV#Cvn5{OrB4h7?}6!9AVJ! zzHtBar5DdrB};y&{oeJmDotK?*@i#2g#wc!W472AL<MSPo%y}(-OQHAi*}PQgzf4L zFx^%kZIiKfR_%#zSzgy7LbYydObgm{Y|<p#sLu{gS0w@#-Fp7JS1qJBP<2(U+Wj1- zt22TZ-Fp6Ft$Ijrsp_iQZ+8Qnu1bV0y7m0(SM`uyPSsVl$L^FkU7ZoQ=+^TPJ%y0o zqaamJoSd#ke90}GH0kfB`DdS<eX+RFVSj%2e$8M8&62MIje2rQ7jHU?SXFkox$|>g zIOyzjr+lAfn1bM~%59#H*QU?rk*jeo>vM_UWwd!;okw_@*tLEemq#1hHEcc#^e&eZ zdN8xSrQ)5UU|fZVl)kM>b9l*fjV}wWkLz^CU!FR1>j&vwM!omG{FGcE&g=ALiDQeL ziN|7VUZWS!YNGA`zh3z1*ZQ-!qVJUp+cJHhGv9c^Jdt_ovsv=gXRE}i%od4Ln{5)O zI-BW^zgcU=<eaVxFL%2HOU<3^D_QbW@8t@QJDxlzd!DcBtTuMu9lgnOQS~Ozh3=a? z7pHGJ+0&mA+|hS(8OQp`zcqXLPMW4(u=}_|!^Y#z?3o_7RNtLFqnR)4zU<gx7ykzL z&Upg;!nT6*RH`mj%zAP1!OYLU7X<m2axI^%!?axJTS~oriNQtX0)>mp8|^PH-k^VR z@kaBD!U_J1)Q?Pb@ozEioM&=Qt;)z^dWnXLpIT`0vxT2K<r=v=<vOD~<yvQV%JoWj z&SSVPY^%6VwMr?*_sfz()r*r4K3(Fxus~_0l=06PuP+=iKDVYG`>5jGknA~4z<sig zV3~U4C5t&JCm&qcE<bf|y6(4ko~wWDXLZ|_74xn0?{3%JpWipF{mK1!U-6Q(P+h}s zyWiZ-dcE}2G>ONv>b#~Vd-w=Fm5`d8V##(~CA2Tl&~tiniVxQ*LnXD=rxJ3L7ZvgR zUYF-NcXF0ibojNTFXcC<bN#=uEwp?8scpW&5wE$JvSS>RwkpqHTmMV%_pZBE3#GL8 zpWauzW8a-Muht7`dzth;{c0$+cGa#s?`pGSgl-5;KEr2z{d?uykY3;WSJ(2sp8Cem zTxiv?wnYVR?VekvHqP{mOahH<7B0^Td*o+%;Lf8>on>{k`)_ZG-F9pD$J<>_r@L;3 zTkCd5Z`S9~6g`_{v9I=<sCl<{$nFdAL5ps+pYiVs&%U3rVQ+{@_m>~vPTiXp5w+-+ z`&a*t)wlL-NJ!cf_1moRW8p=;PvW)V-*?Msi_MG6-<|WfytI6F%#>QjXnFq4jeZ{u zq@CmWH~asWe~|diSBm+i!T<VW_g48&lJWh#H}cHfnYC%vW#wtr=jXj!x9-)WBG0zH zw)<Z$Sab4npkUy{$k^cUpP`dx!og>cXKC-0CjXwh|Nrx;K9e}(BzIcG&i<s*HnYsr z<d4B_m02YlVuf5~Ql`6b{(kPsbGd%<pZ(>5S;u5D8<sMsZ|k!;^Q(79!e8f4W?@B# zJLel1s;iYxG<euuGd1D4_#-2!@Y~z6Z{OJ%$nmH-sJ|}%pkm&Ph?*HMrt!Al_+Gv7 zsP5yGh7Pg2dEy*>|Kr|V^<CKDY{}(lU!5j$`wi1!zugZKr^kNScw5iKB0)S!W(#M} z_NxKA1(Hs@KWZ!C`+##-UDjj8T{T&0Q~r40ls+vu>A$A*kCivuoHP<*`kV|-)QNO) zmNh0Wiv3`4Qt!u%9C0O|jogOEZnN~8hw1MYShU>tLuFT9nWBsOYz4P(8M_?}PF?G2 z%(%}#?Vh&CzW6P3bv~-AD!+A4lt>h};n~h@*LIWjp7>j)_uXZRhZ1%RB=`6V)X%tb zTTkH2#;sv0QLneI-KumkYVO;afnT<A^Zb3&)c&vR;BkiC0t?$?J1*W;GPt;%Ey_<% zxHh}bSD-d~U97^4^h{wzkqheX50kIYlRR3p`@n_+dKD2F;x#^-xf$DTwhA16>(nAx zrs&2$TjAHWgxwAX2d=4k-mjXoSiojuy4%J3dIoQ{bIX0Z!76Y4#;K*fO!1iSY=x$d z<z<ZzX0C19xZ?LqnbrqAw#Hr0%NifH#(pR`sQ1GnQCw-x7H&ho$S{|UE%Ua15_qm7 zS*gTgn=j6h79Hin!P;{skYm{`<Hb8N#3l4@vhq)V+tjaJrg$K9w?NXe*balkdPipD z3A>b-9n}-?*|ybFXs+*>Kn}H=#*1g9ic7fNWaVG{wyEE+Oz}X(Zh@pZu^k5Y^p4C( z5O%8T=`B+{!se|ns(30+n}6fgLr3KvZ<s19vr*=TxO#GHtU^TQ+0I8RrW(s^F5=H# zbLV)HHvfhrr<`@Re&RU2#^zWNw|Mt6Ep7FP+{})YlY5fIIo?GTFN#Qc%9FkJ&S5L< z?;G!(V$Rw0NnrL`n?qvS{e`y=9c68;4)ZIxe@S3r#=?7LjSJSBs&}!6o%?X<l<l5P zMe^6z*c>R;=D&FNl=7D?pCtCy&41Sxye{sz#l*KubhQrNyWioyzvv1R-;R`~zkPc& z`z%A+7V}*_EZF|)@doGKf)fir#pdKq?TG08w)2U=@z1Fn{cB%L;*|U2X2Us8UA*A# zN@1TLYn7|Jey>|>e5d%N+`C;V^5ro_4Y&0ykLXU9dD!ILSEwBCw=V6ed3VI)<A2Sz zhfbba*B7il<MpX|No%~*Bpy$yWO*>Dwx{SMquZ_&Imew(I5x)=_1yfqX~!w6^QyvU z&gX|t(|PGPcm11nZ#2brq_j!u-8`%`{Y-f6^fTi1)6XO`Oh4nyIQ@)rQ%&TXiGI)c zSvLk-O|@@U`Mvbr&$aX3%&OYa=T~^Ew4}{g@8;pi>2Ds-@#ZVIr`o>b<s{{j(oh|@ z?f0Lpl}w6y|1yBH>S?;}teOShY7$RQ%wDwJIrYWk0Nawn6?VTYuW<a8&FW#?8M;X6 ziNu>poNZ6OIVy^OFxt+~J@-NAyP3<B-blQ%vO1g{p2goEyQyDY&+<U<beTspz571g zQ9WKUQNLUDTi`w&v6JR{GauyEEmrSNx&LSKG@VJiKS#&vOnM*Vo?h{Ol5I`FNjtk; zPZ;LLxN={-sp`Jt$tjy-NADyA%T$HGGZ1pWH*<AYXj;27??&5jQFE#7mLW}Rcba}X zvNG=Rq@Q9}CYm1=Yd^K&)WWJ8PXcOlN>&J7v%DgaT^w3ynY7ti)ne{#nUhO9gY{-U zSfZTYWz07<aj)~&4F?x~@=ed%FEU+!oz)eNaG9*0(>qt)xH9jA?$jH%<j#j4-TFS6 zZ)$>np0j%R!SB0Lnp#1r#VVvtneXc1#P(N@CphyKTv*s<@gP9?P5BDLZ%<b#b<KGf zz`5+HS*nmTU-?Ov$Fh~dKBX&of@M~<pX9rGT%}zqaglSc;iK)3?ylT)>(hGEx6)D8 z+pb+V{m1&ge!X6;>c)jDmu=g~_`WXR_s`}ZQp)#k3ID${OHD3%SL%&hACiyXy49z< zmN(bBi~SLMZFV?E+A|H2%|#+@H}9u?7555jZQEx5=S%(`dzpV~@v0V&0)F23skXLm z_p&6_Y^nN;y!#6uwol{Rzu@=#Bf+|DH@+=D<`(l~ZH1EirB~dnHvi``a?YDM;n7M? z$$1hJ%5NN3Q`wR2)K#sPQjy>gb--Cz=#IJK(QE!ZCqA5M=waWd_T=I^PR+R46CU<5 z?q#|+Yr=!MoSOG$Pk7kO_?O9U)`SI@-}8E0yxGDN>+@paYR=jc<HUyIXBVC4m?j*! zE-Wl$V{|U?!0!z&PZr#0k>i_r;kc|Y+m5_L0@ryY#0svquuS*yD7@Li6V4;?@n5Es zT+fUNj}~xB%1ImOJ?P}*oHuL2qc%>-yt+wtK~oPt61n)c{E?&`r}|{)@V_R1PpG%+ zsj0lkaq99@OZl<F-@;zZ#>RYM|93T>59eAG?@3MYx5)SL_;9O5WWCRc50_fx;$~bp z&MwUMBJ;}JmKUiGcAa0%6dmP-gT9z5I@=3Zy~uZxXZDduSitGbW27)&f_?UcgbvQ1 z&+fPI9QJvUxP!Cyi$P+;W;f-{0^4~{sNYUkd%}FAMa19d1p8c0TN|T={q1Tz9}cuA zzLTEd|KPjN32_(UpgV?&hbx7vcBDGVoBK*UXybH_F;|#>VExPq4_0w%uA4F8;U-So z7^8*#-D*#`dpVugm{f7hKhQsW!h;!{Kb?}D_U$)NydO4W!h1EJ6Xt?yPpa9OKe;CE z=j1e-Jt1j5r{uR87Y^qM|GJTSh{4)dBB`D6r_<)UEiAWvPBd!^8|4@-Y!_C0!o8i7 z`Hop)NA&*7V(TB>d+<p8)+5z2)*WltmmJ+L|E_M*y~c9|zjw`A*0wC_&SuUe{(}2@ z0yZ1CMcm&o9scNlX>Fs9N%#75cmK?dRopRgaX`%ZK#6sK{cJe(G#^<j*_&K9zw+pa z`b#^fX;IQyuU_BYy8dXR|E1ieYyRE2wa(dDY5t|#TXyWXT05U%<K{y%{V&CaCu`q- z`S;9r?X8bE-)-Bv=)>Dt1y7AX`WlA*f7rfDzRT~<!yEEP_WL}y{1CI@3IC%|`F3|* zwf_$<o9#bYnt6ZW&ZSEi%1!>4FwOL{(DGk9f|px7)X3Vs>>F?IjmH}7-#t!$JaAFX z)4uXY<iExiXI;6Sn-wbFoNK!BsMDHz-`nDJ$85s~{O5Ee+^nN~EUqL?vF7GizRPFl zwnLVQ=e+gWuQfJX#0rkA6qYG_CDJ~}>agSTcMltazi+(1lKsZ__L2?KR{4x?{yJA( zJP`2nh3zcuZQ2h?_IVVTeP8glBBJin!cQm9E&RlJe&Hw2^9!qXyb7p|Ik{fo{)eaC zJkvk9@{50Vl^6eXl;Qd3qXN%A9py;>bW~z_(b2Shn@@{#?s~Rue#OpRvz=96ybI8* zvIuFL$9L6Pzx~zX^14=yUr)MuYCpU3|NZ1D|M&AzhPj`Q3NU{@%F+Dks6=yd^un$G z#CcBo{wijcdwy1-_iSnXL+(qr&tGbAxE_70Tk}b7+^1>ZzMl2vm6#U3Y1+4%zRKy_ z*|u!SzaA2PKcM~l&Y%D9MEx%owtI4Q?bcfMnlrQixdtdJ?e?2*Ez4n5KQH*emB#*= zTyixJLOv{b5q7Y7VKpm1zpYlqj~9^#l^xb|$thNaf9SZdyve<1-#fSEFP@)k68oUG z{{9j<mhD;<0e99tI9RZ}sl9!-s7=kC)ei(8)Uoyp@M~4LR74z9KBoLVAYsSLb4{Wj zmNc;+4F2wDV^eJXqvBl|$A?321s*NEt$0X#yK~R=byaGHKj!XvVg6NN$KS|v`&2$_ zJP1=2Z`wUIM&n&*(Zlp@leq2mr^fu4x$?=O^S-L$b!A#RKYR{8**bqA*IWOO%be6| z_#|g-FFg~(@h<#S>!Vmz@wV?%V{B?xJbCmuS#>(YT&=4bN6vWZ32qB7>fE^Y5J%#T zC`Dnrxj8GJIBnQDiTmg>FFhV<?VS}j0!|)1e#uLZ@mgpR=f-W5yjx7C#w4v-cj2F0 zSm=o(+6k$u;!UDcV>G6P7bQl7ojiK@otK_nS!faGhRu__J7lNED6LulL?}6@_1kyD zbxvw^jE}W<3izye;$*mS68GULUV3uN!iqi`uASuF@N#O5)0q`dgc5yJr%P<s+EU+e zQ`Cr)Yew+N)`UD&@vh3LF%r+hHF7&xMQxOH);thQ4$O=AeAxg0G20D0woKM~S;IWt zc5%>w-t#e52TPax6`oyb9JBRxjrW8a8y0iB^JcT!?yXzNtoK}X`OzoWKTo=>@^k5) zCu?T>iHa+lrn3J-<hHXRDHjj0&N(m6d9HR^ZiDZ}hRu9aA8c`6YVkNgGOu=4v_{wL zpGt=t|GcW$F>(H-<6mnG4{WV5JaV<h@X*v6!(*wtZ(O=?Yg@z0pGpU})J#mO+IPa> z@#Ua&p?LA%E0?Mk3*6tgaK`7g-sv-D+p<mLx$tOljH&Evt1avQuB>Ggw>uxsc`o|u zFZu4w^Ohk^$z`Ut?+V+!3Y4T3CTZn;zfzJDuKtc8wYqF|%>8%kEhbNP%r$Iww3HM{ zop|uT`O9HbZGW6O)_+l_$l~|TA3bU7{Qj*?jyZ7j{N<mw-l_BzYrePGdh{{RiPMW# zbnE;|pKcK}J^q!|7PGRP|K7oKD`Yn8;|!m}XTSBq%jGXm3P`GNzw(6r*SddtZ|BaM zWwWJNwR*~Ol`i*R=hC;oQ1L1_?WCy}p>bH5`|F28PCxT5Ix&CQenEiQM=S2e#(*YA zF)za#PLgdAE7})|y-J?Z$|jMwP|<D1lzjEyks1dzxR*-gF62qpxpFvy`{~D}t!xtc z3l-I7Ov&G`7Nv1eg?s6YoP|7db*~)u-u(UJ-OJkCydQ2kIqxxCS<!OIiSu5h#?fl- z)E@~8<?NzX^!ugV{lWIQ^{Bav_~q&C-(z*BESTLIRI)vwO-}69!sV^MUZgH$tcuR) zuv2^buppjWRU&ht$ZFjwiM_2=5!nmvu0>`vd=-;QYW6(LWEQ1yP>p-(jhux%(K=J! z&(Xbd+>D!d!(FGJa+j9`GFt0SNtoUm^k!Q?o2}TZ#PzMeZlo_{{1%<j@l)+7_tOyW zr-qYTKP8-Ws+^LtP%bTMMSrB&sq#WGsibr2FU2Liw(QV|J157d^)bbAPYO@eM}gO_ z+^Rbg7K%LAo$_#wr>jH$LY8!$DUY_a8qG1%jF0n+(l}_xy>v$QLY`uuQ_~x7ig_8F zcG66X(y%|P_Vn`C67HvWk2`5Di_$nOGWk<xX-n&;)YDFyYEc@8S-8I%+;jSAl)aGg zSX4%bq1w~SuQIrwCN{PP^=t`fJ1O=madPXg8yO23%_1^7zJjE!xK$&v7m8%-OiA48 z`SZ*6Q%;=EA~lY3ai>P)1=;!PZVqT#D(1EEh?At)f4}*DUHqcw&dQZeu5cE9Cb`-F z<HkdpHz(Js&a(~QabQ))?epBlB2BKATt^?tv?iWZe`#Fbbx9{LLidy$`xVuu)=HtH zO*Wm0b>G|qJ%z*<b@5B+ot0Az*>Xv^?ewPQOrksgbUZ9t+}M3yVx7S`_fHcZo_Z7L zT`Rr!G52bR4YA^z&VDxA?Xcmhz@_Azjod7=Ll^D~Syg#IinZzIt_f4K8e<=%pA)#0 z?6Zx#X4W6GyF0~LKZZrsem?KJQnT#!j+wc6pJTELUl)Ho`ol)Nuq>-!_acAYcPZDU zPuVS9eC46Tw_USc*B<|uf5~pyYNgj!G9@R!S}ZyBNXmB;YrYPfknq(st<&}$d2@F7 zoLKikB=*#FORKVsyz6)P{5PF;@0!=uZy(AxKd!2Sd*vUE-Mf~Yc)CYks*>AQR6b+T z53^$D;8@?}-M5&I)y&lvI`b!LWvSA()qhuRt!<yyw(M7#?|tzNUuSdavE1J0sm^wD z>DFa0^-WoG&R^vydy={5hIO&2oI`Zil{M3<%2maiY;WG4Jjwgz)-xxkg=z1dYH1k1 zWX6ZBRchYXH(i>%^(D9N#yj3-bql{udUSPZkbdNc)_L1+d0&&L^xm6N`t9YrNjDZ+ z?i89D(-r;IwW{#cvaZw7+Mg$>Uq2r9MD_f-KHckGam!6l|H!|(Nc?Mv%=fjobV{=} z_grO53)FjVd+bDX*0hWFmxT)i8_wMHqvZ5co~sF`%|+*CZTu;{RpQc<<JInrkGITQ z_=+WYzn{F=_E)mmS+oD&3OMjXYtN50@4v0e&B*z;vq3YoLeS^x>9uorRkCia?5@(1 z(^;{6U7T%cJ6F}cS1YY^p6JfqrQB+w<8}1Y)R(EZ90K&b^#3aH7N!KYNVDqvRNAYc z>+Pv=h+p#&zs?n_s#g~;OtIAYbx0$mYHRhz$Q7Sj-)&7>m^Il+aE&o{=xVX7y^$IZ z1NE-7>0fynux6X7?9-nD{QE<GH@!S`-Pz_xP~_v_^}C+UpJipT#mww_N5;>6rs^l3 zuQ%;wy|emI_k%pqIFa&IACh*&woY%44z2jP<7F1R;I~g@J070${Gsx8!!9oUrqIxe zz%^?Qbtk%t#>q_!fA}={=JZ!TbgMq{-CeiO(WbZhuXpK><-hvh-u~3{YU7PtJu%M= zql=^W-KoFd;2OV*+yCP7h5xDp*<v=!EfD!DQ+|>qe4j~MSmd+6dmB!R+HmOAX7ao~ zvbdIAE+uA5*anSjSN_-AZ+#!o%^febc>3=xx!12us9X7H&sNqJ=iSS!=KQ{7wypJ4 zc+<6QHC)GeehWrP*FCwsXU42^b(v@EN?(RlMMi%=9aMDx%$&-WQ}x%(w}{I=ShVe( zxNKd<4@KKglG(r2+<qDS$}9eL+UAmtU$p-w4zWr#);UEdS=866dOTgiKWD`Q$AYW3 z>Q0J%Te4G*qto1X{`#EU<D!N(w?1CH_**;ra`)dICw7Fd)6#SJ^5@hw?e6Kk7anWh z_7qGiG{0|ao^7XBcxKm(56d1TFWPDP^4sjSvVI;fmH)-=-!xbFp~A9=lAoulIP|9f z`ckbMqPM%_B<I|>p*~OES1y0?<O-)<l=?QG<rPn^NZzw9<$phCSO0cD+XK?e<sNMf z?*DLV>2|RXH>~oE=RTMiyu9MY6-haZQhxP0yZZV4Y!A3Ems>P>^FKkW==9RU&GY)_ zr+z#5)%#P~nWEPZ1h4Nt@^rr_-_(Sqr4dWA7=OnY9gLgqv+=m9@td+KJxNoYQzPC6 z*p?Kpu;a77!q6_0)zQpndWf-ID!D$d*rfaPa^W?r-L!;z%1??sjxjnMu{!3L$<-P9 zXH6IHkn+F0ZIi02&auV&Ov4wZ2rioOYwgaKmDL?<ugod(R`pu9H>s<t*JsC->#KHm z#KuboE`Fv|CTP9iXpz#prSFukMHF8u7redtgVK$#R`H|PwDw4B3wii7u~;<D&TQu` z#k;OKB~L?cx%QYXE<N0x5F#2UqP+S;l0;zZ^!A6L6+b1?{EppOy{G#|0$=FD^xYi` z(<a1DSsHspz_{(1-A%ouy<ZuY#vZYn?z7>Wc5=bgrz%Z%0uMGnn6`6?id>TGA>VBK zz;Z$JGZ~Y9d+hFb{VZpORY&X*Q{zWoSA&k29^iS^;$10T_*Fak-%9`WwO=-y)teQ5 z-TF-Dt<tXuYmZ9t!lSqLbxwSzR5jDE=Gnw&g*U4vdGGG<)|;9CWsT~DazS^k3V}J> zx5aPjmdIJh;q=J2_*~V!rLjjMUss+l6HG4(-PmoB`*!L}y+>0dot7sRiWeH0XK(C| z$jXau)q1bw7qMDxNr`yjohrW;=S|%fX>Tt(>3x0K`pjw0E|uLKi@#}!Eq1x5DP~pL zr0F*|X;X0ej3-xYeM(;0sabqwcs#eNqtMUxP{j0e<${;jJ~q3|xy-UuZkt7Eo2K90 z!zs((B~J?GH#onteMj0mBNf|2p`RzMztFwA!|>a|&^xX@+xX@sy@@)Y(e8EOx|L4Q z)&@qsnG5!NFMIKPlBAoJmz&|Cg+@H(E9BZNL*$)hvl<lnOpheAOC?Tl?lm;nZaFFT zNZn^G*GM&s2a`1UcBQmAhwa?bZIKgKsdaDG!IEO}z+EewC7<anvWsky5SzWbqpDPV z<Jmi|9Q>8y8$C|jS5_>j5Z^f6Y|g2mkB<N5-P(F$lOESS=iPdtA(PpwH$5u7zD_kw z@2R!w-7UWj4k_2NFIc^_#C2uFF1=}&rpEKX$kB{l8?~$d=4*jj-aAX??h9acd>FX= z?up1>SJQLrUVjs;-k=)gGjrEf)9YKdop(BR^cK^a9~~<SCvLM0l=13*^IHGP?&Yfb zmHW-F#^ux=-o8M}yy@rF9f4<7AL~ubGtHBG7V`L6a+zr!V_N8Av7|K9Ji)Y@r&jDQ z<BE3~ufLS``JZ^`4#7LCkM%yvGtJ{EUsd?IV7HXH{PtCa+y$GZwhNfAD(wE?HG94B z_Ai3#K!bB@%T}vSJ-z!$=B=P9mP^i0IeW<|)>UoBWaZ_pvtLi*oOk2&ytnJ$XBH-@ zrAxor?Kk&9pV-OORh#y{T4{SKWrsk|k6Ux%Ur({lUA%u=nDT9v{$IDO(zSL!DW9h5 z_G8NSlgj(I%{%cauk6S5SDXD;I&b2byHQ7ZW!U`-5uds5zlz&1zdJ{9SCW20@$XA{ z)yuY-dfRq|ZR|L_CEY1#`labV)3eQw7|nfdcjex(n{6c?`*g~dH19VPzZvpO@vB1h z<!RrSFn=z7ZeRGT+kVT>xvqH`KIaOrosgIi+?AAY-`?L>>37{XB@yOROuu%eG}(P? z^MA?|ciTN^)#u0~D}(nGzv6j6cUL>V-(C0g@UI&0Za?3!=*))$s?`;*Ce_*$pJa^N z`9$D+Y*CB2p5?K(f66k&q+4sJJ=nBH_)Y06k?J{ChxNQ;qHFm0)^B}&?NpHAy4t07 zQ?*|vSyisI&5R70x}!{Zt4rt7ol2i?d7M4DHE#Fb3s19)7hbJ#$+>;i$p3ox>2pCj zx6c}dPN_MPazD1(!mm)n_xp35jk%9Px%OAYTs<6@W9p{8AlNjIMP4^>)<d_C%cRWP z?p>9+a`<#s(dUm#q_#8kuP)^NxI$_>2fyyd+?%?+xz(1hBfD~^*F?5TJ6_Maqp>UW z@v#egrOf$lR~3G~xLImD!`{_}+!yytZRcRt{kX8e`|xo`y_pNT%a|3Wp5FMD_4FGp znN8nS-`q^!`1X&CrS2V<={_Is2>D-~{$4is>N>%+BC*?f#jjXy>s}0t)W2u9>WR~a z;NANt?(*39R<Bxj^Nq6YWx~FbyeHp{^nRgHb86#Tx!;{S$G27QH%Y$z>kHFqP4Ar9 zS&=!_N9y!89euH4Z$nT{^_{w&MWH&6#C~&XAKi9d$9v_4%6Grt=FT~~jr~)q;BC1p zd6PVi`nC9P&fX?-TkhQXx&M!Fd*^7U*lx3(6x@}RQ*Gk!n{+$*o03Sgg4~lGDNS3! zkv-3%v}w8D+($Em(<|OxvE5Vf$}Vo#D))`&RE^h^pOiD(ks_ZRQ`F$AXL-bJy3E67 z@4k-~`(tOh=KQ`SG<#ZU(`UcAk9Gy8S7b>~II8)yRdt)L*U=l_cB*dE-P_!dKJCV~ zxZYgzh@|L;i;iu3y|PL(Zcl8W`aYhP<+rYW2zhujVQtygy*eLrCi6$w{ypY1=id9c zq?6`<Yd&qxzdT)6)zc^L{Ql78X$QNP?`RO;DRuC4Oz)%6b;mwfg@68_7XJC8SvYrr zc{q2W`|j5hGd<;Fr|ApnoEO)e_N<#n`?D+m>QAont3MxQ(EfB(;CF8BggSw|?cX@2 zFG-#Is`J~kxfP;De?0`{R_h0r{aM-ie($Y+7ox6T*tl+CgS+0$2Mf*S>{zqUIkbKH z{m_Dy?$evBQtE~Bv%2EfK2lvRdjIKa7VZ7P%`%Z^<2FRaU2IR-dRo19XI7@Gd{gNC zsmqqfS9sjLvT*Cpjmf@6R#7hN?*!LvKD$VGP2nq%>bX{j_Z8V|z2?n)@N2=JrX_kp zF@KhY?B29uuT|ChMf?ZvcG-!PYyC2~qql#d`cIRUtX2Va*Y(eLK1fJa?{c0xSAs8n zeogS)OXkV_79mZGe`?vA9+%NN__1c|x&~pssR_yZqT&x&wR>&2cWw42@y7g{Rl(oX zKAepStXX0dud-vF_NP^Gr^PwfJ?q-DDEyOa)9I&~>vX1VxA?wqYf1RqzfZik>8;(n zpl1Ife%I$+$CF-s$<=h3SX1|E!L*EE(Kwdbt3NEd5!5PvI4t^R^sBCk!P_d=y*O-s z;ptbuPd~56AKAGhU%T^ym5EpU`P)BpGqaagi^l)GpE}#Uo6nQYI_I)upnkpm1IK@X zM^dN6Z&>(e*5-E~jNeb@k2N~D_>a*)9lLerx3*oIQqpATH#ccbaJt0vE4Dm^uk4Ol ze`OG!Q`OPwXM0Fxxm@z6^1f$FE8b+qMI8_c|28FIRq*l|&#p)=vo7Vgox7|5`?*i- zKOS6ht|@sXXl2<izj*WNO38UUHl?$c6sDf%*tKiZcY`acza^ecV&*A7spz)j35RlQ zQBUAgi;ELByYJjpn;zL0B_(|{jsNcc%|3QXZl2GMo3zVq`}UYStajGP-Jj|LuN@8V ztEx?(xxMT98kcLnFaFL=W%64u{&l15_8rRJN_Y18&0R46?Ss_44VHQAO*`}0yDIb8 z+kWP;^K;+fvlFw*_Plt1r7=&zD>*i+Qu*dNRSgIIY>((HmrL9h+;3>SzHw9UIn&#> zBFY|IjQW|>adlxsx2R3UnuvqVk4jki+a`<J*vwh=;Nj!8-J3+h@9^<Vzso15w^Ozz zrofuT;(ZxM;kma057*pQJT}v&{Tr{%j>mhG+~T%tS_#}KdhSqoTkOB;ec8$C$7e6? z^<F%q>Zsb@KVR1+nuM!JWC?HH9pwDUwdrG+q3yGdnu!TIXU!5-H~wMKkDI*4Q)ktV z6+gRDP6ysxxU=Ty7uA!~lxN56$@<ll5_{*FGT)~;DZ);VK6d}peY0He?{YDjv-`M% z|0OdQUflZSIP2z>-s&@+UeQdmDs7W2+Z+4sIBRyst&U}S|Ev<K;y!)p=f3t#b-Cv! z%gU3N?e!w2Pv7(7IP2=o_ZFXeRPDpM=9D;RSX%69C9w?`77FPUtPuGut95wKAE&@I zC&VSEJ@1yA_O#n>+OuxPX-~QppFQgq6noxnD0cJcBYAP3)8aqBDaJ3EF-hOZXW7ey z+^Nf&-#oqlsQCHKR<@hY)f@ghm%ZKgM47GT+XDYbpZ?7*S!Wva<oN&lsp79h^xv*K zbvyr3_0+b>Rq;=K%c_@G%>1SP*I#nm6!uB3={J1puReR<q8H-FEz7;*&(3odUp`j; zI{qXz%;9+xqb6H0^QQ?1eBM1?QYh0EdjCa_{?q#}&m7M>tbahN*)?Ld;i1>pS1vHN zE88E)?cu`cp3SPVr{Q%kk8RBrE{_UEbBQ`eGbx=z%knSr*Kkd%4eL2xG(Xbd;8Op~ z*5O=w3x21Y`CT}BN^@6~rL(y9_X{^q)s}1$o&4zWa<MZPjz4>wxQ_StjO4?NX}1md zFXUPr@{#T{d~E#EhvUrbnq3Dumes12b}MNotm5QcHe*844o*q6nHLVb2>+UqFr_1D z&q0Y}l4lM_H2;)H-oUV!S1f7D!5?z>5)9omTK_#<UN5})cuM68am9m6&R^~q@Sc#s zWU6^oqLN87sH-z=9nasVj?pc0zh+)=j~8xx@wvCGLdocD?n1dL$&fQr*Y{1X4)@~T zk$Bi9?Ekql%?sOA)A^Zot{ku7<~2C)^iwK#q2W5|)CGHZHuJ`xI&E;;i8*Kc6M>}J zj*{=WqjjbvY%zMebW208+iJs$S+jL21AI(R9Xpbh_VMe6V@|a`+nxv{Olm!LBjL$| zk5xVfuO4<*$rysktxpcNZ!Wa)=gHuH>U_)T=Ynk)81na<Wp*4FlX|=%&}jbr-Lhh* zUjNw{XBmIx=Z?Vi_$xnKRQbEw-85_Bo`3u$a&TpPq1dbBHLYwC$qN<VMfZs<+;8`_ zK<e>~z<UkbkHm356`VLR|G?{Qryrd*xS|nx;I-Kqr&^wk7Z{p#O^(Q&zNqxY_?6g) zUAzVvC$bAquW&t)U3lPx`ID^{84Kn3B3Ja!o>s$r#~|a#?d|mkm#|#le{FY&O4Tie zZsVh--p6>#b+0&^Cm(Rxb0AjN#6g^!_u@^bWF8YQvCorAjcStPHubxmOp4pYFDA91 zU-iDg`~BC#OayQCT?qHw=+SGkt50GUbMHHaYxf*~-O_ft7nrt=r}pWM{T#J>47o)g zY~^&`W0cs_S>?O3LpJV}tm6fyW8yk966UD#cZpY>4%&Fdf8&p;inNbkKVETSw%K?= z;JmKMv6ROdNek=Ke{`8iXZ{GfCv^Dh$?ywI^IlJ0f8b8E{oxRuecO8<cRu~n*J`xq zYP*@}>6f<M>-*Woq#jIc{iJ#GM7Yj!;ja^&jxt#ub&`A+S@hw<4X0Y4?N0;}+FILY zWG*zEC;78$X~E1u^Nl87O^O6A=ak!q2yAKi?shEcn{39(ro;)YRT4Q1Yj`(aU~two zIby)w`|+U0%ozdg()*H}WD^%M?vjp;@R@7NBh{^WDq~)R$$90)0!ePNsWN_NR$SJ( za#-uJ%+WoS)1opOT*ai4mf8gd+MR!QDc!};>iX-?IYt)o(xn2)#gh!pj=r%DoL{TC z{vF%r2%h)*j2E>3Obe`iW02U8tH!hOYK!5$7e~{RyzVXVKiTx@sr&p%tZEWV=NGFj zdm>s@Y;35Se?@v?r)DK+tTbmK<F<&5j;qrayGpE`&!(pK>Y-cZQKMbQRupf#K0D!x zWn|p(s80(bvZpxdEKSzgC)XCWqJO2BRKi@<`vRwRO^&H3vtL}iU23h`t}kwj&w1L{ zy8EPe`5t@d;(s@1q1?8J75#6;PHo>VCY7|j^_WCbh+mHLcFC#2+7GK17x{#&>%XXe zB*@~p#hi!}-y|0we%yXLPsUFt-}=kZ=zy-gV-Jt4+J1Qw>*1Ki0!deA=PtApi^ynb z6_ZNZ*m^7?J;X1E`?{p6aQ4Hz#YHFotvBA9ASWzcDi*zW?y-+Yo@Mt>ELPZ5kzaCf zg7tgTInEF79JQDiVU;g+TzK}xS~szYCmyXW+%v=az4@HvhkwpII<w(OM(ReV*bNs1 z(!c(UEqToR%S)l^qvPY6j>wmNf#!u)RT6I%yl#ibm%2DqxW)4vQLso6J8(Er_?Jb} zAqIEf6WLjE8czC~Jq+_+mwq}j?fJS^p*fe2Z(G1RXR}4{oa_FL>S<41s}5Hsh0RM_ zXmeysfLu~kM#tr8i(P*#D3|m<b2Yu^Q&(`^#T79{=hnZv&CtlH7yW6$j+7}*a~{le z>pUtsFLj|^T69K(rI^&CO;0lft6tx|D7^e<S74lB^|`C=vlg(fF?}^BCObO+Wb>o0 zKrX|T=Zw8{)UN&%JEb1V{dDrG*0vkDmQgM0$125w--a#TleFq??n0L0FA<R!nB=5% zC!RU5_bcl~;qV9FBvOULA1sslDscHz68G1QN1T{-wq6jZ)^+dxa4dtfko8?-V0z)& z-1!$DGY3AOSM^5Kz)^qkxksn&)GZcyBz1?8`|HNjPRu1+pGZGiaMaw*{BU><^K{9* z7B|`Wj9&?MRrPFp;#hdXV%`l|JJVafI)(l^SB@uo^D*{CWOOVRlX^ULpH1h6^qqEl zy9=w&c<5{WIixXt#rrz$r7za4XHC+OzaGwOIEnrB^d(0e<Px0Z)z3U(^qXaHL{s?K zi{;NX-ClF;eXqXoocp4kT{F^_zMIuzV*9nLt0QgdyICwIv8CaHJ7cc+ckSrf<-h-< z>bhy~Ocf7130GO<K6{xw@ug(KMowoP!^EE8s(FvN4tV~(qb|;HZuUA6@2@_t1zXRw z$W5Dl;kcDBTSTJMzOUwqJ=`}ppJ6gHtG~a_&t~SueaXqkqYA%oKA!&avzXb;`8$u^ z+~0V!q^<7e?Z0L>o#Us=Jn;Dz)#v-H_NJ=)i<$8yN~^A&UMXDj!>0D#xpm?fej6`m zKDy}5O!L*uuJ7NNW~@H<LGd@g=jwB_&qp%L|D99S@XycIr9S<q(w<*;mL8XQa3Vg3 zfz3+Ffb*%ig;apgMSWRTfz4mJk8Ap<z59Igv0E5>*Yk7C1~$d7<mOqG%KOi$YH0Vf zJ)+>w-TfhFLvVlLt-$(?96W_f#1GzX&Hp5A!TGq&%CB(Kf-~iFk_2zr7Hw(Z_|#_U z{UUKw@b`^pSF+!Dcg6Wl!7ITstFIEfWp+hGms^)M+4iydHJ#er7d)k9x-qvOdzWNi zu!_>fz2}sSY|7?132xq3{>tv2<yVIMxm6vt=RUdKcz-2%PBD*=;N_gsCE|gfq>t-N zIdYm^_PIgl9QJhoqqk)>mRb5u<k%E%J<FrzcKe*r4+S??{<e5@g;}Qbm13XeSB~Rz zt9pd_VvkHxpKEnc^SGo(-sSa0)&V}{a~K5|pDUl%kk}afeZz&7pB1jH{9JKu<>w36 zR=P*Lx{|C@{NmB2M@8<;YT}a5pSe$Z^y%u6KLLR;$6r03#LRQz-j=N=6~k(tO;BEQ zX1%oN#3y_H?CPBTL!|hVHM^+z-!N;w33pZ($|&p<*m3QxgMoGZBym2G&2wCrNX(b# z4l1m>X1V%7;@;rz8xO8zzwzRVb57AKLAJE@i=)0u%$9w5ly|9apO{~sl5UB~0*<ox z&)7eoZ032qX}#m^uS{k&uSyo&c%{R5G~&3XNVvDTmG_Ip$-&<@-dxFk<Ixr8oYGf< z+bq9I+_pa}d-M^{yMmJ}pJmr7&#GVk_{E~H=FiW^KgxTw=MSgXov@hqT65a(>fJmp zH(e%Sy*HoX_0TOG%AcS66?PZJ*F9Tw`~1oCF<*Y&{JC~s$uGs9?BcOileEj*1lL`W zYulY7zd2?m`;3>9oX-@T6l~ksC!3tHPv_g*%b$(KZ=cK5oB95C?9BETPbXFGm{Wh~ z=(LXx|13PsYN9_K@4T_z_pJV|8+l*P=+C~9D+?lhd{3T_d9zgQdHJyv?W?N)A0)|} z9Ghx=xM2F5#2Mb-46mrNOFWw7EK{`AyCqf6(yjkbRrlZX6aQRE`fY5nKXmfl^XvZb zO@5Qh_oQ56<5tND@x>cA&wQ#ir~Rhh&EqoDWfInT_g%PqHP7(b)8%5-(|-PZJL!$Z zx98itcSP7|?2kPn`Y7*bs_^wL@h8)DpP5Gg$oy5<=_2;NZtL2oR=L+(YP~jIGXG*F z61&63s5<w`grn2hk6VUx1>TI_)OPFAjfeyH?kE?}Oz8JscH`|N$+Dd({MNCX`epSj z50p)pNt!KmW9pMjyD!h4V|CDLx!=Y^D~)yZm+0rOveJ)c7HxfVW1Cr}Z)N1e&t~&? z{Mod9<@B&Joqgtemwf2Yt($5&EiU}|-s%V9VTvx-^Vd4HxPG#(c^Un-W{U2%#3t2G z-JPm22ZFTKXJkGVIlXpHV(ZlEh|H&UVK=)xH{NQKymn(l`&P3z$#Z$xE7A@tzPnM- za@b7f@xA4jvdfr0tL@J>Xu3V$>-(~<H4V?zR4Njkx~8k8RHQobulIfNxHnL#Ydc$V zMY;k1d){Y{n+`I`7)NwGX6r6Elwjz0>T>RCqr2~>Ja_r%d+O4ai9XwpKjjRac(kPY z(88cOXU<y9%q!oK()3nuX3});G>d1GY-LJM+V$;t!q6UT#6N9Q`I*q2CU@mZUa_#x zv5Ma@*Xpp{tbGCNUoE|9Xt{B_=dZlFb;9ozc0_yFvrKrbYISh!o8JmM?L@-0WY)$8 z|88^BQG3^9-p$W^S39KSQR=EUE{5AbJ^UfIVbkRHiBsp+^eA1@$|yV)cJOXU)SaBV zn_4@zZ%Qr{y)*gm#ICa&_buDEZ)-#9y3I3w2<WVTaX7iL%Z^7|`&UIo=*8p5Uv=3r zUQ3Bzw(-}MPXF~O_m6L^GBHV<dwF3j<LkS8EYfpYGmcMR)hlYlF)i%Ff*Uahn-jfR z`T3)@D}LN~wod10*>>i`eA}7%+wSt&mF<*edQ)cIa^rDX$A;@~1(GLUUO2N|^OI`v z<;Dk&2d`c`yC>Xc%>%~|E1KAkKIW3+@z<)TsE9gv__%R-s^+}ivJ!DSWNr2oS^ubb zTgLI>*js@`+kek-d-1%i<KlhGx~SLn2MyB2?9CGzv%|dYpV{AeI=Sv@{leD{Yv2BS zc4BK*Xa2Iz_kZNqzi}zJxOLBRKh~I4hq@mWiN=Y9ul|s<Bdm3L`)kmIUSMl@!|c$C zkG27#af0u{A093DnCdTZ^zNz1i%fbU4_!Cx=hE+D4y`bhS##+0;e}dzYGl?O(mpUz zYmbx6+C$ohHfrrjdb7HwNy0DWT$gCUCNBM^;HmsC5(87!<(F$)vswh-)I4(Yl%L?a z(6X+=eL>PHyIZ!mo{D36&-F5X=FUA_74f~&2ivpmXxN24K6arq<l(c2UZy*Lz6kQo zV~h)%9Np1<b%*PYfL>|)#i4VwyNW|ojy>P7`RWeAIV+F#J}NQI<5><GGv6d-F0a0- zkh@@w)OLaCs|vddc1mrRIKJ8<oF{zsi5~y->#GWtC8AvKr;0eQDomD$>7Cu~cy-55 ziHP3lhILnWw7!V$jc&2Ky5s1J(4#&t7p9BOtYrPN;#hBjgK3_~U67X8-r4OxukQFc zBfK}d!Sd>k))}$A(JhfzcO0D&d35I|!^K@^{xB^If9z(kR?56<<<%XAJ}Zx%J^Uo= zPL0p1W4Z^9WZiM{S#eDF(2uM;Nj<9{#jr|5yQVoZCa)?~ei73v?fO0Ij>NkV!|wJS zv8~}PvqLM6?s&LMVfJ6~n_rz~oBfZg`LVO%<l2}1O`dD(FF&(ao8SDbro{WC!i)=_ zw&(r}uKhi&ueHyV^$h=Mv4aa=yubD5`5CPTTXH@<jW^RgcS`O4)Dz3vKW}>ZxG9Hi zhsNxhol|}HtvQv}qaC=jN2FbKV!K{=>f{Hra)M%}`?g7Mdiii!&aWM+vl+LYNb7jI zNiwlD=U9a1)QJx}QYtO9BJKJ-!x|n>5`EN?;%cE8Y3S#g@i{(dwO>q1#8RfFs~7KY z|0&HWvcX50CEC<!qpvd0ZPQ1I?QS)1u6Q)WN^x$CRu=r0p>iy4tB^=`h<IJw_so|3 zWu}MB&q*a(EEnXzn>6*ui**wjY)ym~Eq7D;a>=9T-o_x#wyjxfZPo-g_J7sl*x<;j zXtqk>kecX`8#>_|BXb_Gv1=(xi2T`ZR<Avo>D~`1r56jUKY9kb^V}_YvT$|Zk7b_j zj8?BTT-0S<f2^3UeT+SRE&ovmUD@~Y@3)rhY2I^L@MyHG;g>6)KN+~Y+oiqMIG`ij zI%DNx4&xG$<XvTT5^Nbqoa=jkyjVVwq1IGrQNNqgmunpSxy|ugf9{@Q@=xX8+Z_#d z8_#k4lImGB|DeRL<4b?8+}6N2$<@F>&$oZ;p7Xtve48$A@-%calRS26S$pB8myca5 zAFX{_`?@cuZAbJx<7~}cUa5~}OPu^<;IgTPXX+Y;!z$WG3Ql$zIBiPm(c%Al%gRvu zzIJ~QBgebx?wL$nJJt#|O_y3&u~e|_y41%HZpwD|vQ!RO_qJ557n|^?kxN2u)q%sx zqCYCuG%@&x2s~QJrDPNA*z>&T<gBA_OxNB?7Ire&YSYry(q3ovXs@Tc$l{VC20`v} zZZA$8FOdCsZoy&!{!^cWnlzSWq^8eUBgjA5<WTioHzuD89vvU0It~1k4Ue%cwTlct z(Edqch4POGIfc?af8VuD@+|N-(|mVY?J)DrFCPNTYHcQ+W#~^eJ|cXxr@+}P*+%>L z+)|Obr=0inoqus&HQsLa{t3Qa(>I;`;ALjJXPU2kev0vd=$kwr{mhj2O!wXQ%Of@A zh0fH8q8|c3rLrtfot!j3$LP&;Uw-zK%f}sW@)UTOIm=8t%i*44d@OKfkK8Vg)Gu5X zYCo%3j;Bt3)RJQ~XNoWX@|4TR<8Ja4xS2W6nd<d0dD)f!On0Vi5!kMxeJJ;2SE2eJ z`P_eYTeG5~>sPMMJfF@rhx>o}?ElLW@4YVExo463oZa)T7kSK^p!uMQS2$)%Vwd+r z`z=4$3+0IwUv|CUz#{JBQFyP#M(XST?Ad0TIS-`Qo?e&}925AaDrV}b3lsEq>`=4r zw9gIA=~{ltGjqk^8y=^WvlkXVjhybhPxVMeW?jaL<1H(;T)1w!CEJV7Ca~-$<K&dh ztdmtYf7G85WcT|ktIt#&x!!5Nm;cZ|`}66PN9&EnPpBPrx|tH8GFwjU^s;`{O_B*K zbJ||$&la>goz|llB&oT~TWNmxu2X7`<~N@NZptzGGQpSMc4?1fn#Z(u!%0t*r=_sY z(2Z1l<`LGibCPIsT!<9&(c(}CeObXT*92YVWevYvo!DM?u|B_9>DR;M$N1jfuDyTc zcfj%$CV56$#~$DORFRXXIW5(}^0d;U#)W+vY%e?7v#cI{oYnW^(@N!i5z}|)e~y=q zx@~6mzsI<4<;f^63*AVT<$o?oW<Rw3v>-=FW`b|m-%Tes_?y|jnd&QFonm}|^Cr*7 zC^O|flg>)$FEt99GsWeea{LLkgT*(ORH)77@lU;cIMUy!)@EYpzNXV@9qyYXA1=%} zwnJ&^#Ki3?pRRt~m-9)(*-Z1@DYe70H@_4_o7LW#<hyUqiL?&sO_C3H<s93gHud7+ zLeD3wABWz2vf5E$riggT<cE85sw~uJ+nqa+*06b#WRka~Rrbe1AFbeq#gjxIb)>jj z=tLUw{rrFBnS9XdWzOww*OuM-)y7xDcl_A{`P+ZI0)rkV1a$3;es$zoN`)ndcte6q zrKN`h<L&<|gqmcf7T#DX*cL1GaYM9njYEdYfw<n58_Oqh%r+5vd_aDxS3pmQ_QCcO zj;4b0s_iCDg%Qd;?k0~Ou5kNt^Rh?7V=2x;CuKpuER|!LTZMQQU-9~2SQ6!Xr2S%0 zZ@`%=9EWv!D`u<}lrJ_BN?7OSbmp>0%TKA!jSg#D+An6Q{!oZsq4wx;&O|p2vFw(_ zPB)t~7drY=r8qalC@Y4oVEwXj@t#<5g#&3<oB6{;U2d#ulDPfku*$)Qji1_d6j^?s zu0E!unJ~MLL+w=ud(*r&iE}Sb9L|*eX|Z%MgL>(a-OKuvWUdGHB+rYI-#7oF*Qw(- z0(E8OQ`}3AxX<j9*!JqgVMp1Y77G?L{4PE6oLkoQ#_Giq)mwfUyt(@7aQp2%U0M13 z-6cns+sPWfxiGQ)t<|TEzV0=PmoFALUn25wWnYrb#aE9L`@`hzKFMXj{BfariE>xF z)uY*UvXwj5E6aZ`5qYq#&*{!ZuUE<2&BB=8T=M9cE7iHdS=n$K`_i-i*}wTrBYqyg zq@?lY*s+}Bn_u-Wl`s?Rs$O}ue#+$QNxeze6W_{vmkMsXp|LhN=GDf3{Y(FbuC6$x zb+Wwq(HCYjtupR&(}e#Xnf@}Vq3WWyc$HG#$+`cNZ$4UhS^jc_Qv_?wB;S4gQ+(~J zPpBOZz4@iU*R1k_(rm_cr_(xiZ<2htFXz||{izcl^{ITC`7mJHX<@a4jyIQBD9q;h zoqGAO-OVo>!_AoAOgt+vJH_~r-p!tk#=8T&kLd2a9n@)iXOfP*xvKU7-jiH~j+>O` zOkN`)zIkb5bg9z5Sqqq&-rn51NJON-QJLkrsZ*h!GEchcqlX*ZYUW(?Xb6_#EDTZ> z^vh5=_A#edN2n`z6}SE2<0ei8A<81|CWkh7Da(mv964Uo%Q9p6M1f*cp~OD7q%&dK z2g7AWD{d@elAj$akg%Q0DJIOZ#aruvt&^U|<_=!5bmIiC3Wh1ZlO-Se-MnV$e8<~e zguC>}1_yV!XRl8jw~_tW;P0-e_DbVWj_lDHQ)7Sm3F=P^lfP%5mi4lNeda>tu9sFx zGnOgy2bVrc?&@Rmxfa;rY4zx@i@RZ2=GTY0tL7TsTO##Pchy9OViTdH#nXygmP&PQ zJmRk(KH-z@&d8f<w+SiF$TaBs$d)`KZr<lC?ip&4EWxKQFW#ZLJ<g2t+DWyeQ8!Z} zlxNF@onF@8x=Au&Y0f8w7%kSLA}5n(=tRn?c~0x^oFtmil+rpwF;dXXE3Ak2siWpQ z6VVMZtSqNbEjD3f<vEx1Yl;BRC61#Gy#_oNI@<H3K3?=!X8e_<;xhl!CPj{;L0ga1 zI4@!1?+$&CJdulOPM~8);;TR<o>0dgMR4_cb>*Gp?(h2y7TUCQEorc`Qj%Dv+_lgu zNn(*Qe{<=R<OzLDJXZoc7^6IY{<y$#*rK;WVzr=rvWZZ_7B{D!OCBu~r8*6jc2_tb z(cO9P>5hec4?@doJtA0rG~4|jO}mqFbIA;q**wCjmk$@*{9+hq#@sXctUz+A@ga$u zJ%&+Q%C7pJPmF(Q+*Fa!oGqf9GWlU_C6mDRC-Y7$>p#9p^1;NMwhE2ef^sL)dVW8h z7<c?au$9jJgLfy_{CxMeE9a9!s9B|r#%vpfDZX-or_}g4Q;m<5-s~yxHA{|}dg{f( z_7Jyy+Pk%Xev*w0k6ijfX*SQ?)XUE5H@|!gJg0Sl{U*=HIIY(Hcb9hZUWni1<THH@ z$7L1mV^{2#P5;$d<ozyl&b>*K4c>o^h~{J6^!EDgh_i}&Rlgr=<BYp)aCEiBUDKsI zBG34~W?~jvW3G6VP1q>sjM;=G72fYsb#9C3i|m#=ztm_--bvfZbAL!#>rTq;dVR?w zf5l<xl?qFvi}E+`&1E|3_j&G|RdVv1pO>}Fd}nN(`u!KnZ^pT)n_1s4<&pZ|#D9}D zLn-fM>B+oZLWSPzvMrbHP`&PN#`){C+EIp^DH0m9Yd%i)l`lWtDzN>@yAx?0w>L>X zoR)KJhsx9$kCv)@+WDd_M<`~pZ`bNgCktH7Y-6SwrM=KIWtCqw>FMI-DXcG4BNeN> z!&>Yni7w8MkYc{6yR-V{)tNkkcdiQ_WtKI(b7f+?yJMAQ^NH<G<k*)MD&L<J9W2*> zQ+KEOQ=QGxMgFBOAAQ_;&X+!U*xFaK=UQMx`_p&PyHvODsyVuNX+!8FR|E6i?y75; z;!di~S4^4ws5i%G&s1Oj_bHc;SKoYMyey~fhsJEdd8gBQ&OQCQr!}cn<&)e^)!kE7 zeC(E`OkOlQ=aZlM>^;{{s=Y7Ubn^GyoKJn~vjy8ur}aDyl9Zh0o%&?;W3H3a*Y|0s zPEMMdW3*<PFMoE*<>OH|c{cc&Ij@<1mP0zl_?Xek9=U0rAjN7R#j{f<Cw1q1lHHqA zbwh2o-L}(d4YHdgla_~Y$=9lCJJ_G(x){GnY0reJte)v{Ro;Cswm+Fx@$%}4Nt3v| z_XeHOeW&&zH(~`tQ)^<Tr3Zt&oRnh3TEQ+;sl<rYg8Z*d9wj%rG38v~xPL55<&awM zksE8mnvy2II@EqbtXClCD#uZ?UW1(L9qqDGA2$XoGnQql9Lei-xv_Yngz!}_1D&wg z9}-e)1e+A49{!f;HQ>3-(SOVIQF4nLQ_mHS`(+s_hcbGPNGuLJbXe$WEB~gAQi>AG z1^1O-;yBFGTOqMTP=2zBP{JlRC!av>7s)HRm~?_2JDzHFY;a;V3_I1X$=3e#v?1ri ziHViEI+K|6UUod#)Ta^ls^j6RKAV`!6Z>CVJ(A{>ZQZd_S&rwW#xcvab~Y=n&iNs6 zJ1VZGyW_!xJ`KLt9S=MDY-FxZ>`%7hDu{7c^n0Ok$W!*HMJQjD@R7%bYwaHK?U?4L z6K%C<#&YGhpH`nXIJn!1z0x?KB-<LXW-*6%iAeIwFnRvjTYi0*xZTJ5c>BE~*^f7z z+<A&ipCnH0t66g`u))-dYh#%9%Ko!a_qjH>D%-?d_h|Vn)meB@JGX99bW!l(OG+#5 zO`0t>Eh*yVv^!tk6dr52meiJXJu%I4!@0bZXM<v18CqB87;U_~_L9uem+WUk--w;j zR@b^e>GqVje3QAivc5^#<hx<|(%^uLdI=ueHi$DG3=j?A31>XaAzJZbRobh?v$>eQ z1UPog)9Se3&1zVst-Acia*Gd<i~G4aeywU)G@nc2*Xjd@8AN|btY~6r4iQM&z@@|! z=-4wmM9=bY-BLBVWg2Gjbt2XWxK(RA93%hxyy0LLwRT&7#!v6z$Cv8f@q3PS$VM`D zmoaV*aWDvG6)_GuU=YhHw`}Es;~}Cf604d7CU34%YhaJ=I=dlY<IgM0Z`eK4E^Dal zl1*Bd<UV8Z4a2nQZ{nl4WVtOqs^3(zSa(C@xXA2>E0U@$lx{xix8&0{i$5$f`_Y0V zW0`;){%F<cKkNtXjy_8c->CUb!|ZV0(Qg~PHyyqHwOq^Wy?B@J#@LOL+cdYe|DB}! zFW~LAB;h+jIbE~6&K5Xtw2hgb^U?4}c9(BK=tj+T8fJ$*kA5rg+;o)vj=q-JLDr+o zEY{!Pc`kDMaO6p``Fi$?Z?G&Eo&9J^lJT0r9DeTqQ}pCdZ9RT5a{Zd@Kiw}{xi^J$ zo=tADUwT-hGJKYJ@;ok%XR8{L`nV+0Rv$R5BiXUfI+)dFTNvZf5>W%6;Kufqktc6o zGwE!~3(9Hg?K-RMcr-0y{f(OY>NgklWQ)#Dn3xp2CM>5dbdv6|%U(yHF?J^z>xAd* zJ2^eaux^@`*+HSB%Ocj?;Hmu=xvg@s_cjT8W6{|OZAqWS!gKCD=sJ5ldehM#GZ)=p znJhXxX;RYXzjKqSXDHlE`qLAhb5B)dcG8+8<DS4A{>7@%J@W&*d<}v&YPxBd9WFTf z&EWU^%h`(e&99c{99jD2QinnG##i$%zti})CZuHlR4s*wg-l&fwGtwhG4Xr<x8xOL z_4vn8(&@$_mffPCBlXaGw%d<K%LFd&eV*NtFwafnSyoG8pPP+ONXLsG^8c0yHGP*_ zSg~BNZ9Ay%teE7n=NiXB?cRVrS2zxH_g3s!EMRCcFSF&rN;i#p87&Vty4lzSbx5f3 zn>u}PP!{=adZ@r(S?-;d3(t(_QV$PqDbhQ#Kyr~_+d-+11|Et@FL<tS9AxMX_;Q`Y z*}k{p#cF|%#|7o58g=jA{(9}tfG?Lgoc((%UMv*&sGfJ%)ain+vPi7yp$o3ca#p9C zgLtO+X({ZOv)0AcVdI<sS`GOwp$`)8{h!J=`H%kXn%)mnBa}tBO%HAGP?i(Zc9~M% z>BccFtHs|{DluZAAb+ul65BVn-Vdf>$|AE(4sD23mfNP`!t-XWl%m9X!7fLshp~~$ za%~`?g;I(V%LMm5y~1(7IP1t^jn<Av+bCt0WRpYJb$ShWu5iqE5LIIP#?vcs<`T!z zoL+-9S326iatSh5&voOtmeF$DRO+E{#<v6BUN(DzJ0x!8n>u}PQ?ALn#&OuWx1wU5 zfZ>MunJxZjr5*-vcKdN<jUYe&rsowxhm7l%Fg4Blv_W)kWdqlbzBpF7UnhHy9y#!C zwP2H-)WR2Q1>54JK7Mdq#~{qSyZw<e+c)jA?T@y)RYa^>`e{R$;v&_wtd@jbZW_xn zTM}2f+4ux@NZgog>SW-lEFx@j$iPoo&P~gO=gm8*hoASl{is<lXm>xm<@|RpLFV0) z-8k&D)@reROQ@7hPD&`h-qD^15?}4cu`8ox(PlRZtIQ*Z-Iul`CDdQw=-+8_C|R%9 zz~&N1|4vZAX7_%GTP|p~!Sv8_p570QYbT^6WVi6=fS4fBM-SK9Xt*wy*4DqU=zMP= z<IxOJgPtJ9_XS0ZtCJG?FK`?z=ndex%yBrN_k*dI;>iQoI1VcG2Jl?rILy#n@nXGz z;fCLtEeqDWY5dA)S-9QJ=1WjV#^ZTXiaYe?OZad3l-X-A=Tb-eWG+GG-z{z&ec3IK zX1Gc8WgR&z*xJ!p8==h7ZsG(QbYnMpl(^sN$boyy1)KItExfT<u<fqY#|_?!NeS<- za2(|84am99aoDl9qC$gT=;9gv5Ql<zR*~-^2MWSjYvfg#FCN++>QLa#Dq<dbpum|` z?%W9nlXs?~0x_YCM@2;qV!|5Ry^RFkRt2)Mh=({7#<B7|4|(u#4bPF7pK*N}M?!W+ z&I>!@v(uH8$2jCc;vSwOCYFw@EW)7<hMufElS2=PKeJ!@ea4dgr&o(kzU7i8%At0H zwd-S9qyDY3gNGxg+5K1KK6$m*(30!m3YpfVl)gjF-(-#+E?BL!>|UZJ*TH!*tx4s5 zhc;-tf820)RflF(bf41&OZSf#POj?6{HeTEn?=4zr0csS7yswAT{CR&J<|}$FA{0H zZrRm7J<QcdWA++T-)&(xgI#AGDzI1YZLbb<J!8mUB+}Gw$#rzGOzUwC%dU3yFjpTB z|6-A*bW5(IpJiH)$6IpcXRlUDTOV%8b?~)J>!ZBBLm$lCAMQQ1s$=E5+P*{8`F&0w z4c#9WZr<9ia=l{H^K&At;tRTC6<%bCYwWtox=(%sw_MeYuBkD?uVyY5n6o|9Xs26= zfqSCGl*JVmIiW6p`=4mAxEG7abwAbMIbJNnufC>h2A}^k4VL9aB68`^G<edBMD~?M ziyF4hI~~Zu_e4WPyhx<2+meglGw1cvjHc6NjSsqF7wpIqmsod`mH&Ef(~7^myB!KH z>jm7|#Lct)Efc?VZc{|)y&J4e6So~y37Z{Q)|j{@_JhG0wT`7}4Y3L@^2IfN-DGXs zS9Z`@ezwB3`S;2i6E?&yn2{?kk#>`n-#E7^qAKkMYtzfJ#-v%X2{W?9ZF)9xCS9GG zB(9-$o3+ie?BHRS*%MkWlr^$%E<1SGLcjKwXPy6p&~Hn;KdFQ>MsKcu+3CAMwVGvV zhWLgkR+iNv4jY45d9*_wB<|#@(Ft~JSgOUb(S=oT*J_1h#Y@#hW{a{%9WY+S(6n4@ zLB%2_xi2dqBbzIl82Cd39_`~&x)bc!v)k*Wq?op9I_sUlAcLmcS`UP$aY^{CJaCv- z^v8~MO$^sV1Rky8Qi=(5>^bdq(l#f=>z!zUr>Ek+txK8qomtWFa1WQwoZ!a(%~~7< zZmf#CH1^&&&UTb<L)=E^HNkH<vPG?rNlm+_@jp8@_P86*$$L6NY1(1`Ki}W-v!9oD z%eIHrcK6Mv^T?%4<LwGhZGM=XWnywwydbn@>HZ9X7;R~h>!;ZcFJ1Id^|)k+*^izm zGmW-sylsgqC(5Ls?N@D1$i2BBBEn2UOw~=<v+uI$Nd-fWUDJ8H<aGClcDWr_Yn!)n znoMTUEZ3qX3sakuB5o!~{8P3sbX}ZWy)h)-^UAeH7p>GS)!1C8Z#sBE*Rmw3Bq!cs zeTX-ot-@I)%P$8uIU4TBG4S!>n=2tZIo)^N-yidD|Jl9G)R$E!SNES%#I%2lBIf;j zv^nzE>BFbKOz#hUxt_uMQoQ1|E&p<7|36Y+x+e3<=U1<nZF2qKwn^ijm)K$Jlb=4s zZK|o6u!cciRr|<kwK&DZt7iYNgj^3e&?m+!@Fs-usGg|7o3O@qTdfZp!&w=>tyDPD zD7Np+6vvNy*8RTNAadOIMdEZ$<~hGU?%(p0pUF(jV87~b-wg}C%r1X;DgR!x_kLR) zy}#c!F8MNB-*D-D#c5mryf^#*`S+v8$+E9!Px}Ax#f@9*UjFWTJImnr_5c2}>$h~( z<T<>L|3BaCze-49?{S|!Kd=AKpZ$NTa`%&3?~_0KmR$I_T+H<6wxFV5v6H{2Px_oN zOVa&*oLAl{rJo0aisbl$AHUe`b<#IdWqyFyIp(PQ7QfDc)uvCK^m)P+<Gy{1r`(z1 z`f29UCpIg1dKc&Gs+y-xtf|tp<mYC7{305nHOxz{^oexA-xsqX{3tKE@+W$~E}Bo9 zG<%bW-P7xt?W&K8gQ6bsMz))}Oe`rXOWAnc%=*opHz&j*Rpy6yoiji6Y~%B(VAUI^ z%*p?BhHv*8u*C<LKB-|cw0`pk?5g9_Cw=anb~90Y3Rvm7DRc6tp5fcQ0jzZ6(kC^W zlgn<nE`730XHvhO>hs&8n-A;hfn6M;X?eeMhHROq=1$G-C(7O@f2tjRv%%XN<aPOp zr4jpD&HHTCUvFsBn8bI!PiLN%f%ThDVCTt)dHGoxZ9cqC18k5-Si!x;Jx8=RH%Tw@ zurl#}RciA4)+(Kqid{>RH!Z1Y&y^`HopwdQ^^#=dr3H&`9>132$s4HhcCsq3^{l_y zxnL>B$V)30-`svJ6)ZJfwby#q->h1&6yyG9f^!3on)k2U{;ziSe$U=MUemYM36p*5 zo@s9M`(qh4QQ(e%U{uzJwOuKKb9B{JkDhsULgi#mo~3bDcIr$q;WLvxCnY3WG9G@q z=}Z@=*hv-74GZQRI6QUJ8&Tmi6Fes+q**rhuTH(GuQo$>vdY0fMNJIir`<Y=Q<V?> z+$8weNxOfa@M6Qv@A9gXAH3?Xc^_iLy+e~}*QQvV6Geh5UIv<{yTmM256Y^hR!Ke6 z(!6ZE(d!Mnkw>AYp5S5En_mhX&1&aNKg+Pa?oa6K{n|5MuFMaNC_170NzwVvgvqT( zQ)WL+Ni@8vW*OO1U9@S%jGX2<I$CPc`s&?<;eKM`lh;n2eDr8acGRscKfmAKE;@Tw z;Z6UhkB{mf98tb+zdbwmz`|+q+keX6FVDzaAp82?)@3VKF5H+Ld;5K~^eyub28)kf zk@|n>*1z?Se*fDkb#Tk9`&)i)U3K;Ug*#Wa#om6FbnJ5WktI16TV7wdbISN&kCdTi z^wYG$saZGY$66iyWFOr>|0SzWfwe#nXdO+NwLwpbb;XS5Ygmp7EkC#6#>(m$@2}MA z6ue?wX8Bbhcy3jTrl0MxBlgm=EB0+&3Z8SHnfBbvv?+3@)X_IFy@`J7o+ak3dzKux zPAs8lomi6NI<dsWv-VqmvO5dM)=vywnIC@OkxXlX=h^>RpYP{-G1h)oX{xIfa{X`9 zndmU@Nn*mhC&>YRH#Mg{)8IK>B*K5XSVUgCXbOXN@f3m8MN>Eq7f+F>edATknE&tS zI)Od^#Irw}eBNB5@2~XY_j8%fM|p8tZPt~O+M_Ec^)I(fU0yMDvB91*fi`<i1lHJ` z4`i}A9q43pCQ!-dL}1dL|I_PpK5IK?W*ka*{W5#)f7ZkQbG$zlGq+u-x%BM+3a7*R zyl-D;&3ct>b;V@MHnZ(XH|F<->VEZ%bC=Irm$fn`Mx_1fpKWLVZ+j&hb-VU|t+RI3 z^^S~)k3aS~PufxItn4=9asTR*Nw!A*kF@7n`YsJ({9J6>q`Xt==*5`chY{<ZJ*-&w z?6FInsD|5fPoCsYD*VZxRpcLkTEg)7^AZ8!PfIw2KQED(d?xOo>PPndO4GC#%-`AL zWp(h_Hodt?tAo>T+ziXv&$IW2s{bvn>kDIc^#6Z!iPP)`U)&{4&^ABWZHG!dxXV`^ z6Of)gJ31@-)xV$vFKZ?~@Y&~4cuginxAg7NlS1*iyWRwFuA5ny8>yQx*V1uHYSVPR znf{0LW<K2N{q4gs)!!ArpZ}=}%qhAuD{-^)*9}J({<`rhfH|jlg<_e-6%KV7)2@xS z+bw4u<y*YpTTLQ?&&yM9L3^<Ji+5KvcUhLUt@FF<tiJr+;?1k8M3`fZ4*#w%JRxVd zBSrpwOi{zZb;<1#PbMjUDLQFb_3x&{58eO2ynpkV%GPD|>!q!@dg(@lK;X|aR-5$; zS8iRnHX!}#O(7x0v)j~EG7ni^Yl+c(kmKCvQn2UXv~<JMOnlZMP3&S{+odkrB^5=d zXyz^x`m*Y5xaKd5khXn%SDp7qeO@DaHO1iDwmDZO`CK@z)xASAa{}YFGr=<x7I-g{ zcrxjy!<K8_CwP6gN=#babxrPJ0Ov95kS_6QEA@jx2LoF9O?tB7`=1wbH}br{ou8z8 za@&n*y8A!xUhQ#i_vR;UujXAUEB_i6dj8yxguZVl_B~(z)sWBF*!VHuWj<r$&u93I zKcDG7vFOZ#GxlZ6AAkSrni9a4l9oDa$J&Kr?=n{OvP->suyBWhM&Pz1YYz*bWQlm< z=*<^y7J6Zla><PYxhGEq9#oTixuNyARnFs1KI<Kt>q@*Vrt4qOJ-W*(F@-Zs^Jd>p zww%ca{NiLiuU?#S`*mH|XJ@%<W>>atbhX`mZ|<Q!3+{vGtP<-FMF!1~e62V`^tGXZ z^|j<AznE#fZ+bV+xFMguu|VZq(&LOdM-Oha=<aSU6yv}ASjR4{V|nSi;MnTqLQm`M zelJoPb<YK8_6R@!Dxfa0{wbT<pS3E@rE|Go`s~|gdHKq$yaO&DccosO<jpST^D8=W z_N><-_a`iN{jnvYt8&vjKUvvLXL)C=Z#aANm%l-%3<J}Nb4pdKbS8w#9X)#3Z{6f4 zdwgEzG`a>c|6Q$j`_=^i`mV@LuM+D+SEp}VQ*|T$>8qLAYv=K8-RZN>?)Igi*@yN> zupexaXm9*!$XCVGb^89MX1}h*rc)BOwn;@SU8pwgwC3uhTTR_dbtNwNaCw_ddEm`! zx*^2bS7-I)YvPN~zU+Im_si*I85Zp%nJsBo_^iS&1aqvuy7SDXuhVzE+r;8+a^=A7 z_?0U=7w(@_c6-5N^Xp|k$-OyG7JAS5m9uW&R6j@MBR}<zaJqR_Zd1KHOVi}S<l-qN z)oWH8Sw#t2FW7Q>jYxPR<N52)BEJ}Ry_kQsZc<v!nu@c=*E?gkFIHZ~b@AYr@IzNu z2ze#8O%gm4V#K_8%9$fMs+$emPv)EnHDdof<;>9<)z2FoPS)szgi6kh54qSQBBm*M zFiF+eAoQfqp1(`GB44cQasR&G>)C?UlXm`CVKc9t-|N}qzxtw~X-SJ-6{K<LhkDLp zSs&sVHDgJZg7oCj69+{^iwu2QZG3_i<u9kEt2)cR^tn0V(CheD76+v>Ii-zCY-XrQ zuYK`v@!pkd_gc<B7IM?z_JtYmuFUjx3SMF|Ip6zvk>;M?OJ@mvnbMoAnzdkh+pHJ5 zd4?Lf?_#d+Tx&M}_(QA6%GuVJW#lLI){9KN=E^Q=5g#KVJ3-f5dxzrfS#I)~(Wd2T z-C^4%-&J3|sib(9o%3_MFMp>#VMvYo^XrIQq}(B~rn{S-Mh5RP3!naWO8&VmiEDFS z-ca;?&T%W}Z{5-smcLgo9ALP4C!)pC|A<56Zqw~sOEP%EwnV4?<SSTNx$VTPcBxt2 zAI-HNnNNS5Kk4oE6^gUZZxM^)-kJ95rbf2HE6r~Wyqj+2bE@5VbZDDs{MFj+&-g36 zwXdBvx;{(yg7WoirkC4fRaWm^)tIp1UP400y@W;WIX#(gFNNK1Fy17rH+!wNSoMsY z87D6JRu@k!Uq88L{mq&ST6a@=#jaG;CI@rHmd<#vxI%VG7jMmlMB(>SEi59xpROuy z$h6)Ue2lm2Ygp&Y33Cof%c@nZJ<JyOazp3zN6C(=F|UqnpVD?|=7*R)g~3K!Odrgw z_`ika<u~*21+%v^Z<-fY^kh?Mrp?;FpJ&Nu^EiH$|8q6|+8>`}E%~<>)`xU%4BQ&B z==h_jW@%a%-7hJzmLHeOeqA)PN@iNGud91>t(<$x<0`@DH`hJiS7EDvg!5hI&c@xB z-b~Nu-}h76RQ1QIKwsXRYXQQyrBxS)N~@hZwsYGF3v)-afKAmb2RjV*`R(}cuNk|h zXEJls#!a3p*E)YpIv{cLMP!p>yp>k=zDbQ~Wm9Yltqd>!vkNlY#kwJ4nqW~}6`OWz z@}_-(4_S_Hy>oz5*Ss+3wC<cqy?1kEy<+Pp<Xp;reL#$9s?eN&p>x_3;@2EMa5JL6 zvv{K%_w%KySu1t7x!d2o+GxaEb(l5tPfl^JUhs<^yGuWI?y|YtAl`o=`c~|UW<7hu zm{}IB2OTbWS4D|v#(vFO(P;N5SI4XQ=g&nsug+Z+dvPeSQ%B6X#CnAjn^@=e6<r!D z4tQ@eGLo`s*t{XGXj5qR|4lmUx722yVf{MkvxUU-&AmUrEZ2;gcvdHr`C#bHIkEfJ zRQvLB?hA8fzMlHneP6M5>?4!(D8uNmXdZUfeTuiMjJ%T11s&zdD)QN@<3HsRqm*>Y z^V)sI`)r<{S#iCv>E)&)w<G2AUi4&son*89dGqnM<)@U5(h|DX_={fu9^b`#KJU#2 z74`0o5=qgEJd2LJd*d6T*u<%olCXzsro?h5v16+y^lnY6H3-=gyxnijiA!hKiA?K~ zU3`RNn&!;Lr(S7Et&<`nR;HMRb?)t)w_%I%ly|q*3;DmQS*Dp0ajil7=&OaNw^WrS zS8U}HT(d%4PC#eF`BO_a_&9P*^PbE-@AppScW=5o9<1Y%+_BtA?cM4Lt*^CG9xvpw ztXSftC%1Y+ySmns$C-2Y%;Q-Teq}+YDOXbB%BCALRx0r2X%szpw5+LO$5I8mJa5iu zNxNWyrsrHq51N{0SS(Z!^Xn=uaJW&ml5hEuqmBzJx_4HTcIr(_l3__tk||jz@_4~% zl|s&-q6?;XD<i+``gW|%Cpq~;BCkw;p+HPep~McAb=A!7mD^%<`!p2&Hn{DX70U50 ze<OG0(bbXDkJh?faVk(fm-HZO&e0>Lk(sB(tWGTbP}<Y{z_I7>ffrSK4<FGev<xU= zDHnFn(m2S$YibbV?8_tU{+(&}=F2lO&pxqESi8fF%V78GmW7Xa#1GWu$~hldX_)Y) zPms;q?bCxpC0xxR9SIBG6fiE5PW<Q;+$p#x)QI{0lru-FRX2ZdJejj6z=%C|YHGnn z-;*{a;YRYdA)#pr4O+7%I{B+AzgU{WR;4|&Gj`P?X|Zb^_U>AS((Y5v9Q0EyF7!ER zBNJ{UKmCZhVD}l9`p}O)R$413nY=7m;&sW%K9u?9i`7nic3#i^G3=~Z=_D7oazg+0 zOUFEyN8hq~kp1zRdGedXyLS5R(6cjj%1rg!x%4p0_mUe2^kmIGhD1FV*>3uv(<JL@ z-TCCq$t>Ti<vP!=WYgHu@;hK+&dWn5nOXH>AMVn(dn|P5;J$*nIZZrwd3LR~aI%i= zskzo=z3JGhJKDQ6>~;oe+<$s<Y4%I8{Jl8=`uCsh)LcFDQ|>(JERXc)kGBdJ1~1#{ zysYn>==CGVtGMPyWf`yi`gW(y?kgps`3f(z<972&^L)7|?epcDw9c1H(m7wQNXvYg zaMjT}cU@<0?yl8}j^?H&7q*7&kcxhBrEC3>+R}w3_n*yNBkrP?qc6BVXNv5bL*LvY z<#}Uo{8O;w6o16>e(Ribdp7yS?A-7-YR?AQUsq4FeY{lq!dySv<jN7gkB7<@21}cD zif`k(y84>_k@&TuO{=e+f7<Zi@qMmvV@Zzt6OO9gycx1@)84Q$yO#Ai`O~(>e?9fh zt@6=P?c32Qy~4XT?G3FfFmqv*uE?3(dn#A9DfMAl<)eemUOJDXmxRXd)7cw2>%C8O zG<U1?lAlQ%M5^~1ndyGDik!}^@OGkwR_?|Iu}ir>&)mP5d$Kix|KQJv{>I{sa>D7! zwqoZzW-CrUf9cY^Y16jVto<`3r=}xD`@UB0vQJw-316Ca;?li9sq_4=d0E=OurafL zWfNxq!p8kOHMsEVgv_@cwU??+Tzx9NdFL;a-D~!TNA*8F{j1t!O2YOwsT~UUekYs6 zu5{8}Zo1;>7N)IpuFaoz`IT^fUt2{$^`rB8+)Jf}t5a80Bq#NAUE~T@+~p_ozC|qX zLiF~NRoPFE9f|t5ukxjPpW~CamrlH0n^QjT5FfAVjg<>cE=y%4ZO`F2zuZ38pIu+# zj>_p$N#UF;iOkX^H;#l`<s|OqyB)FoFkjh;TUtA!56@%TubKViPx{PjYIi0qi{5#+ zD0Wuf&$82UAGOLSUYc<|#IX5aVYFH9l>F^)rmk&q+8(WU+HdiNx6`~gO^y9ocG~r$ z_)Q+R?a_TFl*RJ5&sm?kUP|lGqx8z_Wk1T!Za>5&>v$<pxc8W5$-IXmR;vrTdN0p; z^gv|!4vUkTcHi7YzPbG<ov5=ZTrVjg`AW(IGx4)WxcoL6bO-00d3>qRV0v(j&odEz z{T(GWU$WMid2Cy%di&PWbt`wwUvv1n@6~&eX-lgw=iL6b;AOSx{bvQy&a!f5p}*rI z&tH@~dre2v=2Ae@ajC2aoo$!aZf=XJxEAtun@#G{N<9&?PhATHt$Yk(+Ie{{Ckn5Z zHob8uAT~$Zx#Y&d1lcmf6)UZ*rWX8MYxz8+<G~6pNsDDpYJICGv<hpbJYK?e@p4dW z%$&Scd7)YzWmR(~GJeaRxVgxoV9ClVepQ~J9qScX{#u{tY-Hq0N?OnqA+bckjIDe^ z+N{2sPnTxwP+%9+JJs0hUG(6=CYc>Ol-T9gooejQ4w~Sb*d-&-GwGUb_209L-7FU# z?rxH(SfHRLr`6Fa*Clh~h5q?1jlX+?5*|&JiKx(IH;X&b*!;Zc!K0Zn6%|_ScJoX= zIoCLUI5b;vQ{3@q-}fDwb3tIwyR;1Ux-Yp}8?K+3TAy0C+4<{DH_rEy^tW73oM9%| z6n)FZ!*F7q?5fp0n^vxz(<_+ev0-WFe6879Kjm*a9?fu{nlgK@-+GzvEh@Ja<Ia4` z$W>xd-`Y9ll-)9B`<QJeqD@b^`%JY24i<_U6*#r}%n4ECULJDdn4@S>K~!r@Ot2z< zdgzJcy%$a=b#?4*UbwOEP};7{W9*7rj}N~0x^Et~{ZZhR34D4Iy`ok@HyzH;D-4R* zlhVHZ(9RPH(agKNlBeGL^TBV{LM0xq&w<Gt)4uA@-on-tUe(-q{m{=qlMS!+^INWp zr!I-QUfkL_=i`EP?5Fyq7X-!b&z%(Fldz!luOF9psja`nGRY;Sf8(T9hg_e$RFdoJ zSDD_06^4=d3O`nuOH?SX&JJ4hI#2dTX+iXdW&JhLxq7|tHsyt^=@;p1xz~Thao4lS z2R|v4dGm7Wan0so`+U&)U=@dQ`b@zuQ=V=;5fq`Ay;w;lVqF{Cv{ef`RkJc4dYf+9 z=zUF%(d2@6q?hpCsb`$zRX1M@KPkf#ZX_PB`T1qy-bp_rmM6J!Wl!x%=$#}Pu{K35 zOmk-I)JGM8HO<~!?V%kHCU8kwtZ-7}TQQ;auU1O(d@joytDW?|aa7+B;xbzpYdU4Y z><t&WFN!RCX>hPa*37`U-S^CuMDEQcH;(1_?&K-C9w40Ww0(a?*23j#>w4p)UM<+( zw(G^>g>t_#SM;wmimGz4XuT`twZT!QscTB?ksB+U-qgL}GgY~<u8nQm%7vY4voaEA zuF`R=pSOM?3%ALY15UiA8$FzLb;Ook_m}qC^TXhC+SY|Xs^<9~y4D}-o#eYAP-Us+ zrwJP->YVRymtOsS-=UVYZA<^$o-)z5P_Bv5DY&K1W^2$4ALspZqZYQYO<TRNbE)i) zRjUq6`{ws$$L}v}O@FJJ8=0$`JDZ)@oWEb&AL87knw62TQEFC%TFNS>?x%K3%rAsp zm*$bVDD5Nj_Q1W1aV8twC*NRwX4-o-`&?sMQt1n$5`~);Q=X}?zpp%b)IILgh7SLG z$1ff8&}%w<XY*pSve0T<{YZ(^-ezhaCN*pBd6J~1UpeEPw_RG8>bI%+WtS6tw;uBn zdb{9ikeDg+@rurCruvZ<N4(9#LRat7*lBTMw~fpLRr%kS-&nJVcGlkO)LV6V;vF{m z?{<0_YI0n&ntwMvdo*uB<qqu(yLSaMIcI&*N>9nHa=f5(N5Dk-I_uek*PGnTKg|)V zc^!IzLyvn_r*~7@<3$TBZzyHxg%wKuK6b-vosdr$r!ni|)~8L+5@s&g8KIRSw@<`G z-rJ(L_FL)11%f(Hj50Rz=!x~PiE+$o{n?b3Ja2*J4DAfLXB@NoZ^oqMOq-cIXJV~j zS^t8WH*_;f%vCe^%D85A%QihrwqCyR{P8?dlcuXN%Vej$<ZZfmAkA^}2G0vJIZsT! zwcc32qj|?0h0Cjw(>zT!#C7B(&Rt*`p`9Ujjbm2->^aBmc&yv4*STj`O<S;YhGK@@ zu>z^z4L?*IHyZ|Ci0KhGk$=4Cu<`F5-)hbsTb0F{Z@jT@y3U%Xs^Y6FPaf8a`?S%h z>coTSN2jHgeUEJs5#w-UKFxCW$d?x)!Zm(>ybq=)w>>D{;PRm|LMOvctVnA2O`C`d zJYNJ%xbL!_bu@SUd|~S48#}BgpEmxz>6?k8@khm5Uz^T%o)@bzyT@tAp3i#rXtv|$ z4_+5)_B=89rg%=hDeZCZf}Ijar%vZRugrS(IEP=d^T#=S7x{#Fj_ze$+^E=;wrKf+ zNC}M$Gd7M{&HtL7Et<ce@`ZMW-LHbZHWHiGUvclvIq~lHfowHDPCM>&*0aYd9g7Pb zFT})%nD9?$IeYx#uZ(5Qiq*#HDbC+a9gPc}FX-G6F_GTRa`vFDV{u{p1)CT#6ZvS1 z-rB&L$qO#7Y|@S~2$d1)VM{A~F7$id4;IJG2A&sk-UweRE_AqHBlE=Q@y0VY&w6tF zB+r!cf1CU9VpCf3ngx~;`WbR+<}UniHZzw$?|F0i$@v{;HmsktU&LhBW<e8id6wS3 z3f_q~8+GlfKM5U_)>Auu*Wg}SLQ;wP0k@;uzs^ZX<dUE0H-FEQ1R4FEFXY$qPxg&| zym+y|<)8|Iv+GVSTWjZ(BAm=}_Ry1z?}j(GT#f!<`9d{AuZm+<d%sg$&7Q|5+juH; zGg$mt&K^*9T)dpIJjU#4uaJq=EUsDI6PunrG$`k}#=5NF>!DlKvmY26hJJ{=q3|Q; zjerSzIm_9jxsIO;VlULx$l8{kO?Z9D)av=nH<M4M?>Lc@XQP-Qw~M>5w&byi+1|a+ zwI=xHzh^mnDB7|3gWH7{d(LN?y_Gr7+WR1~<U~Rg*LK#$jk}xD9?e=1`6KGW3$?Hd zGIs<___yyk!xDTY_}qzQE2^8)9yc!7S)r04C&xXjU;R#F^UGwV>pnTFX6R*zE#sWk zyRzw7(xwHKGmd1ItU6GWXTY_re_qqY0~L<OhJF`xdPGg6lUdFl%y9f{;B}#f=ZVSu zZ)@Y4+%804c)_5Rq4tYwR%=~T+T#5SEF}c?Djd<X=Xs{uq!{B9wpe;`Fthc__D_bs zJdahm4_BT%#t~OkFvb7g;k39<8#Ql9t`#}^pUrXc>)9FXsZm^~S(Wc{aZBC(!YOt4 zE9b0U)23$$TNmt%P|c7F<C@hkI_H?$iqs}IgMRIp4V811C3tl@&T)y&-YUj5tJSs1 z&AivCiZ6|8R(E96vt+a78^4~7aa5jhN>nA{VT@o8+cWN2ovcl1i3=Cpj8Mto6XTxM zt=jbLp||7bjZ;pS6co*Q*7N4g4wVcwJ+4`;+)Zxg#!b&2%vtc$;&A30u6vq}$`&V6 zt!|j*iTAMiam?y`+?1B6cRlsSwvRI&6mJZ@P?Pi6#O(Sz0h6Y=O=$_!!6l8@H;!4o zx=qg#<}cWJLq9`~uRv<|h4P3C9DN0%vOXuLvo3B-zIe3%XjyMN%ly{nre_K37yPt1 zotg95EcU{SH`*C|Y_9Fq-LaQn3(78vJU;8d&4`QZ+>U2li(U4?Q1{FORdN2G9dhs7 zRj=v3`yeFycag1rM1ySqyYdM4ohOf*d~BNjLalFl&YnlAyILQs?mGBDRbF{<Yl`iY z6qEQh&2##;RbR0G<tm(fwyv)~Guc|-^2RA|J+n`f+UM?hl3X5=G@X;p&G_Itp`Hh- z%!eya9#M$fR50ED-m&7iq6@wKFCK}$|Ma10dW}u5m)zOE&T&dJMBctUxaosdzOjMI zEbeU@GN-)7`aTHCzIm=HUR`<euw2}yjoP<Zn^yCkUp%*6_j8+@zT)Q4dj@fv4ChRL zv1`io7@KF4zkirAy~bvBU9$G&sD%GZi+i_zeW_PE_qI&U9~a-3mWvjBebd?UUCQgj zyUC}Vtz}Zp>pq6HbKYBViO){{UzD@1O`z)8RVuB{lDujb6Ia?~YaP^_bFs)uEMsS5 za8%x-CDWpBtbc08m$kE*Im&PM?rGIGmOr)o_F~ob1ER4d&FA(<`8`k4H7^J`?JIM+ zi2L}~JI73Q%Rg+LwtL6Ar*e52JNswvdSzM|DSp@I;k0SOcdivNmv6aqBvyBOf&b~; zJJ*ZY!?)f!%B=hSL%``;n@geVTfKL^2;=JCI_E*>H0g>}Pu1pS?reP?mG`)Hnsvp> zrzKj~L;HKXt=>Fn;@fSp{;=G+mj<!g>00)Qf`!xDN{Vxzi%dH<n`7UZKYO038>;Ox z_kW-?W9os_gfyn<osyg}V$0MEZqAr?;Auh@)AVjl&KNm0^@5)>MD9DVO*^9Qnm(zM zGloT2Xa`S^{{tgK>&DZEcChG4pBCOBv&Q2=(MF@j(}!2F=*h2sEPdk0qaRCN#B5P( z>`usKn%-E-8KZVhy&&?3R^#boZ7h21r-gRB_@~!+`tULqJ^9&>rB57M^kd13oNcO& z-3i%D(;IU+W7M{(7ewBecpx=7ooRY=EN6^f+41mIy{#YB*tsA1>ODI?+vZHS`Og`% zSMIsuo^*=Mp1X6iK+T(g%Bq+|&xfvS+8<P|Iey@7M1N=AOpE^3-y2`v*dhM9ut5FV z<40v{+Sl16+m?L2skls&r>4WZ?OaWNvTcc~)a!+-FGlotvTu~*ZhkbY`&@Z)vMt}S zBULdyeP1giL|=b2G<vtj;G^BT7bShtg5?@khk5(IN=S&kHZ(N3mYnFZ=J>G(5&g~S z8&k^-4a{WunUieoejPV$Z7nanxo~sj{vFO2cdp4_bKGHmM1SYKjdI+3lWfhZj#bHg z>G-<ig<N>6*}Y@EwtV-FM8@pt+gwp0n*Q<Qre9V~E0$W`p0YB1UJaMCeW$4)&rcV_ zz3o1lU;G{{+WcVs<5;#TRgcpy=UMc)ZH0E^l=wd=x}e*5`e-{#>X#38ji-<Ajz4ZT zq4D6yn~RKZ-TuLR$?~l))AYvcoH1&<j(yh?Zhqt|#&&FW4o`=<<qP@zqKo?Xo-EAY zbJBgj|G!1gmRAK&-z5^Kwo!1;k0qbxTJ`F-HlFpYVPO{f$@9hkiSb4KiRTYJc6qwt zqIqByUzPf&oiC=Ic)l=QX@0-lS<YE!4}4ZRx->oGyGr}g=Na2w?5s|!eVSP@b;<Xc z71NHSJ<JrE-Mh~7)wzQgRLsPkC*82&@k{z_sL{A~=DS~Ya}T)9etrGp*$Y1BZ~g16 z`Lf3MiSY-+$w$(Y5*B@VA*M31e_gHcPZ=K1CyPI4E-JbpX*#k0+{&3OXVVr`PkOVl z()48F%`>x3xIe$<Vz(>Q^U`mhFCIyoFBo^8bz84uW~HFMap#N4N8HkHshG+Ct&5Em zF<&mt9DhRk&Z>IB^umup*_?T|_IGU-u=!t_>a4h#W&8X2N2@sIW$}yNIZ-c@zVU-g zwaT`1kB2pD+8@M}ny&h^q`>5!OM%M0lm{+*P9Aw!F}c<Gqnb4PV_!bLBeP@P^qW`i z5X=8mXuU0zXHKtgM_*9+BVU&C$G&Xuj?VVE({CPGA&|f6qrtr=4+8g`Ji4}Ga{KI$ zYVzhu(MONWywP$-WZm)E9Q*prC3i^V8x@+~OL^$E=j5@c6_cC2KdOm~Kk}8^c64@4 zPJgZ__wr=nO+h!L@|A7~<r{4<zL$~|zUSnjcNLR+Z9l59S3maED?4&+X>agGId=7A zTfJ{bt88TYzy7cYi`}4Ule2Qdp-C=wO-J|tb3Hr%=<lEzQ_px97|*<TpeMym`0`{) zT_5kXErzBuFCLtd;wD}^NzyjQFYU`l%|LFch$$M5R@QkwX(~4+oXL2wI>k-+^<+ui zHQs4kHk!@6cyRj39=&TS##Iq>&%8(uT_k3*(IBv?L@jpa8IKK0GcO+al;S3QdXl8B zj&Ir)L$#R~51vSI6JI=8(zeGd?TevKAa_*61Pw>4*L@yoDiZU~WF+JmE$%El*&}vL z-T2mw31?m;BpEI4_UwFh``8~BJNC~)KV#1LKKX25Ir04QH}T>3G^K9syUuFwQuE1t z>h&VGnVtMvDG%3j-F)%Qo3*IGUG((PV$n|@d|GR4{z_*a<oK=mOj%XAVnqsDUU>ZN z(}u$OQ$Fg%1RdLK_-eYA+i_vl&xS4sZ>%@`ttILBK0fT;!{f$QAGNp+*40+V1#*1T zK2ywftS+!JZlc=n)Tbx+PfS<)(fYK+Rr7|v?s;v=yRS4Q?-ppx>}~dXmN0+P&Wc4T za`Uui_PcT&t9!F2`Xt9Tttf*Zt<U*VU6{S6_N=R(a^{em>gJ7}CuMTNjKrg-^yKMJ z?Rlpq+Vl5A{;WwCx9(eXsVSa)UXYuKLHx-VMII-0VuFpNuTMR5&{wtiW5h`tn*byE zc9EXHB_G#J5}Xrk#4JAL%n?`B#mNgN-Hce1!q=zSCiv~}@yA}z5;qw6%BzcfypgZu zuPJ#j^XP`qKcZ`m7Bw1sr9E0RDbiwjirF`<V{zZKPjjEDkn7W$+3(GDtS-0GHSouS zr&8Oe2=c~+8VO&Ya^}!=FSqk5s>KD)CvEP87<`jHd)v!xL-0wSHQ`3W@tQMRdA-t- z=PxR}x!%HD^YO*KyC?m;u_)n9t$g&<o`m|Z-E21AiTy^48gsqUl6Fsuys<FFj88+W z?eB(?xkmH4W4)dwZg1N7Np|9TlbfrxzO9<jTC0_kyr0X`Vu6z$->M1i&RS0%Z`hN- z7R?<WV$>w}X`^w)<z#<V<HFE;76)g`S8G1LxnoVw^Tqv2a?zK+1sieyoqFaNgKDus zz{wb%AS3>}qCL8;?OKut4Sq7UZWMW^E!C;p+O92ZYUp%QrzgxvI(f>OgAuBq3&Lmm z%YPT?(JhzPkUW_Dv*vIF*LzSfgnv=nc4zP66tOt1%UeEros=mF4dIEtR2OpW^T)V@ z5kL7(m!Dbl*KgH?UR$jv4|Z_vv{>d;vUY)!UEc|B*)8XkD^0Fhu!o#D!YR5aTUNBF zAi6c?PPn2x|I?lPWvttuT)c7U|2(e9igiwAaVsV?r)xcVw2rHC$6_Ztz0-oxSMN`l zZdu`ck}cFkIDYkn-ql)99&~Z-ys_R%ZeNI^y!Vrx{L}6kKe>40RCOa)<c#G`X3thl zXtvaPlC+JhQbOH5r_v_0)yF4Lk$ZCJiDN3FMFxSbF+Kr`{EtIV9M`c-I{h+M%O(7> zmP@(U)%>%rf^R#tQXV#O-Q1z+o^$c{R2%7>v(@2t{w&`2QdecLU0XS!bE{TLVlUUt zh_z09VJjzePt|&o*vj=Y;@|00;bujXULVt(^Uoz)!Q!*$)59NwoVmi2Wmv8!$*_Gp zYLVgJSs1ZH?(v2~<zo*Yr1cy=x>lmS{k9>WeEFBDc@NguaVod&HsounHsoXeo-Cs# zcf>-+rl;^mg~;QA0<B{YliYg_AHFBi-f#QM%e>HUe#^{5?<Cj{u9IkQoNLG@d^<@- zY}+x5oSgnbiyK0Z7Zg|Z96sDA(ca(u%WHc<-29fQhZah(AABg$-l%NIC+waqBi47+ zB1fjb(85CSaY12Fe4?4&3tm3GSG;<9FL~wkUh~?m3#p&4Y`o#8dh5oIYE6b$?}uyp zYWny+P?|C6fa~-$&KNZ}wSveQ^A4mYyD?2~p2-=bm!?`!Ib-gDr^$Xb%#sqa^F`+N zK2s|YJmdYq$iTkw^pPeOJ#KE{9XUE)4~h(Q8c!enz@o>mEVSdrH;sLbK0RIjUFTxr z)d~c4d><HX&}lq<<P(b?x3<uZoHafV7Ii;o(Gy=Syu(Jv@4=^yYWo^>e7gO+&J|?~ z@8GzmS|AxQ|3K=a)hv44(n33Oa(o^XZP08yee~H6udRl9_nsuw?CE?r@v*O*+OgR+ zKEIy)HogDyfL%hw^wqg9FI~H2_`|$g@T~ocpYvEuQmmvT*0qVXnf_n#tlxEpzxndl zH{Yy0T=M2xqE*q04XqQ;)`v7RTn~1yR{m|=+Pij<*M@~(cbnE9SgqMJ@g&P))l7*W zUW;Z$=m+YqnY_a6npX(>YSmTUrb1T_TXeok)>;1SRitmvloc$CRaP~A6uNq(W5cY( z`21A+S{bcC&Nk1GTa_xSIu(Vk9!luUN}k|SY7nq!mxRKqog!f#Ax%?-t{%|p%zEVA znU%QHW$Q+tMOG0?fw4Ngt3yt2+4O6!ULfZ(&k$x|l~tW9g{~eF>C8&r<Wg$jxoFo6 zja6If%)LXJbcL=S2<!ARpCWYikXomgd7seLgM6K@lG3v)U#!a4+>m#7(qiVMTC2;q zrX5;$YKNLb)7-O97aH+w*36mA+_d)W{nbCqL@pc&dU0RrGAG*>4$e}}Pg|XBn3!2^ z&#sU7T+6qmbj8`_#V?PEm}O|>_C9-m<xgDewd?5_fqq<42Spu?3nDN0%*p!qc$WQ| ztc4%Tw>JsA$^18Ymi;8bU9Dk?P0d|jc;18x2>%v2a;T1VW1)kij7+eAxVz|)!-A|I z3nQGm9)HbdRjgR7z;;ioqci+$eZ=SAiHGc*>^8UxaI$R;IXAa!#-Wd|-b4j*>Uo4P zi>t2c^iJaA;Sno#c+MQSr68&&?{SZYm4$Yf-nq_2?ZS&*Jg!;t+4R|^`J4Ky%QMej zUg+D(VYYHYW3QIW^(}%&!qUFvZ;SI@=-$mFSQ4(t{5Rx;%l#84f}OrNY*tbZjZ~i@ zDOsUa#U=ctAz_b<goHY~+A**G+=R9ebHmtGmu<U4wi|}7vYw%IHP&a^D>*gKQh8x* z?@Jr*%~_ZG=90tt^{Q4r8zOpdzdW(uqn%UC?0@Fja@ISSg6>Z`#I36Q!^-HQYXsNz zs}mlyNlEQk+NQQn^K<fpos(u(EK3oar!})z-Rs$djgxj(EJ=}z)0)}8dei3}-qFUf z`|gG)Hr>`rdC<o-bH{2Yv3Dyc^#0a*@}QAxXN5+IV_4iV>DNYUBLpH-S`24<Jj-6u zSXt!t-@|;#h25^coWC+wG{#9~ElNM#pZ!n8>E*8tMq0}^?20_j8J4-TQ8X$qY3YI6 zR!?5u>d8C*`sSAZOQ#9zTrFZgz2(l4qKW3_%X__MpA((6=TPM0a~BhtH!Cl8C_H#l z?7rj0d#e=>vYVQG2;}uG={-}Ko0GTp^tSDru6~>4ah*@GX{%OB!d$M4Z);N;MHQqL z==PK+En!+K*S0J4nz3)|wokXR&3~)Jhp61Rx^Pd?sxHl(Nh?^SRaZ6g3Qb*Se56_O zhGv&qS;wN*w~I28_i9+(Q0vm$*0rczchQSv<N3d*J(#!OMb+Z+!kUe0OO_S5stLzT zJISVZGDTQi)!n2ZY|$(W^+4S@(^r`3d4#YpS6S7)UUgM_w$Rjb_Ohp*vj=D1U#t*2 zTmQ=D%X$4$LT{!XIDcvBjO$k?tYgy(oqjUyhMV%_RO?4)b%kao?DsL-;IB6O#_7{L zMeeFTPD$mIo;17ILj4Bk=J}#;R5r{Pe8aYRzSx_bh|>?JB$&_qyC=b%`FHEIPXY(O z{JIeLV^-4z)5uhg#~iXIp&fiPyT0(;2@nuA7d>)Fmvv)dtfP!gpn&*x(IbbWSwDXC zbLuia&c?cM@q#9a8%q?_(%hr^Ciw&xOmPjGo8b2JmXC{n;yxJxoe9fQ5~hWi8wRh6 zo}qO$*Jt`GzGa@J;>AmLweJkNdwh?_^3xJCb$;*Gi}rZ9l}m8Xq(x5C-lfK^5;)jB z&3^UIKvCBGsPz0ji=vlo`}VA6*4YKo(Wh4PopV;4YqmY~T8Yq`8#nF+$sM<tm;Itg zcGZcTJ=y<Q*r!bV%o-Dtx^M5=Nk4U`zO?_aVbbyq0V16HL|mD@T`nGZ<Z)j6TH1!Y z6?*I}`A-fU2)0}>d;JMc7xuJOo38qCu`fOws2VHvqNL?yR|iYGh@hw8BNbN{=5|rR z-lQX)T^(wD8cMT{b_6T+O21iqQ!?PqE8!~>681<*Ni1(uYg@Ijbz@dWat{;R@*5eZ zDiTZE*xXhv>=ew(NZcWHOX6D4zJN0cg$n<@E^u7qmWpk1e|E<q`TC0Mr&5|sjcy*u znwgUny5ul>kzuj+(}sjr8HorzcC~4osYV-3E{V>(wp8!#jI&F3o1|DRD+p=l?0dPP z@v&9Tqm~I5AGj58g+Fb0&?O_WLx){W&sA+QL(0wOQ0t{uci(uFGVflxtJ8MsWSbWm zZ~AvV>a<!O>c{a+tD~`!D=De5DPqQ21+!<{r#;`Uk+{AsRIw>fD`mlMu9+_uI*HkZ zEsNy4`9kw_wL!s|Ac3ZtTuBLSO*0}^D2Rz^cJv<IBoyeBy?#2E;FmB(=Gu@GF7cw9 zF8H_Rd|7WJDe%R;%8#}D^ScnY854X8!qudAs89C2v;NEXRd?oiKWq$9uh6*4YcuJU znw+t%bNGJV%5DAL(y=$vR`GqG^<u)o*JtO8ykOIxpLyu+viVp41a$6xa@lvsB@gq( zb5xrz`fi!AC#v1hcS{+orN?tW;kS}DTqoWxlfPCoUs=~Thxt@kShZAr)MsYVRO@7! z<lCv|CLWDA`LWA?dr$VG*IjQ_UKe<;(B3w6&6TUZ3)da8&^T$ka2?0m)gPT=1;fIH zTXrQCO*qG^_<l)9`1RIZN(*=0(!CPe!B$!tvqIbHb=IOM6-Rf?x)KunS-)$u$N!j@ z{!NTK@2~wi{os7fo)v$54K{t+-WWJ_zi-dFKe>rMPxGadwd<`V!hhaA`s37nIkk{~ z-wZd-VP$4My=mg1C8zF}>{$CJ_rbyCPxt%oS@9?LVdc|&>GN9k))i4dZy%j}>b{&@ z_`h!-UtA6n^Wo8wKjrf<@n(|Ho;81R7vvvJUgZBYpL?%%{p}Z_KW{spKXqTPD&*g{ z3wu9p?+<^f6Cn{ZP1lM&^q<;~h;vP;51gOo3%}Q{kFE&+dHdMwQ}_Aqh5Re~xUEJ* z+WA!J`vvho{l%S6*H=k|{kwep!yWVNy6(Rx=jG0vww8rsS^ECa&$pL4aA`X!Ha%T9 zp)qx#Kx}xclh{6<)*KzqRv8_>))*bGRvR6j)*77^X+3^rp)S=|{seR+#A&1?L}<83 zn~E$tE5&uOjkh)9a+}kZLTANWdlpXU&%4?)b4H}=mic?L*0yo%YMarxap8nc!-W%C zFD{(W8@O;n^TdS{x&;?bXn(kHLjS(2J&`j)UAKJa<!$9?YjI*@Yj^sxg|k(Mho?1% zhod#ap1U>X%X+yx$97~LI5;Qkz|lKd2M({wI&l2d8~?0-CchS>#1?O}i>Q2dGu<+* z-Z^_gHOt<E2fX$+zVqJqG1#he1<zR>@t+dxj?q1b4=gw26W%%h>Yt9{4eYwNx!>-* zc4^x}fpo=;|C?vYzt+|KBfe3t>A&K&<OlUVUuXQ7pJXfc&+c0CWBDXozJI?X`n&(@ zU3>iSb3}jtf3tO(JS9EeZG74Peb=-<*x&b6;>Y?VTeW|uBl=tQHp)rgjOb@JzV`S~ z$JYvljdJoUcPumb7#U+!qVS(T*_P$M<C^0K_V<0A@#A$we`~${wdBX~N2_H1gswS$ zR6og9?w`@M$B*3$eG?Z3#~78o`sKK${ege7E!#hpYsruLlWoQ7WL|IlI60!f`By}L z_xxj_3wzHMim}&=rx$*le=O4GPkN!4{QsZlv+EXZdBPOTmAy~!y;8*Q-u)|nX3o)W zUF(^{@_X_P9vP1$<3fYZvxgR{m`S%!z9I9*JE?f1dFR=~^Hj{_cMETs+E~gdwD|Jv zbt+~}b3Jp|%2YPayfN=cT4J=&?B1uIIef>|H|~^}e&ktVj?nCWL(W^Lj{IWjy!bP} zL`eGJ3KcVE<;gdEdOVUg8`yN7J+?u`jNN(ijTj!!q|XKxooC(mv*e~eT+PyXk#keN zkhJ4`6*K0&lW+KZ@k-i!!M?LMPiOKCnKRx=#fAosZ*Se0aNub|GSl>KU(OggGxdU> zH$L^gzg9E5c;ouizdmZae;7NiOE<stFGfxH&!1}3`wyQycpYv(<rPb*lhMKOby2CC zSikjDhNQWAJ$xOesj%bRJ9iHUu71&$2g{lyDpn|{$!T=7{^m-0Jg>=O$7%(=cN!h- zx49lYp0=lmCuXYqLgSaFtco|*DzJUi>gcTHN=n?{bi-nS0w14NN4GQAqlX)sepo22 zmk~C968PduX^107pJqp+EmzW`mZk`cl?rBlnjOuTxgI_0X{xYTtzdWVr1tSc%9SEk z;d8<Tnz*@=9xQ5_v15sXSf6%BZ!FiN2b-F9>`>BQ(JNl`;K5Fr9TjTqa`R3#_N%Xb zvoDw>B!1>pr}Oz429Eq)|6MwRf7qAIcu;>mk@^3U!)pJ+Ww(9U|6)V$|NqCO-Yi(X zEk*BNy6m?P`6V}w+h=86btu2P@g>90{L&c@%&l@BaQhy7S#k5Nw0+3)vq%0fTPXI= zo_FiV|5+=V|GPWK{<$yp>f!%O0rLNs7sT%Iy6O5}@=@#O4UGxE%x|pq-KyAn(~z&p z(vXk2I9Wzb?TCdAPgmiM8S;+{HYgl>n3ULa`0yf$_Wq;4yvz-J=d;-IPdj44v9G64 zB0}o1!A76?fx^LG*|>whut`t;%EmtV3!Av`S2lj*uWa(0_kB$6ZPZ(|fBjRI`@cJv zDc$6~p8txMW&dh(i66Rq4<32{f>*3AI)B4Q*}Vr3%D>{(+qclX;>Y(dZ1VqoqA#=U zTkYF0PvU<}zQPajs^-S}mF6>kDCf%gUdh<mnxDP1*Zx8g`-LL${p+8~)%l;Ut?}N` zDfukt+7JIE8J7PW3w&o5FZr<Ag8Sh8W05>pjz#)J6pC@z3#M<pD3D$_p>Ok!jGoOE z8C{!yybuWf&-QQe43`%(Cv|QX_+xc0>A_@+?#^j5?#%j-EF-o@qMg_L*uw*zg*!GR z%gFsxJNEGL^!w|6hN(qgU#fWd#*CMT>Uw_|9rtAMKOAIMVEb6LDSRiW2NZg06MLOJ zx75MOGPmQWZqYMI*43$xy|nhlwDi@voG(FZa28ho`<_+Tp7@O?imSh9p~At#GSzkK zM3!hi$$mZSW^~vmp_vQf=jCo*@yFBZ?zD4L`zJfaTPnPmoX&3(IKg*e`P2NSxTi6q z+RM@{Jif>NyuW^>CR;OC<pl0_&b;RVpLgrr3A-&I@y?yKsd}gVnxB6iGFCj>lpQC) zX%{x<ZRm6MK$Qx48x}X$ssrUy_jBHxwt7nGOp$vn%`EFrSL%zLE;1KV+Yy}8=<`BT z=v!ug;Zd=UQ!MJPGi&EOn&P8o5xeF%&+Z#1?5-NDvz1YkkmuUmU*Ox(!l~AF=*@1g zY~{qJrwccD|J>v;BO%lB%(|=~J4+$886sSp^Y7P6atiC@@y{|1-gC~Aw|f!O!ATnq zOp1^c<+wKWa>{kPl@F6O&M19(A;Bm7g|9X8>bLF|&R^|^*7(`CTuLszrF$fD?mqs) zu;sN*b7~K-&R6mA|MD%N=fspco~f^b`fXbiY&bkKb2M2VJ9?bnQkIf>Y~LaNtbO8& zYg_xao_(8n&GP$d#e<BdCItb!zA{11-0j?Nc1CgP%-dCIs#>vNA)8#*icWW_tcQj3 zY*)%G;@#SM%SXFUq$zm+s`RMWg;VkypRw6Iie9jDq3-2r%alLeW}052clSu$n{Vp` zGNYVkf2%cl_#l0|{36cDS(od2!i+mja<7-EF4-Hz%UcreENpw_g46kL9-`ri7q*;V z#4E6nWxL6h1ChL@g>lZhF+FCwn&!Fhzj<7qv>>y3Yq74w>~FmHnWiq=E0`M<ly_@( zYl4v8>p$=H`M0|Z@%;VrDZsiqC|0Gf_w(C{$)R-xl>y5x+xY0}u_#r&NT2ficC+QI zM+ugbUj<Fqd@|cOcSrookE#dinm#&+y!@%wad!TLsz%#ya-y$4><9`B-Kuz5(4Q+t zud7OWURc%!7AdRk(<1Ed_M}gBY&qUzr#~gz&`Gi?(DJ31Mt5<g-tjl)vnwJs&Mr*c z%C}xCJ;U?glyi(tX$$5rnE66AL#&EpRxf{LT=56L3o&~{P5AY@x@WDL=3Ray`=7#3 znRD*h^FnUbr)&wmDdA!eCc@hz=n|E`c=22Lj#3HH-2V=#Z|xh-yYBpZ-1YYSg17cc zxBe-fdGR6R_jFC?!;9XYubWh8dF$Vj8~>aazl{%hZJ-i0wPox7vl-R`*Z!rmoIN7z zxVa$wLe3pw6Lx==vq!HtJ$vZ2<?qdoD}u~FeJ+2K57AiLb7QXHHlbVLaay9RO?%(g z2Yf!eNuVaDl7G3tn?8-4#x2dk9NXMQwt3t-rpXem%oVMX`%t9mtYE9|G_yjkgU1RK zZ&c+uhba8el2QMWb1%kEafWzcgpsvn>jO8JMAi8RHCc?6xwNl-?KpJmgXwaCm~M@n zg|$<IIo7#|e0w_k^c<%G%{4O)vNKt>K5%kLRGoWDlO<S*OZ%mupQ43$V1)Giry@<p zf~{ANW%@0Yp4D;a)pM5R0y6y?YwG$6xei|JIAo^$+Ojnv+$Hh8xzzzpmgP!ZtDmov zUCib9eM8d5s&^+fOPZwvBW(Gfh%_+@wqE_b_QOTpu=CrMxmG{7p5s(tzGy?$zT=uK z-$4TGbDTaHEZR_2cSMt=A0#k)j?)K|8m>)mY`VEv&L3tveWrVb!v`as4Quvvag`*? zibc5Hb79?O$j`lI=W!QStJAHl*KWLD#B^{~!OLAwUIovX*005K+4ATC9zR3F&3i=k z_wG+hsf>=ODm~iaapS-Tj~hoed@?fqk*Qnl>A>a8+MCd^K=Orhh8i39tX9XSw8i<= znPEI?lTJPDjC{(?mpC(_tnz8>)aJ^Rz7X{p+81x`G;&=fd}jKKH*+Esu5yZbm0VL4 z_bhEXDm1tBylT+g2i~3MtX>DL^xNRGXmg)s$g0cNro31)n{V<fmcvKW60cf1sR{Rp z1am$+9vCz?VT((8@)e2Bb5W^lLY6<?FhkbtNAL8LEW$1`RqX=RgnfikuQg0gH9F`R zn5KNvZ>CQ;=b|%G`P+TeZYZBx^YYuNl&0FBmku2E`!4oMT11qCZMyq}2fW6bJRL2Z zY}3S>ZYn%|^em^ivQSRw^@f#uEcjRE6z<!&$D;pL>5YJU0`88<vir2wo19+Kn6P(& zWQ2N#+BL3Otw)>ElD96fj8M(c3*(yAF8b74Emc>-fA%cn)?a)(j)X^Uv+I5O)YmUE zh5J=%&7~5@y;H7pl{g5PFnhC{JtF0}*<i-{zfQ5wovbc*RoA>_Z}=v2=NWgv0@fYU zx(<cY%hYcPdhOnF`(j_f&82s{(w45do>*?8o$6qHXkA7p$7>F2*0Trr9E~@|UeL)A zHj$2IJ$o?Daq({z$Ik`2w*)<wD93bMQ@;IsY2noM?$-p@eek^8S1|K>hWiec3^qNk zS)IvEX%BVce?RRjXj=c*%e~^9ctdH+<EOEOA6w_gaz#eH(389-`)rE-9nKEZ|6OI@ z1g17!T9}^rbJl_bnsdVTEfQ@!C|142<Zc4vm6hG|5B(|owxw&*<s;%ApU=wZ+`l~a zrTAxC?^*9JRamXsThiuoFJRODnzag_nkF$novHh$PRNSw{e%}eVOAk~?8UClF3$0? z)nU%bvkRK1w&=ZvrPkz3eJ%5P1@pAxH>v*2r>9%5R=Qi<v8eIiqKrlHk!RAQW}iBJ ztx%SA;<^8eG7{Ek%#ygjG31T|k8UdaNyfQfpBy+KU}<0&!l%PCnOXX<^)$cL3@xh* zTAD6wo!lfLu~b3Ltz75p(uOJiuQ+ABg=-^c#J=lLRot<>yHmD)_p|w?<qLj)-FAQF z&*x`lI~p}}8=oZHUk++r81G&gQFNJCboW)6X)l&_-woqiGA$>2*@UyDnMZXUvk&{0 zt)7`Bxw%Ajrr)ey-m`OGt~`58o^xTe0;{1xxB8Mfw{ln&XDn7=d$uXFXQCeO*DXz6 zt+wa<g8Wo1CN5_V41D^2X8qLvX=n3UH6|bG%4$eRRbAN=SSK3IwfaWX>J@C|7q4%) z8>#BEVOH#<^)0KvUku;;(cuE;wI|-JYp#gxc0I`UY2DLB;jcHAd{2^d@7it}G3R?s z))Jv~yV<c_Dl^1i6mDpUecqF`C2Qk~pv|e4-sxXko1zxHs?dv^C3@z;B-NuS%ZoTC zDBRR+IyGtTnRNkTS8F~zdgd9}n2_XR5Hv$Tlp{^-#kt)o7j`Y|j7hEjIKinvch!ZI zb;Vo<d%fm<I&ZZmRG_JJYM)Dc-`A@Q2S0hudGseH(&4ewQngbpSN-F+ed$;=e^Gxz z>eOqyKP)%B|1@;h&K2u(kFlBQy4+m;BJ!pC)2&XH`p<VTi`-siJzf0Jr%S#YHtn%F z>;742#nL@FPd+(C#My1~^$XP5_G6}3H5=2xmmLnXUxkDySVU%i%vpK#en`~j6#{$u zv`l7(&vE*&spwhze94<Cft+UHS1$xBN2_QZyyoSuv1G$qDTNzyQ|5)bZQ%7u)UNJX zswI$fdSgr&>n^#6BD=&MiZHWV9zCMyx3OSGaE{DF5q5XWqel(>J{HUgu9?#zcId#j z6|G_aJpB|cj%u>`6?b(SUu^Mx)4?TH=Ef?$dr?#K-N1uK=dE~<m>=?Cqy7v}ZKmq5 zAC=PTf;QR7&Pfqo57#Xayd!AByq)#z5nad47v2WPZN3<LL1vEFqg_7~GUV=Y&+0F) zxyWPlG<OT@eJ-hk(vHR-qAvLCdBmUih*LkZ;*7Uh-ls{;?R%a)V$`qPao*eR9c%XT zz7qcl$){KLZLvyw#&q)d%|#*84~cxcr~6Ahcge{&o%+Ajv;S|*eWR0BA8fEp_XErP zS=rn+j!*rxPuua;c!?{$k(T=MAZLSa-PuL!`uHT5*ROM6Ne?-3AX3z*@ZIwgZdK=< zCb5khp1Y*|+j`H@K>L{E^h85G;m!YlrJLG^SZz8OT)V$xqrjd1%@RAr(+vy1i`%dI z`S^jfTB_X1<2&|WN@&_><ug0J{pGCx>tEzZAJoZzlN|B9UQeAxT;#|BLsrAWm(NRH zYfn&+*UUO_D_+Agv1aZp>7MoB#gjk2+`r&(X(fN)Z6EQc>~`H+Ebf*^4<!06G!|VR zVR1sUWZ5GTt2M=3veyrL#a8b#E~{}%f4(W`{=#irO?~}u&J~)Pu-;{<@JtuejsA<G zZv2;&{h^ih@#C?hb1QojCN7YS(8y2|gRb2Tb2+`O?2LH92I1IQ?pv$AC4KWNaSfZ; zd|Z{chyQr~irkD7OAYo5uNTx2FqxI_XuQ$mf{u<Dh*|t0b$Nia*#;f`w=U|>yPV96 zPAf6pJ)_&t#iFg$net+bO<V8_&2yS;#|pbTKh8-?WLkbhLe6$a<J7=|M^>#!NGuK6 zU}&giAt5Ll)6>Hx=jO^PzgU^+ZV%579VG!CuK98y9*HK)1$rKeFeh6cJ!0Xv(O_P1 zj!%c&odXY6BqVu+Y%nm<x*;JZD#O#yrRV0t%CEe*sb6m5oQ>)))!cOEFWqP!Q}{B+ z)~sFjWsclzyJY18&leNUrv6*!ef-upzmmAx>ydshX011#y-ro7^{tl6^?zC^50cZ( zBu)oRe^!#r7#<SnYZIc#9UXe&n3(I$7q>zkecpr!aKE?StQqXowR8Wvj*Q3ClorMI zR5%M>Jm||>xY60srY1l@zWnJD-PU#W>gp{0Po~~>`+dMoRO!Y7C$?Sx=WgNOGWGX? z(9jU!{?HSL!nIP8_b$4^Ca2NS`IIZ^;o3c#x4mi~%u-s^`@5@SsojUIN{gDSxgI4= zZmM{(EW=;k->N;W_S=;9hZk;sj#Upd{TLSz`)aSok_SQWSv#{H?^&=(`n<Zzs>a7c zSC24uW+iTO*=pz(aI^RIKcTA*_MKUa*1K%I;J)bAi({(~PR=$~S=IPb=;{%Z&aA{$ zE?W&<1DfM|Js|UWokCX+setD57HnddP4f!b^<=^dwPzk7+{LP^dMlew$xVq+3goTP z4D{8Rx<YK4XNWX2>r~sw%b|;eN~W)1tMUjDwpCr#8YgtsE#EPfznW*l3KsdFn<uPd zWAogj#^xQu?X0q@cVW}1c??o&ft+pLA<W7ut2!qNT|K1HnU%a{!KUL8d|n|<&O%e) z9Z^};sVsE$P+(`);~5J!8NOQLqH3WU$UA4+3bk_{A>8Szt9px@PPwh~7Ml8ClZ)ww z_(ijB=mhGnnXtkv@55i63a1j$_q_9jVs!&~*GycYcFik<`?bod-q@y7ZaeP^O-<-` zG2IZp=+fSGE?W)47G2sV@T#%5_PVOp!Rta-514jlJzC|mwZL`JtsOcma$NSP1aj(m zg)ob&tm^zMboEehXVzoqh`*%^w`uN>+W2<!`>Qq9Yc|Q}EtB40x@UhvmG?Hq%QF_H zoKCl2^RsSdi(c9_R{w}~?^i4nyOy=0x716@CSd(S7HQKf2h@1CZg6$Z%?S;<)?b#X zaWIe9bc4II?wiX2(!Zxp`MTz?l$SxgGq2CJfL*gL21s9?GUe>a&8AZl`r4#stX`=0 zEK?&^ICDiWtJkS#AC5|SZE$gZdCSfDrIfq#%P4o}T%GVBv*+<w0(LQ931HSYxpG99 zck71$=UkhBplxmyYZkKjn_f9!%xhX0?yPHbJwRH2>Xfyb_cApOYV(>FggE=kTn^wq zZhGYyr)p~Ugw0Z31%A%FbFK&MO1=`n?QU}An5ro6JZAgF3t5(%UOAx2Yg!oOth?uG zfOP(pDQCmJn@)K!53<=lENeyUTdAz%)=8Uo=1gl7I&&p}+1TXDkrLjm2CmMzGC@IR zm)~9qXp)u6O4!;q>&CK$VrJPZde>Y1Tkx#f=u}&;X;wz!dZ}9$3!2`Xv0Kq5Cbnu} zuj`x214c_uAANLpn%%?So~lz9>~}H!;5ezN^SjVhr|;+M_AgtuCXM^X*PGk3_l5F3 zzx1<&vH!67+D|W+>B&ZKjJt4a!<)_Tuh%SJv$226RkJJCHy^k7T|SAa>0nVpLWj(Z z3XwR4=?uGT{|2rSu9@&evtr4L)lWDME_O|SV)()?gwJQrBxY{)rw5LOS{4+<^Tp&$ zOuv3u&ho>>#go^#@$8u{cWA+HhfFWFgX{bjo^|I~vcbiXW0_V*qb65U(x#>hs*9U$ z%vh_y_e`s!yOQhC!x>FKEcDjPFyDT9;E0svh7F#4IWkk3+1;NUIGSkrp}>Q$=FRkP zT*B{{Jm;TVveasB-qKyo*MsgJbzb@I;nI-rh7LguI@LPKla~BWyU8rM_)zo{0gr{V zn*?;i1(>x(TKs-+B_&R6x)HHff$y3|NB35)M~QQrene=kmtkgpdcY;za>Iv-wqp8B z(tn>A%6ys1EWY>Y0cU^94;Q=Hzi!QK{T3tj_Yl9j!G(b7hr;J`DjjV5a3(_N<t(p7 zLT9F}V0-2fV&&l(!u?rgRqxNFV>~$`uENEx6ZMX`Ts#&MSYqHS66+)G%5Us)@wkuT z_np7|4LantF0FlYO1SD{?tyzomnX|xe*U`kO{}zL%;W0pPJxo}BZdWzg6(-nMUEWW zdEV)}#_1)hw<j#yts|<mV~rD=-pUD`kF`=Bw(y8v58V5+>-6P4Uj<#8_AT-_pBQLT zI5Gdc%~@yji#L11=eNpz=RCqU^B9vH2WLu9g<==WdDn?~-&`*q2@c%y!9`%RrjCGX zQ|qFP2i}1u8(l<nb)Fq{a%L<3p`~e(vaw@+&8^!~S=^aE-1enM-o3fR`mDrJd4{0h zmK94kgo$uo>s-|Mby3D6?Z7P?97S?-M2b=pmMxfhLp@{5bgo&wlbfDBn6hA}g>Hu2 zIgVNVoA+Fsb|}qJ`Nk>nKHI+q#&<egCq8J?klLZwrM6GZmHWBt#bZ-HxTy*7<_H&M zCbch!yrG$4_Kx$V<qqu(y>}e5+HW^KdweZ@A-lBIvf@^~pL~(O>f186*T~jAYd^lF zEUs;S_k*3S;#O}Su4KD>t!A;MMfR-lT`$Duz1-0Le6DkM1H<%FHQIa3)-W~Qe#oiH zk|FZ#wKb>Wj+F{*a+*QLA0r%f?gT7Jdt6mv8>-6o?u2*pqduFq*4>{Zw!A;dcsJ}+ zWB2V($rTj}{SO~66uEHjg9~2<uXlF$+$Z5nw;nzBMVl+>L9ddjaK7k~L#eJ=W^vx9 z{o5PTKV7;o(N*s7q2fv&Y5gY$4tiS_6#CZj@UMSjShMGy$gZx-8%3R!ADZ#J{&IHK z{VZSU(wAzx;?m}4=&`-9+9|al`*PNSBg=vm?%tZ<)bFp&*wp=|o$qKv<K(Liox-^* ze%?)5;Qhg_Py1+vU%>;}qau+F3F)Q@3E`#*N#&XXG8NUDjSmi3uVQ50yqZyXbCZKH zXJ4^`hXRLy%o;WxnN$Db&b}96j(wq7w&O^X@>?BML77Y+(}aXC%h!ZBE{Iq)nK4&4 z?D<KBi3$z{tE3DH)=4c04d!Aw{wmZ<!Q$G|1#Ha>vA@EY)y}b9m1Nn)qVH@O-V?s& z9QRR??yHrHr3?&q%<$M~^?N$g!N%~ZLL7X1Hc2h;ZqGV!WY2;ljtjg$tef$h@vP|U za*qi~0tymwycaI3op2RcP<>j6lkd*vDGmh>>aI34GG1-yv~zs0Iq7U1ZxA;V%k@=^ z%<<vOYVW2q9V~n{O^BnfSowsbfI-0;DT6|l6*m<ws8*C-oR_pG>8zaovWPV|ldm>3 zF22g(yF`S8Z_j>^vAI_p8bz-*bUt-F%hvd)@qEp%<)-&@SD#<9`--{Q)n&ymf5p_+ zwwL@>e;HQuV-8=8Ot#ndpilok&%g2~vx6mH_59ZDqEVlG*S^)&<oUzCwlQJCJqPQF z_Yxi*y`Rl1CimxW{FOf@DJN1lp9!3Q_UQ}zEhaC0j+ZdstNj;lTEA}N){Cx=%eHpz zb^88!|C*mOU*xROy<*lLT=V(o{#8GBo(uQ=wDr!dS-GM?wO@bkU-#3sXX~sFrweXh zeQLz(TO#ZsySC!v&-qvXtnhiYSyy-KTHeyPKc_|QI=XDmUon~U_WwW6k}sa;^><pG zLEn1&|Bh$BR|IdHa_I7T@G_!pOQOBLoP1s~`_#ptZ*uMYcPG4yn4hcrWYbx<T)oRP z&en#_PTs$vLL_hVhLsa?PhMF*`$eC*{GL)7{;lU?eqH`nvhhyE2hgmUQsK4jrs77r zZ(7y1JlOHSaz(IbtZeq|;2qPCxD}Xp_Uc`lbi?Nk-~6eH(aRLQ=WglPeuXVc_)3BC zoh(J?;>N2NcwNo7&gSOsEJ<D#yz$if`hS;a$#<A-3pr6I7V@>Ia<PZs&X@Bqo9=s| zQ?@up?6Sz7;DrIFANljnj}O(ePF_~?Z}Tkqu4~h7`Q>h%JA3oik_mHV(iLZjq#GIp zW}aM{EuG=K#6a<!lY!m2q=Y$pK0Fmvj`^|i)4Yqb&TdcJoO{K<EidDBz-_PdDp?Y; zax$yi%J#Xs*ZP&uRE%%C_IgJB1JfqO{|?(v2kN#8?-z7wn!G6E!4!>I7P?(x=Q<Yk zZr=Z}*kyI_iKU$%o6-`S7Tlbnk-?|NIjj3*)3d}^`yZOh@BOD~74ejNYwNd~mn>Nx z;+MX%TBY_p@w>5T^_`#E+ZgY>jM&nuHmz$j`*rTFbKh1iOs}|=dE#<qtKZSmmoZ$^ z>y*`5ri&gqAj)c380x4K6D%Mduier7m+Mi|?|i4?80UFc>uPt!ERoIfFqg>kFqg^l zFjvURNIaik7xMXd=#3lqqT)}aM4yhlwfZp2?~)q_w%z~Zc-DONj;ZfbEn4$3>OQ}n zmES75%ldcIWR6{*CpG5nahd*NPs&5(`Fnc#_dI!EtG~12xVPNBPm}u1V=7<F>oJzp z-1AJeDSS`L16BQ*6$iY<@;*%JoxSJD12O%bJI;E`z56h!e|Jpfi)E9HXO<Wq@@Dy7 zdGbJMoYBV#({*efsY>@(o;)ZVSM+hpbQ_z;s`BS|eu}U-G;@u}wa-Ea4dRRp=1lkL zd7#RzTzT@CMqH7>tm!d54^{b-D^DH|SZ8C_SEOd$`f-noLF4onn;NJ4_&ieOo?LnI zm`Gfa!PMz7U!JP+$5x(nH(zJN*H@^f-uiBj%Z29YJYSxu3h&)<B{t@P2>;%F9a?r( zb%L`FyvzxWo}cgf{(ei?CLf>YRu@VGt**~mYW>De%Im|&`)zKX^OkM+W4_RIc|&l; zseRv8Jt?`k{wdqMY|FbpvUavkkIH*Ib((d>+NXN=GAw1ww%$2@P2c3I_Xel%y<2`A ze>G|O$K#nh8$U+nB{fZpp0VPonOf$~=95wHlDei<ODukBx9sJr>j%7Izg{|-ze}&u zO8DG`B4*>QcaG@jZa0WNoqOhD5xeu2JFfDvUuQ&!#wmWhaVSf6+eWu`*)>-a#b1}) zIBX>Q?c>xmAL9%D!ZWx1Vv|+7vEneB*~<-`XRUG)yZLV4Saq21+3OA6FRk7rw(<R* zv2taw@L$u3FE?dR;}bq}Es?pn<i?R0*=+{??YTae6WO^-ZX9)z{kFlQy;kSim6ffG zvrd$}-0srOx$jCMvv=tXzcp4l5Bt)dEI57aww2HHA69Q3E#s@+vGB0ny84Cdf~A>F zpDsFme!Xet<)tr7Wz7mg+kIm$CUQ?Ny>U!cwyYqq{pF*;cH24E6XlPal)g%su*~nJ zP{n+;++8yF)*oi$d$FOj*(&GZ1isrAYYy}Ez1Yyb*y>H<dcNNl>sAJfH=CBeT2|mR zd7F1ZP&@COONqkEOK%*Kl-*Vs)-F5ea-#VA(i?}_Wxst43|=nRmhtL}_rllqnFfyL zvSt@z+kL-WNaVIHy>ZOiccU%)-qMXPjr`kfzg$g}|NGA~cDdcL%vV?Z7w%WfouAOa zCta~jb6ftSd3@13)*m*Ld$FOp-RjMwiG0-+YYyAZs}bB)cIIKtt_xjp53TFZ9JqhR z+kDF+`RzB?pMMs0@qhP)uhDATOs`){EjBsJ7+<sg+3mnd*)Q&N-J9Q@S1tba(%da! zJinAm{%$>SEBf->TbI6y@cnz#pC!=rW?qGMTTx(B_0qLl-}HrPw-tU3YJ0nuQSMyo z#+XZj@h$Hr2JKzCZKvVv?8FR}{#V*xjbC+V9E{p*(tWe<rHt;EjCHeaZJJrLZR*ik zr=Bj<ovm+BD{H<@WY+DBT#?zjtzV_QK8S|D;qXmt=M#Q&C6Re|$&Dj<vfDPex993y zO=SOEa^q-??6(aL?X@~ruB>e2opqu_d^>36<m(NMs#ZCVyk)l;gtzDFTux*^U3%jv zm+ZF<LG86`E?rsK*$Y-1)y{eC#fHZAJ4=snm~DJ5Z+hcUp6s@b?(MQ~t|p5AF1c~I zPWD^j1Np0^S&5UEg}u;ww?Hj-SNH9ehuQdEZ|GFE%6Yhg@3zIV!+d?OH*^cnirTm_ z@-n|{&cy)nY||@;&3M0V{M&tjH^uAr%QeNH<efJg&JBNc`HkV6oNU|K9-Df5Z)iwd zn#=X_T3d<pKI6GNqBg#g-yi+?y+rk81MO9%j-TzX`d^POE<IZuURwHnb8_F0nQ6<s zW~V$m>+>aIa;fd}sJ9m%Z``#i?{0M;^XCN$#!Wnn&KPSL8+G$285=3D=-@fErlVnR z()%+h?rYw6&$T}{@p<k3j)>RYqS>czRR7=V<1qhP+2=hTH*C~}$|9rZZg^+j^Xk@Z z>B|?Z@4jEnwr17${xcUAOBlb=I94*R>tn=9iOUm2lk=7(o#_qnITChr%M^*IzvZ8` z9vk==>Kzt+{IM;mhD}2)p(W`|P`F{*!LYyIe7C>6{Bv4(XJG5S#FB;OlFGlo-J839 zV(;2I*@d_MuQE=E`Kp~Yv%&rMhOg&WsoCD$H1mC3yy@;&&z@#Jp7ts;dAryBri^Ew z-mhM^-gocp`7f&8uiU%U|GB+)&boPzPdW0<UUmQWO1@XOFMjffEj}E)SK3O>fBn5P z$6lG+ta2(&lwK8oaut`h{oA!KUt7zE?iY=a{kS1KVQ<inSAC78UY&CFTDo;ReO^|V zWKVs)^#7Zxg9W~&+g2ytdL^H@wWuaK**!Y;{j(_>wB{c_^;&DDNtl54=cVgD)ZFN* zVY9lw`{nF?yKY>(ck9NDfTXmy3hn%>X1_bUpl#W*UBCM7`I~LBi9hJ&@N0b$Z?(Do zu}!ba*U23-F}J&R>EiBlXC9nR)!(?qy)dom#$t=<M{T=4M}*uh{!+Qd|L69_QnP1! z^Q?Nuxw_|Y!qFW(fj!4B+z;2~3ZBd-csS>U!JqG;r?>k>B&~6feYY<qc)B#x*BzPx z^P`z~cj#W2AkQN8aj`@4I}VeF%crm5+Px<+{H%`1y4&goubbzyzAExxAord1)n|tV zjQcrEmdp>>)bWq0w=#GE=jON8ZwwFcnQs1J$y7Qcd;Wp+7G7qzTLw>brQLcqC7#KU zR_ocA@N41~`^^guH`$+_Zuht7%li47R~`;$D*YL>K<+uqtKzT)jPV>MPkJ1b{kTn@ zO>q$Rvr7-Xk-9H7@p6{`4RwRH-*z~}_HkZ$yuzXO8<)ug;l{Q%0vSjA8jrmZ$~cs_ z`;gq{H(ayBey^-$x}5d>hWdw@+1qC~Seo(7%st!@Y1Xqd^>EAQ^MCKg)hjd4UsdCJ zVc&Guha2L>^w?7#76ypfwWkOcM2IQ6rwD$Wsgu-qO6lQ^)1lroLYBz8W<2|GI`r~U zuDVrg7pnf9aVGuz)4qyTy0(v=oDSW5iOcW)&AiM!qu?{aO*N-huFuY|UN!Twez<wZ zx8s-MuV`<yz3iiPB{BZlqq$dl_WXA}z{jlm#>nB2++Wo<m;Dbd`!9YfecDGR)79mT zvs`yOoQ;ZIkk+Xeu-QmF<IHm=Ux`2QtD+r#C*PGnSotC5s`dBKMVsPGgWp=cyUtbF zQoYsb)z6(_9?a$QSI<ffYc(+moBC||Eyw<X<z)f3_Sg8b9?X8es?2>=lho<oU+&bc z%Q`pv>QnQxJHN(UontP2;j5XI`TW&U!eK|Zd|R2FzplDT`h4hHjg*>Xckb&`m8Rs* zjeHyv%x|t3Q@ie!?@z7U_ikLgcjZ#xzZ&o0_jY@&f5dsZ-hcKf=+*95CCmP=U7x)+ zYJQlV%lD(FO`BzwUE?d78D@PVx$3RL{POs9QInVce>>~n?5F>xJ~w$@5Lv1>ectM* zj@hLJ)w54-eQBqk*7x$sT<wkdtKSD7U3&7p&dFA$*Bd_VTqU=AtAC~Ws?P^@UY%RH zwP;58UBmFzTfFDb_w=5B{PirWORBZOEBDT1y;)ply?kbQ{FxQoeyRJ~-~XY$a@JJc zC4s#!_j+7ClM}aV>TA=_|B6GqmuxbAZp^!T=J!p@?&{n;y>{PBPW?0=_xR6SY=32R zTRwZo9ctWg&CdVoQh9FM?@6KGXVh(2{`xTc@|4778Ji3?n?%m&oTXD^H{JDsVC0OC z4q}4stL(EcPpezE?1*Ex<(v&OPKS$q{&6eG?zyg5!NnxS={jPCJAVmpc)8qmw_Wko z<r_DxHh#QwRcb}3!|%&4(>1N_d8X8Ddb_j!?(6xh3uEO!88%*?|9ku1e^aF*zBVcd zTfg18s(<max$)Q20)mtG{>-0$?AP&X^Q+}1TfgOI<)>tQO<A({z4Ei3%w6XDG!oiN z^}|Xz?(R6Eu2g<Qv1s~beUCfI(<R<-j();8-|J{eq-J8jsL;2c0f!h*tIBK&J0uvb zDzn-55T|^2xxQ%5uC6wd&($VRKiupNz3c5ZHR4m#Ga0ef=TaxWvJqQ#F1_&;)3f~x zLJp>`53}yCo%QMF`jrj(rlLl%+HOZoe2@BE4LLMLe3jLjzHPgneJk<4@a1ywD)+5g zfsyrlgA-)c#3QDAvR-@qmg%+e#sh3UIvW(5=dYFuUY&FN^4eaWm2sJ6TTHhv`<Yp{ zW~TN2wN*az*Sud*ziFR;Y<B0utDiga-Wm8j(L3z=?ql1D+Iu3E2RJ6S^Q?+nQEppt zcy;@gb?$jLxI<r0c-Nj=^mSk4_vCMV4zbHPt|YH=s9nZsl2G;aTjKR*lPh{_kLOAM zs>wRsU~9(n^Gusyb*{wcrLjv|3iV6t^H#j<ykwkve#(wN&+jfyXPx=v?zS0+`NfKV zemuY0@A(w}?_d9~;qsnnS@J#p+04j;|5W$>^J@KSSnR!dL;Vfj@0ssk#Qr~WIko1& z!w2^+UJKFu^t^szI-jskTG~4?<G03#wNn3`N#d*4eOB~Hf7hzpA3S~;%cY(B{f^u6 ziNSWMt=G-BUN!vrQ>LoLvohrT?aC_$KG<ZXILX<b`e9SmQ&{=MBKh+Q^W;x2{wJ2m z*&bZ+e0Nk(*Dt$CVUuU?U)xoENvGUvPiSpMmyDa;{i#RwLXx%~l?jVHb4EZXZ5g|m zaWQi@YfZw&2~WkkXJl@iu~f`EV$%9qr#osQe(_B_DkBzoCUxOa8?lHp>HCf{J&V*y zS$9<FS%gm7wxdF6Pgg(tm}YlI`{UH3GS~i_osYQ|c_w-7(VA-!Itg2kI)z2*BrQFf z6c(ZL@NkXv*GlDh-u*||e>&H;KNTyEOf&5NuKR5BktD`$U9n9kk_5SR#Wo*E;{5zM zlzYaE^-%#yasMtH_~I{CJTuv_|EAdInW-BaW{Pn~W^L>^EY@wAvazN4=c?8<=fib% z3Ki-zUTnCZr1x9r*+%pF&W_Tb#}(3Y<y6<4T<Cu0!9?rp%6!#D(|z{utC)RMW?R&m z)b67;X3=NTCm&@pi_%GHKB}Y^rIR+}s8HLp)z3a<+MW4Q<*f6};6{>Ov(7Wa3rTj& zI${^@Cn^5b5&P)9ZmCUD)n0Zn?;Z2^PfSajW<U4k*LBbMP50hAFIK!W+pvGW&a>j< zNsRVUI#1ZUUGHp*IHTLGdgn~|+2@OEuKKJmSFT_2^6R?jGf!t7wRsnL=DF=_DMn)* zu}|xcDlLoFNt<<4XxTIAlmq8#X1x5mEaJ?WKf2G1ZYIf1)_L}M+fk;rXq}X%qe{o3 zb<*Y>6*~58wb&+Q`LwFNhGNAMxrWmN#XeVP*LQaKf6_>+mQ$^n<NQ_C?b*b`9d}e; z`>rp4a?_^x$Df;b7V70cJD>LKW#yC4m;cXpPCIjYzV;IbIair8%Elj_1Ri>rzB^2X z*LUjD<L`GR--^=y&GP&6iNtuhoo~)6_s^|-vRS#G$?oLh3H_fnlA`5~)_mCgZqxRy zvs}fZS2_N2JO50l;KrBT>)to5Xb@UmbR;pgZ^xPwg8lr(N7A$VSYpn{-APRrtH?RQ zbU8KE@xU(~j>ze(g4vrD(tO2K)|_jYCgd)E@3V-7O!c;1Z(hz;dR}zo;c1z|pIYuV z`_2i@|7<Dr!S~xEz2ln?Ja!TLG3QKELvW<PCVg(Db19Bz#3LsZe7m{-*6MKajGSkV zrPFRn&3ooldLX#>&i~l!!FO+5_;}*-+S0dg@BEIv-ZnY$YyWjqb91w;%k3Y3-mG(G zh9<Xh!Kp(%^EMecuh4PIJEfF#z<W_g<iDWn_lsA#{1TR}`MoA5Mo;V5<4H+1+ceY? zT9cf@wA7NOCMAWH-+y~$#=OLn-m$ZnYi4BDZTX&Dd;8U?>LuOruYT=b9jGSVZ)zI$ zZk=WF`!uoA`suSS&b_~V|AuO7oxgT#mZ@voKA-Sz`m@XOzPh%TzxZ@!-j#NaJ(KwB z|C?KpFVa+>-MWyti8uDy?F(xb=f2o{p^dRSM`F{BHbL(kiOpBqI8U#M+O;R!uwPQl z*jS^Rbx;1r2{XmIXQUc#zO_B#&>R`>?fa)ipLy6E^Yqm1ru~0*vdaFtIZ^L#?hC^M zZFbDL5(X#Q6q|D;43D%KI_J(XIJ0W$>2|Tt5s8NLr;2e$q;8yGt|L~szWV-_?xT0M zM;vPQDVqDGY^ub(m7bEb<i4KYJ#T0Gh9f)vX9l-_WGbDh5YXSrWI8#%k<CXa<AhD4 zTaDg@8H<^GEz-ho`0(exFg(;|r<^PCVH&Tq+f9R{ExgIcZd~xW{@I{*Lf`ER4_)@n zd+PpDyU|KUaK-7$#;O|Sfce}^yg$MgD2lU66?!f(oX$F{;OM#yr#+>s=4@JcK2w@) z&c?(O;$}S-*@tHooB8}O;+~s+DgCvzv{lXgwds=6et0b4lV-_UwvFlQ4V8fTXPJ0! zC|#JamdP}mvGG`q&V`n@Wv#L6Ze4ghi??>&?F(xnawIk#YfCH3J3OP*%qL=g`^(K+ zZ?*ARfBLFpAN`y4Re{3-J$BYtg&qs++F7LvRBua9&ph#)sdt9vg&C1dzBA^^@@v&M z-pWirm^_`gw(PdSgJ9{lHyaa=q?`5J%s$*w8~MU+vR!oXrdI!jhwtRrp5AbznyEBG zFJL-9OIFwdrmvBT7bb)<^+xChY_8d&{m|%qo80Hz7oTsw7UPM?aBtJulz1dbT21Hd z;V%~>(w*DC{?wW(-<!x(DxnuJ-JIps$7K$+ZX6~FOB|f<31uA7Xgt;<mT^d8_aQy` zn-`Lsd27Et%lG+}(X&<AneC=Q(gxn-wi^bC8DdrEm-HWVkhbbMeQh(3w~y$G!#s_< zbc9zNmu&o1qj+ILF;nl4paq8UH>Vaz<~#Runti!BaWAtbPsQy%o|!3!J5HMU%$U^v za&h6kHop5$R)^G?tmC}$aF;`D9><l(%N%O+I8C0YH@e*sUGcK)t=Ep24GWKNH~VsP zrhnP3JNh>#DlX5J_&AqWcwO4U|30@iZNG6LF?*X=YDCgx2ib3&SDsFAu;t^v^1Q=A zxsTK2*^bzl>A7ZKe%xrY+r25%H}OQCwb7%?Ha^kMJf*GXoD$!1$Ef_@QeW9J&MQwl z9DFyiWU*;9w(U{B(2>g2JM-uCFx42gTNjci@Sc@XoquRcD&IzB=eAo0Ni%qb@0~cb z;EqxH?F)&1VEcO=WUp~uNuBFpdyV_bbLB?2p1<6)kM;cKUcE!F`>j=l&c=o3XXZo& zOMF@=E4k5#|ME0T&HArfQuj^P&)AvzO?<`Svu|v6`o!jOUU{6}`0I{v#u47eV>}zW zUmG25lMBv$QEWDU&6b^Kw<;??OHGW(i)7AyVW2U4nvu>%?*)wRtWt$h3pmr?bpPbp zxUe!VN8)pu+_LiD+R|2QPRH-7*?yhlij5RgX{1KL=M0W3>CFzzW?UvolO2-FI7}W2 z@6OWe&Uvx%N}Jv39EnYr+5}hUNNl#^UR+dsGtJr9<ym4?{Jt#<58uqOJ$<2vpQ+SB zBVal+)7KmN0rP8_dT%ISnBo8S(XN_A=l<rK?0T(s?Ku)fr|fJVrHCDSy5-%u!zRu4 zY2wQ?OMWQcZlAL7@SB{ur>;D;ZLB)8Vg1vh+xw;+pO~{W=*8zsF}0_k{LjoY)|_7N zxUJZ4;o&`R41(Iy9;pOO_h)@oc))J$=@{u*|BT|VZ25CJZvDMoe&#t|Z(h{x-`;Im zGs!l2DfgbqYty;T>TX=<xq{a=@8*T)+0tw=+Y?WOO3!+^mN!}Nw!y=o@6rcjFQo1c zE$-J9`+PHJV}qd>cV$AX@}qr6lio$@Jk0A`n)_nWQJH;bL)YDD_c?KQUD0N#eCO$f zW?v+-o#!)}@krzzo)Bo(Q*pY@P&s#ofztGoTU_gtuinwQp!3Z5u9aEBtfNlLqI8nF zjwY!^>pXnxv+(X0eGb)oXAXa<lRdMB>(qsZ4r!qQjeOQDuRe7;*lxSMy!2+ibHBOq z`5MVzJr%aamx`{*-a8w&$|rUi_m$+RZ&6dDO^mA7p0cev-DbCV(}qpkjc>KtC2!iW zNoQV;^ZfEn8#ejeOmLpx8yPXvyl&D<_RDv73jH;DyLwOe3H_N97oPFTIkQZ;l}|YL z#iu@A+jF-rd}%i0*^zO0!uwo_!sBg*vvX%`F#de}w8$=<4O#C#`aSDksQGzj(#D3B zV%(7lvC2uEN0Y*$bP{v>mY%<=*KPUcWav8Gy~f^~{Zp4NJ-=b$X)WohHQN?u+MAi| ztzRatcX-0~TnWQdZHC1;GYss@#V@tLF<$*lZhrKc=PQmf`9<iY6fCRvjyzJ(ee})7 zh(l|9l=c)=KYFwJs;nF9v<h|O@|71F%2}lf?Tr0bUufZ;$uo83c`oTTpDo>@#+v+> z)jqrKTl3VcSh@c1C*FU73k2;sO&&h4*?7si=xE!sn_CmlY}N7k?(99M@@f;`^39?e zhlS+RFGnq7Y-f=w3Roam%{ps?=Iq0#?&aG{vwhi~c;dHN&&{mEExDC5>^?95#Od+= z!O0q?Q{@G&`+hvN-r=}FaevDDgy-kpW;CkxoWDQg%f55lxurK;XjAOYk=T5vjZ;1) zG1dOt?3`HZ?H=z_!W=}UK1_CSe#d3<Y@dU$TngJRBU9ykGsdZNFTXT1>EFhyd~bW= z86W9c>s0wKi+%94W6aHD;!DjBoyjI6mT^L`@tBNo#-YO99CFRMFO1K$F&@s9Fg(<j z#+P%rW&5TLo8IrVo!zi*?TMU^r%e(zJ2-FSFnN~TsFt(6`$6HkHoNXzi48~E1W)Hm zd~W0wwmWlp3oB2JZuo^FZi#>QbEQ7CIXK@F$vDH&sMho6eVCvP-#>HiUp$+Xnb~d| zBz5p6x7{*Gj1UX^rv5(N`rxU5Pxj_)esO2M%=ERv&;LECXl(Nl$T;HCIO}SEtlnm` zEr|y-q}zJ7C7zLxR_i%?cnj;FIsOh-TzAf@FR<aN`F}r0svvZM;&m3O!q^3z=9_dE zY}##cQZMHKe_6_jROw?e8xs$eM@HN%`lC6!;ncLpb90zZZ8$PF=i})sJiJV%&dm<W zbz&KZY<I`lndeFroNiOxo-0v&vW?UJSz@KU!Tg3TtbZo>JM3iLGd1@>s?>)@2WLBx zj3Zo)YHQBidvj{u^V;1T82NKDmdTj)yiA{Oys%y@tjs+>{q?LvB_b!LZSi5A#%+?a z*df`B(<E_oEK7f=*_X)N!wsfpJrUWk>OGTt$5elZ=vfW2KOarc|CZC(wnzEG3{58A z8Cl{7le>9qx7{*Gn9Zwfw=MBZnY3EXq}Hi_pU%F?vgCqFLfW<;sZs{s3lt}_W`!+e z@|}?_elU3!?^&M<ZE0#5hdU(AdMeMialU_^c#CP@Y4rtnn5I4Hj?ZKCW|i9Hv%pZA zWtM^Q?>%8<m!IE!YLv|=&8D*<@raZ3F`Z3`haUZp+xuyq4%_oT+ii+x%+^gk<#lG$ z!ox+<yJ`}g`}uP-#O|8$L}VVG5Ng&Fk$ZTCshLki_SH+N^N!j)i$0Uyc=XJoGf8Q_ zX&XC^igiaOZERU;Ic?jSQnBKQX{%!@bEHz8+b^1xRGw~=o1F8)_+}g9`x^!+UA)T6 zZW*M_;uT&Ny|qL#*|5J;?DNb7Lu2i&5hpCVU3<39n7KRp%$YmJYc|!?T~FdOHkzuv zS?GAqi-J3Cdg?hZ3NN+UEzglCxYeeZo+D9sqRlWpcSgavRZCA-i+!%RpJbOGt@D7p zyH#de#1X;nqcwROTNYb}{ky&6sLZ}oq3iyf$=R^*u$%O*oJ|Xlr%C_H*_e1BOu8*+ z^Fqm!xfyOn(z7PsS+(@^b+OMD`G)iJb;Jq|CMn*J(s{J#Xi`~(&cnq#t8*;YY@c!X zoX^u!YmP8VTb<dq@bujOiH`lgW?ydR9B$Ax<GGo2xFgrB=jV+!&fEXp_f6B=y=GSR zn{6}BFBjv!k-Txjc^$Eh*OLr?>rC5VfBaN+;!dOG=g;)TJUw;(Or5lq%!Y-h9i^*e zHZ44#C_O86DzEdiTLww<c$1&qHb`s?^`1Ug?DLIO!}+~p+&``)DOT%<eVlnT>06Xe zVtiZZwhXbTGl_xAisnk2Xy?AzaH>sjb?%Fe$J*?&b0s#MYg2rkEAeq6@7X0c+BkQw zjoPKNZN_n-?w>kaBMumKx9V(<I3m-1v?gO?i>PH-oN2_Fhrhwj>NhLdk>osme$I=+ z>uq-Sw+$Z5<8^*_+u%_nZ*twnM5p~{;(rFcD7w08=W)~SpE27b4)Auj-q{jyM7R6s zoox|^_$9+`UU@ZNvpCg1+u_;!opYn3mK&SuIky{|mHa%^CYPT3qWDM~WBe_HC)(0( zHMxgpd^Yp>actGj)0W+pHF<{f7mIOQq-~t=SghM3apR21V%`>0lV2JaA57xA|4b{q zF6~_UwRNd4KJ@a&>fN~TI9mEw%$CFhs?u#S+Y*mNN*}99Kiu-(IQxyru9z)Z?+Q;Q z*-h6KGdP;0_<T*aOHJm+8S8bXeJE`U-Nv{+>deEaWksoBN!xj4?Y1sFEhk-7ljb~s zuNlvc^urVWn)O&D9G=l^=3|j^_0rQ_M{V*V&OBdslqoM#=gGFCN_86|&LnoLzB#q= z%gmdZhV6|zPfeXa^Rk)A-kH3<`DxDm+GbxQ=T=&rHc05<bv|~>AZZS7^0C_niB8LU zmtT0=5n6nCcI25aX=28EXCC#*PcwvbBil;%&6urOTv<PPyD=zF80WnBFpoF(-u8vZ zeWZV#*_3!7N4kw?OX88aXYT49o-sdXM#1$}J5Q^uekM0r_u1#ZqfBm*Iw`A;DlLoD zN!xW)=-%0lpYDGxO7)vRvl{HmgFEj`nLks}tVA-)xj)hDi)7y62J;+=Ps@0f-EJ79 zE#Vcuw<+sg(fw6Bk281w{FAh?q3+sGr(e-JNexGn>b9JlZt$YMq}I9e{j<I=^}V_M z*RF;uol*MVzpK>Z@9}l{(n2|%hh1+y447z^eOc-4#?2nT-{@Up+}%}jqEAWoqvyoF zo54$j?X*v<JiW51`L0lP_m;!^l-_RI?@?V7wWRi($jgS?u2xUNCid-!T#}q8^0MVM ztL0aV$bt>wkD?})?TA_uyHEd;+<no^`P!~l4~i!8?F?C>tS9zzMt*n6q1L83zvgII z+|d8%JF(0neo5>;y-RZOqM7scyGsu2RFW;)=HXrwIALnTV^*^#5skeTQ3=U*`Wrai z|K0d{IdNO<vV|L0zI!QjIqR5(&;R7>{Rt}#Ri9nsE1D5*eIm8)twKNR*5(?qYkXW0 zA=Vlxg>M&hsBUdedUl1+t77@H*uu)~&pOYXFxXi(;qa1Mj(Yjm`994EvObZX`F26W z+pWz`cI#wCHm)rTICJfmBWHBhJ<)ziQ~#7>)6Q;fmNC1^S9CMN`b4txTZQ@kS?sK9 z*2{_*Z7d5ovg?+kVRkmV>YA06;WhVGS1K7>tz9SkWW%bm38zopa+K4~V*gnaVXg6? z`Ie*N>1=jaoz*i>H+;`N)^cWzq_E-YG@mnXW*Kq@|G)9|@pLDj;7;9ojZb{@|1Ny3 z{?sM@(c?!9?YT!8^`g6(ZLW#1|5&5V#}N@NBd}?gg+fwjp~D&Xql}!=T*hxVE&srh zw&hlYOv0}tj~J$(J<2Hex|_M?n;1LG9DP2HpSvs+9<6@VFhjqaS?HW-+O0J=x%?B{ z7HmGs$QLidzGIFi-v^6WnFqzIEEFE36gr&feALkK_$Z^{@h)beKC!f0>E#bO&#d@V zS=exR;v<IXhmSJKwRbVs@QJar$Y}6!+>DnI*tp)npK&)=?TK?v@*5XlI9?O<LU`N% zhw<Njsjph!qpIrmP2tqTwf28j$Nk?D7Sg%BS|}~;zAb0*%sZ0}`pc_?o*6GyPh<?P z77{aBtDY!$xmrlfc%ynEXa1K5aXWin9XxsFgz+BrM83mSLeGr0s3*$he^WX0{O-IK z)-`WDBTjV9YjLf)F?qub{wkqq8}_h&Kb2g<@0WILU8*f-am2032Gdn-IX_3-nQSoM z)s~Yx;>P3+6BKPZyCW`4-Z0~*EvNU4>&?|qjhC^j8!x_pXI_itnU|h3PQRJgQhDZ; z=Zy1b=C#cH>gRvR>E3J4h$B66Ddq_|{EM89eO1s(s^MRhr1nKYEAfat*R^Ed^IN{W z)hK+YpMBI~&fn8bM>ABH9qGK~$ag%8edm||&Sw?+t+$?k@;UhJf`;8&o1N;`$%+)N zFAF&H_m(3kf7U(G>H1moPV`LKe}%8;MY!?9v?+f!ew%5-cExV;`2)8c8Jn}%TY1*W zihPWH+jP7p)LP@=>sjSTAN!mYo@w~8=B+||eir-Am=&^5KIXnvnBTp%nMv;|AJ@(p zYmG-<ZxuFgS|>Z@L+#n!kBWCa>pb(Kd~4Z+!&`4T>Rr!fue`HD_DS*PvVa3?Z#gP% z&t`Z16JR`@ae3CUmYO(YwMQ9eXUuqPnk?k^@2UOUul!qPOe^Wx{jdEIM{)T759h1? z9$wTTG(D@;q9$7VNa`8+?3N0i)uNA#_k?*IklyO#bZ?EQkl~^*k25Et6gl(%ZnXHX z7oMGRt|w)2R?P99<i**oGq%R973kl%)v4y#RW446cx{ywr?nG0esBFGRJ=LN<Iq~E zxA!0amQpuNzV<dsk<b1Lm*M7)D8;n2aP1>!UT3w=h>Tq;V7z>_Xi{3qR;Q#qko-=m zpWlD3JLY-j#TW0j0>=HTFD^K9;>!N8j+uwAav2)$h*C`Z7p8sW%)P8u7oL?Di&<h; zPP{!KKg-1>W`&?&@kTe7Lr?Ah%#EwxD|7M66T6`67cN}6a_h#mWBmL7-QN2zO7#7T z2e<dX`}Nehd3Ke#{l$BM*Dr40dqg+V;?9)T)4Y)tG1FVmZ_T=R>)NG@LH93Bnf5Ac zYex2({5OA7lB2cuo$m_UyeB8y^Jw|D7aHB#R~PNwxO3sUy=y=EU$TEt`d=btd4|vC z%p>#em;S9va$E9#%incc?JG0i28aJ=*<Bw#_0zX~Z`U3(*=}=moliyUcAJAwUkR1k zMBU%Lv%LNCy&vi^LM^kqChvb&b=^qRcWv%h|4n;;hnoj~TYZn$x3}i^>vi*+a<l3d z?R(jIEKTpvulL`7-4?y_DdPRFExlXpKYKj2c4xO;Y}tPB{GaBydiOHbdzY3T)`;EJ zb8YEyiP&E~S5psI#J2TZPd&mCd(7ub>Y>|N-(uQUoJ(Hus-|tFUc!!7PWJ+0kIY`x zExFLjIO31`&86$3{e$|v<9E+nqq6qTudn9+J*V=Cm%RM6R?k-N)urc?^qBQt8$IdL zJ6jYt-SGZvqlar|MNTija;C~BaPIlguX7lmhlqb#`bueEQ0$rOtGq4r!|r*`4(-g| zRkGvX{9SQATT(lBvtE1noauGZ`U6#W^f%Zk&%c;^;1`qhr@+SE8vzN)W@0y5d><8u zZA;U+w)stA@N~QFC6Wcv(-rMs7(H^2J$C0}>LLGGKGuuQMhRV-m(e|Ke^L0B-3P2{ zq7q`iiA40D=UQ|A9+UKj^#|JC=x-2Y=gK*AkZE>-hyRk(VX;*?mzSPDzKiF^y3-T< zOC&csPdBtKow>np+RO51(=FC`w<{O@JGJS^8K&0;s}Jltqqjk>m}|}XJ516B>kqV@ z(cd7*&6RWJ3e)TjAmg6z`Vz6idw%9Fo*C;-Pbe>yG<2SB`2MBQ!&={EwU?7(t#mGa z3V$~{Ogy69l=WI_ZsS)AjsB9<>}T^?ubpw=UNf^<EW(&ucSD+7RD!VEDP@PJ>CDq! zMShD|<~{%EE}kC_(-q}k86~yqC5OE-N}Q^5IbXp%ThEenYQC?$>htxVZ(mjyjWCYa z-;ib(m2kFa%Yk1$x*HfTbLE`)!X*7Mud#P#V1n?y%fgx0mhUoo9UMFB>q@<|ze1*` z)vog1Y<D&FOaMqo?ee~twXs%bu7KRS?yvjZS&}u4rk5`<Wj|ZWdd<g&d(F(5ViCrs zx*O8+q7stz#3GEX|Mq7o#iqTC{I+AUeCD+^yG*jfVrQAI);l{Zbb8v^Ri|54Z}QoH z@`BEplFVzV;1E^VxpwN>!w;BV8!b6d<)gD9Eh#GD?3pbGX06O@G%dc&l&!X$)hu!P zorIw5X&b7ho#hIfZpU9D`Jr3S`PWO<t{3Z1&tTl;BeCM-mi$|5y*KM!2Dz3`Ji@qH ze?wYSRDx}vSj7BfR<j2cjl7nD3CezAH)aI0nmyby&tr4T)uoXKUtQ8kD9yaKewWGV z@Yq>bSL-EjdwFS1Z0gLq<djb1T_r!9&c#R0;;d;jHU7bry=*D#wKLw_Yi7<6i!e6U z-H?_Qm7ts^9${>$yCJP?mHMUBRy~j#(->EKZ?3z#G;-goOKajvB{v65PkX!S<d*y> zxFffTM6|DEy_PzC&ob@k{`dbj=hg1h-@q8oopWL<lXT(U1IO-YY~VEizj+qOrJLVu z^qX$?x>WMRL_KG-7e+~o^^(nA8YOPlxt#w@r_kHa>1Va;PTlA0b-ZmfHt_8iiI`qj zzbd-_AM3SrhelqBhy-Ug(Hk8HS<TWs8hs^xEzeSlt-G-K&Bw)hweMaSJy4HryK^=5 zh-mDwJC{=rffK5ME@$TblBjIKr~6)JG?rR~CB*iLNAwG`UVEO>$ZHXr;QUSOMu#!0 z*|Tn@*#%+eH=VYNt$K5P>3POjHkm7_Clq7dWUi&25sX!<(L4P`I>39*<)w$GgJb<E zQ}(rH)@!Nr{>+)a_V^#B*P9m{VAIjrpxDiobL0_|^yVc8)O4oGpT4rIW@-A($Jw#J z=3GxbV7`lI=YrE6`K6M@fzvsso6P*?dwPq?lG@8fy7f=@W#%@PMuaECUK5Y#@8?=` zzKuzG!^Q(`Ia(V8XLIMAnZ`7GL#Y3f)9kyx{0NwCr(GhsA!xc{ajE1+ujz)XOJ{Cy zoA%QD?6et6yxYy6{F$2CS;%@Vv8J(fW<Y|inpnjA?c6yBJ}^le?L6SNCpaN_naGWn zl~qcy_pU5G&Jp{o=Su2<=v_RLOHX%P+|?tw_;kz0Sw6p)W$r4OvF_>mJLb95j&Isj z`ol49>(sTU`&q9&_G|q5bL9cHJGvVb?Zt0&RI{2rt84VFQ275>YpdS-SCQXlEcBlL zy+rcEK0W8OS4K(G^pewF8zuJXJWju~@1?jU=gN{7>f5Jp(lOj~U{>AQ1GCz<9+<U! z>w#Ivw;q`FeCvT(?As2^Qr~u9mix8?v(m%n`7gP)bibZ0+lx!-3-p-tUYqNuY^X~) zA`p9w=W6O915m+a9@Thh-^&?)Or|ZJc>s}v&hFWAU{+^lBd<kN!r3cZ4$Rt_*=RcX zGE;Wi@+zgXLSfU>SXX;*Zn(NMa{nu%CtLK+z6zb5_HmW>=CY}&zs{aaOI+sNt__ZL zg`bhS(e3<PYfiVbUQ2du{2CdVz#JxeW5QKdvn1cf-pJ?#;cF+)=VZn1yS(|$$Gv*B z>s}c>n5E~u?zPdQHofG$S4Iz~sa@Wexp!L2mi%8Hr{j0#--=6+wbR_7XU(<d_&KK6 zn^zxTd!x5OalY7%4qH~UXPcR3f7mzAV><7yFE>_r&-dNM6S3~}1l?UdH&&gVk-N+1 z#}9k&_dUz=FHMzLZ`IEE$ul@SX_ubty{k)4^Tbx|S?)c*a2L;vm8T~}?&_Jb_Vf(P zT|P5br=3q-^vdR5*xd6fS6O|+VozAF>YlM^*Nl~`yk{)iu|;~;a;x^AUYAbIy|ATz zz1QY<mzPGKesO6{YDwlbqg^K1lCiV4_UN6Ba+{u}yV!g4w4dLjzQu^GnE5qgh1Go5 zRooG4c1=)S)g7^F*NoIv-WH1c?mk*3ud87ge#R%#>df!&LGxoLs!kJ+FqYTakY*Q> zaJFW}fmuHT8cjbhWXgW#%zEuiJJ*_-{GvBnCRQoMK6`yBxlyln*(;-jiF(druZ@yA z^^&K(GD@7OcDe7x!TrHY59|N;I~l)ITWVSA_B-Od`Wy7}MI!pmx!0WUV3ID_zu=5w zzNn0};i?12dh|AMCV$b{{pIpf_xjjhJQq?AIK;N`TuMEX5POX0V(OuQ$YsuPof~(R zNT`EKA|0I#e8;)hobF_LUAXDMuQ^&97?*SBoS4ZZ{n53t*D^Xm_}t6R63O-6{hYhL z%v^E0VSA~hk>_;5$)%E?`}BmDWf;Akz3<A>!{Jf?PSswX%Jlj}Y-8!qeFv&ybT`;t z=gK+ol}Wm2@d39xIvWhPbLAY$wVkLJ|N7G7J$kkKE~XwZjBTq~eY&H2SI^ERr&~^M z^0AK!NSdxEd+qd3?bAZ?;t}m{S+6~v%=CKW;sd|l=xkto&6RWF9h3CO%*NiE!3n~4 zmxW(`ny6=M_Tp0dVm)TFmqsa@^^~{0FiKmkC%i4w=<V!puP!CdpSHi~{+GQr&YIEf zGg+^thBbbj5t~r^OyowxPFAy&xW?WY@d?RdA~#xEKe3o>)%*Qbr&{L1(({h7Y%-Tp zPb9{=$y`i5^L&?&h1TgWi&<60PI_w8A80xX&N8c*UT@fSVAmSW4RYDsYtHXtlHRcI zKwC{ng0h*&jTybHW{H#Mc}!Q`^(A7J_x#jdJQeZN73E(TJ#vmcw&p_WAumwAwurUj zxdf^f-NYi=w{xvIy@Khr;jRO}dNemMCUfVUIKU)rxbMI*AB_#1!e3o>@myPaTp;!r z&(+ie2C;2C*He#3@9L=xp3bTKZ|)ZHseMhV_rm5LR{6PPrS`{pda~1AUwXPm&-UEQ zOV7Py*=m-ap0K`DvJlh=d|~vkX_oEuldC>gxR=@mhlm@5mMUHj5jTu2HGIEHFR|^> zrMwr>S1n^gCWXCg$$N3>;WEA0yqA|AZ_}%-yO4UIe;3cr#iu*wmq-?ePv^8>eY1Gy z6082xt3K~sw5#ELi1?@0S4!(v={=kEN@!iTp6p$(JHc}g*BNG}reCYrRdQpk_jLc# zmmA%u+s%Jzl(1gU`P)mQq|JKCd@qb1E|_IIU3b;z8>_75=dR-Z5mBmmJ5>B*=c}Z$ z6?%!wA6>G0KH-%NpSGv=cgy9aFALnJ>xm!ftc{!%+5cnuoJ}T^<zi=9_UkzxdwJ<h zMM<Wb%`TJAbN{bMJ^!?0R%HMA(C5V=rHscz#EW7|1=T~ui-Srzm%B`_Yr1r%_{gs5 z_HL(CK(2gdxvQiie!8A<=}SZJ>2{k-B@NucHIkwKbi>c3GdDP#oj3FOigRa*L(-pF zt}^~Cb9H8A-zz1zl~AEB@85G;R~1JrQCWNE`JJEqt4e-&PS-0hefe>-UhTV=Mi2Js zIm^8;dL$k@E3-jQ_}A*2#V?mz^}qh_SZrq=B3=+&s<=HwyfC`d(0+y9L-&hI=lAFs zI;@HR`FJ6ZNVMP0sS*pl+b8ZSsq~&Mce(WCX7}lg^IsaJtk+Zi_R=VAzn(DPikrof zORV}2uKFywXjj9+Ros%xc6B^l)m`aa%9*dF_qLdC)wzcgCT%I2U+guVZ+Yp<BDd*s z@h>htU!%u-?xoQa?^w5*rKe}CFP&KscXr<C#H*DufpgD4U&U>)YS)D1RoxcrcFph) zon8=B@={&SyJoRfJ9pBhQ|pd!#agYovh=i8Y}J};OV3NivaPwAdcrE!ZO!%6GfA;( zIRTrWe_H#>#x7{?d7-PUI-#*AjIO%sgvXv?U*-KHpfGd(=D<?E*;AHG{XJ*yu96!| zy{F&Z^~FNnPy5uR^hJ8i_pYU$P>FT(xt4mydY8`(rE|<dFE>S=U2|OQ>d&0e*aK!) zTWi+r>Ug`V`=?7Or?t!Ux=9<4glv5Gw7=NM*C*=c)ESGt+js6NnYrA%|L3kRkqb^Y zwC>`GTynbO=&qi~MW<VqW_^oUw)))T*sDL!1jHVwUd3G*Un*!EDsJpp%DGuI{2#}@ zS2EMU>F@i|*qNJ3Di(N8k1u(7(SQFk|N2-qo(rib9Ae#gE~TDHh*kTeuupxi*L40X zXR3Gt=brC>Wy$<*h29hOtFCuKW6zvl<-J3F*B9X~)wZruje4KSiQpiVh_&juy7aU~ zY*o+orRQ}p3ZqqN=TmpQvbh&9_x$Wt+!70SO_;c<yTZHFaB;|VgQ&vH`Nck^e8;DP zf>6Ko<%g+yvHPwqJzg36t7h@(2JT%vKf|UAikC_jdrs$^e)Y}HIRSHzdtUuHCn)wn z=GE3Yfw4!#S9M#i-qq5)$lJa$;!)QtnSH{O!^%{zy}Fb*S1<P3>q~2_N?vYuoX#j+ zD!IvXy5Q_m$<40QIis(>*;%vRs(<yW&o`ItY7kw;9l3H>N9d~V$hEs#OhH+KKlJ&B zji5C5d8VH1w^x@^=j+*idwuD7gB~+m*Zh6DrzbG(>H&2e>UR13aQzcIKV(-<xbf?c zov&)jR_G-xf8|uRQZH%yt0cS7*hBOFf7o6XSXNv0J@a>HbgZ*;bZ35DZgBhOyY@4~ zUA^Z_+HlyFb9c|*-r6i<FHZ3<KfD&$#dDcFnB(Alj_XRv1*Tq0<qIvBEpG)}4-9|w z=CoO<#rL9Zr&6OHO=^+V^S<%4tHpNT<PGP)3z^AIXmP$bq2S1Dp*~5)hb<GG?#AvD zX}T+v?|CC}N=vMp_l@M9mfALNi-b8X&d0nhlA2nQ)x0bo<}Q4<Y%bTqxK2-@vKcyw z)Bg#5`|<KS)3=@44;$vI$`tt@68x?z^V#9hGVMQmW6S5SZ%z8G`pfgi!@h#7`>72g zZw&(u*)^-k7(^UWbXJiu3^`<YSY?hu*pBy%&s!fXIHJU9tut-IX(7&Pov9nn8*#Gh zOfNXWF4XrU;E*A=>YNQhE2>YJI~7DEO>L14^S+VV+hTjo>qh$A7UpZ-7AebGl$UXA z?X*z7Fe9IJR>8-o>*7V%UO1L^EQNjRa;?QLG8$*Cdv89oC^Vx}QK;;Pp><c-hSLfU zuju7-UP)d8UNZB*w{cdY^8&%ctXXmjjcPn+FPcnNW#hV%=%6Q@8ue&-jM}LiUo?cu ze)=4elUB_U(-GqP;c-auwW`cUze9%EsyWNv+^lq!obBkaVz;DgIQMgd#V@otcdwbU z;W!uP?;4GV4g4xO^RzhKbtV^_3F1`O5q$OTqCZHjI9RO`=Wd-T8;&z^{ysCk;D8Nh z`<bZ)M`SpUpP5#0NN3?YyZsyo(?nwR65EA^$}IH~`;&#fS!z9OIIk*G<Z?)`T~(&o z;}9o%>n?U1fu^$>RSt*veyiq8n<Mn?hIZn7Um?C5$`2>_tL99L5$e0C`mklL(_QCx z+y~P{?kXm>`>W(!TPXDHN5mn!+bS{}LJuiMtH^AOT;Y4fw(-~>oeM3y@9sYvyD&{e zHpW(_q-4D-Yu2?zSLeEln`Q@cTF;rX;WX#ND`~n~0mjG0S44JmU0DNG!DN~p*f?wJ z3Wu{%ChnJgX3adzZB{Dr#|fM=@8z?;`LMYqHqZOU<DQbd`G1A@EEFD2Xcp?TP<c4x zu#lgH(v`bs`dSi<yXy23+ZPL!S*j)WKNk9CsrayAvJju8>cfuRPP@)(?DFsO`{A@= zcceZSD9j@t%A|?dswZxqF?~ZNn@Y~K1|hT6%AB)Xn_HCiJZ|_LRLyzz!0B!+8z?;Y zswB3b7Ao7Rl-Pe(=v$;-qH*=4f)njReG!TeXG|6Hi%`8{yI{XSj@!knLS+%kiPN_V zeTz^}oc~qGY;ziCd(MP{BW|3>b0!rWGFtdf@h`}+c@sAr4&&UNGkJrgtLmH0v4<Gv zdsw8jw<wo+-8iGr;^p?|Q}pa(HUb%k{@xGcT_^ig_0{s58y6RMR{1Pg=6;56daU=A zy+UO_d=BYVw*>F5(F^ETX8LNWbD_bR$#ik2gEAk7N!oq~;crhfW}Or|&id-Y|MQzd z^)3sQ7tPd2-rP2Q!)bn@Z#xtc=SK_i?a+KUL0YJ9hu*^(*+PChw65G;IzOP?ct@T7 zoWnfp?t9)S*(CIBhfd;rZy~-N8V@JrtIBM2JY?vtGG{}?irvTcoi<3uh<n~hT-XvT z=5Zr=UrX&XPm6?gEzZw8ERwdhB&T^=BrXdmpU)0bcz^na!xo&o&rH~Ge7DfInR*W! zGKKhNYCY`G6zZ#VKg2n?br<^`@uss6tUM3#<$K>qUDRT$=5Zr^PYd(C$pt6!INje& zDmdfEss2Xbm0XcOhgtJ$b)m8wdWqBfRo`s%J!BWHDzm}$km77rnT?)@45eGW-2UiX zn6aD5_s2`~nFmc{ck2B;8~^(2{waak@2?&EwM;$Y*CqQ`U-y?z-WEUgmHhhbcX#8i zU-`Z9*)cY;Jzw^J{WaV2?zP>2JB2=rJ~nv2bRAdetSuI*&%ACVp7X9>em#DBL8pAZ zc451`%ex})Cvu<TChR!RGjVp?ZQJWBAL(sgda3%%qz&iKiL=UlSSKR;sjcK>hq<bZ zvC|<==J*qL`;RR<_6szWy669)`>(%lU*-GyvH$k+E2Up@)6@PfxHe6#SloKvv&HxS z+>NVWd@H0+!|*k0pv9SKt*7VIG|o3!ySg!3KP`IR<lM^e_%mX<SEo+<zBlQN!aVQY z!I_oeDsKwje7`9ca8UJLb>t&HW0!YDj!)#SySyuoeZpwI>%TzA(b#FF|1~#pRp(4t zRGa5*k<i!ToaSwjG_B=qfXc&`@A2pE_P?7}{`qOn>0-HUd!m0f?~1<nE9P|ZuBdzb z`rU`lM5jJ1QjdS&b^7;9kebc9@AKAWtX#Kc&wSCx1^VTx+b?~3=Y8X$<2u%mg3f-I zcON#MI2#o3L@wI(-DcM(jI);}%`%<PV*AbG#`9oK_BYcCPUvyYp1Q0hxy-}j;p9Jm zU*uKJF48?;d*hSYGyYe3m9L9*&%e#8yj{$@CfH)mgx1sdY8th5-`~EU9zAb*Zl!Vk zeBG#3+Pd#+k6P@R{#tI2*Xh}ciS6aZwQ;P|XJ{0)b1Icf>KFB|RQevN_^E+^Y0@sA z=^KulaQ^O@TyQ{yvwe^9!;X_eeKS=awrv0Weev$6wI6=xoc=7gZO>D%-*3g7{=6&d z-lhB9hY~w=V$z;+$7EJ^7wMiqzim(J&P7XiUHT-ZaV)X!ombt`r)MW`ID8<6d&L7G zch`3xT2I8D>$vlH%ZXaQPMZfyLVTtlg=E5f6`6v#LyGa<7LT+!kJo5EY$^Wv`{LbC zeovz2{on9Z_PqR+yPvK-iJEu+>Zfzhq#~9V?9kwz?){S~JnG)mnA6;wbl?Auxfm3i zSs5<+xInjj>4Hn2)_LD}=mrk-T%~e}kN-XIq|P{Dd+two=WiLY$^$F^Yl&Qbx-6us zKU&D_vkfQvABBe#<W*%h`X4g9tvcsJ;lH|)?RzHwH@*F-sa-ceDQ}Zp$@V>0cTN*8 z*}CVWe%GPIIXW@No~iwCT>7;4<XVG6Ij5!PYJ);Pe^Sz!jM=+`A~GxAd*4WW)(uX7 zVx4yqH=c-{)^R6!<%!z1E}I8+d8%R0(oRh9dT$tg$gWsL#vuHV;%5~Z!}vpn+$wW6 z7}VSEioUn^&MmW=8=vaVt<63BZrXOO>z@v-oAy08kMp*s%)1kZo*u7p)7E{zTYQtQ z{;6$yZbKp<PxIKrw0B;COP}7Iu;K96JMY|Br%TMqmK1$w;PFI{+4Y^F!xOu|u5uUj z%ac#vc{(eks(-c6x5{8E&jZpze3E((I}(NZDival5<S*Eb-k<metOL5Zn<rHB*7`* zRLtq_UGBGIu3ytUW8xu}CO2ih`_iX#&&BLsoOL+wXnxA$4-fNq*_B^Rjrz64?CZMh zb>^3EzPo$UFFdpCwCbA;Z_KZ5-_Jc=;_I3tviF2`p7xkn-6OCwQ&P#?_i^OH8G757 zUaFq+H<<Ca&jddqgSbPAk5yz0!wwlHtIRR@b@R^NS9xXj|1;mkZQW*b^~SXepF)Z| z6VGRzOU!uJ!@vD<o5r!kf_F83p}QrfXjeQByY0Yf&2!@J{B>)$JykM)>+wX-+x6W> zmnU}Ju5ueZw3d0-b5?(uyy3k5&S#f)Uj629Nb$R>OrifF!^2Cx)oLc}55N01De+%i z=+<u5RmR;r?nuh#m7NXlIeh2N&pWHi4AsLwoa^1NUG<HDc6t3SvqQ@|?mU#8xZ6i; z=W&&Zzt4zO9{6_W?u$Ddvo)vQdtA%;dyo3V21y~l%J4&imsMqqeGhR4fBwE|ckG;V zU&Zr6cUvsAYM)#`%l7f%wJU_X3N7YY&kw(<*Z0)u_D<i@kVAa)|7U*tSCSiCt5(!* zt5p6o<cZwx;_v&jXJ>7fkl)~~wd}MYXSK|<4d)d(*=42_oM7a1e>1({j2)-?o3r;< zzbo6QTfctQt)s_R75`8vKh`eoYAy13gK@dt{#CIx)3qxqr!PJd%W3^*%5Hnnm`Aft z$gb<U^R#+mb&X=td~GHE9Xg_ypZ2xb)=k-Po?nP>hwj4((L#MYG#}287V_IM4-{69 zg1}+by{h<!S^2T{+ZN&?k3aant8on7Z82ZFqLP2nk?TTbKeWp0wRRk7i1)s;aQ}(e zU!8XzPmie&d}zn-k|%a+XWp(evHPZYy)X1TWS6cYW3ceI?{_U3BbP&h&8jlS9)~!Y z>*wwc=NG@V)6jlJmbm@ua}V?KQmS^GEQ&Nt+d9`eKH{og-I>&F#mvvxIj!%UyE}g! z?+k4v^S|9EV(*>b+P#i<#&?%@#?DU|-#@!_Zzp51x7Oa{zMQ}BOei=|%Gn+>x!_1B z=kXf-hb`|vfg;D}f9X3=3dmelJY!aNCjWMaWg5rM{409iz3SG{CoyT(+uhYXZ#;Z^ z=kLo~a+Tgs_>^7W896<X%kR4LoOdES&-AXBMuCUqoK@c##~osPtRiC+c1SQ;MaDSl z5a(jB4LqmsuU=JFX!X6uzJ#y3n0Ld%jT`-6KdzZpZSecbR@-a0=VbMtkhK%tdHP-Y z|Fre{qmJHwWhHfUDtF%M`b}#WZfu@=zHD#U)sxb<dGCJAxqiK1i`h=zy|<-aTT4mL zx|BQfn9$?+prwadVrNya_3qzZ`qKF2(skeW^yFx5HT}mq-{!Y@)!+4ZSCoGiu8qF= zFXy{o(B$lM7jFDMd2HLUZDw|L5ob4L82-uJX6w_naQ~})8>2Vx+3KHu)?Q@i;b-mU zTTbS_zkTo4jXM`UvCNjc*Rib4UGDYeO9|&b-t!hKk;r!5tW^Cnk(IY*)5d*Aj;-<v zpK-YDO=?iuucgm*Ul{!T`RAgZd}R8Uh<wBOrDEI>*&8RAigi~U-<5Ypq<g07z0Y@} zPx;2`9{AF8w%^oji;4NCcb{YTKl&1|+<f1<e0OhS@Ax0b_x_ugpslW9xbcGZp07<c zWyR*w(h^?_wp%C9e|7i5$BNF2uD23<O5XK-`(}5x*MF)+bb{<L(TM3ES+6BKHGY+h zOkln@?bFrF`8in$lR}<encco<W#>u#KbzzJZ}6U-w|?*9wHw#@FI}CT|MGU)vCZYH z)`8}T-Sdm@9G-G+#je|xuRp{!nikL0x*c*o=NH50J=?FFhRPjGWxe+B#b<u`PhY0= zo0{$ZTDn%I`d|E)U&llDY+bkZu*~dN-}bFttDC#*pG^I~MD~!ElV8c#>e&8r`5I}x zc}kh4y6d|FuP1uTUEdYDJ+X`LuzB$2Gr#qbYscs3d3E19v-A0G^FOn1Y28gf{8(2u z_pNNrPn*5}yryTp&q(`y`0USRtL#2~e$M*lL#b$9evZ*~ZGP|Pt3QPvoLl~1I?^I$ zg;tV#bZl|;{Cl!<UH>dt<s!@P{U!J6(%l<Ded(C0fAhcm`aO3?e)iI<+qRk7WwE_K zWw7_v(RcH<o}bLScmA8XW-G6nl|%&dO3!{RmzkB5cC-6#<(tnb-uL<R?BA^XZF6&8 zpQEhmwQ%{UMn3tQ7g7&*$M)`g-DZ1h`_6qYPoDDKb*Xmt?bp8E?{y9@6W{&o-jro$ z_su-3U$)om?!S`ipEq>%|9y`8zrx3A)}38tlNX*k5qAIDjSIUM|ND6Cm->!>kM_U$ z>fe=o#^J}^&#{vi=7d=F)ZdS-eS9Y1Z$|CvnQNasc>MDDVzV0;5|hhW<qrv2v%K06 zydaH1WX18k#$PpB7aDAtcz^nU)<jEf_FusH`z_b*oK4Pmh1gkM6$C8MYiD^?7_q?4 zokgl(>+j|~{>&4Rjczi68D~5j)#m(M8=BaEwoK6Gvi%M94>IexuRNXQV7pIX#re!e zwmCu>Cpa73<_Kq;31{;CackX%^J2RX)pdUU##z&@&-&`qUI*Jejw{cL8`)$;Gfo&b zy2*%VoGEAW-H{Y-k+3@U;esQnzgZd&t2XY65m|A3JJZ*l3Ktq;nRsV@p5v?hjLRf# zo`di+`}9zYl-aQlHymO5&9d;YQ{yfjp%urIekTda-`?v1UX1&x=DOE*rr%dgZEsz= zcHAd>n$3077hyiP*I#12ve;MGf8&>(tD2*B?=HLiT7R|Oi-n%nf3x?$mSVBidVJ;T z{lD^i|E02S*<zRc%%}93maeYsv;VK7Z{51&UDlWP=hLhGZ@;d8a>4y<)83U<+wN!o zFJE6DtZg=T*H<&|hO}R=AD-1cd+jFso@ZZv*;fnv`@qB>_xFDF-_5U-Uq%1=vNAib zVo84W{9R?m38^h<7qb8Fx^6H2sLFh~`up3rE?(HZSH|MU)^#_%^foO0?Bg8wd->jf zjRF^zZ}d+;a^cTbDXIBu@-yFMWE&=zM(1B<nzt(3JDuZj-0%HWf3tNrEMDsW{@1bN zx1?_0me0&GG;V%qy4ctG#qEfdCi#25<yZaXR^4^)%=xPCnI^@m!P)m}bgu^;Iidb~ zmRHY<%oT@E%xjx<?(0>#wyK!gt=T6N+P~#x7+zfV>UvkR&orM4U&D*HZ`3;B)9ibs zH+;9+FMj1J^C=d8yN;Y?dOUR<XIb0y8=BnhcQ+OM3=xy7PI<UFT#WJekxRR3REsVj z?Y{GT$%(UPT%RbmyU1;fePTGj!zS^#c5HW--o1Ns`Xq$<W@<fb$z(Ene7J<udXIkM z^qE56W_<Npr#SQ9k&@_s^J}Ii+f29Gq_r)S5=`@`TAH1?-epR~^01D>>89(F*>*|^ zrX5%<_;B+gH<tq!{w$u_%`r3Pv5a7GmdlYn(aK7Rr?l^OFL~FoMLjnsBkj6&Y_%bO z?B<tJTEAzUt<hPsd-2MvB?eQuXWaaGeXEnwxhq_qmeJZO4`X@-j4S_d3hOxhGfGkK z{uQo|I|8(iJP%qsq2csaC#Q8+xH@-)X{$V|T05bI+0^Nb*TaOh0_|V7I-S|HBdp{2 zu_#5m*;%bDIqO7)HZ2YFIC3p~*EUBh=T*zRRKuRlUz>OP%(n2N&+|VmD@e`p{jO#8 z|7F3ut9RaPu-cICep)##D)inGFaLXIrvI#T3a!xlvO0WsdElB0zqasam`*m`cg|mK z_2M&Y)-ByBbNXlaS1!|kr()JG+_>=X%V$-7B2zwmTz*Mw>ggHhcIk=4SpQAGUi0O4 zc(H6~XiW59<rp0cf7qE61uw6@3w2dpcRsn+N-Ap3gwtJ}I~L8DF}KU}hN|@TBY8(H zYF0^1x9$4)!^bd9YsriT*)GnT>t}Q@cXe8>n9<_A$?)%&hkx$+{GWSo{yE(%%B}aF zehx40pIbTW)@`3;v$a20l;$k=?tgpx+{Ql}Vm`i%548SX+JC*H;Kui?>+>~sDc^cE ze@(V|@hi3CI^W}_mn7dZT~hV*br|RFt*=ctKl&OoJ^0(RJEdR!O+G(-9b13y&6cNU zUxCiW&^=r8p=#ZW&`qCG15RhVSm>-4e7I?gTg&lDQi68I*)A+Ps{{o%efWAuX{*+v z$1ktBiS3z}t?qxV{EB_#{pIVn9;vx%c_w)7>6ojPX9DM*&$-HaCMfpAqwB@qQkR3I zF0tOIp4nOP{eENmlaG^ozm}?Q+pm|<-XFg{F2CfV&})}>8^WIGWxKrF81=;Nva6hd z>l4MvE^;5Yok&*evPo>3!ZtlOXvfPn$Gh)5<b7!(7p?kcv+JR>CZ&fHe1-ZVR3Fah zSDCXRPUDC2vweMM+qQ2F+vl9?yzOF0!I@p*yUIUnS<L;sX6wSkv%+_M=iQyyd7G(p zhibs|*-T$|C<e@b&nop{Zmhxdt7cy!Ql00U=SqBN<8|h{ZIHB|H@WYI!9#ZLZBrz^ z?_xbG^yh1hZM4rnKdviJTO4frxUW2);lSK?%eA2Le4Ctc&Wq3Mc$vT5FnAIox6Rb% z>_eaK+?*E`PhMWz^{vdVW@(jy>76sL<lg>`xW8@JsgCJ44GeeXXFLC5fADmD2>)bX z-@M%~&8*!srg?5Eu1U*GJ2rn}+M%saroKD-ynWl&e#u!g=ST7^S+&XV?wwB}pFf4a zsn}vyyZ1n5{vTn!l(sMJmwcc8^N9<(c_nKZ^O?G(Rb^Mz|JFS{ryqRp`>q_BeRX?x zeF$B4M0}Rf=b)!Gdhwdao_ilXGAG#QQ0798r{zC=UK&NN58|7jed_6oWj1}8r=IUv z#^jfw^<>d9rFU6c&(eKW?*vboX?Oqnl*7!vJO3=w?5|s|b!z$kWlU@tS}6;bDY0d0 zrEORy)TVJt|KsE!{ribC%BGwy@U7glP}A5gLo1<WnUh<V)+1ftqdk{G4rREqsvgTY zmAGbE%(2W<$$OU7ywjM;&s2BDM?*`iR`QAI>xb|ESl#knANl;%uSqxgr#8o!X{jYN zCtO-IHDbL)d#RJ)sbxoY&nlX+PIG$ZtWPsmX&SR$3prvv%WKA(kkhGaizd7byMJlQ z;oGx{X0Fie=bZIPa{bDN!dYCBt5<dy&gzm}yRs$FYo5(D4fdt>pO$L2^P4=~6ca3W z+T`ixkYGmdDVu(;3F9-WJ(~1%K||;%$7QP{mdJ=~3NjSb77^a;V9044w|Q6Rm(^zC z`@;6>&)(;7Z`%K&vw|}tZyla+>bZhjTGcYY*>RV)RQg)>T$*w^!?&{M;*|3dzBA3% zubl94R@aOPGkMBat<vwA*te!;`@!2Y*S_mIRoj16MR6&={h}N37Uj>``Re~HUL^A_ z<J41i-^x2zr<^}Oi)+X7l@or?>Z<tQZoM@0{=YBXB`K;){~CS%8)Ew>=V<l%%yWtR zUd231y|;UV-G+ci?e=197CaS84GyFx6gC`R@Q7jl!I?|lzp~3eOWQT&OuN{#Jz;zM zGT+w4*Ox6TUQsu@#3L>9`-k333)hFd|5~2$zTHUY^M;7`T)gLVluEXkZZ~D&+#I(# zBO+;;!`V+U3-shUuRL~d{PjoSLc?t)-kqu!I%YHV?o_<c^4hG|D@J(5VRNR^pK%N1 z?sHyw-sQlo$8GXtl7q4ym&vo}Mzxp?nK6%6Imou9Z?o6Bk~+h|wvFpbdWQou8@EZy z1P5g{E|atd2jO=&wt9Gd*_<rg9^Vo%ot^3H4*h`n)l9rUA{Qu@vr2uO?2zoYKWy*m zz-7L^FVlRj!mXBg_c!nQV!7aS!{1#zH<zF8_`iRH@$X5iPJJra9Jc=I)yS(?_rF>f z%73@sIrMJvIazsCcCG1=k^(a`t_R!P49FI>`?}gysq2ga$B7jUT}CGt7-=mw>ON(2 zLgTZIHRIm*6AB-{*`0sw@xOh==PMo`xj9kLe80|g#cxwjb5wh)s;-Vcwe--tqLmw0 z$4I@=nXXqh#hX8Vinsjy>8BZPpHA(_eQI>*T97B_n|Vbm3l_#?)r9Nuo}2D1{`}O^ z_RUW(9iLew`JiMcPvNQ<H;V|ZmuFw3><qC8)HCgy?%kYRpKtT;>#22F#ao~1^Ywj8 z6z%&EUQ_<3cmGv=(UphXnXdkbSim-)W6CDk#;OSI0QuJ}QVDw<oO1*+T6$f*R(@X2 z6#BzwffP4umO<<Sy)=$1hi5Z=H4I+Bn8s;xB&X4BM(~m;@6L&?IAqh9wMR9ec{}T? zq<s#yIsz;DGnsfl^f|ob(7eF$UQ{#pKsU>(5C6P->nD0-bcDJ}BtG?iqk84;Z=pre zPn&~0%g;P2TDf6KOqP!Bbhgu{mUcgVdg*Zc(@V#@iX;<)ck*o98RK>%NbBXk+fjO= z+d7TzHf*^2Yn7+c?p>Y|GTXN8`Jee-;?B*Omv7eayj!+T?pJkf>*~3=XU|TVY7sH> z|BZ#>T5C?#iwCyznQ??9CU0cwz3Q6rAy9Bv#dZ$cnxGcDYa)paTU{lRVg=nI;v4E0 z)vo2pjMjGQ^>xkIu#+P;N7IQvy8FWMZAwd;kNyAlZ<RsgT;|M)%S2}!lKytou-IS` zho-w;%v!^(2Y8l=%;>((edh2Jrq6~e4>0-YY!L8|f1u;UukX%nyy<`l->2-%NInq> z*#+D^=gzXa9e>KSIJl_s;=>=U_m-=v=)_H^mAR;WZJ$wO-(J>b1s(CLHnuA_-<8>U z<LJcpxiUX*91LvGx8V9{=`Og<*rmH*hO*VKf+dAhl&xY4pFFZ~m-8!l@>s>4ao^VG z8&+>UeDLTm{ru{ghn6y3-tlL9+p9_P>5s2)^UjXg`rr7f{nm183*CTLW2UPX+5yeQ zOr?c!3*_X?@|H+`-B^(^kuAb#%RlM$KSW+7tmGA$#{2f~$wDrf8lx?VHw+6m%vWw* zZ*l3zEafW0!Y7Xy+~xR+o;((CXXGmudBou^*thAU#+t;|jE>zFK^EsG9^>re@hf`r zD7w#P&Z85@Gy9n4Jk&T6*{3uo`SHbw(_9w|U)s2H-7j2H*r?pxEwgjSk%{f6Wq$ss z<8WrYC!h5F$^+(W<>Id;KW=Cgl$Vy|{Gh3<XqT*VNbUErYY}<k3nOox={O|jX0pvF zX+y7|_-)CLA1sya_9dts;B#|&lcI9Sr&@qb{+8<_`}Ky444MUdU-n4&Bp*2{-BU5+ zR7byN4~xWEkB*Z)E;Ca24;|&&&7mqLEEzcCK*zBPH=bjLkCJqh<&GIVdR*$J@iMtZ z$j(jU=4SSXiA!UTEI!jOc<PtL!k&J?Q{N;O`t%E)`Xupj;{?G|?<5wU=@UHl>W$-% zh*a^9nn#mcE?K*oTr*56m?|iKUE*WGTtRtr3C@Da69u+QbQau}b7{C*Hu1ngSvQe) zMvDsj1)G2O?5H@vvG=;sqsM~EjQi46j)=M`{mI~0I^te7@xnp>9*sX|I*ui~@ys)L z^hi@#uIgWwu)MMa=LaKYMK>d%hf{xDP8Sk-n7n)CiG!=ZxlTN!;bsz-d?dj{S+8&7 zEZa9}M~=(6F}+DuIb!FgRAag2U;CyRDVCd?`P)2V5<gD(6@E&3XY<uf0Y5y%q~uQ@ zdLSIRBSM9nKRfkd@?0IJHPc-?csF%y2%IC>tNMub<MEnJ3#LSfO%XfY6qFnpP^H7| zH8IsObCZrn=rq=)=9@Y`v|1>$c^~C|aki>WM`YP)r{q~WJohHFcCXy@!N67QOP@wJ z!{k)Kq$xT|J|gKyjv9Srs(L<OfxGqNrVA44+|3&|6&SjS$+?|AbUb?#hk>V<;=L)X zvJa0)e%uhVr=d@9bIL-4XfdhclthDYF}-Ie4;_x)^x@++9i}tWT{~Deb!-TjBPcK5 zC4OP%6WK_OJ=)y8-%}DdxaC+M;F{PTq4|kpb=wtt<Fgg-?^msxBGJ0qS<23L^|JXJ zla6-xW>#evZ{4{y>Zqx9Y1Y~;4|kOsPR*>|$7-%~<C@KeTeo_DAC@xSc&>43`p1Nb z!|jqSkMav_wseI?|9|sf+0?^=K|4}Qmvmbd-OieP?AoQPJ=QYEoOj>m*!`P7ulkuK z`}eMZhjoHkHX2UN?XE8#1qufBWgUFBjgi@E_s0^6=8ryq43<2UxP14~g6FQ>8a)*o z6U8R&Ki9j~{+Ed|-@V*97bG<I9G`ehsgLL0^AkteWhx`iOzc;cVU0Ky*pVvZ8ew-v zuK$pQnVi(4_BU2qTbq=7r^-m~IWw`lx_ADZqbCm6_SM)t&^X}S=VbFp<Iwl7$Db_i znB;0EXVv@Xz`Di7C;DYF{~Vck@Vv~<iZc`2)n$HGoC<7^GyBXYd}Hs^Bqe1zHN!{A zM#_wF=`CgnZb~v6@^gB3mz8Hs<GUq(QAIY%<*|V>o4Z6|;S@ps;}RbW=S*Z!m*6a% zG?C-}t!4v>O!0-u*EHQk{EQbBwh1<G?%DC9O_2Y&<j0S)%8YsjLXVV`1@&$=7kuoB zZSixPE*ZGvP{*-QH=cEdj~-bm%jFq7daS0*m}e;T$V^#q+pXpgA6CV-@ENa`T$s}# z=+!K-@K3v-c(%mCo_0a6Qi+8!?Sfu;5)0QHlWXY@EEBY<uQ|n`YS0t#>=4INot}Vo zhdSCrdjiT1aV%Bs39viFp{n#vfoV^gIH$~;1eZj0WvSf~hBwZ3bldh++&JCQU)#g- zW70%{-;$jlrrLH0EPkuBuz9^?phf!ogoVdK+%!UyjvO^{v++qka-7GFY0pWIj+s3! zGp5?R=&K1HyAj(bb7q@<m&h}NMTXM_n=5;ERCEjS=Nmstwo_(YW+0TLs4RF-@>;>G zwe|f64suM?zO(lKdWUXn33DHjDR)mgB{%8tsGT}=R3)-vh7R|s@>IcuMja=a39c>8 zk9snCMD!PYzwW##z(R++S9z0xg%-E?@sx)Tcj(m2nc&(mc@xLSwK__3gwl^Z5dFwh zwb@RWyVW?!S1(R<XM3R1@|3tIjBedFM<gb?OGLlPn05H?jTyOWN~asn@nw6w+mwIR z;zy>(M6EwM0lmkWOe>NuT4eUjPyX5a)v9muvCm<@r<iOD(PsX5<y6n6kWbdHFU(ug zEN&6BBg0g1dGqu&JzC!E`o$9d$3%bMdZ)GS+Q|p&u4NS;-@GF%-$+^N`s>vb6;H)! z9zEQfxVLiK(M-9#sHrOcMsHWvpSb-)RqfUVmvtXLUAQN@)naeWYwujn*5*wYEEKq# z^HUx^%8snKp~x-&JJm6N_a=^w{$hgPuGxRsu<u>##78|B+P1l`=``DNchiF4HXWX_ zQ-_X*Z(1<NNo-2gi6$eS$bdzP+^5WsNG{~{oiowmw?KB+WP!*4Jtb~0&y<Ic=ICfR zPGo(mxoJU(tJsvSCz>XS7rJF6i^cnHG3=gWmNt>yLhG9RqmC2GGJbYNuU{-!;3n<3 zcI_>z71#FHpFODNZdTHyZzwf?nnZK2-=Ev-7I~V@`_Z1Q{r^TfV{2%w_=Sjz<&4e! z|0L{htX$%tdhasd-+k|eO0N81S2f}L*DN&U<A1w<Ue)gIvC*{>|J#dJ8?57BZMcm; z+u#}hN8g(XE2I6^Zsslz30x6zN35mqsIKFTh&v%4jgRF7dRsf(I>@$MfBlAi{_8ic z^UvRK?dSPr@!_|%|7O>(E7?{azG|yqjLf;44lxq{;(hn4UdzjRtGibA{GX#Mw{G8B zazXHM@4UKAK{LXZncUOSxElTNjL}kq)jpYL-o12ZpAd01YS!)SyQWsT7Y;sZ|8YFL zN9&J-{XvzbZ*y}w*K92>yZ&goA^-o-%llPU-97l!CSXU<`c<*~9m_ZGHo9=|>cfmI zFHPUo&EKnknKHGy+WyVZUfCS=ZHWu}^+)W-M0OnddT-^r^N}@bOIQ!D`1RrQ%bwV3 z^=Ac3Uxn`t3fUWe_U+5>w^LSnmWHrxF%OQOAzuE&+-!;FvD>zP>#kcfO0M7X^?0=F z9p4J3^|P#6Uhc`78fH87`oc-8mWvd|e0^>Ex9;+n(<0>;!=5J?DRWKE5wdq~6l|3= z{2A)@=x)=w(}#1N(o<{m1RtVW^sVkn}wv8`mZQ6ICy_$D447to-Zx1_qaIH<i zj*$5OUS{)4HgDZxf4%Mdr=KrEKbrLR=l`wW{!yVvTdYq#KgWOn|GZza$G7%*eKwZe zVLT`NiY-U-r{C&JSKV7!UMc-@SMM{~pu+aJ)A0-Un!LMk`NzzvIca})p8mD?(Z4;j z^ix!0|CLJwMq~&_ZDsAzIP?DHcln!6VVj=HpA?imFS%%yTfveGllyZMy!ZY2{wmtd zPxRgMl7hHzjSix6*WU9~t*)NJ&UOE|WBBTK_pJ^byys;baAPvNl=P<q3E^T}%C=cp zm~D{Lm~(=;SGm$*r?b=#PwU&4xgI$rM$2X7oMmp-jhwJ$b7_EWz>P`lQl~#1NXQf_ zS+Qj9Sx;6^hvmO*F6@}j&Q`uzAv3)!%<<B>IW`w&%w}i%JZZtKuv+z%3Y;c+&l?Y} zJ+*%JKU3bUFLpJ?#hy=tH)%~erT)C};3CJ2U#U`8ta#@>=2O|G9lP|kr>f_I0$qNS zb<Y|PPSx>nE7hC%PbI)&HoKJi=K~2LmkNZgSjlGnsJK<ID`)y;smrOmr?5-8e?IWQ zNlb+||D2YG)V(R}Ql~#3NbtQ?AhU!w-t*1pmmTNTOw}3>&9u2-F_)cf`6h+TABjfm zR01ldu$P3KVQ${&dDwG;*&p9q1y+wHXZF7Axc<EH*hd=!i)pN$hq*U#O==5&)_81{ zjlqq%W^?*~JXuhm{p)D)*|S@p-(J1;hJ5*_pKt8qgs;zM<I4Wrz?b&%?^L#u<q0um zp_e8`78YkNFfitSabpTQ+x(-lp3PCq7xmT5z47K+-GnXE?%v}6eBeRgrINqb{#h1# zUgqAcHEEgq^TuN>HU<*&P3GQv9@|y6e!bA_wZA4WIhJ!({(0lEFDWx_uJS+KwB+IP zN{8E-VbPa9yuBl_##<^c;_KGTK=aHBrzjIXkz>yrkIk?#_@ViB(rlAIsbP+nK0LCy z5HX3J?epcf#|>(|ZhPu4=+FAM^Y!}w-<kfs{LdKR&CDXg00PYMK2h>3XRKG`Wnchd zP6kefocxk}y^5ri<j@dS24?rVHR&2#_5UUuOxc^kuxp}=Lf8U<NZVEa4Mgnpne6m^ z=ZRFTZM}Z*NyXoa+8~F&?c3VwfBo~9f5EJ-mA*l6UP-#6<$>!;i<We>o%J|*Z0(Vh z-QHeL=CB(YC;gFK@b<R)*EPOpySDkNyk&gP_>9qP!D_un`72iKw%PyCr&RF$JPp<} z_g(*F*Xabz+ttzTE!-o0N$socu8#hEkJ(}tar_0_*{aR^&+)e0EPP#FGF?0~r>5^; zt?&DN^;TtnK6>A67FQOiz3(sQn)CYIeA(G{E1N6qnqPdc`?OWzL;7u>`o+yZE*y2| zyCz>c^|{fGA2(cMpJuL<f2Dgq`Noe0|7v$n{`|2Z=k{^&-R$QR3qEe#{Oh^w(@1f7 z=QRo&wr`G}IrHqhpG}VPGPl3g-?0BNfxm+NA%kL9KwRn@nadB~-QUZ^E|V=Ox$S6^ zef;_Jw$n5=?%w{mS3jo5%(CqJ7LHvKwU&G5&i&0;tiFRsIsM~=l+4fj%R_o5FI+8h zkVQ-_QKC)l8HaF0OUwT4uQ!%gSW4euiufeMqJK!?Nq&`;^@FvWoA>_y{`ut19{sf2 zR;P<R-#k0`wa(Ul|Hht|Wm=k=`wf@>_WXI*QQ_Uzz=ZDk(qSk6HfU)T+1bsx{AM9b z^^Upc)GHSMXtI;@@(*C`yE?Ttw5DKBbond`(FbQA2QL)bUAcSCoNmLs#Mx(eZMznh zUX>&G=dSI(_<q?vjW(?3!yo9>J<|Bn8z}B76xXpaXxRd_%s!VNB|AiS&%DUdBNP8J zV%p-!J~hi_YMG0yS`v3G<T_k%rE%5fC?3`~i#X)3{Pl22z4$vY>e7W5nb)@UELwh| zd+`O26IT9Kbyp>~*WdG3e-XNA!TD-V6`tc0MCZk+a(`Mn)g$C#)iNdb$sQ^~oi0(g zR#{yxi@aSBxvMNkI{w&f_UqEs)|Dy~r#(Ks<MQFN9v(gwH&=8kO71!onDSBhy-Z9C zA5-Cl@VGk**4sRn`Vz|a_lftB4(IvF7yQK|0vyACib<M>o9146E>d!pMd->4b@|PS zvAGM+XMPE0kv+10MPTsc+#6}<b#zysl%0S6g@WDO=$Vl(iaB<@WMr3`AlS?(!Ck;w zrNzh+C-dM?gP6Te*bkP*{Y+IgwsQCQ7-gTj%kDWhmuv2v=;?<K@+NQ>2sik4Nr{*k z8=2Z3KVkT1m+Vtl<qg`YcW&MKmoNJ?Hm1P&zl(Y0MYd13+V-uTy~mq7@5S|9C%ZKg zu5RV6jWXZ*X4SbA12wNbJGLB&2uoNmVtaPe;ys*VUbnYI&er<wUp6Iw<->DZqm+wp zUVXOTi_0tgr?}MmU;F+|exY^ViB(+QHo|!I8MDaiQ$6cl{3|Ci&gi)J$%v;xLO(qJ z=Zx!}Jti*sKP^o&8FXLj`Y%@Do1?t<>1*L5%+GE_#~l-CT#)m1+I&stU!CIV3;wm= z`($$P&e`2BC%j)czryeEYG?6}+r&M1HCK245Y}ur*tG58re}+$uKN-D*7N1I^^<rv zF52+Jd4-zBnq?od!<38@KTba|VTOT*&SZaKW!0dFKg#+FK|h|hq^^j(y}Vohq0zQE z>wg@dapLDOpNO_~KP0@fpNiIVi+wue_4BJ@?ZHR?8>%W6s^}PJOUG$O)|}2P(}-X9 z!+L^({`4g$^+m(Fes;}oJ#?@pFiJvoqg3I&Bm0FGTIDN6++<OB>J<BNxnIDGle5}> zsIAog7yoJEF4e5l=Zx2j{dX#o(oFm~-(iNA#1WsR$=8gZ#kwtS@6fL64{O)1+Ap*< zMJwl!&1nsui@gW$ot-?ndGljIw@IH?^UGOS?a6xdoI!JMmV$|N+bkWvx~cb$yboRS zW!bE^;X60!Mcyu|+E*d0dF8!)iY4=}z0>`qQ!JT3ExpWjee<Pd$zi|O<nA;%EhKmB z$wf;y<pTBRkDo@&d7}63($NpyHbUL^FFaBznXsbU?nu5{!iVRtH#TqYJKJ@h!?NcB z!#&|u9NCIKJA{tqy-+*n{6O14t|d{bEpbLsIQvbBHrbRPm38~(RI~QEez&^+uKatC zjlqoFoCiMU{+P{vox5@_ANwc0S$u-WPj<zJaLrxw;;&bTS75d0rae1f{XF$-=dZFS zl{@C0<Fk18q{gOHMbYple-QiE%R3uSCCzE(bvhTTuP*9!VL|hrj;D{3nmE;G6in0l z^T>zI<hyM1jNf&PxsI$VCR`pFPgypzr1kuGDP{a!Me21{_SsVsA1~jTtYoqCV#3Wk z$F}<T9A6{5T2g&`5LeUAsWXrAPYL2%ASF<F;)R0#tB!|(JQX55MU9iRFF3}9Obg<i z!7)ew0&|eKK68R@SY1R!#TtcL8!Zo})A5mBq6y#T*ln5qX-~z5xuQ%FW$Q0HyJ{{C zzWnj^siLf`pQ-uRr)E0K?vmllV~B2;&5#pxWsPxHsaWNODM7}mnyQC`)?e80Q*`pF zjG9BwqIa3<2(LEob-(L&t1>NJYT|Lu>uT$DtxuayKej6S_N=2H?00LO_&qK5>P((z zYKuSW2yfq!n_Mw%rS}oj->0<CTv|3^`ll;J3q8M2&5V3|Dob8T*Wk>t6ZV@nypq{o zA5-~sw$$!dyxVqe345Br<lI<sHzYD<^Xf@cYM+M3-ISDGy~((@E^}=cQ)5|$Mdr+? zu*+|LD<(~P>YI7^+NN3aB4<U+%ltYubL}c6Mfa!~YZIk^pUShjUa;m`q0Qx}(ywP{ zuRf=vd%3pL>#S9?MNopYm#6Qv$Ft^bH4lFpFi~S=Z$RVUH%<0)WdBGu+T5GFxv<Pe zNGdxuKkH3jo$q;te6Ia79;rQY`PmiU!rSm^D{FJq3Zs?NoCJD%Iy?kCC#lFX@o^ZQ zP%+Bl6Ka?cz{6H3;i4#W#DHglKoXB)i-1$d0Rcu87p1k#3(9QvtU95qwPem51C}=( zOxHhudpkpnKd^NbzoYigjoebZde5`)9}QH$vZc-RkJrg#uO_cCIB_HF*cJY^UcIw7 zcON=`j&+AXn096sf4uoi9@!-`<vJ&P4dA<ae)WWc?@xW^bhy8-zNY5tW>mXmdYEhz zpZSqYnG1!y|Cs#aXQWTta8FWnMx%lC#e}8K`!~Pj5j=g<GDl~B*tTcKwj{Ef-3zqq zKKH~g!^git$nags!ab9{qm;Hv{A?)M`HAb{yA#Hbj&_MJnXcXMvEA*SlE~|q-)m=m z>T9(Wmh9y_I7xVU|8m={25j=Rk-3Q)#+U12W*gk<)coywoaJe?YT<vun58le$=7c( zY&vnepzBTalXJ5kcHQx-mOFLEUU^T|`?PE41=j7`uK7B>CH(!}Grx=vUHxX3`j_Wn z*4@`RUA=|7p6>i|UUb!51#zQGCQb8pYdv2plly22f4I1twc*=#ZEpA3J2R}zFBPzz z-ExklhxPc3oMkLl6`hqrvUBeryeMR}S>(ULye8)ld!$-U%FX|Fg86blZh%M57RRUs zw-j>&L+4hv`ZPo@ee^%2P_d%BMrvLb?_!yQ{w2>tPNuzC>+JNe>($d1)9HpHRlQDj zii<*3oz`f~Gr1Y~Rr_G6=mPDHeX*4>A%8m$gyo*|Ej&CY)!kX+=Cx0Xou_BJZ{?0R z?LC;W<hIPL^E}&mIi{Lcrd@Taw%N@lBk}5ynq7z3kz(I&H5FC~i#JMbQ-5ihcK+tI zetPf2mmGdKrv9Hkifl)H(+`IlhKD>Xj*B}Yn$@xDh~a&mV_Xl9%oVFR)%(}v*~7w! zgI&DanWdI34o&*JC#*tbr<c~6#osvt+$}e(H@dU3)$D<xTwLzdf*A_$a$`T{W(s-E zd#t1L+-<?jPnB!r)J1AscuP)~cg%k<<GjeCB6*Ii&cYboV_ZKu!djje<_U&-*tiw1 zQYtyK{l!uNLu-qrT(d7fRa(QvbltA%?Wzx!`r1PKW?woOb?3k1)5n>mG4o<>Fe*<^ zV9Qv<C@Quj#`V{(=5IS~ZId?ComsNl)Gp9lR=rC6*iM0%7u}ZjvrZ?cCvFL_J&`s0 zs{6xv3pkEVe`@zMV@uw3XQc(l>P^pFKBwTc-@A7fH-CofUF|l}2Op0#u-<Cd`~0@? z18;%swNrvxJ9f|5@@DQM125~lhYqZ&2xPzJs(h%2|A*VxxzdlDrR-<fO}lqc@y4=s zM>j3_xKQgbYr`C^oSns=f3TRA-Cf`uq9Pw+`_t-GV8y8uoBO5Qer%Zh)Kc4d|BR^l zW$*S(J;a+CJo)s>zn(i*8|T=ce=wWVTk@gi(o4T{x^3&1uHZj=?%dqD)>cjX_WUdS z6LxEj#QDCY(^6)Kc3Rud?&mzVdHctpM$0-Y-$N!B8^T>5ty?v7B2W0yRFB0^4}E+q z61PTS-NnxrSFl>`vp>wprnf{T=9ayz)g_Nh3%h$$q;_5KSmG$&n<7<qal(>B@v|C@ zKAzSB0Xoa4A7#I?d#4^}y#m9U^?@?>GnP+!y8Wd5{~86h8~2m%O|-jO=b~oq|8vjc z_<sziIgNfkc<@>Mz?%vW`yC%!d^WzHt<-0?uBLw0maCS3kMc(N1r&z~-1EH|c(H2f zjBCd~@VOmr5j5*<nJk(6v(hz(!Axw2<Le@ASci&(0o0)iJZ`!;n1O+zkAZ;!-l59O zOD=+UsJKs_IeW&tzuZ&Dv#0#De%JRi-g;hVea~upo+&(iQeWR&*XW9|xlxdYpYAE2 zGbh)0>6|=se)A?T9j|jIbi6|iOu`KU449J?4zcwHR!CgRn83{da{>d{30izg(~Q{} z7(kc<)~72<Nrbxq+@<Rj_qAkL6+J=7RiJPVGpIk<pw!c##K6F?AymMD@kU8wuZQdU zH+@s`H12qb{h#?`OT<3Wr?-BT^`BewcZ+96YK&ID)y}^sO#ZWrEV}L{#wfxl$r!a~ z*AwHjp9B-uJ&4$T&1PPuZ`I3<XB6&qN-z9zHtnbSrCJ{qJ{NBnpZzCw#6M~a$hLi3 zoG82b?qlA+VIL>*tEdTv?D^HF`eJie^NoUtrid>eZl&#f;q0>~;Kk%a56_l;iAbwl z{>!W9(k8>r$Eyw&-S%m_6W+<(>f#X|>CBxKxp#}`##fI%+)lH6;dqs+<+X#nkZG&m zlrJxx^yci>y0>x9zBTIwLe_fNFMoP(y@Q|9<O!?aam883S4}pr|20b?x-C^=&1&sO zUY*}>sq8b`v+R*<T=d3iITfy}Y&TtC-_z97y-ibM>7&(&9J0aTw<mOc>=UbK=Dug~ zrhw<T>K1M3B#%9u7Ho@7mbErHUsS(xvB!1GJ1MSNPZhklg3g{?%KCBn@mb{^=gm(0 zD&CtMnRm-P+;_2W?=hj%laBs(6g^q4l~)z8b>{6YySpl;fBifw?I-(rx8*1DXFXN9 zo#w}tx7N~Dee<0o^G(!qvwz*qIa=iNan9LKlP|POU-WwtV)W4A@+UzJpXT>|8-E`u zH#?o3wdc{@dAVN}nl$+a99>y)vfWAk{MBSncd^;WKAm5dwNHAssQk^IJ#Mp0CI@xN z*5ys>o)EHr+l-SdKYH04pY~Vc@^q9gGdb<5aG~9q>5<!li^o-->`jY`OKLr<{%f6{ zMpa+h&liqYPn0ivdWZLQ*RxA`K}YVl-_Mvf*~b5<b->CNcgu?%9l!n3c6MH$sbcln z#7Hsr_Ohb8X7P++dv>chGTr$U@$~R6ckNq$dX;}kefYoj(}Jt2Zp~NIuAY3vDR<am zL*M^RrZ-~_h}wAQ-b;Mk<bQ0Uh|Ho#s})Z@V%HP4dtG5BA$MBL#oGUHzU<lCJ=@uG zmn}T2DfMpSk^9~EQzWm=l#6RD^w;@wZ1KT$*K?xGHcmM^Ww%k(%8zpX%bQc>;&yI) zA7S=`ciGvMl@_gH5*+g@E#_L<{yDTn*I>r;loKz^Z0G7ITgJ9MF<sf-?3>$H7W{73 z7S^_d<tEbGF51X^mJpvl??}-Sh4rjy2a{)R`<P=>b7-=itZAhpqnyI=quMWx#2U-4 z_XL`XL_Ux+(yO}uc4xHS-TawPRqQs`uxK4NIqe&~Ip)Z+M@qS$ubHP7pQvW4YG1ld z_N&(}ro0E6Jm&=Z_!Zq;l<=v+`G<deP<!|e28j<6ZHc$pntYko+rJhqV1K$#^P22I zwT;%5Kl8Zyw_V-Fy}7R3A<8@HlarXg!>^K+-9iu89A+1JR^7hT9v>pTN7?9}Y4jxL zsd5~34y%8aiGCGTtzeZ+)8|>eg42S@&*jv&RV+J>z7s0_d{6%BK4p*je5~z>p)c9w z6kEI#Bf8A=B4hXS?|(6OBj=WzeQHUOzdo?r8((`b)E_z}$Wz1O%KfvqyS^OOm730H zY#hC8>6h(>Ibr9fHywNvbCQQmxJhf)!37L&ZFkOBHN9J5voY~7-|4C(#~#`Dovg-F zCDsS;EUQR8#$2&`*YC|gn^^7~=r27}!_vsMB5<w|m!_HWq)AH@B>6tJ9MNE3I8{z4 zp(v5(hy<V0F#%T&ApvELLyC<)E~f6X&1`%vP5;?g|Hf*!G8G0-c{n|!t)O9Br#oBN z&8Q>M#lJUB`91g4Ng*qbC0F&`I%ih6TF4q*6TULha5k6U+y^%dxY$|Ef~KY&3%yz! zwrtwAm>gElYX_do^xr;TD3UGe8xtBokIPag<o!O2sK;Bhm%F8WNcBD-k>6lF%`q*2 zDYlK7?S-d~ywM8jEd~r;i}E*11Xz0>Td?Jwf}qC#FN^p8tj<|?XUcE32eriqZreVJ zPwduu9q?s|Cs)O#it-NU30gW`y)!;*FA^0rGBTG<JSpFOCFYHZSzW7H#zxM<3EcrL zuQwl)d2pmmQ7XXizwD>!JLmk|H)a1lkD%0}k3)WYq<nun{kCmdzHCKrGEW>w%a$y= zg_Zw4Wi}uBRBN4hv-s`Rq_gt>4q9zAXbDy1_ImKi<7fEHs+nSDw|G-@TwZ1D&i&9` z*`%GQ-nAwpt52RoxJb!2{EE`W`W+2#_9?TMKS=*t`%);aXQT7qPfI-O7b`8FE46O( z%A5YFi(<C#SeWqr?5_p;j>PSsa>(s&Fwd67igMn}Pk+{Rt~qJ=)}w3trbVH51AFFf zSF?^UD8Fy6l4kX8`tvhaKOdQYt7F#JqBY-k&YD`pwrq(qv%)-XiA(E0EPtKqaUr;U zN#H|1aqUZae@`_}J<TH=7~j8M&63qx$$;yW``jhMk84w=P73Rtw#VR3={<3`Ge&g+ z=N?AsT0J{3QM}3Z@73-j2TaZ=6y`V`zGgZ70FOCyudn9onGv>*_Sw4UQfwCsZV@SW z>u}ePh@RVHs^e=`U^ty|wT?5>Iwn{R3#sJOdkXirGBGf$V`pICh1Rg~MJb7TS;hHz z@M`w$lvw}U1|n_me~P+KRcmaS{Y87}-sCC0o)@~z7Ec!Fnsa06JsIoGa~;}$yx$xf z;B2mO{c_Pot-AT2=RJP>*yc@RtyFN7N9u#_2#=}BhnHmVJUo~kXFu_%*T&mRH=dp? zp26FvbcOGB?vG;@!r$AkDP|usd~Q(M(587cBy@^NqVQ9um=N(k&sJAA&X^58o)Q<k zQbYDXykv3biir2ghh18G*KI32s^y~+;+uXq=it7z8n5II9G_Ikw0DC%FPq?*ShGD$ z(Z#PbZgjRiWYBZlsJ$w>Tt3lx?&`<(7e%6`<?~igdvCohJpKHVZ@rNPDO^*uL|kJ7 zmJ0aXak}EFY5c)dw^j3CnAomI=N?DiedzMcQtZe~jd~Hzg|qH<v2dRWpOxv*k~ybn zmO##jrqjDyv{XeG%nwrCwdG+}XmgGFWa~9Gyf1c7V`^G@W+h+2ZfA|-sRe~rRx^8; zqm8D!DP?&b+F;<Z#d@XA=F|eaPW6AwGtCz>PZPJvWc97Sc5v6t71ym_eF?0+&v>%S zprEZ&X~#>U{TZw8PrJR`IZkU`%JMtuwRgCjKc~x_IdggAnXp4TZ*S>)NzJQ@Ieh-X z(m986?uvHD=eyl>HoRt^&{LehbneyQ{n?tf7MgcrFYr0H=*?J=@W0^X*@6n)C7vaY z#@iC6++kQXtwr5>#akBTWf^G^uC;x+rCI51PfP66o#ZVP?x;O`eDmMrZMsL-dw<>> zzVt_FW>w{%?N86gESqurcP{(eIbl-{OUzv)IqQ8>^0Alg+aDCW?>%4M{H|oK?%n0@ zYPXq|Eq}k`Oz<iPy#qR;M~}?UD7T&auF_^rZD8$@Zo?@@KQFy+wvPAVwlDQH_Zt3h z{J8UG^&ei;ggZ-evB@H428MU03=Ht5VR=d#Jk8#Xx|nxIL7?XS^&1Igy!DfBxV`dL z-Ws%jzwzm(db`xJ_$S_onC_8YeRHPahTRAL-mClf>)$8k-uo^lC))fcsNTH3B5u(d z#n(&x+-?@=PWo6oHBjB=^rlu<_B)&#e!VyIl<8rdvB&#x!~XTI-**)JaIsfiUu_^T z^MI0yee)r^A65eO)4%(dxR>l_-*u?umS?4ovi;l3ue;gi{@HQ4TK|RKPeGBg!{3jn z|M2@E{PA$zkHqKS&u`p+{>SO{#rbzspRHDS^jqyG+xI<w{0q&`?lAqawm6TseOu)A zNsgQMM0elU`h4xd_S1V!U+8Ym=dC;aC%XT>7V~xEjH%79CAXY9^pz*->BB0vwMK=! z&D(bFXgXMHcI<INpNy=cKTC0QMXR>O!T*b%Mb%avvCv^Sbs+97=Ued`d28ezBvz!d zY|m?bd}iOm!!G+1?3}JPy{r1<|4{PnCe5!t%1$8%>l^NW4e)xCdOl6)!@8Tx_rC6Y z_C%-3#&kj9`^RU}c+Q@2Njzk2%xD$v7A288cXh($t<|E+c@vaYUtc$M#$!&)V;4m) ztL?ja=4EuaVQcoW=aOgDd*^B=*|u=xx=HdWOZD$zi%Ai@y4gyAr(khOg*Nx@Fq2Cw z1$mcpDkO28Z%^lQ|GYF}n^9E7@*}M4=cWgC-=1TC?~a>vZqBjV1?#5Hd|9?i@(B0p z&AZRLNqV>Z3F~*w)w6$oFMUeHmI=aFoJ<}`ebupTR$IDF`qwqZ%^wQiFMb`hb>_{~ z>jxB+l(!k}x4Qk-((%o|>9;<gG1_<{y~?Qf%wB!dHk<PG@9x&UiJcnvJ#_7Jmz8=k zuhh~Qt1oSL6FT}<@`_vW>tENB`|_<tpRZY(cB!d)jp)Um@ad-e8ZT75i(WX%%(TpI zw*>pcIfYApPhDKJB=u>WWz3C?<j1?Ws<asJACSzwKR0vFq4IqblwWEWK2i?dzUEus zqSrG&C_j-4SyW>+Nlc2VYWI<C6aG9fv#%&+zP~beUyb7IPlvb`emR(T?dy?WaxEWU z>!;kSS@Yb&$g))GT43&sNPk@xD>eJq*V>-z^hWHptgx5aEI7TVcIVw&t9i|fKdekQ z$*m~b!}vwWj?Y~A)Q#*JYj1yW3OjmYGf&AD%S7!CX?qK+sQGTEr<oZqxpMN%2k+gR z_nm)OX;3!5VAkC>$?tluQ`G~5H9fvm7_R@bt}}E?k$fXdgyzYuWj~pYl}%qN`KNf& zC-pq7K5OZ`r}{qeueZEaReyg-;Q7g;KiC7j8JR?wK{I%ey67hFb0sAv28Qcw3=HfH zyr7O810w?iLqj8onUY+fSCN|&8o~*g%>&Kop_{-LbmgWOBLhPw69WS`iU|Qs4B#2T z_~Me%B)u}crnJcgJoaQ{U|7t=z`%!M${A)9Q!-NUJ7>kpqM5Rc3=9&C2!lXgX=wCf zg&I_lSd^Gc&|l$~jnfv3GBB)kU|`^ax(H&>95#qSdc_%u1*v$wb^X{w)#WS<45qrE z5)(Oi3uK^%RHP*1HKI2>bS{$<1H%tTL<~XP;id>PA`gcNkRJWbV;Zcr><kPb%z@&Q zvSg?U#U+V2jDRGQ3rDZce{Igd@XQ?H4~Qd_vY|$#g6aXR=>*~mg=w9?#90^^Kp52( zHjAM~6r?2Ka0SGOSKbf4TQV>(fG}#5G%bf3k&;${(-4SPBtjP|3o<Y;@H3#t>l>IM z$vN3L4S~4idJvZz?`Z}G5JvTk-U_HoiU=4{lK9>DH8TSP2%~x?tC$g-Z}jr=a`K9C zgb2hlCueTZVQ^z)u*_paOQdb(P(xBu%WxV3@r*pmEIuTWLLztjUM7f3AanXyV;ABO z)PXCA8IW>><22L^$S@XGGa&9j9eIM70dYq+%na~26jmc3&OmKiLyUkp<LxDgGmskE zc+5d<=^&f4>?*_@J#f1R#Ryh5kZVO4L>Qu285qiMGBM~exSE7jWoP?1Wd?ftdxyIP jS6LdAntHkxWR*FVdX`s48JULoxN2tvhoz?$r`rMmDiX;W literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_physopt.dcp b/LOTO/LOTO.runs/impl_1/loto_physopt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..3de8c7e810d5155de1f03ebcb479cdb113e43ebd GIT binary patch literal 221674 zcmWIWW@Zs#U|`^2V2k&OI$V;_Z^6XCAkWUgz|O$Qkdj=WSCN|&8p6uJ>{GWUeeYD? z;zbSuZRcOFpYdo*HHV|gu4Pw53?yzoUCm%$w8%{&-0Vbv!LDEL#UDqUoN?;flTGY; zci;am@&E2)SYwe>yy1B0+=)plTT@-DB(}F5V^cq`dXkIl+4MQ9ybhe?3hGsHmD;|K z=j+VW!#Czy?vi8>&;97JEBM#mgA3H}&igcZX6|u)rD?q44);?lxn}T8VA3hzk||+X z#dYicd+WW`sSGj_jG=+M(|hDA3esG949|blP)(>mbvpOM*6VvCQ@==0UeslDSMlZI zZHq1}`FAyNw|VY0A-9t7hXU~_>l<&J2#ifX8Tn$?=@%DE9`BTFnRWKaDNFH*lUR$K zPFk{UmRei1=B>s?o7(Mh=E09+@AXw>H;ahK>m7|e=IH5~v*);F_vW<a7tRaDPR=_0 zT0OGQu|&uJvH|nyZ*q?fX1%;Ld)cE|@4`Oqi<IzO{HFEhdmgLuzjg7o{4<??D<4uj zdsJbcSjM?a8!g>BwYE&1E&c7kMB~fbw}jnK{5iYvo0nGjjx!endp5Tmi|(lL5H?J< zxLPxL^EK&^B*V{_o_32RnF&V;pX<21b;jlScC7*Z3oW<v7?+tI&&g{N|G@6?ao-C2 zquFH%A9{>pI|Zlx`uZe%(c<)@%=uy;k7{3hl(^*M>ct*sDrP=Tk#v5`HEA9HqOX$2 zHrPIXUL7#WzFz3btnO#sIodsTQF(z+7M<Q2qx@h`<iwDqYYd;<R|XwC_>aTZd|%P= z-spd^!UwN!Z20r|$LIdzhI?xNe(jB3yB{@`@daJE>BY#vkjccrz|FwPkdt4MA75Nj znxt0-PieIi9K8=a2(-TcDOwz|A~$MDXtmb2OU)6hIuulM%9fwJbk^_2+$+!S@17mz zA+SfqI{$g~d)3LDHo<qTVyEz2KHmQGuFl-E4}zt0&nw@Euxy)ZxS^X{uI$CdxdFYC zJ>`3RSl%Zt^*iJh@?p_Z<%q|g-e3Mpx-S17RDEF8Gcm28UdJETVw`;Y92c4#;=Gpf zaKYiJBE9bX`?kg`;#Ux!`GX~w|Am7?UW<*BU%JJF=Cj+fcbjV1IO*CbvlJz)$vwbY zv*2<Y*M}<>ozr(bP?++nBTr1OrFT-_5`*hc3yX5|I$owte#&cn^TWf)JP*0Yj<b@@ zd@pOwoBLc)g<<{8L&<>)pKkrORq*W!^}P!nPX-pQc(l5p<6D`eMeggi#g*d50ZB3O zKYHJ0E86v4DVVeWng7dw{*(V5O4?BH^6KvQTzt>gy)<%Mt+!wGCnIXux5))O_GDyW zSj@!0z=s<487YX2UOT}t`w%qv*Ia0EafvP#;#E!9xMIq^X=l@<#IN7ouzTaP`{ha* zp<A{$zTaEfFWzQgTAH1@_2Yy+`*;r5-Fbg_dPtD`JjLruO`Sm--mvgZdvW32#-64R zmu^&AJ!VjQIxo9Xb&q!<@0kL9)?NSQG$SMOqEBqr@oql$@MBllYsuOA_daQQPkN{@ z>&){^fd$_#ZC}u1t`N)cA#qK+`T}l+bl1mwFB-6|eE7!SKR|M0?SYnCn^gMB`8SmQ z(~I0N@o<*=+O$hoyS6Ve>is`?<N2IjaXKp>3V3~9yt0gEZ}ev_(JsA*jpZfBvojw_ zALa}d+bI2zOX#&MyH5Y>iznCK@bEv_>sqi+^FYn~=}+%Pdln02n))6;I!*MMLp*n~ zNYUIlk8?Aw?n&AD=8&voZ0xFa@`2Y3i+RFrmoVo``!q9YuiU*W^8+_(=&x8=G*gz5 zfkA>1Df9~xixP8DidjYp#^?Vcaw@_MEnJ-xK)^6D5kx5%8W=Qqs%$&D?eGszuLEaS zirZAr;oRoJG$TM}tABD^Q<dXwt65dc?=$uP(q3`xZSRjB^Ox*LBr2v|n^Dfs86wd( zJ*<@5HLdc-rY*li?xfC8J7VhkdsTFbx`E%ayhP8Le_nZQ2wHLCanU?RU5<w7>`UG+ zPu<=Ap`2@z#cQj#EAN%F%_ur#Qe9~u+Pv*Vf+&a3cQ)DU4Xt)xUFR;`d@i|cwiP4O z;|-FRGZ)7!S<EduW5Mdhk9V$hIk~Fqu}fE)+1t~O_Vb>oE2ymM_~DRs#iaE4=gNX@ zw+^{as$IKhd*sIlVK@IZJ3XE<$NA&pb^p90y#hBKeX3uQZzj5JlIss!Hvb}l64VgA zcIk*y1gQREWMB}1rRU6mjLKsFf)c%=)PkJEN_e62nCtN-j?+5cJ}1L9jExM8E*gh; ztO{A-p>_6*XW)tR!5sd+fggO=gcukF8En~LWVYF8L&%3x&OnBhqLUa-?pms*DZ!M= zyVmF6CI`u2RyMs?v$8WBl8e!@*n}<JN482ZFr4#ZVBmr}Nv}8~u^<)hkhw9HHMg%$ z{O-T)$@2NCzkjM*&s0&-P;5V$d9v?u0ZU3`pKs3Th$0ULWf^xBHNQDG_yqiz84umv za$(x)mML-p92c0sHPi*IyQ>@CpZh=K|I2sjFSp*ECa2h%XKwxZ-tU*?3Xw)X8%&Mg z|4zGS`2Oskz&W)CH*K=7xqf3qYD!7UdDDmY-dufn<=n!(Hw%7WcyeLe!p=9R3TkrR zH-4NbYps6x;xUh63o|Pzu{SOiHr5km_iOF0{rczArcIkvf7IX9(*M^Hvv1CeO`E>X z&!6P-<}SC&#g#vAoV>rkXwxf`vQvK+OPapjSfX?J-u<nX7iVXB=kRPYI(%RL^+}%h zGEz4W&rD-sW3e_dwAo@Sog#Pm{=8R{&zi2ev*MN4w$xpd-gdsbaV;w>@kNt(4)5nx z&q5aMx1G2u(QdJpX6BnqVo6ioeEX))S3K4u<r;0YzcqNi;xc8wS*C?-tBqDI&GZ&= zn8^9sFYis)^-T)|O#+>SlCDnETY5ji)NO09NYWj%n44)EqFQD;2P-kVI&JJ+m8z(` z!X?OP`c=uzk2Z$bT$`5>etK&5s_mvToyFx9&A8cbi655BWtM%rviIdVT}HFp2~OJ# zdwC>y?2SD|3e1jkteAF=o880UI)_G-Le@>27%tPQD>tU{Y`V;2pF8tG*3FunaAyIb zb7Bkp+8>lCC%A`f-mvibvio6&#U}Buh*Y_~>ZVf8wvFi;{ereU+h%NAty^4}zG-*t zf(Bi~S1H-y?(J(8k4%f2*R-tq*W*s9Z9Y|V9`RnA@R>uEYoqa1sXU+fIUQ<`Uq+O7 z=$dEggst(Nuy(;zg@pL5SlQ^zg6uhOFQ(kSw(n-nRKcQ(NmI@zt>!(<`0j<nN11KM zR+JxhJ)Ltcqh-y^RG&)QZi%gaI|Jlhzw)t4#z-}rK8&d@oOQ_h(aV4DBG=qBxV7=D z=DNh}qgr26QlfdY1#7%M&RKid*X;IW=FD?Ztqx2957J-r>T|JKrET8aBOv(F$6sfv z-xqD!%{OkgDL!5K?#8ngq3fH9FD#z&*=$nswN1sko-STy5{`e)1e7<fPJM2vI#IxS ze%Tsh;rBgXzp3pq-r=t(w)xz0sTBur6}20h&)hM?XW3fqxv$=Jsas^5GpRZIMIIMo zJI@)iJ?mOy-|efrBYBi!Pn%h(H?{A%Y$-UU<l=_yx73RogPVkJx(MIQntwv(TJDwG zsg@?`wr&eH-?fUny5{<Y;OJo6lX0&i&rWK)H?wPN^5TTw9l8B8-?XZE*U2XRP1&V> zdH#!z@_eBQ0^XJ>pX59*Z*9D1ARzphdjsR1q7(ZIV;HwZ8kwAsDif@lB&Dn8$a^~} zL^0KGL07J+*6v9&b!+EJ=;)b!`Nx;NX1YLj@7JKoOATM-T==k1sW3D4S<KJAsA+}k zY`pZAm%iJu{?CNqChh%aCI1TTeOqUiQ?Z-<lB{gqw%CBZwsTT@8x|O?EU6EdQ7>%` z6z%`|y_@&dtFN17+seH++3&l0zD(-gyhdHwwRL7nD@*IjmM*y#zA4tNa@GFbUA<CM zKfYtM(w*~G?3Ct_ZKdkTFTJ)M*Er4~osi7toVg^`TSYXXx|nC(KfB4DNvC(5G*LEA zG+KMYhijRpmdKm)hASRuO;%(LpUtDW<5)^mu$6Vf50=Zq3D>nOU-4~t&2ssYj!69c z<baR7YQjg~nRmU@J!>2KMs0D>q_tObyo5R3RBm<sU48ScnOON>v6F7sFYQ@<bFG<J zG{09_Zi|{|%J$3*ea+u&H{VVYjyU)3LXTEuLv_-}I-Z<%lTX1XuWqz9{5o-+!d<D8 zT4mGIemqGux^Z{b)8M%!x@S|rXH?X$-h913+(~KjyCdg&=N@=>^@Es6a$vd9zRpEe zn{vf=`x|F1m7HC{xI5nXnZh1!-TF<_=hwO!YyAogIL(*LbgeD;oJRY_o9U*9Z@1la z%<ri6GhUUva_!!a|BkrLocQx5^Np?g_ol8$<3Dxu(A^B*Sd;eJIOA87YG3+<>qq%4 zRxR1>v21bkX8i|2Cu94!Z27+Z+GhPMw*FF8quRSO=lSG#hjWGRm}i^f8N6)D=Jt)o zS*KR?ES+b&C~!%MUO<EGD#@wLduLZo7XMo1@OeX-ymif&r;|hF!X#6J{r~)&(0~3R zyXn6zZub9|ePlPOll{N#*#2c7fBs4B&41wd&$4&_ijTz$&K&2H{ij#@%^>X9=><A5 zYMi<;VGFBIefsxd!zK;ux+y-oPxiap{xO%5f99XLXYPF8W!pYqH>q>^^S!t?XWz=3 zK2v8eGnwr0ST6I<>SU>Po%3C?XDylPBDL@Pk?6X86aV`?cK%~;Qs?y8{_>B?njZI` z`KJHoCmq|Kb?3bNr62ZBO>@o$3dN=Fc;IicXOisykL(#0;(8B?Otv@jf8eT<^u2yw zdCMZje`dTdYj>aQ%JlI%B(C@QrRbM+Gu|<?UpTXD-V)XOr}wY_sP~Uw>VMa<_^Utq zO=OM-_Wt*J?4R|Ax3_*`*kh5k`*Ib!W0*g-T+MpI_Q>@6Vej9HoQ)TKHl#Im3M448 z%;0GJI3uB-Q_ZOX%wKkgW%_KeIHQ_Vf|gG~D_rVWcGF(9861pYr4sWwzs*cg+H&-l zQq-@P{}nv6oz3Tdb6+{@&LaPRnw%}?HqDZ)pEKvFZg2UA^ON)Aj#*b4*T*U!&wTPe zW6%8GFHXcAoP1eEu+VI)(D^iF>wm#kN);^2P5yNqn}6}dH$&d_o$4n0+Tzb|{xe%@ z-ZaNJPb=<wcK2T9%kTM7^G4l&zf-LE?;BTEznA;|^M><%r&z1mRnJ~1URtME`D$PP z-Y5I*<}|aPvgMU3o4fWozn$E=tA!hLEGGP7^Iv=|s%+nKVRy@~vko6PTR!)TC-eS_ zcaE1E(%ab5EP3|4Gx)H^v^oF(<955u1vPDKY1TY@9vXb8Id?$6?z`5cLk>rIcpDBM zn9avr{$$#V6N}H!GXAiru`7rtAj6TlSn5<R+rOiU4=l6U<ZE)IKAgR9Af~;szEtAJ z<MaMAX8&3Gy<dxYs<DZ|`NRkJW!U~rF)lbVi*feC#>+Bn``#NAROj(8+gE<0B=|oM z@0oImiqnY?n6ug5|9R3ftI^JxnOlbKUYW#?;&TV4Jar8<HYwP3_<%JZv-%p}I&<^p z13bJfvTXNCC4O8m`cQGMyUEyO!-d2Lw(X7kBfP&XO@8LNZr;L1S3YL@0tt)Evk&?$ z&DYLc*k~-nR`<f-L-^@#x$|cm&R;l?)ZQp>$y1Xexxs8_SsU9l3!XnW5+5XIcdjW; zICJ4ZP<vy2xx|mzrp(#=PR1r1&Lloi=VLC9y1w=4vQlG{3l9uF1h+T3_s=upwV8JN z$uCJMi_3`*`1SV(WJ*^2OHb<DQ^NNo_4(9U+NF`T0<(_%<}eL4$yl+{Df8E4=gZgS z1+yJz-Los|x|U?+JC)D3zAWR{C*h<^OI}{z(tJ5PiO;vbFk{!(w|Cy~30dw{+wXkY zozGX_ZpQ4p#V&s9SKeCqGM~?P`M=jIR=(WvW#&th!uDnVOEapb?(+6sFM2)X^@T5Q z9=^X}viDm-UYWgxMtb{`YL_aO%2%2(dy5?ZP1_*a^e10wZ_^*yiFS_rJW`e_{BQSA zyFTAzze2tL61@|*JJtw2k?-K)_|)&h#`;rlXV6xK`RbXy3iY4G_}aNYT~}E<wJkH1 z*YRK15=BmhoVg0~)x_6|KPl(1_MT8*$+2`ZYh{y%z>{{DbAnH%$6G&pT+CWI<wWHr zDNSqN3HpMT@e}eVJY+4J;4)9|#Cib*9p1?$3wH)P-i<pk`M2x(&^zm&?uehd^Y5=8 z=faoSD|*;Dtg_8hpD<rm_LJ8FB?hhhBC8e7mGhc=p4@%HxKuO#Z9v_rg*NAFo1aW} znYOH^)%oYb-EU8Qmf=u|SKnmvG?(w`cdIGSEw_8@3*7bTv+ODR#{u)6S2=!CRq_t5 zTj%^UvHg?Hc7;jxe_tF9n!0}bl*M<B_wD=l>Ex=SZ)@DHKbfmu`(=yD&yVhf^1f-W zn%mb-TX{T3_xSr=_m9bJ{BD_&J+ml7`S?Nh_2+pK4y{iSwha2W?D76R$Co|obkA}A zyL<0b&*<(C^(+0@EDCK>gy*(1`Ie}pPUcB_bmWwp)gsne8QCe5d18{DrwHeUHZIGz z$&h|m{^rc)vS*dn#b?g#Nl4$9nZ7SI{e<>YffNG~KkeBkytz&O%JO^p9sPe((#mPm zWS-7PEhp8if>>w02sy1L`R~ZW>AoeWxJ_)oZSG(5_wS~;mSq>rj;g=a6=AdO(ctP2 za{G8eckzK2(*j#cPHTkhN)<7_Ys57#PtrATd(WYj(QbuTbr&<do));I^t8s4U8y3~ zca69X<w?3GZ|^y@DcbGhP2I%~Z>I$wDLbuEvO87e@LeOWXL*vYrQ3TB?T&UUyr;Xk z;r+D0C*`L#SPM>Th!>pJ&@4EuVO?-q!?)nHMts5P6+!B$AqOWLY2CC;IkCeqq+zm= z))~&3D~?#ERLqN+p}A_c=grralA<?cjQF+7lUKy3rG~go{<b3T*@Vi7R&M202|?eM zX$n6zXg_oK%8p`*z&n$Sw5mL-LzIh7*qk}}JLRm`sTI>ye+Pa%rTE!ksdc;HQVq?; zLQ`dyr~03K;Vq@^7BXW=kCuOs+fudmGl&1=@rd><>EZIaB)4~ttP%g^ScyRXNk*%L zJ!fv6=k+WxG>>O$<&vIL_C>KPL>^42j5yFLcvV_+@v6Qz?(dV^&m3;j>(MynAt{=@ zq-T{e-^}*V-G(9A6OC3K^r-&wp!|f*nq#eksv??;FLh1mjOucJmUyRCaH^o@;;zm& z>1(?uRYt7kR_2lma%&Z^^vnJ~<;-CoakndmOL|^OUz%rXuljkz1a9S1!a;7S+@|~Q z*lEmczq-?4(JO`2klqP@SNs9FXfL<&r3%f>lQxUH+*`)<Ciam~=$YS-(t>$x^;5#N zmsYvA$g=LZK95JP^6;hNKbqC2i_41pZzlx1yEZM8sM7K*4%7R#FCz7FlkDG?xj(1M zmaX4WC}ON?WOnpUW_sR6<MP{*rw%=JSo7r_pY;PX>*DEiEmP9vL|!kKs519h8W#3# zNA1@68`CT0@A^9j@a#=b3D;))J#T_0!`@{o2TpChcZTtWz1qI4q#t}gpWc47dfBg{ zGM_F}!I)D=r@j{zFI>7q?(d^ZtAxTm17to(6~4(jn#jQ^zLx*Q5<aa%sk~7RYNcO# zrzdFiFMi+BDHv%VqSYo;n{edHDUHmKvea$8eZ23vg59eM8{}u(GX6ARl%4sh;fuuz zr)5eC_mu<!3=XoWD#w`xtd*$ha_DWkc$#fT*22w<TTfIlUrIf=z)*LB`ToG^XV%O< z@$SalyT&)|j5d~+yf~nGwmI?a1jbtl7Ek_8o}65LWQOMb#tBzcCuyz-=6QNApub07 zp*VlWQi)T06U}(j8hP@5`nX+8S-VHM=Tu7C@d~qUvFE36-{y#v4Z3;p&4(wd6CDlZ zyzVhhQT!w}WAQQPoz`bUxxH>$omm{ozC`|DCYM_r`)5(-do0`{&gN`$)?J%WWWcdn z%<EEuqR~{w%1eoX5${Cr@)fWd=_u%nMXdRCL9<b{YhjP<G_AHE--u-rr;-;su3Q$( zo33@WWJ2Q3q!%CAv=0^C`V!MTHPJHIYRd+%gD)%&XDyw@r@JOlx5V8++2~(`&251| zgI&rBUT2sjAANp%?Uv(4^F===S6^0&a*a84dDSnmjNB=|y{2oLtZZGvZegOlnElCG zzU-?BFCVo`+i*vDmt5l9tcBC{x2zIf^oI4Ca<J$GP4PKPB~C4VkaIL(6Vr2zHX|mB zaKkBm2iT4V^f5lyY!hOzh&L3us<=#o>*|GN5?%WR!g*LjGt3h!*qxf(_Bt$c`+044 zSV`j<m(Qk`7hOGh<i>^FMu!*gHkx?s*xe-6m$TaWR>m*s_$gw-`By3V>~){^+<iQM zD!1j#y>o$S?Gh`6`TI5)>i8?{O<Fkln8<|lF)z5hr!Swy7b7t3wp%~jjTRpT1>^7+ zVcl+-R$nyQig;gy@`!3EtP}~@(710yiHugjf!4%IpA~A1f4dfTF)a~sUdrvE-L^{9 zV1dM{umfpF1GX~h1@VYFC3r{dQk<%nusUmD1&4V1Q=gZK9Md)0;#vw;CcV()Xzp5A zZW^;pqRPfuO((p)h<!)Q)_{ms#iJKyOKj6@lgl$$BT;qsaM{s-nT(qfcPe}_Ytatj zUh1;J+3<@}+uW{&=_WlIZTI>LmP+x7N+wLtTKI~oN2~1=*M{hWE6NzEL%2mb5_}9p zSR3|sEnFn~hWqr~#Ze4BtHoB$JLnRzPDAuef{~7F=e)HW;t#H<Vhh)lu)X#$%ao}~ z_pm9K+xZlW1rl1T4{lw>pITcmH*4W3=9u*or%pa-+ptGZQ@vUGs)OeC=GhYx9V0uN zHG5|@8BK4U-MO0UR1I&Q;yLLl?=yBx-Qf_hujt`|lNY0f@{E69^cKq#&owm?H`{aa zOhuWstkp-ilf^GIW}Ta^-(|B_<X-lZ>Kh-*Qje*t9iFvZ@bb#*{E63GT(9NN=a{$J z{mT01N7~!UchxDY-<t8=yy%r}YHI4|m-;3@Z`|hJTcV^<w6Wgq=cCR9%iW>37p$Kx zBPoAt;#rq#J?Z5=K0Zn3eM-)%>&bjHpK2J8;`YtQC(X^scMnsY$>n#t+up_fc)0xi zzG=T7*y^rYf5&!P?f(}M+~4#ssAm~Ra#nl2O!D0{(|E@w&v@0{Cew{Jc?f?${M6F; z@|VnQXWiPpqrYb4>9&3I?|UmZOJ$St)yXqYyu01M*S*cnc;!DIEm?1MgMAYW<5<mI zzli?K@jO0h8ONHo<IEe@w#W%a_ba4$y-3t8X$e1^%Kf!6j9;d-Cg=aWlW%qe^mIr` z=sBiO_Em2`$Yk~L$=d^(pQ3IU9(E0BHhgf5t@h%#iL2ezHvK+s9Nv@uJyG3-_co79 z6k8=nlsAJJ?<cE>-ER3OcvDSw+iw2;>h7VZE>gP7($B7y+qZD-rRmR(KHmDs>3mcA zmZ`rc*<?taymyj^OYO|gvu(@07%o3klz6cE=)t5(7ai@`=V|kaMR&}4e(1Uv!{vz% zA8dY96>gAV)BBoPu*RhzWy1OP=iV&l{GV7qteIA9xl2DMZ%yC*mm9Y4aCW(9ez@SA zS8ZnDf(yF@rk%Mk@#;U_Ch2SES1hh9Id{MyB4O3DOS)~IzfbY@zrAPe8GKUrZs>~X z8?xDd3jOHW<`W;0vTH?&=A6iyze+0#CD&;0s}tPH!&~5Xap5fM3bi{=KXm*_D4j9w z@Lko0s~TYkYE>Jq{*2)KKAj=#d9vu8V40kG{Z~KSe3T&gIpDL@j_npVN@lrLY;ZA< zTgD-yC)krG*vh`e|KW)nn>jb{W=cGC=VRK9IEF;6Nbc|j-=A%sccy0*_Z*Y02ex{D zz0Cda#Ur=Y8rfwNy}1smsU1JI*(p+6CSr}g#suvZQ(D%yb8|I^usln5^iQ0?wenBS z3q78ompu0DyUtyB{=EO}vaQ953l!X*%GNN)ga|A);;oa*(d#f|ueDpkofKkqG<kwn zhvD>%yuY}U&g?w?@KDo?Qm)H<wM$)vZFD&+79L@4*tnJ1?)%HhkJI)E)&(5;+ui)C zrA_qLq(v4F7sLwasjl5xv~BAjrV~whGp>4l<epXZ(9ChshVL`2Z}QIOYWBJQs_{wA z-fzO0qVHSRK7YA(eoSu6lZkIz-wRsa)bKx~d{mgVIQ7?q%-pT})@(35^{C8b*7P^6 zA6kVT2nyfh=YQ;-?wYvcZCCI@b=G*TgHBhrOlZ@e#*lCHkoiLZ=Z7@meUft8jQg&& z#Iy8Ivx(jMFZzMU$=DE;`&|9gZyoL75<eWcwk0L?uEKtnYttEWWS>kw6sgK^o&S@g z#qJ-K$JkG$ZrJssGH<H)ghto&SKXi5RT<@PZf^eYr)Wp5TXyUB>jE}`r=lLN%4=Ts z=zvCA!(jpKeJnFFa@wa}eso57UTdnV#4(mvTo(Deu1Mrxl-;m@$10A#T=gwe7><0E zZhZFWWnReA=I}$8GShSNPU%I&$+Qd0wTd2{>v8iQFL(FrBX@5zukL#M;YH8ES&uAi zEUOo8oRW}oHDXbXJdbF2Z+fnb($bL5H5TGeg$<^y7V^D(G)%SYljn`lJK_c1KkF>^ zH~gPD{buNf^*gq^oUm$I-*!~>#?i@@ymO+N1pdBa$k8xy5ba;r`jLs_vibVv&PT_T zDz2?-&v4#&M`q(6wjFB=uIWxLmb%$n@OODd#)F3ZCe5`!zIv)HGue?hE$;EECm&{; z-q^I}0>jhI4bKX@*|ujbxV|cXWv-=2m-VxkrPs>6w{2^d%83awC^rgzciZH}(TSZ! zo7aARD^<c)GEHjcnPvQM&KBjj#vQZOnE3ycx6-N8f35yjYy0}fIB)zjRahka#V-Fp z%Ivmxq8Eqv_T_Y^ySumcF_?AkzkTnF_RP487v=m5)+_uHx})Fmk0ssXf<*;G=ex#| zme-RyiuzS%?eG^myC+5{d#75bSX?8E?}YHb_5mnM1+E`^sJfhmfx%Q4w0HnAiK|zU zk_?~Y*c%m_|Hw_G_T9g(i7%2l<?1K8zG_ak-mQ@klW=*}#jGb=+gVr*Hu*}6Jl%iY zEJx%(^6R)|E`b~yAIjY?ekOBYQS<x_tIq2-C%2^-ZM&IU|6tR;a%-ja4|DcC*n2K` z&y!5`fUO<+O}^<btiGLio$~sd(z!=w=gltKM5Ml*y6SI-`NLp!-$Q-J<F~Cm*XB6u z<C9maT%JMuZe9&d{~lX=$>vqyq&;gUhi-VXH@>$1&6necF5f@lyu(vy->HZrmw!Hf z^?Ui3$4{T=Cw;!EqqhEL+1cuPOEXQ!fK4;jcd`H5)Hiv}_3wZ3gD0{5|L}J`C;y^* zH@42{;#zyncSDuhe&MV?ZhwAui3EIJ{#Q^s;MGRW)Jo~xY4ZP;{+QL`Z|}czW|bMo z!Y-$ZfP>rLSikN*{b!$hOxC3K<Bu}_&C`6jS$?)ocYplF22X4G;ChppQS*{}WsP=h zZ(rQGY;M1|`$MLw1_7$~SQo!s-gL3nEVR7oB)>d&+9!2W2M%8mr-cbMe><kT%Ge#w zcsZ|sMWkLq#C45`1w!)!Rikv1#HTxVEkDQ<GEwWqBzxAVhZ(ElEpBZPd9iZw&1UOW z8i&`{A1-(_CtM@=y9fWnM>C&pm}cM^z?pYjBUHs?&dau+2Ya5Ia@%hG?Dg3~DP(7m zNb44Fw#&IuPEpU-Z2y1ueyNzc_orRfM>Xp9O)L{|4bpqW#OHf{OGZ`JMXj^p4aer# ztM$yYDv^81$Fne{d*6BSzl@fivluIPwzwV+j6JYW^V}jw(<{ZcKSL(E>3KZ;TlR3V z6#F`7U$ZvGDLKc=kGVf{*Lf(|Q~CA(_e378Ijo1X9>{D`+kf@Zzo2UWcl}YCM=zzu zCvX)0(-Jv-y}2>vh@9}b{`2`wDhC6kpEezA-5eUhJI8a|uAi!`n{OKZSMGfFvPv)~ zv9$15<m1d$>Yq$*GdW%^sM8L4@xXy|z4A5RpUD?3(ywo4fBIY6M`9Ms;aq_=A~P(c z*FB8Tw$#g8qU9F*o2`v6{kYRC+glgxx!%d2J9B=CB%ko}7p5k&RnLA;{=RKe`VGDr zY6tJ~Py2lEuBR(=XaRGfUA@}*f*B`P2z<`z(3Lh5%G_ZhSX{FqJM^Lr?=72Hrxgb* z+YTR5_2xZ(^j&{LvN#*>bTy~G_p@d#Z=S8L82CWuaN?t+rESTvd;0PdFBAkSJ*ik> zW^$gv+}~*ySgWfS@9||7vWM9mWW|-8`q<f-6I?(06ns`$!Z|0|z=v5}bA!~S&kb?a zN1um=I&HFHW%Dais!&q({n6AeS;JtYC&iI51*Bm5e9y3ApTq|#>Q2nZAG|!iBST?9 zpx624r05M+{5t-viIZhG4!1pPWS4)usItK|^U<RPZAq&{S66&L`1YklUZoU|yq;H! zN%bPjn)?nP-Xwc<2pgO&n6krX<-$nq@J$K9XFrul*u>1}h!nef@cnQ0d38RVjZA5h zeL~Z<Om!|N1^Z4pd7xhKP;2Lm<coQy_f<c5d3?$QjfB338igB!ju-l>%~r^XjhVrr zDc50k{QFsEe*0L?Or~WLeL~`zr6S_dx>tG16E754Dy3B1I8Y#d$C}fVDGem6KK~yx ztW;wFFMu+OlsYn9i-7@zIbd_Q1u04JQcYv4{@(=?SeO`Md>Oe!w%Ug&a0PnFva)7G zUoDNjUb?pM+U6d=c_~d1#qV5?gl}<<aFg6CS>DBaX7{u=rQOaAje95e&FQx}=QeB4 zGQqpdX)3wz|AsQ;$BI18V(ZC&Y_=)f<941V>ssd1%&(YDMSB^UR@5(BzPWB0&!_#D zF77vG@BI9w*Z1t@_{*RF|KI=LUiiJQ7YI0kffX1yNlAjh+rP#EW&1hQW~C}ADNfut zwb8iuw^PLm4d%Q2hn&{)%*o8y_HQSDOLK>VgM(23kIJ8Gte5_@n0#p6`~NuzxZlVz zn|=1#z5l1qnYVN=+r4}DZtFHx)l8GVyY`$fPn`IBH}>S_#gorC{`wTF_ju_Z9=-4X zzP*f0d&s2|vrsKBGqJ66V((E=L0(qQ)Ps9N*RHyAYsI2vW*HexQFgH$lQ!8JO?$pr zMP;$lBE^NKTTNd5(`>%*FXz8r%a0{DH_Lzj|Nl^U-9v5fM2<xUECCWs%hb<2X_=X2 z!OWXJ;~|e>PvT*Td()?UYVukdv@%4kvH}E(YQSKEEf`eS^R6)wYjv>M{r9oA%&xcR zz~I_N5V-mKzxW^9<u-pJB8+DHJ~Nu>qc-{E91xJPoOXClpn_=4gj4h6RE0WSlqPz} zfWRD!X@?iNr`T9~%F517;S+jZF}cQGuK)PskIz6L?frMlX@?i&Uta$5-Me@59($CR zmzTTm+P%LQ4C+Iqp1I75oV2|;HP}c%{@AyEQSRIJG6wwdak0OT7v;W<57f^7U$DU{ zCNi{e!7YQOj#^x=POju+TE-(WZOW3s7yo4EpPO}W|6`rwo*wRQt}f0_jt=5=IwmuJ zhaYSY{kMC!c=^o9QhH?pT2sB22EDwe-|M#cVunc-W1rK)01XkYR=fG<<$wIFvC}=^ zzx?vc605oAcCDLT5PRKJcwOeKWtp?$xSn%;c*A_&_QRT%^vGw8kIUKpsuERXB{VtZ zpB`{`^SH5MMMp<QhKC0SJD=4dM{~X{-{XI5ys%|uW8yNy$d;J~Jcl=2%rKGaJ({#J zqUQeh+IXdj9x8n8haVPjEJ>`-|CDGBqW6iY$>)8q+jDlGrpd$xwz7iAgUj7dZeG0D z_0FcIr1t&whc(1smDhc^?dABl><;_C2j(-Ik67Njapge7{cDcu6PC@4tdtD?TXskM z*QLq){NMlmH5QA1bRl=U0mqU=Q-?(M`y#Vq9Mafp|JVJW_WS#?3rAe1$^Lme|NZ>k z|5;a>@I*EHE?ux<&5jOhzdusO?is&QtG49UzI?Y|PQ^s4(^5;eG|zMUny|Ukw#uA8 zz01^e+fHGnM+>I8g;;GCNGnYXJZ<@EW~6C$CR5+qQ*7H(lAm?__I~<XJP!i&H?D<% z+!vcRLcrU<zo$B|&+?Y|$C&diGUprXhMVk4bqr?KAsatC9$`O_)~;O<c4dW!mbT7R zpOj6{{{FAc1%vnPSFb=o&(SSVFx>-`-nFJ$m0X;YVJg*Yw)kX*q13H={4M*^*Uc(d z3c4{@pzx7it8AB0SyZH7*_>&Oa}_o&o0j%Sm9N#&-g@!*XPY-~-YlT_LZG-q;9a|c z*x9VjH+9S=pPiGoW#&z99c>86epwOazx=XhNwZOo>}yqz5=ZYfzMdt$D~vBiW`vzw zmYNy5$;cq-W}#Qu*ZS|}|M@3P1c6HKBsRxphKF_?W)bxabqi+NZ;-EhS1+;p_=}B| zK62g1=Oia5`E88q5u1H9Wn+Yojt*aO+ttL98!~F`t*i^9O3u_)PRRb9HT$!I`l4)K z-P|=fRVu5SmQMBUKWd(9ec@o7f<*7{gthGaayAm(@$vCruK!W3KN=see{uD<MSIiq zZ)$%ls;cC@yKddC?01uPKhoQ^`gCK!>#L7%EGR6<%g;$Yt{EwMCb##N+p%rSrj>=& zY~Ir0TwnhGe=6&IIo0!hewTKpteerD{p?ZG_H7OC@+G^YHixZQ8k%jnHA8pp^choK z(@#bgUYiq|p2{1mqaN1lntV3GD6FS->v!hG;xP^~Qu1%l&zZGiMUb-STb-8Kyp1a+ z__G+YB&zKDy?giX-T#e0mbLTAT9v$*uxA$t-1>d{M%K1P3)e<yuU@5<AnGgnx^C*m z6OGL5eEJiYEn1ZIl=l$tTSzjvw|}weM|Qc-^S!yuz9ss+k$<!LH1qYa;FFs_KfQW% zYw4biD;s<5OaA}2f04V!@!A5$X_F>R>gwuR{A|UF<;#~ZpZ?;Bi?yYt<yk+!+`A^` zCw<Q?+tHaPWcK#2;|dd>DB*OL#Hz`cg@3OudVJy9!Ho;n&0CTaA^S+1--&tGhvbEo zX`xrYv?PZfJ-G7a(yFXmAKw3a_wL`n^;6WZBqo7?SK5gQiq363QAgH_9lLNyVgjGO z<@9MUmR5Skbxohv<Ek3vsTo$Jb=GUsq)Xm&vR?*Tl`Mu7W#=+vd)*daOx{wu@DG>5 zI!QB8W#)tDjI5`sus)SqFlWg;yOZ%+uU=fga%n}zNtyChl|@-a_4Vc7zuyO!ZC<&l z8>Q>=e$0GaVl~%K{nG6lD>^bfI5d{8d!6Kax=`rS#6ZD|69NPR{3ftnGI@8|E#E$! z@7(d3H~#6!KizBJ_t>JZ_V~GSzg0hbE(N5&|NsBr|NlOF6ophgCMY;gYPKnQa$=&g zd)aSEhD@V`cKI_0jxsRw7#N)U!YVGJyWv37^l6W|v=o9IP8+g1akZ_pImq&n<qOL} z&a&Tm6Q(&ZMKW==2<0SR=nzzP>yfzmmjCtlhd0uEi@cgAO=;r1vwVtAN>SwFQ)ZE$ z|Gj(n&c|k!l53ZUW>5=<ppr|6fFqxzQ3^O<A$&!r7J<8&ufCu3GCA0mqc}6b%52J# z3bPkX2Ay>UDhY><es902G+pe^-T(jZ{-4{a&I9pA0|O%y3&#X``?^0rJ|1r8m$$3= z(NO*6#l^+${c^TF?>;>^*v!r^=Op*;*;5eU{9N|?V|Hlb#Aq)izhM2CpNBjqDl0h~ z*0lYY!grZ{r;XOk6HhiB<Jp;_b^rg}zjw>u+}N0Wyl)9OabMVYyF3|GwJR==v2^FN za__VKBV&B_18)N}JD-e2!Gi;idkP;P>y<XoyCbp7Ea%3C#KUd8(z(4-rdd~3Y`p#d z{`=Nl+3Nx});rzMowH&|sINcYtyOHtS`}v3D$IT>`0HWv<_|#{94GFBK>g(fj?HYm zQYR&i)6UE=RCaIU0R`EO+#U(Tq$3?0Z?`8NXkcV!<B>4vIV!Fnx2NLcqodu^JK7=O z`7Utk2#{T(JVSYca)+{o+lJeJYwG13CZ}%QRIuuf#THlJ+zSj(OPZCqk4_8c->NKp zK6&%}urig^^FmK9JH9wORkC~i%&=+u_wWDzdv{RW>qD*F;(9S}zQz7yI&iq2Nh11+ zZqymw7h;9oV!La_Jr48r+c(_ZwGm{mK!D#Fr!>dSGa|3(bm^2?+w5Z~du`Qh3a;pW zFy2@zW_U{0j-l+e?vx)saz2|3Wj3rU_wJ6Y?V5gX&sn9_r)6i)J=jw^DZlsAkNxl8 zfk4m_kBLgIU834yYa%u}wQ`ASg{;`&y)oMTp-bT61%YQYQbY{7M9QGxZKtrh-yDmL zzB3FG54Cjet#|L_zim)><v`*xOHbRW6PLcc@#guBH_{24?ag!wa<2U_FX+pEoSkzm zqGtZFwEAOd6TKy8m)6(+|Ni}d_$lFO8i9*kIw5JU=?EmrZ~T6^6atR#Qi}C?zGU^w zj9oMRmMyOCEdDsBc-_3k&!0Ve_9V%2d5o_6>9a+f?gZw&|3Cl3_df^N4Zd~1{UtHm z*U47bmZz#E;9_fHtJ>zD`jg}jrrkf9wx3~k?QE5p#WhSh-zu}pCY{RP82SJIe_bbV z?ooOC2n32AfWbX5`1UVrYt-7X)mIlqYb<Cu#KSwe{@o+pzNagrzvg&7-DM`T!TMo~ zaM|zkH<=SkONxWF7W`fpP`I6O`S0)3yPB#F8SS#(@5@~CF#JHC{VSes>kBT6&-rd_ zcz1dUqnfaff#Nd;wg)S&SZ>vvcEQxkSV4DTi%>=kufYPRKZ>pVDia)<j9K}gnDgwJ zYgybjEw16zoJUNWZW4(s8LV9wlEegzlaKY7STTy1#;`te5pZltXSp}0Tx18gVv9h^ zOXh8UGeTW2Y;={4eR_xGnF9l3OU4$)3l%<0$?`QH822(Pl@V(+;J5j(pl;rW*-sS| z!k78XjErJp&e*|zp!9+x`vv2KEcSu}jO<&l@Cc_fpO~P?T-$cw@yQ0m<YO-O3=SeZ zOjcp#Zfk>fzIw1CKP$xQg~;n;Pb<sI@9!7YdiU*oEn}JbxA%AN-u-*y;j33%+cq_= z{q|3bFGTuV-f|NUSI5NAo#*Q&anv)-YcVmp@rAQ{mG0zc&4<{eb3RPzKHoUCReGnq zSo{6L|K=_7jOXJz4kv9`c{NP@$`rQs%cq~|e>PL_OveY6DG5!%!R*R&3Xb(K`Diq@ z7|Z_PNQ-OvzW|({1%=goKxq}4rQ`S2{QUIvbl(2;sNja{)kZBItsTj4io=-~vhDu6 zZ{NNI+cu_D3kBn2&HN4=j6CegrzUVRw(#3%Y>qULEpSNdfs_uHmU>Uui``Z55S)*r zx8>a2l*%oS1<VijW;;}Kae+S%lbJ`uH2vB{6ORLI90I~_+%xp0zGPlp<k~H!8@Vav z<Rn#YF`bAF2?vXwo|>v1zV6LiEWmcHMck%@orku!dQZ=urX8`X;N~ImlB<(gPebx@ z(o(OfTA`~#7D6)hGM|}7si&sA{#vy+ZvAy+YN6<r;3Y1NPD{I{?o|z1;M2J&eJR&e zP49I<iyS~jgNSAjD3hvsiD(2YaA;(DdUCS5|2&(G;Cuni9uUgZ>&)k*rkARjk5sfe zYrgoK{l0eAD)W<%+3(Y;vupRB{pWu&ZStP||NsBrZ+`(&l4M?5;yGCjQk-xKKbfTJ zJxwQa)9ve*Q9yHYa$sHOX7AUQ0cv(}vc+C(kD4W}P74)fU%5Q}C;x_<?wcZ?$=`gF zzTxKnjX!PKX8-+OUjF}od3pL&a0xR>#S?^5w&y$N&sd(q8&q<IN7X*j(ek3NF^f^~ zoW^4Y>?cD44?LR0^=iBEmX(dk@l6ws@5m^N`N6;8etoC&_2zS@&V3KOlOd%jo0$<6 zH0fKx5AIp{2h-x89}3@a)7;C-sp!A*k|pL|PDLt<7pw43oVg<9*{>ush6WZECXZlg z1_swaiK7xaYmc&vG;jrns5F=v2u);8`gBr-uU)`!(h>zG1_p*U2F4>APp1_7-D8k{ zKFMK&7xOOubQX0+Uj7?4yY(#`-(1|X(Cqbeo}<T<zZJ_S7-?jEUJ+suaLF{s<7vf} zPkzx3Hd@~wH=h2|`TfryrkKmFA0(I?8cs59;pen_<1tT`Jy!SC&HfDLud9UTzCDq? z=Dqk+3-_Au(m71_D>@#rtXiZT-7NmAb2n@7AE^$ld&$oxoG@>>``wJQ>e9JO76PGr zj>&xZ7ZS$n9C)<$$%Gd!i<TbiUE3vM!>1({d38$1s)Ezm{Cm~5W$n2bG_mQJ<Aq1l zrpj>$7=Sd+kvezEX2I(>(;HqWL_O>)G?AIU;lf72)lF>27-ufhndudvWwCQ><7pu= zBeSH?3kQC%T#+uEa!n!VVPD~+juzGokEFTU4X>B(JK*2cbc8eGzyk)aNvBV6)>*L2 z?l~>=VfSybHSN9b@1yQ{dd&;^7Bwe!pWFJ@CuNV{Pdd2wadhG93i}h8de+JL>*{%T z<;rGsFTbceZHKFam=fQj=*l;i+b4!HSkC#8t=gm_Vk`CEO7N&bkYV2=p({>dv-DLg zHSe644lwLK^)%&bcFEU_&FfQy;+G`H)V|wc#2|Lx&+l+x{nTWi)|FH2#J@c)zF=nj znlFY)_1U|*?yoCm8I^m^-0SIa=!)~o1!m9B1b0U+UcB^IW$LT923$*3;*2EI6C{$% z)3yI-TE;PZrB9sYdfWC}S%g}}iZzqotofyOW7gGmnQS3WiJR=s32i-ZDVA2iw|`M} zW4@&753SQrYP@@^Z`68SoVr7x|Cc@cW6sJIe#ILe|1jW7IVoRoq;$_NnROQ`4TU}C zF`XAYv;32Ks>RXPHJfEqlNiH3ZLDJm6pL9Q>g)KB`}spoxl5Cl<VP;--M=_Yjooxz z>BDJzp7x!!`}X|u-4l^V%31CTc|8zUJN$L35WmYY(W;>T&kXKOSpLf7JM)j{4+?*A z?!UnCv~jmBGh_3?j5EENocT?r2OUzUp2%rhee=m2|Lu#jJMVLrc&%8VA0hTUJj$j+ zQvJ!oe`gO@ILmD<z9j!({^8?av}+b>PGQe`$HK(Jwz$yzlIpz=1v~P8ODM~CE}FWd zUCV#Y|Lu1+Za%*6=ND_c*FV-h2=C(hcPhp5->SunXB0Z0fAwBwp7^Uk+vkaU466CW z4IO?YeO5?oXZZNB=GWZ(#}Ag9uBj1<UfmSG*2H|r=d154q6^C<-wTy~(4PJ9*Xq80 zrQ@yDA^(3GyuYxyYF_*21Me*3x*A@HpLOh+xZBfQ{$4}(^3d7#u6w@(i&(DQaY)w2 z?9U_(3C4#UrY_T(;-|%{A6On6c3W-|=f*4N1UB3*7g%#_7Ax~XzoLc<jeavZn`SRq zyUZbZh2znjbEgk2c>hx*`kVb1OSkFv+xj&(%&4&5y7A$OiPB3m9&BiAy(kr-`cd=t z7e{>&QBhf!GN!pg3pd5R&Fz(n`mypw2fyRpr0L!(a<3M1nLb^cam`a}%hcN^USDc$ zIC<jH$`glHc5LtXQlt^C_xL2MWAUvY%2#g39GWW@cW-^6VCW;4*Rdf^(y!c@#Lxa~ zEWTs(##Q6~yPTr4o@E(f#!m9WD-tKZh~CBEV)S&Ox|ciq93k~Z)oSvo8Mf0c)|qZj zXSsK~S8hql`vvcHOVsCAA1?j-@}<G)YTd4>+qW+c`@NA(`8BV`my6rBc!}JqRC=A~ zowAF6inD@;`kS*1cSGM_yZON2PUQ;q-A>oO$g=O(E5CnZjab#EYG1y>hrY{MZs@nE zxL>WdZ9nqlx8!Rlwe4YYraU|E1b)%roW%6Bx!>tSXH%r0U;EQ?W`#G!ySLq05cJzU zd*gh;k{yysr?Sg0{&K(NC@#KJVzEz=jkeef74CbY#&<8ebuzP99Z(k*jn-3Nf6rGx zK>osG@#28SKKZ(=#u@)qq~+3jo||XPSax99npf$6+$|Yo_p{DXRxaN3E<SMo_qk_w zYG0b*R#bR3_G_Pn&sIUkxck3%uPSGc`+jt~Pr#G@g-5ai!tR^yoU-l1LhC;UHQwy2 zrJvoKKJi-Jvto&()^`)6tau(LWpP$TZ&<sU{ldM(i0c=Xl`D_xeLqp~a_zYj@rmbF z=d=|rUn_a&t?b>qzm&RKlg`Yv)LQ>0C?nxe@tRMjYyrAbMMAq*ZMJ{8Vgh%<kAM0t zHk(9_^h=6Fh{af|B~D~7b(tn{Z{yap*}*Cgey&aAd(Sgr-U8<s-NG-M8Lh))Y7cB- znUNdXvfz1~W3hL};_h?Xa~rRBs7#r`U9gDdZQ-}o7fb)@SnXrJB$m{^X;XY`^QA`` zYo`?Y+;-<({8d#aamlQbpxQYvrXCctx7cqMQ!@Xq=gXP1H#{>pon4joblDXPe)jXS zek{k!>*m)hCr^G_T(7rAu~)+-p;S;p_(kF2ns3*hXBb-T2;iKmrFD3JQp$1Hr87I8 z@BaSk;Uew4OUCmP;un3%wce^^m19w`NT_(P(8uNP#EaEk4n+KEWu4TR{USe$edi6Q z|D2Ak`_C2{zTCq;&Gr1nw|ob>$~NuD<vpoxbIDHNfOXM@^OtO_H{TGiX5bAtw@B(d zgLtPe&)y3+bzeSrVz^rslwPEL>VE>uvP&*!xDKYijQOB{Bqe3n)8GyNkJN?EtrIxR z9(%XyL4nPS7Q@dIL_D~+q&x{ouv9v=-flBn(==tbZsDdkFQOhnN)IvP$G=v0^=qf4 zF<iYHv`hH4pn0!%%6`GrbxGlD*R3Dx9r}Ae_RJo0-y7cwH)yFDIoKM%Q#$6-c7N&5 z!#bTEN0Z%R#dlj@)Z@rs*2Y^OQMzT?41GD9iPISRd4-LiU#d%}Si;UeV~IlyYfaeM z8SM;)X5Tlu-#C1MLFv7?hN73Nxd6|<t(%`tcX(h>dH39r>K{|v3+l21*%D99Vr`6C z$>rv)@@CQ38Cn``3(kN3%z6C(VKw{jPfjJqOExpGRf^2rcJ@lzGR0{N!)}PZvRLjY zJ2z_Hs}s)L@*OLlzjvsz_{MdeW%i_#<rj|zIHd|rUwMAx`V~1xm)Y!8*r?5(=)L!h zS%BK7)p|F&dgY_?8Qim&+}*sbCMhj1Do)sKq^o7RkVV>KQR_irgTT-I8@?YEC`zrK zBO&oUa=PV#Lz|l0QdH)hdH&?|(i2a5%o4-ej_J?zl$Y+1`fPdTjp@gCbEkYNPViaU z>3%)ZKiV#|_smDbQjXU<`mRh6<7kt0?D>AdVU0&x?A>_Q*_>^kB{$t-%Ztx=;s29E zAaqfNyx+5XwTF%RtePJkNxQQ|C1{2g^RZP9CMlEpcuNm&OfFb{TemjGEM->jT+?Y1 zOml@M@mwlyu>SE|QES1rKeA8e+}>~8lpfE?{9IaRvj2@u(?9Zgb^hi#qWgWu?Szxp z_$O-Yk`p|BqjPSjO6*M`^A}4#uHdQF5{z^&TGlnWS6+Qla;CI*RPKqM?WKmRMPIi% ztm*WPwLAKcTSvvl<J!(o9~&JX{B982)aY5e=EZD%wRIjniu>{xwmz=8Z?`~v$9DUq z1?7v6NZ46yjO6l|qQdffWm}Q_srN$i;(xgpc$SzwI_|!OT|n;2*R26ONg7>~9oM?E zAI;$4Iy2oxtoH5Y<+>5!J3Msj&K@;%JvqbB(2gxEMKR#gQdeHtDvyBO+d~d5?AbE? z!Jg!G-uKPtxUIBzS9aEYVCO8EDaErq;Hc>HZGw+B);;6m-^Os!`L_34i}hM;Z&w;8 zGkfeY@6kHSqxE&trC2Y43NQC`U9(HcZ>oxT<1T79t-KeWwJtJB#%;|t>kWJpyyJT! zW}P)Gc4*Jv*|aNDe`#~?u0Gb1H8XvaTR-kNu%~_fluMr`%quS2r153Cm!n3_L`7y# z*~8ynoSd@D(fjs2gMS|Ob}E(8Ka~tOcHNLsyx_``(y*eJk^Q6a&S3MBzzs*Mm|KD~ z?M}OBf3)27@X)_W-EC8safiLpv)gnccsjQvgHs33E@kGcJ$sB(r7tNoho~Jk@Obf3 zw@-NMf%Qw~L}wg&cl_OtYXb9JQblk6zEzX>(Pq|7cK(}9p3^-h=4EwnDSPa_x2B-Q z>iA4vLxouGqe04-_O9pdeyr>)ET-ePxKezU#f<}VW4_x@nQ5GPnNincIr~ioebYT| zRpR~D?-aIsT}k#>WLDF^v{hvPLhjy8Gmr3D*LWUVE4X^=qlWrAkMb>FGJig+%&Sn2 z_!G7Jv#|AL<y51H{A1A}H{_eeKDIHk^|tNbGevxjy74piAHS~re8BIxo{>TL^zJh& zbF|C;&uO0?KBr&4gY)avtq*KMY&que*zWro`S-b6R;A=^{iWX?Kh@P^<c%<s-&iQG z(EK;bV*c#bpj+K9B+l+RHJdpgo%zDiCKn4G9qoHdN(vnhCC}q<isM$g&b4HJaSXdD z>jD{bhXps5ipqTEZWU<LZAs;Hy#L_c`S&g}%>|Sr*^Ey-_DH(_&wBFjD*HJhk3AKv z_s*LC|HcA#?$A#&TwdL(S$*Lb#|qwA!hiFFL_+%}+*<Is&Y=3`*=N%{?v?MJ+nHqd zVt!oD)^|R0PjD>}e51YW$Nc>_R&%8ONwb(9^Y=s2!z(TyAHKLRt{)dK^LpW%DYgd} z{BW5+K_&9&S0n4@z&4rNs)vqutbD8J?E5ZUWOCT?<<H{xaH&k0*58q{oOPSn=?nS3 z-anTY&rNz+IW=bro6**pr;Niti&keJyCX6)`k#-pXtP+Mq2{Ij2*2$)6{UO!PyWAG zG`;fB%2TmBE?)2U`gwrWEZxp`ec(<l37s}k#k>pKmRt8-<Oy1`w1v@f=6jXWMeJM? zzMWljS#D7}@2nfY0!3mb%QV$L4Xy~)>pZ{v*b=vw8B-ee&zj<ta*{<^V){%47tU2Z z{ohv3lG(84`Kwdv%^j<2gASCeaOn-`b8g<3^-b`B*G$&9*T;6X-;DmL{+Tya<H6Oy zvket9<?Y@bHooGnar(@*KkJKIFRw6-j-LGJ|9OWmdWuzF+ms*Zscyaf!%g@6wCiqB zip(x1->ol8*)13B7pgdJc9Cncu8^Cf#LD%FdlW9nr@FE2_?u>Zv8GlvHS6#WQ{$uy zVO?j9A4<G^tMxAG`m8f|bps~}NAf<6=Z|R${g=}kk#gWs(uIsGw;Mu(bfh|GuI!ul z?qx~Z6LXKVKb|o){BK&NF}GB^#lfoK&<e+2QmQ|0wl1z()tEh1i1pAMp_bFZH`*&* z=ejGiE}P3J9>*KO!*$_?qe7X_0`-RQ?{=@JZoOo;CUxCky^~!FKbYi9ZF=A4aAN!B zMzuFD#7=W~*+j4FYg=$zMswR-eszECFsBNor$_ia4R!CnVy}3*{@$e$f&M2>hD+YC zn;-M)t>qA!w7f|&;NB$3iF->VY78_qjwi%vo{4gC*7;k%%X**v<13mc`5Uip5|=8U zYo+w>^BeJ45ABls@frvJ2(-R*H-E7&f1-$~%bhvzUu8`En0_m3Gmpih+Eu$=33>&K zD4*$imiqi?W?|EUL&si9m|S@8U;B)2i|AI?t_hiC@z>7Jnxy;EL3g{+jI)J`lc)WP z7vHa?c3k_Pp#AQWZJXmt*uKO*e;_l3|IJ12s$}lj-wr=sB0eWR|9J79HH*HbW<<Yc z4qJ4n<VLIzldsp=u>HbY{|L=$$htO-Zx4%L(WACl$tkzaS8v~vHPJ~~WSOo=_xHP} z{S0|Ltn@EBToHBhPcNKaps-<a`?okYozn(AM`xV*6QO1^vzp84VEwA?<~6rMc1bn& zms%bVSg_sj=li$?JoR%HyS-eg?W8Eb;csKAR9U#l!aWJ|K4m@@nIl;IyoE<@vHT$k z%_&*3X49{)dwb}4Uw?P%>iKiOl-w{2DtNf$=Qf|qG7_iGay&WP`q^}sqH$Bw>HY2k zhUX?p%N>+=ee9@kWNNR2G*31APHxlG$DdwIT2WXMDQ<Ll%9ql|-Ul|GF!-%3y|^d5 z;6R{(j@7l|%j^8~8I3OXoXow*#`#j^*WtV$Gs+Gu(@vk-61rvkPmwlt#n21V5t>3C zA&Ry_CwmgDx>~j+O6S}>`@K`X=YXN-#MqNdJQjSB-e_H^kp4Hhb@Kwo3CmktZYS$h zo(rvuk8^kMd-mA)m9kK#Y)G`zghMZjqTf6d{dK5$5o=zp>+Hv+_hVX4%&d91{d&Lu zN=eE0DQ5PM-^RYS@cOKIOQb7yn|{h>hW5pct7X>c|Gz))rex2H>Md_JDV)sri}NTn zt?n*f9A-aT+-}k%wIloFEs|y%TAt{al~ydeYCV}_!OacIe_OP5AB2A0I$8S9!&Z&y z97ldFpF5$>F0E!=Y4RbX>hOEQLd;>uE(YH%xV$_m)orTVk$I_;vx{nf2xW6mu-qV8 z6QRq=#w;y&qrH39lk)n1@8&YLonV({W(yK>|JE7(EB?mhEvpW!uH&h#YY}3T;XTJ- zy<@KA|M};XzfEVawEzD#?0$!<N3^s-LEo>}UkY9B#rLq!5ag+?3;$-(DcUt@t=a~b z3!>}vZeM-W*?Q4yX~&VZFARH?!dbUgN%wFpYugo*v^})M^uJ{0&W}Q8FW;TSq>xaN zs8Z0<*5px>x%;9dQ|gBB23L*Cd%fgVNlyE*{<G0``^ACfqRv8pqqW|ZozMOgcjoS$ z8R0)UOk0=R|GSj8W$W&WoR>@s=Kgw?s+5yl5pdn??y~jAjnkwrZ);oY-mNYDDDU>x zQyK@%1CCbfT#$E9)4T2dsj(=;Z=2U~@nYVOQQC*oR$rZexHBPMO0B0(d$sW2O2?O> z{MUunPW`BSFr!kyT>j;|TxFIf%YO~KoP~k{_NquVhcZs&I$U!<v-wBC{cuIbwDbqh z<!tw9omH@}wA6`Taj;=}=rUEVJD*~0S{w8<9Q2D%EL!s@*u7BbkXrhyf3}kn-3_*F z5&FGq&kUuC9mh5tH_^X#Pi5ixi6^$+suH@KZK718u==H#b^WK!5x=eG7qz@(z0Oh5 ze4*x=c|)d<#-T<2w;!$T4f6U@`{ujH<Xo=8_j|7v_l9sNFA0$Mkv_?Ninr@3$4}=Q zX-17=ZRVR}SNv!AJb$`@(Z-vTuT)IauCCV7D+!C}JCLj&w&mD|P>!YgFSg3c|6X?Y z#NG2vS@N6jZRXgW*Ox9RReh&IR9kJyBm0CUn=kL5_4Zk!U`E*G3t4xAX1qRirfIHC zzI+B-nseUod#N9jwp|fvl-xPZ)V)ZalVQ`=H8<VGcwMf~)tNC#TqiJ&XQ6AS)ACEs z2VNbYr6?(U*KgOE*ZeNlI@csZgAMoY%!^fUV*HZ4cJ{|-O%HF@s3+?Gvy`6r(ogi8 zXWsGK?_z&kpK_t8XYc9UsLL}=8G{~n{L6VXM=_@%^uTw8ijUrv%Z%pc=@jo#i`U?o zE4lsR<6z4Ndv5gy2>;5+-7t3zYuLTcxjwf{GQGr^&z@1ZE$=kpXaI-yV%7j=k&iF@ zZ+}!|d$9b^Cf56>wmEJO+z_*|OIEsHV>!#ex6Fl~UTM5r=GJbQq4`Q(YypEEgXpu+ znNwunY25ypR^3;>=Ml#z`}wQhFLjPx`2U7M_uI`k7dS^(-U>*~olvNKI9KDoudsPS zy|#H;?XE_KT_5+Ve(Ew>^6P);;Zsh^k4<(ROn>-MZ-?}<f)5|rJ|6q)rgT@Js_OF& zlZECc$1-@1`D}h6(J*1po%K~!`pT6Xg#(`jvCpx;)oT#p92zb4$ZD47Z5biYYn~Q7 zhs@<Pg3q$`rFe5y+eM^Xd&~^yJ$7xQ=gnJw&q_a~GkXSoIJfmJzx8Tc#e-Z<T>tvF zn~MLKRc4zxS?f2~pXP57S(c`AV$*K8?_l5ewc2n$pXV#)<KkVHzOU@hSvqs88fTP^ z<K!)g8QgEAJ){`C3;CBHn4V+4i8V;pTJz#dx5+`jSM*;x@h?c!V)3!Q$|7~;Z~ta) zJy71oEwb}>ict&mHPwL3UhS#Dn@y%Nz22g|_Iux!nPF@HJX+Ow!T7mBTu1ZcE2rlx zT-@|yo7Hz~m9?r_DofUczj&Tq$F!|W%;n58_K;7uXUs0m;9h6YEY@&~EB9&K+fE~? z_+yJ~>pt2~N%fp#c(Yqrs{j9=kl+Rvuk#u=3w}gql;#~4iSc_e&uXvTuk?A7w@m-; z$5Jd^;;+Ye_Xy|2V4YVdR`mayS*~>L>3(C!Gi-M!ds~#Wn=K4~CsF#7hqu1eA$X=v z^bFtKSGc>rFX3qoIlrxVsc}{Ft;xQVErieVT}rH-y>p*Nzy+@BN>(4%xN^@*+4Nx2 zy_}6Z6E2yiUY}n6^3$epeMKLs_ybW#-*b2Ef5!Z+Q(?xhgli&dW@|z?k_6PuzREk! z+{ixZ!HlbIkNi?DO`7WL{%ebe)QUITk2XoZGHekNi)EX?<TRJxM8%EMuF2-an-$KQ zEi5GSuWnxKvd7b=H*MPO`!!DP`lZKDtK1wK`V)^IjycEAxb(~751RzutX#cUKm5;r z`{!|=`i|vCf64q2lW-wh;>(w(r?ZtMzj{pC`6t9vF7f2!El*~|7=`NpG@GFl<D=$0 zbBa!h*oxNN!~#yK38@n7)(ULrpC~--JZM%epvU&{!-8776YDH2&T-pW^BL6~TtBJV z`i$eI;t$5ZyqnKDg|x?(ykDj6_WiT{kBR&DOD~>1eR8*O!Y`HR%^9mt{=fI<)5FP+ z|Nr|Z`u|M%l<8NZn=a|)mWpn<$MHDmT>acB&sg8u*`+XQ)c$?$vz4nuFYnNg<99`c zFSKNb-|-c@>~OXJ-P43$R+CRjhg{IT_y7F+_wU}nd-wkN`{(b=ADvwu_=)M<O;ufv zFNf#K<UKFZQ@0YI9{nxETi&}gWl3Yr;@>w8#Of_+VGY?9IAz`IS?f%FV_vsk>bw|m zZFT)`)&ORWZ!fp+)9)<#7pxYUseVX)>e7I&r6F8PL+nnezw*EIlK*<$pDp=9j&thX zvN4FTbHx6N<>zAej8DqRPtM-O#AFa@Xk`BU`SafbTpXV9Nu?zv`D`3_cW)OJ;o|Z4 z@pt!hwoqWyU^HMbF*h?eF}5`GG&d6E;`KK)FtQZo;`KB(vas+pj5IVdGcdI@^f!#O zFt@N|;$mRqcz2h9iHpHV;bNPL)1o8^zB4VB4>;MMu0GJRwAEp2ucF|CWXFB1k6LbC zO6!UBe48L>)cJ<%QpAY^t)jU~UtE>XMksxl$kz9_N#?Wmi>%7F0yp;qOh+tDesQLB zaOYg<Hkg|fq;7u6B4ELFNhgPATmdL+^Q*M%TrRmXFr09O9ODN%U`?+gFBEo+9|OC? zkNrsJOBos&7=X{0GBHguFn;tU`Epas<~c1#qc153vuWHgk6OW-Jlj7i`7)FKt<Q#= zPX5qfd6&(8g|VB9>-pBB*LQ>``%dWCaZI*f)7+Q+W<jC+vt-Vf&zUz#yzVMm_NqZj z$7`8ciHg*3&4aV$>tAj9^p0ajj*Q$D6~8U}65@?=_XWSGQ}~c~DS`EMjr)rGA<}gx z_T0#Ov}C%unEs3{&H9;ww^$avU6FbCsq(qg5i-4fPt1-_dwlOwvgD@T&_@mDl#E{- z?6EO9o_ckH^t^ZCCldeoPd@qjckY*B=Q)yHf9~+7GMhCn-2W<{d-=BO=Ti2n>fLcr z`>E>NFRIVgtgv?52I;#-(-v-<tl*g+%4X11e4<o{k5S?LoBVB>>T^H5Jyi4ZfynCE znZM3#YUNxVFuBuz!s`t`Zr_c1^x1s>@iWO&e7cse&`X~rRqY^}_9)-=$9?-X(o@eK zjdPOQeZe*_Y@XGJ<d7YfLhBokH_z>e^R?Egaer=G$MNCr7NOE^m0ji)^`eSPn}0cd zX4taN=1AB1tcS)t?J0_-;?v3=SPEM&zTs{3?zh~VrOVzO3k-AkH7SbqIG3JvsZ3gc zN}26yj+kJ%_1~ngEqFd{GKaI=pDFj^)J}FV&a(}!Ys{%-xRjH;^U+?WSHEYrt}{Jy z!gA-c%YqFZY;FE-N4rhMyyvj&=hmBAX?aQXagX+g;+lYWz3&&C`>1XD$0S?(@0>oa z6s@DNd3`EtX9+OZ8^nB>(Y!xw=`@4nubp#Tbd35}cNsdXiRbWczb9b-s`BdfUEv#3 zHspNbb838M{>iW`TPtEoYEpgsyS2Bv{El5{J@D(>x`oe~O?J&_kUF(d+3|{XUV*K; z%{g)DqRU$wfB&y=+_iY`{m-GgA6Cv`wLYVgrtnnT;MR|C5=jh`cJ^D&imF~{S)P7! zhPAZOp{6%X=W@mOotxJ5R`$~?2H)!kAIWm}2W2gjzfvZi(l6Dw^^m%isO<IGGwR<3 z?a+$8#azexj3>bA+~X6wtJK@(|NUmnaV{!-#)8*PIc`%n`u+Z8_*RSm%h{%ch~4EP zQgc3Auz5>d&HOPhDD0=p?z!)-UEbY&sVd&!?c9@!>%Ar8Bk!^GiC<Xw>-GM9cFRw{ zd3AZ#mL_|f+N2=8bE{MzU6f*pkoEfVa@CCs*WHU7G_NjIj=uatnJr1+^!u`Z(~cef z(^IJU>dCe|<=pRIZk;@T`x-;M!?raMHSR{9-!>Gq-&gGX&b5CnkBYfa@|B)~L;SAy z?kt~k$EhW~^~9`}_9+n(UU{tXRi`xeyuaNmd|SBn@I7rI2cadiPL%)JG3m|ryxmhu z(?nwAt{&a0mJq<GcuMN=#P#Z|ozExsueju?x0m_hDV~UcYc9I{#`AdXOHF<`i}Xh? z3-iCfWxB!l4~mlS-b*eJ`MAEla&mzJ1Do`!?*}ifU#XmV;&D=W^jEEgzxtSLlFn3$ zO}fS)D9vNC;naSA-Tx0dne(mK%2#RX8Z|ytJJz<;r?oQr$Bvv=VvUiLGLGxCC!H5x z)iKw}cE0JHjF(rxO#89EdGRI2<yAr!%JLV(BOWWNNd5h|Nx_A?VB&)_?f)wu{;3p| zVgKq8|LoYBm<%ty;M?<`U9CI*?4yN#v4!qdE7QM$r|q8Vw6PZ_X0@D4H}!Ddn?J|3 zqQGF&q>Apla#oLPkGeg(-)hTgYIt(_$&U%s-pSsOT~Lt{qIBx^)E76N1n9?xX@7Hm zWV|HN$TLpryyWC12gNSh_I9>yaG7ySs(^Dh>+*#QnZs_x1_zyqzQZ@c%6ZEkRfad| zhga-7!=dJXs!(20>5tUxx5E6~>t-yAIevTxKa0NimdRd>cTCNmY}j&hTkz~p|4jK$ zvevdwSa-wO>O%qN9M7M3w)!9MX5O2!`9@>hSte=O@3pTloceD(XZFUj+UH)gtgrOh zUM$~Pm-O=Ybj^oM|JE^=?zH*s7t&*xbvbapcI&1T5&c`@1yYe(8JBW5yl;3Zur++o zmpOhHUL_U>M9Lpg*rO?z6p~;1{>%5-Z$wjm?o{-ZxfoZ;o_CS6C?i!wchm2+djmdv z&D}Gb^MI>=xaeiRSr2}2@^5z7x0hKh^2tkIhi;?e^2~cKE;kPO>{O7+Z0oe|qSFh_ zEam*ey27s#7&}%xtA93|_4OZ>H64mQXSW1R<Ja5Us90=KkdoX|n|Q{I?`_<XA|~<D zKc5_5r2e-TE0!q!HzjBLB*8!Z{FCP1-Q@Gup{DZSdY*Sro?eKGdsB5zk@xLB9`$we z@+x&#KT+XMowiHowl&L=dMOnz;cK2wv%5F#t7P@jVV|y?Deob4U+hSs$d-=^2iImy z@+jRKEgxZ9f30oVtW~pZTsqZk#C1+(G+C~-@OpLV=-*A999g_O%tiMJPAl;X+k4PH zyzRfbzV({N;@ZEB=YKeNl=J;9wuP@hJ4?>f?2N4lzL#pZ-2IMJbK9qf&HrcW`^ulz z|DNC3+pyp4(uca=Zu$9V@9a7<J28SsU`ydP{bTA=>S}Lqs*&}&Q+vAQywEl7sq6Pd z%rufMzN#@({7v?|hNegFtq(IQPM7i!Q~C7q|Kcrnku#Y8&)<+?k~t;lT?)hV7(KJy z$`LLMTR9$Am|2u7BvkCaf4-*k^8WSp`(*?gS6aSc-0kA~V6)w)C(i!r6=Cb!a&6}J z?pAb_^$hI4uh3?;r0wZ0**$Mxaw^`MB#~1&W&iRbpX!zU1tu}`r+1sqV(_{bW4?BR zs)ud+_O2`Y-<Ch|-0gAo$hW!8Hjh3?1iveG>yA;ps%+(G(aSw;`OhVW{MyIcwYDC< zH?Mw+%9NDM83htv4F_B+s~TLcEC~PM_~hkO%l~YlU25}~dL`x<PdQNQz|YDlwBYiA z85)0<beL8hna4jnZc6uq)Gbe@6v+mpUN}{}c=5l*TN$pV3doButPno2yt<-(-@1cI z*5#Ll6R)K&5MiBbuY1Zr)okuboyleOUoC&PRLy$uO*ne{j@(sjbGS0DrMv9;%(`7m zTyjba%a23TZ|vHcqQ$ql!otPnz{>F4xFlB7<fZiqVsCGmK5SjG$zfwV2LtP&_<2T^ zFaOz=U+CtZYPNg%jtk%4?XVGd_`B+g@R}!wMd!S@o~n6sif~?i-^Qqy>x0f{7W{s< z<cf!j*6RN?@yk}co|dDwSjKi@Ow+BSCSnJSr|7dx7Md}`+yBU#A2-u)6h3usd@R0t zuTNE2ps0-}TdR88zEZQpyDoO@b$jOjS@@&2Bj<ANynlV#aqP*K(<d79+z&tPbDE*} zz(Ly}QG4O1l>uI#I=^Ixb3F36aj(}vS7nx3@{Zu%M~@^XH-G-Z{$<Is=G#Z-30M5g z>?vpx%iY%Zp}jvvHikv-hwdEKZ;3}0PA|yYcq-)J)zu41&ZYPW?|8#56R;~|lc;0G z;`cfSH{aZFX6LfzJ$(1<r^YYr2&&Bs(sOC$>So^d+*J5j;Ebwo3)J2u7hKHud}qr1 zaF)>qy`S@Q|1EQEnXWs1_G`bXNk`8X`{r+1Iw|+#qCHHL0(=%J*w6Ofbh**lpkl|H z$u<k_Yj8}M)49ZCHqWy5x3YbwrQd4ug|F5twO;UX#azLJYsxq0oz_u0`ucZIhU#1X zgwEy+qu0uEJeAX*s<aASVOqCg;k1_bPq*sLTDv(a`tFa!$_2AGO?jfG^g&dKdvnXn zxr?=zX21V){MeJg*B_tjoStc0eer)%;F&c~?j5XIu_e=w)A3u%y12RPlT{zCjXinp z@2;<(8m)CAGlQ+f3_OKzeg1GO;r*U(!SyoYwGWO5HO%q)Hhq5nBdK4BPomnM^Ig@d zpS{b${rG_$Q^UnpX6>_(XL{&*Hho{@Z4;fxH})C?zu9uy`OF4shE1KCrBVlqE+z^} zXax1MvgSJe{&ze#qHb!_@fJ4A>R&%w&h%Vg<u7SBJ)&^y?mur=HO_vb_@GF7+DRv& zp8SkQYkEH{Nq)||AazgeOjEXij3rIx9ceSV8nmaT9$<CmmWeS<Y6?u}IAO^ldzjyT z!mWeP4IN&to}VkTeaegttuYV74}6Phn%Qa59BDS^Vcq@k7Nw?xOPHLcm-sF!6F2-U zX!!HkMz_Q+`J242-~YSK82o?d_9*7~Q|1oyWAbb^OuuO*emf<7LW@fzt6V@8r_}kL z{u1$n$JgwzVxGL_L6h~?f78qqZJPHzdMmg2ZGhC&Sd9rYYv$MAh}gdK`K;qrlRZ8& z_7w0Z)%40fTA{dbnd_79suxcRXw8k|eRJ~lI<<1fA739#DLS}6;{U9RZ+f2FKV(du zQS8!nGFHBCn;cj3+>cxDusiv-l>eM7o0aqR>NGp6+na+|sJ*$%_n#xe<G+FB9+A|b z*Qxd|ifR_O9T%C#70Q*g|5&JgW=eX$9P8i0i-&#~ZMk|r@mbU-&t8T@kN2<bUef=n zkH<OIzUji{cVAp~%$L}DH$1^;d-5y&L$43q54HVbXE4poUik&fTmBh`S9EF${Pmvp z{6U;jS=Q#Y4NF5_lpkExb?K<j`k<6^D>qJl`7BX_$AWj?Y;KpA`=yWYlzj<5zPw@K zi5HeuzS0j>PRDpD$No>^U_QZ`vUeHdp6O{fHwB6>Xgt5>;RONt<!5dk>3t=l=rSu@ z``7-$g)_}>E_wJn!l)@JPS$Iq+Y+1kcQ$T%`KPkC=y6Km|5NWTceQrJ9D0{Ar-sXQ z>$ZgZlY&<VC)95`FSU2!(!=@ozYcNDVtrRB6SUxh`Bm-9d(D>WMOf;l<r(vDOj~r} zgOKu%O}=4YGtHl{E_PV;$;;7qk3iUNhGi=!dj82T^j-dRTas11aL}FC3mMJ}SKn>^ z(9?DB?d({&b*v%L_a2@(B@nV{=h1l{4mB&R)C_O4nOy$+(k^q!j5!iH0sAAPefOPv z7cTei*A%PEW^+|m|FOK7oEkb&^WZuMR?FhQVgZc18)Ucr2+4WnRG!$m>EilrZ{3v( zTju?57b^U6+o`WsD5j_M>8Yt~JG)gCZ@yA@D3_bE;M(IqCt8+VO|G%-X-fHR>ziZp zuVYHJ$t5-C-PW(;wM&(opD-@D{~@aU&G(?A*$*B`zW2Da_vaOndu27gK9g(tAKu?C z%(Oc-G<5&IReR35pIWE#I_>3$@TlNZ=gvy@GStjixUM^L;>#0z@?L$=nr_<fd@`5y z!#oFZquG3ozYa+`oO)H%Jx7weYk}(oVf7Mau_rT@lpV|o&H3qarls-{x6$^DWfGSr z-<=X9%DzQz>aIs84_8h9{HA8f@#3tdTbF-r<eBh6ZdIi8rz9DvJFF=js>?sT`XW~F zULkJs)SU0Hn;Fl>z2e$&-1xZWm3yhq&JvG)savc)C~mpSxq8N%L(h5_B;H*qvnW@{ z%SyqSTgr4%)sxH<HxHOzu@-z>eLGgu*<hdN+(k`uIyW`!S+R;COi1(B|9PFM>D7;y zT<(5$;N*%cd@P06X6DbZ+@7?VqdM5uS=M;=6V~qY#h(^z{acs8_)%Ey<)QDJx3qP6 z>8}l$`f1K>!Fx=Kksj*VvRn02q`tZz)0>?AfJ?eZuR3|{o3`bp!7ozeZcT_(xfDKO z@AV0X_Pp<3T+saOg)Y<eYtq+OY*0O2Sg<QZuY_abhf_gQeXq<=TypoJSg+TY$Ys0t zHStYRt&&;XQfVk{zUP+7_J}pjhc_@C*%M|z$Erj1C?Dtk|DDVK=`0St^0k4zp3TW} z(X)-FEx}Kf-&<<fTzxNm??j*CE^%MSb&E14s<1Aa`0C~+PtRwXvl8NNOrL)|&3J9< zQMtv*5mgM2i&B_9R<HWlYfz=Ed%Isj`u?o=Ydij5bv(n@-m0+U#RMaPb85<O<#wKw zzG(H){&Kx}LC?fv%kOTqJ$^hzCF98@Q)$`LE1c3IV`aZAZF`dZ<ND;Qlg=-nby1J& zwNdHGC-)|G7IE0@vywM>`<eaTf{-|8^$%j+_9luirM8P%KcCVeo4%GWVBT6^@9&MZ zThjxdt3E!ZSk2(GW%0S$dCBvxlq^s;QB$aK45~X6Rpj|B>#6p-mzFc`L|04p%}H_6 zxNxs_HQV;?!`nLj>x6p_J3TBEQ0$3rsrsRLU#-<X=l$0dne;tBId2%oFY;V*=Y8yl z1$AQQe~Bnx`Z3>8BJy%o*+uSZOAobtCa>v%Gnw+<#RP>+vG|-Hed5NxB(`r-A0DpI zQQIeezfm)CLBQ_5{e4BNmo_+THo5aw)!+JxUhVD|e{>Zau6SHBnDBAe_UGKU;y%tO zR2Mm0{`5$|S&>Uw(-)+?6X`v3&}a2iUA2#Yr~kM#%d}=b*SBi*SY|<=X;}x~hpyb` z&AfA|eaef8x^r|zjFWRdeSR{jP0Qi!y0_uEnoI9K-xcqs&%NVr(HzH3t4?@w2l-u? zWi*}nMgGkDGsRWDA3Hze#)`X^`LV98{carVrI|K*-YO`X@aw`k0qx?=m$p_^lqQ!1 z{&u==yJ%&N*fRzN)~C<6_O)j>Uc5KiM8jl}>F0-bQB3>-R*#KYmY%G-p#5RJbkrTO zUHZO<Cn>EmQ<r=Ah51^{t2WUU(icw1oi@Ja7hwIp!0786i~S9iZ^Y(3d-*S=^XMDC z%u2IgYeK`7#ggLfmpxv@`0MApC#&Df?kl#N)xq<X?Os+z+If59Jv+biB(9a(ZTRJH zVM5+*$2l_&tb5nF%B=0*<Vz7<{851gcOI6z-$-+py}Fsfg{$Vnl~RAtBYPgWE!h3^ zHt(8})k#u)D)Ca1;?ZjsXZF-M``tgWHut}q(pK5S0sJXv_v$nkEMwcSZukFJSC(~r zX@1$OUiaeglt*u~j7`;8n?7&b)fu<`%4@+;zT8Ehm5w~U!0Er_<JHxr>h3*B_CN2M z71hRnUH0h8=2RKAkP5f|W*p6bo^qZF-4>Z(`(*2?=sleCvQOQ;b^ND&SdIVfkJj=5 ze!QQxSv7yG&ipQZrR<n@P_m{(%%7u2u6*Q_$urS<x`TV#^?xlvbEkGZ*~#@O&MEKC z*Q&WSEE~3%ef-~jkNek*S7#?|P0q^voinjn(a>a-$egIzQZtNSHp^zu-}>PD>DB9E zR@?tpE$Y)eF|{IMS{9>?<-VfXHT+He;?gs8mz=f#k$t{?`o*b}gen_n-dr+gpRLKG z_ON}IZg<U%*)!KHWwMW|iC2$<gZ7;~kv)FR;b-rA&Sl-nVxqn`zxKe>O;TTd*be4i z>dZLsNiU2cCt>|0QB$ez-n;&jRN`3{Up(^u_5a;7Q}*iZ-qjbkS)%*yJG1QuOPHnk z!tS~rxW^FLF<;7->(r{v?%k1@dXuePT6LA%HrW62^4T<%>5E`O$-LOi2{%~FS2Wu{ z3*RhKxRXIY?D?~QdRZBfWw)o?YM47=d+v-+Z<j3FWwBzKeCh4*FOz-D<`>6owqI~t zd)~bM!+!JkKQN1x7tHfEaCLJMyS4IM@3Dqkg1r};f82k#W?!`AL1$j+dZ*4!pXYHN zW7$?CvHPsh7Uj}kWt*=Z-SJQOhES{S0@=>yXrq%CE+<<qERp!TO{h6zzd*ju<rV9v z7wUI!Ev^@<T6ev-{{x#>Ve=mIeVta1Z*$M(K3%*1N3UGR!+$TM{g3m;^L@Yd{8!Q* z`LzzxOrd!@Hr;r(dX~)AjBU0jLnKz7@jhyJV#T?eZB<ho9p|@;Cp0YI#`$ESoWvK6 zt#2ckPsFb=%ZztRRZf$gbK$zB`l*28w|u8I)UVV2&zjY>ntOf7V_PB3!)XcLTR8qR z%t$l+;LSa0=0=`h_V4s15^OY{35gYJF3~?-8uLkV3Fnj>=T=QQRrAjzPA<6W^*XD= zF&xYpPfI457@Sajq;Q-0fY$032C-_9U%IMyA8ag|)y!?Xr8|1YU!mhWW0!v2@}al) z{GS8KHEWND^%y?qng6ME?aW^S#Y|}@5@)hFcwVbyOy_OgXSe@G(F&&Bf$8PthYYrD z4-!p{I~{y%c1!Qm$-8*#YI4>ZHgwG`On3aKvSM#e@OzW$*9kh=r{zuV98vG#OVCn% z$M7i4ebpz;YcqE=3(Iz_Jg4<&V&e|}9k*MaKIA*E!_lYcb&&6l&YIu3uSE_SzxsAg z{a4;2W$pDFjx3%w|L>=gd1t-4Qd5rWXcQbdIdA5T-UIU{RQB(EzjtrOdug`3r0;sK zS^9KbOpf-;%O0JvcWdX|+rlT(xm$QYtrtH3-m`L_?}eIb<x?5`s?(*X%seiq?rL{B zZsCk-o<mL%Tf<lHUf*<H_o3F=J-u)5EMpM)-S;}yvq`<x@4R*26u}3sJ1)sJuoXYN zn)PJ6#<5u;ksD?w+id-v?qF-kGe>lW;Yo%22|ILb<gINZCv3Rkvi<z@)aeS%EiaYq z3|@b5uYK)ulO^(jz{O4LzjQ5r!%`n}r|7zVdr9}v?%U_nZ@%93az(mFyZZLI4M(ds zxjr=J`>-h}eMSev%<7GYiz~l|y;}R`$x#cYKkW~T9=pt&&?q^1-nMG<!&<RrE;&U{ zy2N8|ZFFAbdC=3gUny%{xS^hfzmGuY^I(_Kc1NGTe?K?4-m$nJT(ga>k2TAncTM8v zZ%jNgKGu~Fb|!GmJNjb5*+X0|iccGY+h;Q0mTstd^>StE^<_2h5APGc@@B!j{<pCg ze;yQ;T-<S9_HCC-_(7R^_UExj%x2$e)hIE^7yt9qR%h=K6S4J2zq~Z*v-%{`@y{$_ z(b3qe?PY~LZ7*_yqY5idtjt}^Ds)_J^2~YHuM3$>F`2mc)T9lowyC>6Sh1xjpO^JM z=f8;M87-kdr=@D?%xv(`iQ8oM_3RD)lk2Yu%N&}dqa5{m?!$?%JF*&#^NR!3KVIWW zIv<j7Q1fn9+4J4W1(J!}CPrzM?;faWd{1Pm2%Z^GU$r^g;>fbprxmw_kKNiUl2sI$ zGvU=j)A>89PLxk`XSd)AYG42S<FlXBb)PMWdGYZg&zj%~r>2Fj%4M-NS^VeCs&6lH zeL9_L3yS9)wc@tr|K<5da^;Kt*Y(5tm;3yF^5c^17DqSJTjB9rCqB#;(eJr*-{EQg zi@Db=ty`++-B_qPTkP1Unq4W9r}KVnNVvCoa`??4|6=7o>UZXQzBo5eeq)HH7=!5a zWA_r?mu+F0mTx309;f#4T#d0qtC;G7C8aWdaxZlX-7-q$dRKqVqDg<j#~sH{{d(T6 z@iO8`*wV-)rIK8e4}{)*wsuhwubx|n%Dj4+B^oBx^`EC7Tsy(`o$AatOfpA>jB6KK zDePSCcXXB9N14NWcVB#QYV+6MEAGYfNE<)ey45QD^m~N~$yX;;Z@t>G^z^ohZ{B(J z$CF+kI?fiUA0ZI*D@aHt{NRZP@=sT)XI(nE$noyIuNndGBxFBqdSH7%*>3K)Z*R?u z7C9!=SMry<-_pa@y7}glzZpg*&T-nIqK6I!I2!hTyDgHQ>K-KU__U2<`0cf6AHJrr z%*)$w&$V_lH`kn1&HolWpD=%UY{b?jPyhT0UMk!8^wY-m`)^+kjy|+(N6U*evwX`{ zWmdLlZGQyq;#j{Zx$EFBtqBn;zOFQ9t=}iRJa<)}kMp+m0jJvHcfK_$l-?*7mZk1G ze}($~{pvGIKRli`(fn%uLw07pi!pMWcb}O1Zr}GT!G9r@`%b#$Bo{3%vUpe17|Zef zqnu7n-vrrNwGkUHA76eh_1E`=hG{{MU$6fuFT1P!+ZSt&N#-o=?HwU?7aj_Gh0Jv` zcvHw@H)G4<*sBq;yZ5GK${C+_)s?xz^^W!FC$=z4$(A2;)}Njr^1Qn&{>q=#3*se~ zauh#*zq&c~#YTVYIW}A8uGIcG_wy5G`5WPxvWNe(JQlqllQ3_;a@)PUcbUo;Wi^?P zTCu<R?R|#N(&+!G6<=$tzvk-f3-(^J`|yS$Ma6=Mf@QO&6{dx#cF+3aYjHK3v+h1; zOKQpj&dDJ`Z(3y+hAJ;PU%$wNx%0HFza9JGzdL4iHT$J?f709UmRfjePTJFwNLdrU zOC4KQW){tRKfgut*zv2^@;UWgP6?JBe!TR+PDNJZS!*@dxSsj#GJDEmHr89UE&NK{ zW(z{~`n<n-u6MI2I?*v<HV=b$hM7V{wCStJt6g)$9_%kjtZ==$SLp38fh{L=4Xc@N z>Fj9Obm7QTM*ahHOT@|;CL2T=%{A6}`^BHtz<14gB}=Y-@1#xVSNbIJ2mdSSO<3@- zBI@{)2+k(y)A<Qrw|TN}@knmX==%QV++ln1BloTCS{|P~e$=JAmudULzc+8}cr|V3 zW}8m?c?owFw=Qan6EbaBsAcqSUG4iBY!Wt!1;uNkre1#YXzkl@1%`R$Q@<Kq>)?y_ z%9__`?3kU;<MrM3`LpA4>%N>_TX1xO$*jQkBHq~ClY4&`1-z2p-`p#(J8?GqiqA@X zp=^C~I^CMN%T64-*7v?#WJ$Kym%!-q2$N<N%iRJ^6@pA(m&f)qz0tU_Rrw2BZ&=jx z><h0hv2MNfSCTW(Wd_4FZEa_zxQS2yoy!SS&%AU}^RHCggMao6&qdC!`MbK`;TtQ< z7r8>0GIj0Z$(v_=l~}j4EH%P_!9>n{<;R~ZeS~w*J`oPqK2i5$&y0Qhgtgb&sc3L~ z=(7>?;D4=`5?FM6IqL+Tr(ae}sFzrrm>s_C?9{sYf6<-ZQsr(dY;I0*WZ|1}n>(Sb zT;AVU-Zw0&-2azJ4cFG5gCX{-#SX_`>dTmSJy&tX4wtLqlWUFY{)w=>eeiX^<)YZ0 zU%w=8mw50pb<R2V-`VrdKJ^E=b7NRkHE+pv=Y(A7;j}n^VEqH1|L!j=G_7X^%RlO4 zba>k@QT-=0^lGX3?v<0bcQ$u9DCzIsVXDA8HBj5v;(KeySJg$=7bx2$N-y90+*ot{ zl3NE9)YneF_%tkk7yE*|b?Y|Nm!xRUnqU9e_MO=AB^)Y$la`xCWPjz!dT}m%&vFTo z%RIlAO^Ye4>WMFUogkIkSYy9Nx#>lVO+wX%g_|<keyx7Ft9$MZ*)Wzg;XK|+Oa873 zVhM`$W?{LyhQp%#b)l=pHlB!>-{zWGUV&~LW^He87JE*gD8AGnYh`%!iYFWLo>lKy zG}C?Wt?=mFHzy6!MOdz-9CR`;^2n*^krQw`8u&djG4b8L>~D(y@5DwLPs`Z#gio*1 zC?@T_hRFiGXAAx3@Gj2nOzhsCXFYGxiEH&26d76;zArBAQJuAg%hM}znSqg`b9m1- z&&P==JujWrE^SJ<|Fhts$ciaqPal;nFSLC2@hewT4~voM&F>eCtd=Y1C>AW4yr4@} zcxv8_+a&=zAN=Lmo8FZx7g*2B((#m8V){`p2A6re<Gk6TV^=MA;9=AW-6dXjWVwxS zV@2AH)B6t_91}U$E|%@W#*?bGUnOXIVf1{-z%Pf?*z~&JMat-8e|*}k&7tXYe1G<r zymGCR>en}XTD@qlcc$p>Uj?Gu40p*dR`%N;dGkO?%R7b(%N8Gg{QYj9ZcKw!+ppKl z%(P{qKQDYIaz9_6zj&qZRW`M2vT<j1T{^6eo_%R|$JtWzd11*RKZ9vGR}vmMTv+$z z_u7R`b~6th>RG&D8`IkhCO@j!M9MC%6W{0-<D(E;T&6mWPkx1<itMse51pFBZdaTW zZ`yX?R(9Hs&$HVKB4;dkcHyO@&E&>b!vym;k<1_0y?P@#eZQKTuw+i9x^;W?<TrfE zoF0uC2SU3oSbJ@buG<mx!^`!{lm%kbtW<dZCFrW1H?CTAK7W>NT}qedg-4SF{N7bh zzVPb>XRz)3B)%nU3w&0$xqB~Nk@jsLHz%`*&u^*D-6DGLChw@~XsC)l?_GClrO&)W zOopaBwj1-j_Ng+=ow`;?cbk=Kz}nAQckhOZo%@%@u5;&zaQAEZxm(YOpRl@{xG>~l ztWBz*s7S>{_og++cZt`|Uy!H2-2KywoihLU3bd7zB<?o9wP!z-ExKoR|K2_Gwnim| zTgbmY9M+R|?DuWI1%Vr~ik>YEIx{i(la3%m)Tf79ic;53tem9n{8;y($We{nwu_t% z2c9Ic|8KaLzuj4$KkDq)CBfYiftON#X&Z8VeDUc?d6o|Y<A#MDwnul1KG<6)e*D&s zUXz78p38qXT%&b9*(q!3i<kBB&VC#{r_Ixyt7`Ig|HwO?@G$b%Q#09ys<&dZ6YR>i zHLu(K;P8c427Xi2Kb~z}Zy3&bzOrCDf7QMd$1k<!oof!Mc&s_$5!VM!p=%r}_6w)3 z=t^-s#kVH&?Yc~duu~It+CBL+FK2tb)d-LM(fja1;Jj-SeuO4nYIT@tV*6mlCNuZL zg%!(ve!AId|1yaUU8-X~z478UizPnwZNdgx7iMTIuHA3mR%>u5KxX|ZJt>u!ww<ef zH9kDSlPP+)-golOa|IvY@40zxx3USB?A(@l={m=jKUS7oymx)0Y}Tj3bGEV%T{U<e zP8F^_vh&S6`HcKaot+|;*WTA}F^tcU@eMY;ZSd_*mHHd^{Y&h8g4I@puj@&7t&ivQ z@O*iidF$$<`{&on+6z{&?0Eg6vwiFAm_Fkry!`K6CtU5B7f`We@s#DlZ*Rx)b6$S` z&BIF5sI52ZfrZ$2sV6^9FG~DBXUY-(Vs)MBqzmp>p0a2uoHn@eX=aM>+4`CHm+fKL z*rKuiqGHQ$8@tLHiRm5j?{<6nwM}PS)12A3xvN}{=kOGZiBoLyp6wM`_q4&GSp3Zo zLDQFY`K=wdQ#<85R<*vr82z$g+JjfT;Y>;qPZ@$-W-k91y#M}X1y%+#BaQ+u*TSmc zn%HCCt(N@%FT`^?eE<HRm)>wZ=}LOXa4Klw@4brCw#hx%@vJ06WAWNNA)CqnS8r&% zdd0W7%Kt+D+|AOw1%?iS;z^&I1gp-TUXrr0=<F}&s~1;syzenOcr7ihfb*i(Dc2-1 z^F2{XCml0xHy@R|o%^<i@&1JkR<8<5qJv-CiF>zn&EDErDsuG21gq>^?p|(&#K~ec ztOrll?>2m;<Yqtf-rb`zOVS;LyCRP7oc!AKk93=LLanx<u2Ohe(6nIBsO$pv5D#CA z<&j(=f9I)RQ@VVnxvKEcjQ_F;v(>L<&sY4I@vQ3k&n&gmvIie6N_9^8rz<<P@$LES zO%7j|ACp<@(iaeZct>krg@c`et?}{Wd&1Vw;I`9Rx4C!!mA@}G{CpiP-t$uO0OO)e zt<Z}xJKMUi{hX<~={&nbzsl|=-?Y5uSmwxEe<r+H#q_l{PPrpMoMoEEGp>bmJkNW} zscTzio;<94)mVJZ!6o?#rpxk|XJ>pn8P4@4vOC%9*!8_r&m4WX@X~Y5>)XWCN*8|- zy0TApuGi$!K>pRQ!-Cka-?_Q$(Y~ACyv!!0zPSHXnpY;~<3rQPmwzMnS$aKj391&C z-eUCsP|6qY1@D+R>~{LhwV8NCFrj*q-S+<FDhBaROIjCA7nRFdQ|+V{#dDGMjF<n4 zpqy;i>APD6i&>I|7g?l)Yx!os-1>9lrJA)LHoGk8S=Y5NUaIi&B;kF>e<ZnUi+VUR zcbIRQc6(7Ddy;N&V|Bgotn#CMeLuf?*PWQx>bmb|vsm)v3C7AQ*_&hy^VUz*V|G09 zd_ze?!ma&9S8GE%=Y}jYYMxc^ySnXJm*OFX<i{mei<RF0+3PsTqu`NPDd!ux#pU~T z%EhPo&E1y#<L2c(PoFD2&e05#db^Uff34?2P0d|DKC`Vjo&Lb*_URp)UoYdZ{oPXC zv3-Hv#oT)*bhjpWfA-B_<!)A4ol|<JW9Ia&stfl^T$#tJm9lk}o4&$B$;e*^HkwB8 zB(P}a?KTKkNNSiUx=XX|qQ-39MPh#I`K`WfG(LZ@V7<h-=0BFpmMP5Y<yU+f)+@Ro z!)V8`SuSqd9ds?_J7i+-Hci-OxM|4_o2V3{Rtu}h{#2V+6ZbEXnC(1&<x!>Zm6QLz z*tO=pX=%X;$wMMJ%hpB<Cbk95n3Sm7XVSoU^!nww4l%nEf2|kjnkTmN^`?)Ej}osx z3pg6J_EXrgl-QaMZx*#exAv;3S*1>Qm)7h*v7UFo|19yD*CH)_KTav#_^B?z<IsEo zXSque`}V)`o8YI>Yn<t6kQnfC!YrNQogbCL;^SC#m+5WI?Dame;>XAR`>o1+%zP&} zZaV(vOsAavRX6^g8Gkx2AFH}yXvw^@#y*zUVa-AwkG;#o3g)lhbL2AL9lq}eeu|{- zJD9h??Fl!(@`okT6OSi5wP$J-ZIWAeZ-VSjn`k}%^G*-tGjH$rEPA_#<<Eg7kFvJx ze)VYa$*-=O<smNF8p1tm*yZlAvM0ap`TF{-n(18Gzbo(fZmyVE6Ug4<{ot93_I~jL zbL3m@<X+)^{gq*-ox5q^&IeyRFJu?l&z|vQ`r)Jxx&r_92J@K}J=o9mu_km$@-mBn z>1Q}sWc~fl`8f1fd%)h8F9Ox)n$3`rm)mT*Z=L}|_2%~0(6D|1x$T8(--#P9`RlxV zLCVst(;J`Mnp#@3`QD<!hj$n^wA6Q|9+{|hvF~E#Rgd#)gFE8*A6zlNV0z)C#LH4M z?PfvGz@<~-ctkYZEoAnwe}ByX@?vK^uhR6W<TJa|9NXVHXYE$vn$()F>%a7a>E~(H zo*!aVGyngYbTW3<{pmt(8GrrNyqK10AHK(wxF9iz_3A%|+wA&h3tF~|Xr{Jw@hz_Y z_D^hGu+qXE!q3(1?^@)D9Vs|{j>GeMk^fu0pRDPw3HLr(e&hMmxkh_Qt$)wv7eeKC ze`acYPTp)4rY>H*d#&G@`9Z50FK5No=)QO||9(VEO02j4t&e+;*R0eLnWFZwE5fGZ z?T=}C$(wv0y-?|mF>YB_`T30TipdN2%rG~IJZo|5rzRiAx}8T8yU+NkE}OTfYtCQh z(yzZ4L|gg3DBSF@ZT{lyovI7@J{sR%9BIZ|^ZY>1o%=bTKCv1;vtIKc{gq=&k{QeX zvd`*XF*nN<j`D3ZXM6e9GrPk--Kg2ak&}OlaiPwKS$dgO{{!V$1gKmoHs0WNLeZ>( z`@lNkt9Ci229jsE{2N=#%xsM6>zI#h-ELVZ8QH&)BT+<9T&2oT^XJ0flC2T>4foTF zRz^O_+uZQbdE0qo^E)hCJ!g45*!|etT+7K?a@UH>2{KD{UzX^_{hpg?a`>BET*X`$ zbxzl18*R3x2;6)i)gJ5+5qQ4)NX@>h_cSi4&c9%~C6>D_xWMHk+iXd$ivs1(ewAF` zcYphr8MzVBof8wv6fUj5^Xb~ll_z&>Ss`Ki((vrxtv(?K(pQ^Xp8jxQ&+C_$*1RtL z&b)RK_sP#kKa_>uHBAyT`&(UeC-?J`HCr8p#AU6$kDqN&U2Mv_n`6sEu2;gsi`x9# z6gIa^Y)pN2u%_d}h6-*;F1Bye6l%@nUf)>y@9u1asB)I63UA)tliJL0zqWOeK4-|` zI4!?xOTOmcc{b08{Wouj$K7u2yapp9%U%VJbH^Trty{4A>-&Xn6Dt>H+f~S{FD*#( zSCLw~aG7+@+*9dsTY?KVs(g$UQ@FS??TCJa@W;zdYioIeeOrDzSFZW;=ju8C(`pZS z?bl>UEL&jkx?V!2{Icb@8x3XVpKrNjdvw%^Hmv{Vm8x0Mscd=A`B5P|m;Dh7L8n)` zZU@`ns4tmtq&1Xvnau{i_lqa`6-=2Jy#3?z<3d(?PfpMKy?3kl`^_esY79?Cx5#|B z&Hn7fbICa+vK{+&YelXx*!-1askR8uS;caRE^evRl5giPzpc)7-zt1qXg$O98^wZ~ z(_8OcyPdavwfE;Y1|KEsE2}RpC=x!ad^KkE*P~h;dcSO%DqGX0Tsigfc;Vr-54tWM zae6HBvtm{c-)@1sO^giuiq)>c`&91sT&`J{BbX+q+V3g5^5)CSQk`Po&%4PVyyP;K zyK3WqkL}lk{@<L$o3-Z$drir~FFI3}uAF=I)eA9MS<94|UG|Us9y{B|&rN-LW7!<X znb|W`cHP!!W1G})g4dbzZF6Kpg6EIB3v%b5xFxlY_hBBhZ1}=U_3x&C(3etBZgqdj z_qoG5Jzi?Txd+7oeN6{17q7KD!TxHtDbvE6*Rov8KYd)-$uQ+Wf8LTQ;a!=Z5C3S- z&WLq2l34ZW*SxUnVL2)9e;vH#AR~9{M$IIK`H4R???|ym7kyf_MehHFpC7~SKKPyL zt9s>0{hs}eRYG$r;*xLPIIZQWZ~URH<Xunf_Z=3g>~ga`x175xaYwdu(H4Kb{Q+|S z>Xr&EnXme0CrepW?&^hkj}wybH6B>KaZc{y^_;JbBm=KJQ|rmxUie;kgN5lPi_UMa z>zqa39nEe&{Uz)3=JM_LC%=6Ae_8%pt7SFmyCe$&=Gwa%#IW=@Y+S!?$Avp$-@lh< zt=n;yZ{DR0sl7g|eV+I3wyoa#;ULGlX@|6XWt<o)$|5V5+&9`gHDOX*#oVx!!Mn{l z8un{npB$R#xnNSv{hUYVQd#-F+%4Z=by=fWq-w!EUDe8xN}kzIYDI$23-7*VWO_*} z`A5aw6MyHQdp|d^O8V=L3!gsqc5YSpFE70BQ4wqMvgx{0U5*NN`7~x58Zx>+dz;R+ zuW~_@)URiX0Y7*-FJ!&V`*9+(JhflR$i^vD=GA=<-32=@*4)^#u6;*&tZViw$Ka`5 zZcEcReU?;xJ)JH-&o94IRAm*vhU>xfg=$;$XSHsR{9ZD*WPVuHwFmk=KR=v2G5Nvt zyroAu9xZFl*w+(~ma*cXTLtS4UvJhT?rTpP@;*Dq7M|IVS7Xex`dIm=Pm5lD+miEQ z!|s|apWk-7qaW$qyS#1UgEd^?ooTt(qJm_1S<Kpb<JGM;{T+e&|L%MhKIY4R<=d%< zS36&5KJm$2x0XXlH_9{bVs)g8`Ob#P&o&;Lm-4|?p?~X-9<iFAZL6%}%|bLHKbzcM z)zG`b?~R^;`ULM+Z=1tyCO2(7zG&ZqUHl0>Q@5#hx#}$THP%%9YLgPP`c&>M&oGBZ zi|0;hpDSM$n`T<IG+sOO>+YdNjE0lSY|rSioel7>d-{ucSF}>eS&jWaLIhq!v43k@ zxK!!YdKX)+TXIJ%JQZBc=c)IEEhyQ)=!~DsI>*99mp!+Qztp;aPinco^1{Yr7A75w zwHC46KOb>0bJ9u%p^5jeJcz2QT5NV;U+J=E>ugrLn#eUgZFwKC!E^c<PBq=d{cZa^ z>@LYR_;UpA+dV(V?9R%!QPW>OIJ50UYtsznB|9qQ*YNFSw_sW^`@vI@pxXMB4QGAo z*k|uJR$vvn$Z$)5;`Oj&j9*?neWb717=7RDzrOU0HHS|H3$;ATXTNUsPOaRi{Pmw| zn@{z#lery)#h1Ui`pSMv-qnq>6%Mb=UBJU;c4t}eA;|}mb=cDwUcYF!n&J85#=N^i z7w_sH=`MM3sBY<|I4Q+zznjm_u%27(<dZI$81L%p)RTMGBL87jywmQ|$qIWHt1Gj9 zUY|2LHhiN0ro(6UKMD-||KiBj-;=i=HSgh%7M|f1<}K#RBVHV7clvF%YplUF-@OH^ zWc+JqAL)$c-y>W5_Xz*Fd)szilI`WVq^A+%Bz7_-Shwt(hHK8Hc1fm#V&y+P`PO8~ z>})!0Es(SF;9mua_+zWLTZwh{WF~S>+jZf9uhjIV_v{q@`gCfqeCd$H-|Vcbe?CL| zMtjl4U$0I^TsQp0{E_2j@xr{~Nyn4ox0xt39XaD7x9y0r_q`vy`}RJ|5?t!&-s39R zkZcq%zx9ZRRV#CoyNmSRWBQ33-mzQ9En$#Y6u^6Ng2BZ+h5I%$w?6fVsBjYuxjL&r z>$}<7o!4sW59EY9tX*I<v*IUblVP}feDk{e1)F24gi9VC$<8S6+ZeAICa~q&x`mNU z|3lmTA|wP$^FlgTH9Y*Gw4!;_PMZRI8$OlgE97<kic3qr)#!E`&k1@U^yq<=+vC=$ z0<z&fudKD_-I4yI>AOtsap2@8K4+=z?oDMmI$|YLKNNeLMyVvkz3?h~@#wGZ(y)2& z3+G(1YntA3`Uyvhp~m9hGA`voYa2p0JulDT`akRclI8w)14O^CEB<staB+*pp6^Xo z5j`6jZ%&`5!m(njjRDuOy^~oFiykbEovF9+T~d`bn|S+&BPUNTGb>*zJ^kO7Yi5%~ z=cT$-+_mwn(fQXt;f3_y?xP7BJO6!JynSK0UH4l<={U2>k}aiAitY-{+V#VJ^8Dt{ z5_(GiF3xvLy!+zF&Fj~c;+c!BKc;-WnKt#Te9#O94qc1AhDSqgeY<?_biV5B!a1vQ z*DrB6^(%7Y^ern7s?E-Hnb)l(f4=^wuE}h9;RTUbK8chkuf7-4^h|s4Ds4}ueQ&!D z{_K!FFSIw1@$p8M``#CWE<5>^2ERM-Q)d0q>WL-Q7JR<9PtNIE@v>&pe4~3>^WJ3C zr#F^pb1SWtdfn7#w)KSVX?`)wW~uoCPm_u?Kd;%oMQZZnwf9)Q?emzCdBiAVF6S+k zNY@UF`#+zV29>5<WxRK+aYm2z%tyMCu5(phFRy9wK5+E!hmf;wkHg>1=P?UBztAUG zxlV=K_+M{d$m5;yO_LTWFMSXk)wU{se&BPj3oSpLRvgk=#q({+RILZ=madY06?r<p zap8n);YZ?0J!!GGYZte1%+X!RwdK2B;WFE~#>soqT$#I!W4aqJ>PUzBGyDpczb;>K z{?PS}=f!Lm3+%f#;mi(E({)`ZL*gpTZC~xnXGplrH`iVFo_6l#L-#K9TVJYulOZzU z(zB<Rj&5kQt({y}<WT&t;4*(nq+jgP%}o8f)<|9Zu}}0D=PTjquNiDPx^Bf@+h9~& zRFdHuee9h^wPDc&O_!azGLz<A+H#~e^kc^65FWNduDSW|RtIc3-W4;Y>rX(+^+f*r zUkv`vl6B9IzQn;_r2Lv?<Lxi+y|-?%3uth2SiE_;VVzU{mxQXkr?<<Ke&;f!Z~Uw* zsQX*weJ*=?QeA_CaD(?^5xLOzpo9gPv)Z@$cSQ813eTMLmccW%QNz1SV8OnJazX!` zcYeE5ewgiYkR|KO$a-}x-ZM8<C;C+FxS;H(xN*(pmWe5|eX>NNBeD<d)%f;@M@P6y z^RMT0b~iVjP5PEAG}jxv;hcJUnrw@{d)9|7ylFQN_;K&g*z`(%^Cn}SRSrxqTP+Gc z#9dfxmiB%@p6&9;yw@jF_vEmzoitNu#%(E%B~KdSqEqKizkl+^R*fqurnxNDMzKkL z33<Bn4vB7Ww&89(y8c=9N5&tCU)S$>mAPKxRFHSqF{{tqj2_mZ|Jm0Ze5a(zzw}`4 z@~I0}XqGHG=&v30Y2xSWEi+n@{vPL<#3A2p9opoTcRDvR^U7+Oj`K+(D(&Zjo=)W7 zG`*cK>gn6dd%soNq)wP*x8O`d=8vzFy>hA-hOu4LdNF@%V-icTYxn#;PMgC&8@!e= zUL?kxx#D7$zGBr%jlj|i+wR*am(KC|(a-Uv=Hjw*n`YfUQ#!46<&}vc#?SaBE({J( z4!Pl)E_%AZazcj0!tSi3P97=6(<?*|Ti%R1^Z4mD)tODNi*Ie@_;UGc&;g72lX%{$ zaeuvGBGkM3$@5oMjgM@#PF!YQ(Gt2wW}e`lCsVcGU*tU5?B$odz+!K})dG==yCe1( z%U}4kC{o8)NbUHis&k=}%-{a`Euy`DeFC#V(*F0tYO*=YEd;F=&)b~usm}au(%QCe zr;4CuXTu)~Z57xv=U`)s%I7y-UXRkEOYT=RtV_0Kd}=Nkwp8;C^ZDx+S<Thf-zfN& zk{xQv-8d`K^3b9#A>9n7&6Afs_HwdTGB~fn$h7*ePfhal^LyOpPS_GyCDOn4Q+-uO z%knAH?>{>B#`FC};UAw19;QTmTH(9thSWyaeHR}+<-01r^r7X1W!i}!u1!)kJ2$1^ z`=N}g46`1u%dHv~PixO`>sn-;RC>Po<es#UE_d@iEx|F5Z#Zfy?AzD#gJVU{<%i-| zH|Mf{cbGH#gm9VN^z{=~rD~k*{@E*jZO(=jAxng#x6Uno`!)2+quZ`ueEAzYmy|kP z5}hbxSbXTeNLBWl;B|^FkFx}~Ud>axw52k_b8%xB`>f)w%P~I>H7}nWz-%~QMa*XU zG394ZZ&{ya|Gs(xr&jUHDHdPD-^Z5AINRN<+Zg3<QP}&#BGgo0cR{Oc<aNPEiQ&pd z0gKGaKLu)we7^Ka%l?{k?n9n4tR4l=P1<r-mQ_8t&$wYTyULY0?imZy41cm+s;Yh} zc+lz4>8^*araQBevc)d{<vZB@?7-6}yI9ukVK<lA8_Z*}{oys$BXaMvmmgP_vB+Ha z#QR~$#3QA}4t5+}3yinh*{wAEeY@$`Ti%nGPJB*c<++@4i~Y=A;YWXNf3|n@T$Qwp zwfuUY{esLi@BGaV_I~LQz51qXM)Sq<rcdR*?K=59Y;lT!_|C0dD-ZsXnX&)R;<j04 zc5|+BuX(-h<~iQJ);YHO|Lo*G^Tz-GfnQuKAJa~)OL<dp+h~dH&Lx5$9~nz-wfFz4 zASe{sIqjTX@2cvG+Dp$^>`#eCCE0Mv2tG>rsu+DxQ>24C&-?$47OD9CYvpTRt@yq> zh(SSP<Cp#$yz`fv+12c}xpHn^9_RC{8!CyOTSd-HYVRsDnYnXHu`{24UgCSUBka3w zoh_YX)wuVy<qob1yB0qAUv~Y<n;q6a_T7D{9&?Yqo<D|jZp4HA-;LKyS;Kqxz0{rc zhiu$$1s)CTS^f3@*#y(n`2Tqk>fEdwUpGj6zFsqVYx=KgTSccu&T~Fq@>XC0@9dJp zCCYbwi+h7^32d@-tGZaX*;U)ZJot=`-P64*7RsF!x$2eR;PU@x-N%gBoaEis99MSE z)3Oh;d(iK2^4Q(~C9yld@`e3$OmYk5&wUZzdiC4vpF3;RZB0b<HaMruh`;dE=go4Z z7pHkTUT>MryRaiHZpTdLIZ1UNw5R?1dC!VlH6=Ah$YS%I@Ed<u$%!>C?u*~PH@fDD z$hnhdGnd3)+dp&Sj8yCE61pP0{2Hp_-aPzrm%*n^;PE8>J2$zfa&O3*oXNBNt^Dk! zZyjsCY&CvxE^4~vHG>ZwYqfVR_HH;?*5%K6RLS>q-rhZT7+!8Y@MPiB!yZ|w_IG9< zsL$TI<)OO&vX}QSua^^8lOcayd(O#&@52-wzbeo6;@q%5_pMCVN)`<ZjhUCGZcBW* z;8)S~SC1>KUMffIk>L5YO(ZhJ?(?oCi-oS($SldcRPlX@u=CW%TfJwWQu=?;HYQ`v zTZ=i4f)|2yzDdsed8R%jV$~Z7LGB2FBZkUXc|7Z9H5DHXGTVCX_d2zpZ22jC?>YBB zd?eYszfSmAyLar>9LH-l*S?rENfpiL+v=u1%|w=e_u`5bv*t{R)$XWK<1yR$Qva&R zl&^nuPdiUPSbgF6m+j|c?(6f4Evoxx;o%`+tgW;(@Nz)j^ch$A6X!2Fkx~-2ra#xM z^?KUevo<B+IkR6(*&sdr&4bGomnNsQ7JE3X*rmHv_U3c@(%gB^Cw=<y?%Vb`M~x*n zt<alQyiUMkhFWd*A;EGVZkbalGwzmb{Io6qvY48xy$GM&F6-)p>z?oXKf7)why0uq zKOZyKTio7SF1U!rnqlsn1sktinPkAN=~1{bZ~MvvQ))X_>gt{n3-yxUbk<H+^P{hJ zZj9Z3X@f1XieJ)CG@4|t$=JE_+U))N*1ct2d-6s^L8#-e8LOTrwq2=z>G7teYEOy( z>DFT&-e;93YbQop+?jMLD#oX@>i6WgogZdP=LV^7Wd2uluO>rY^TcO16`q+P6I3)z zgz}DDIr%0(r?{ZeyhrKB#SEp8Uw^`LR7FnP<%sWC&-A#V;`#jaovc++W+un0kG*&p z$20Y6V1W0vBE3^0&zF4DSmZXX^v`Aojfizef*!tEvCN@#-uId>50y9;aUY)<a!oW% za9vqTaHPdLwx>r9oDnh=zh-{QRK2%0V(sUBH^ZMzbrL+(6{{8J@9@;9?(H5=Go41x zZnhkoC)2k7=&SpY>SKHEvR+Bbyp;=&o!noX@Y1E$tGxQ`6x;JjnZI@8v>fKoFI*^h z{k-y)2j)kt^w={s)-HR)7d%aCa;wX-$}q+i)0kye%{F#XJ>9#z^C^pMr?Jc5v-je{ z7e!TC`)*o!EMu$kS@*q4zuUUbM4x+lZKZJ8t=sbUeutdj&ydxTyR0a9>!5RL)NRjh zUt9Z@_LBKZ_Rm|M?o#-{TCrJ=YvS%xQ=Y8a;(qj%rq0?#x!h7yqw_EHH?K)wFD0?W zO26Un{dvLvZtquW&x^Sr?)Ts4-;|t2lU9q*(k&-AS8zHTy;`QMILBI^b@dz0G995r zhFZT>*3b4js9jWBu9kP@59ek5gs<`w4JE9;UJ@5}501CCRN*d+K6HNR@2``6XPUqE zs{SkfnZeWT|E~?a;?`>=v|bx|y_c=}X?y<3WTlUDnC};Eny<9{$~NP_Daoy(-Wsn~ zW|uVTZCbK(iMJmY|GU{n`nr=c8uIhGa%30}vfBN!OsW0tG$V%3)@vGrfY}87^K&;p ze7@mMOy+KHmh)1Vuf;Z9amYUYNXJT8Yumz47dVzO<;{rt%$QYi<I`f@X!Eas`6}ct z?LLsv_u|;XnU>7iw)1C&X{}fx{%}^^{JsZW2cw?lzw3}cq|ET6zPwbiLq0^dsQd9N z!8>Oic&-Yt9#&Zzq;-Ak&LhhLm9I?^J$7LlKeyQu?)F!T>D`(a4OnKk=4PG|;BROU zeYec)!0Dzxp{(<IPo$e_y!w~Z-=#OnJfh<Q!)E>;&u-a?ad-91H@E0>QTpkW*W8&| zx8}l%8BKB)b#GaOg+AR6xVCb7+m*K)Ei_e*uedGj#=U-ZlS*>U*&D(Qx_9`m+rB9M zKb2Gc&4#(!zH(hB^8ROJb5$^HFLJG|`Pfv-FSlzWA7@qH-kPxbY!l(;*mDPYetr^B z+4fNKe_q{O_TyYZmPhAY-S@sqGQ#b^r0+J8E6zu!EI!|+bHn)KU*{YDWPRT(==<M$ zt7zBdrs%hF=O5mk;{Niz-h?>GMXH}CH{M?3?sn>peQ*-X;)jc!_K5L1K6>iA=x|n{ z_VMR`O6P~>iR*p3@@uPPj!s`xuk|IbfK#s<@@I<s^{Q=IP&Iq`|J!%&N2K285f%7( zDr<R>fpYF)$*;fISs$NFuR58k;rvb^Y|F=KEHi$zJG^rKubdj5>N@Fi^q-o#&DGbE zm(LBGd8VuBcX-9D2(44$+5wk}{pz<JDpKkc&$RjFZ?Zt?T5R?PY1beQ4#B!_KNiQI z{9-%lYsZt1^*e*R-fI?I&7ZaL%NMC$ri=40ZQ=gYy|}cczryJ2@@uOmYc2}n+wXJW z(C$i(YY%N+DnHnETjkIi+so@s$`(letKgWiS6KMW1iq)bH9VIL9_e`)xtGM1yneOT z*e+41&1k{yKOc{>{Vb~U6UaDsYU!e`jN9uY7wvqhx$o&sBYy5E|NI(;FM{%yYIw`O z^z-kCzT6*qPkQ-^bcVW;HI~i%^Hb(#7_&|=ahju%tdv@PreVWp=|ctOmxU*?UoRIw zc_i$^gYygiZB_jp)*-Gu<+@I`fs<#0iRmB1xBcSnm7Mni?ZS#@ohmN>uts&evm2|! zjtR|fzfw;;$#*Sz<!JO?;+bsnCr^V2yTH{2OT53nHLsMO7+%M>C|J8)ylH(6ALBK) zfMbVtY&iL%Np!Qt(vuP063>79w>HV`yJt9;=>(tV`xw85WdAD<p3Q9gRF$jA{{4XK z(zA^Fp6EO}=eTj*@pDfaZ1oSZNmfreT5_5paaVQxU5=T;(TbH>2XAS=P5!JY-SO)7 z)YG-U_NSXC9=fvZro${LVR5hTrBy$20w*usBP}H*yK}vi<MZEqB3~tYSO2(K6LIWY zH&^<~f>|Cb+it4;+!WECxGhOmreN)Z;>!M-klLrWWMwuzo;x`x=d+Y{FT>QUx;y`c zKh8avGvVul3-wCHbADOZad;kKxqs301mk4=4fiAVZ@hd^JX6zC;K)|%>}hjzW-bxE zWycrBapl{Zt_2fk`^Nf9Kh4PCz7S|CSl069;zB!{BUSA7y9$*yw<nuwi!(H?-yD-K zuyo1lLj~>1!iT!`)iT{n47bnzCB0+G8dkaV-h{%?BTi|L9bQl7;kLS5_2K8Pl<kWB zI*0eKkWi@l<Nx{3QI}QQ7daV)oV0rJZI?{K&wcM~FYlY=)u2<%?_mEeJ0L*0eeT~^ zS?pD3WVZ9E71`Y9yIcP0wo`|fRF>!wo3%x|_N~nRwqNGM)_>xm#W$wRa8iFz|4Z)O ziN}%aC%fkwPJDSzygbaxMJhA<<HVB+CtKICiT=%)e)FolS7o5Zf{ThfAGKdT=*E8W z;NpMYL8?=JiH8MrY>*Fk7kAQHa${=~@6uf}uh*4Z&r-H5JpJ_U-n&otPkAiS@J3xi zBf)6Zj9ZUp#Ll;?$g%yvDCyqx_a*n|=K*)aR4%)0P}^zeBDr<vinrdzA~lv0yBb<w zJqVelQ+IwUN7@Hj0rmW#gLy$a&oDmnMLqw;e&>A4Y32+J6U~wCW=%~=gC79HIMF=s z1n2-5V{=z01qB5o0|NsC1rWo?%m8%7Aw$F-A;wz=|HvC0$U3!x`N#$Xwmf;sUZvj_ zKmAo2U-L=@Sx6W(yf}Al$rPid4lDMbO1x?2S{N9}z*Nn2hw%hsh->TL^G?T1{v~+C zuq>XtN#m8qnp0+TPdi!K*vN^9PFuKQgU_-}0U;7Cr*^H-neBD#W<=kylV+jYcIxyo zi!I?`=U5!*Zs{P_^y%J)Rgd%}I1O}E4rD}ZZ7i_7)hyVUl4ukt)OM0-D#KwXCV`kW ztQK=SwTcrJOfD#;M5Gobij})u+bN;@I)*nvoaNLL#=}PzIySR)I;ULn-6Qwejf0(= zzvr7lr@<{1Cd0%T8VZI|Pba=TIAI4%&jODgw~0|pSLSG)xu_Iku;!5mtBj07(2+z( zB~M-<O(WT(0(@d(E)rrU6PB<~VV%s(+IEuVkRvk(yNGvdq`3RyEj+t*WY&gG<6;d< zl|Fq@>d4^~MyEp)3fK6A<w~`j+EuWn-K21Wfrr?ZQ%X)|mH{)i_$=FG5p_EzEG<{3 zORFhVc-FaN7nMR{W@a~U44Juj>ouW*RovQ}O~le1BgK8X8$vg5W-A_CY#ER!B64k| z#kJPb#%~uLHZ0H!O<TNVjktF3&IKF%rbcGyc^7HvJdO|*ZHsg*PMv$|^QjWO>C0BF zNC<nz{<vwU#Di1odYHJ61vYFfS;zUutsy6Pqu$&#LLgxVv6)P4T_O_=0xqmfS;f6? zQA2R1UJsMo>KhRe#|pPh*6~^P%|UgwNgoTp+v7<at%UhaqFOlJl<V^a1le?VwH#$R z@it#ji|5u-rv(?y!cQB8xi?<i<h%4@%ry4H%un9)xF^R<Kh^rR;i8G?nn#Cp-fg?} zrfhrs<@>W+mrb$_k<u>SGA$uEb!Mw$!4{S|eqkpq`dIpVTwRL~>Tw9JVl%qxy7j}3 zvbVX#kuqy`E!dzpb@5h~@Um2?*3y+SjMK|!91Hya+ii)|hM1Y0Sxvj$-|GGQpRfF{ zV%znfdxCQ^GA88wUh{%;-M)nnFJ36<c=3PxT@8M>>`5s#|J>H+Mq6n*?W&P$o%u5O z&ynYoRlJ3wC%yTjyKLfi;mulNk?PK=BI50g%q`8V%o-h?p9B<bJGk~YwJ2)@&v5%U z!%gncL8hvU*1qRkGS>u$8rkw&E@RZxYP~YIHssh%mlY{G7GX#J<+4qUT;=n1Lavst z>(NRLFXqLjHWQW|@eK0*kP-QOm+#g!#hKT3ZaO!$RL?Ct@_E;SbmbS3zI){P1UkKU zaJXgjO>hrnQDkxaZqr}>ebuZPGyTq-ad!Ftzy44CevK|3#|!hHN8X7|e<mpSfBxIH z*#YjkDoQIBoBgo4|8?a&MGmVM3TK}0d{-5!|C#S|<)>Xcf8M=YTPA+%Zbo^2{>Ssh zcS=`T3BRv=7aMOn$#deRDXxl7SMZizY5P?r<aplg!sb~O-mg8a6C5WkVdwq#zkflx z>BntH_O){yZelGjPrv=|zx9;g2h2K6*37yPBE|mYcJHD?8d`6Ha@TY-x>p-;DL5!K zU0iY6V)kh##(-RzKo&=VFE+bZ&HVrI$N%qt|JU^^aa7H_8++B~$nWjBvo~o3i<NFq zzwdHbR8(x6sBpdf``iEgo7DbZ6i$+Qy@h|_i}2DkrGL}ES*>4mNW+Wea>Ix8`+?u) z2h0l%H8vI${C|I&X8&dD(B;qm7C#FNUfbF6!^h>%-%MYVf7gQdEL%IPV!m3OaK5Lh z@W<&jyRIvght8j^a>S&_T$m}+CwcD0Bq@PqDvGx*q-u$Wq$Mttk>in!>YemSwATLO z&-?Yw{XeX4FSom8=k-WPuhK)s?iRnCNq~m^t^NPE*WRxc`dzledyoAE;X}5XhP)j5 zqT7DDyka{aAi}XYU0aLC%7@wMRK9wF#nhvh9$GvMO8ll8a${=YJ0ZQ$W2*uJW`;IC zXz>kA6upuf94M*Y@L4rMl-rkKpZAIz5t7dpl{y1d*f@_qNGu8n*l~J}%H@{KCwWm{ z*USp@-Rs)ou<T_|rcCMo=9==!CcbvbQah`KI5>_lKmFj4sui|vr4?U@OxMA~TXt?* zW9;a=z+I`*Aywn$LfcvQL#BLb*sgN7Hu&Q)^}MVtKRUkczVz|``~TNZeqVX)zWZ%A zSDSl%V*CGB8k>GB-;xpkN?!4<Tjl&OkN?l{n{mwQ?PXi{8E$pEc6&ZLY*J+2rSP?i zf0s4Kr7IyC`CSIRrHfQNgJyIbzrVe9R>l0RN3|<Ezb{m~SMIy#{HzM^RWm#G_jb=% zz8u8(`)2WJ@2fsX?te?RwFx=v@+Y_UT*dy?X-fafzPMD|ff#0A<XLQg>$osAIw%Nn zx@_9b?f5S?Ny`1|)!s$yi?j}!^v(L|qv`bL-RC!}(yBfx2ynQ}T9zq({&j3-@zSXR zisf8f`&DAs3wau?ysC9q#rKk<+KI{juS70p&QiOoxoPLhRaU;2maOV3pI(`H$mCjQ zCugIF$eeSBXD@qcWN0W9cp%or<prPNXQTI@_V1RTwaoc)iTTZMswweZN;S*A-2ZFP zd*|!VMH<V`WX@VP$Ef&J%Yx@OLwjRX7R~Iqyv5r0_igdJuIcl0x8A#(nswy=|Ly%5 z|F?x2Do=6>b#uOQ#ii$f^K;udXV18}{Heb!l<xSj#7L~(BgM(hAZqoB4M&zMOLtqH zViFeY|M`3F{#@&i``A18ANjqA{glrU`&(NS-Oq-oEB&j!J;!_M!<bb(QzIh`csafw ze3!a#Pq4=K|MLIuXWpJN|AAwq{Qv*=FYXt7zh^Ul{qwJN{BMF5E@}V$?>=MCwiQ?2 zM@hOED=RTDurM$*i!&rhC<sh=UO267le7o}lc<=GhLK#Dl!%gm5SNe`4;!D1fQ+0- zmy1(jf`AZ@i=yJgl%Q2M3bT_wX0`LMM(+=uIc39+57Q>4+>S4i_FQXx<tyW?tSg_) zk4jcVWl#CNfc@;&Wx`vx^IhAT8$R{aDVeq?X9LxL7vC>0T<9ee^!bSw+umb6ErDOM zylO4$S`{b0yE<i8*?dk-y_dVfFNS7H3Lom!UuAGI=0c+GdG+h6v9~%zRy|$Nl9F+| z(awMI3%xlH+XDOi7fADY?P1Ba4EXGl(*Ce*c5jeQ>%DgiU4*v0ZJi}N$JR&vLY~&~ zpcUKrbtf%Y%l@T><Ko^o>{8o`Od7L(U2x(&RpdNhNXoWN`kuk5k0vFj9XY)h=(1-? zCAmFOJLIOV=XSz0pT9z7uYbe_uGnP@tky9qZB4$_d-@FTsYg4?Ja?*Oo)76uTlsU> z{^oqI<mJmZMlSD~zf`Vn62C=LMPKaBckvP>*VjIJ%g<cb;V`MyUy$je?#JCdlRohF zcux>zxYU~-9PTYP`LDdpiFpC~o1QQ1NsTNsYTo#mbF$yRr;9FfZuEGWe)B?hV#1uS z>n5Jv&Ci%@Kk;LSQ1Lfsr_X1tcki-&QYvM@uttB!)7vgnRI=Y*6lCs+y{};wk{~vl zL$tWXTWDwL+uo!HCj%_mn4&xTGmmp!%<lYBd$W7?tz;J)=jpL;g`eKqmZ(2(>Za|N zA1>$j`nTxm3fKK%?He@|bm~M49j&=UUox*!5IJLzbYfDW-u@)EugA|#OweaIIJLg{ z=jwjO;&b;MHOp<}wt6RVEqEjQ{bbX*;O3>D?k+B|^bCAFMMEoU&q<cVnl%pHs{fA9 z@DO}qu=L2^85`o}b1t}j^16-P0=Ks{UnKt5PWXSuu}3@U|JvGb%Y_6krA+yKq_J(y zhvq|PUsPp2Ex0(BeMP&J_<x3T#{EAHjW~W88VRhI4&z_3W!F?^+Zi)1pE&h$s%Gl_ z(7Z+mkJCr3mrT63;Jj;xO=sl^!`qkNJU6=K`f-Kyw1^Y0oGgNpLnb7LzL;0Ky=Or} z@9*>kC#h<VgYEve?VVp9IvKm0_4|K@S>dn#HV1BAa9;39zeB~*E14%wTQrsWFN{pB z>4|O?ZPsX4Sn*V2-O1By4ZH5DMTIR4i2QE$eA2n6*I&fw@cC`<mld-;S#m|lqS##9 ze74sQHH$emQ&pKJNPN1*^l0IRtHNixthRiX2nu*;vZ39MUD5P{koRi4eSa>mVA{KW zH(O$lTi-{e@DAr)t3H-XerD;(o5?AxP`X=^cmIjimPMf#X9ZmfoPAPCO^4yd;?ooK zZkg<|JiS^)>i<>)-6Ky9%sR5b%!Ntkr#81*sCB`X8=SwAE-js`9mYOs!BVBZBl{9Q zxs}{ZUsPa}%Tke0^z8q^Wos1#-rVA1I=C#itLpE%r4Q^5^?2{&@o>#%xXIF0cOY+? zyl&U+wM8*ES`tI89XFm<;#-o+cvjx#?E<E)PY#<cJhd|V-G<+H&e$*>xczkB>}4*F z3M;m-d^gryn_cmC?yDF1&re2dP>8wsh(G2ao7(q_ee=qDymu|zv&d^vkGwF$=S2Zr z+ZK1Z)txA|yteWIo1Rww^MyAx@`GY-yE%R~o19h@-+S)nhlbk>T_1Emwe~(Zo;cg# zVQqlo1ChK%hJ4AFF1=9+`<mV!YIOgv+`PG;mmK%LV#!i*sb48han<c5i#bGB-d_`a zG3(>m$UN8QZeE@IIRd}JAGaQ|a5wwq_%2N+zWLsP6E7rZeio`a&Tnk~boUI)sG378 zp7S@(bo)NtlkK@k*h$HMzgO;Dz`QI%aMl!)TOwt<i;QB7)9-nh2s=%)E-X5AXZPg^ zoV(uK-@WKg$qW@=`MWP4%$@n^<SLi&wRStN-<f;)(NT`GTysm@ujcQ5buRq2CHFaH zlS_fIh0~R-R=thMJ$PP#Re!R;fhjuEN{XCL@-ce-+MSWp9U8U$&+1G!Lt&ny_q!wH z**E;jTIjo{<JZ%SZ|6T4D6{ESF?8nb?D>5+QIE4+!^_}WZFF~nt5`~$D^v1k{)xM; zg<h*McRCktuBFxVhF|Zf@zgiXe7%z5+Z`(y8@7J0*EktqtR}{h$hEcn<#CU?9sJ*| zZ)|D$&-Z4Ugv619-5l=!H8r0fQ>vV3wv=seTONN#s+hj2OVwT`SLH3|?tjv~q!#{d zqtX|HzY8YnRXvc)ZDy2}m>Mat?T~ny{zt(H7wg+So0j*u@V*tiz!7K>x94xtf`1R< z1ZHY`$NZVzbA9H4=?+iZ>btw9{WF|@_yPBgr79I{%b8{E7p>g9DCs^+$lHa^wtw5a z7T;WIB2>SO_j-Vy>EX%)-vd8yzO`nTy55A2a_9b;JllU{$^@P5i%`qBXA-^g`Ii&Q z2`MrLUWGR&o=)Vq3_8Kz^?~nORa9Jk)D8Buq~>Gay7dA~JeNH8>#^&p)0)EeG^lZ= z)w<JdzuCn%*Yi}jO}qGDs!c(~@?D%$pYM0b+nD=ZTt|Mo`d{tX3wd_>*Z)jt+0S2D zzEkj;nWz^N$MvIEYLZ!(r*!r{Ntlu$Q@z{A>IQqS;K>UYd}eVd*WOxa;=)+wF-LLV z=g@?JlPfEqFS1|$#Nere2lw;Rce9_m+7&!F{r;z1#fyS1j?5<-G<E;{I?#~Xb>DAk zh5jei72nt1eIstQ<iu&#KwW!-YGtq6zakG_*|2eML}`ZII#tsxhg4ryosOEtde$c^ zwfUOr9_trcL5VhDN3+~5-bqRIENGs!^;~pct#nVO=gB1=kM1R9{E%|DIP~OW*ACyZ zD`q;J|I5oynRfErySU^|#v^GB=|6in$k`<Hvh%D?-LNh{MpOTaUFoF*nQKp*eV#V^ zpws~o7sqC=ziHVESD)%kdnU**>r|>-OSz1(&??S{+oX2x{u1S~e`5@{PrHkKt;sUu z`3sL&|9%>MrrcT7b!|$W=T507?zNZq8?O_*b6efoXu`SkzQ@YXZnlkIx^Sm@@#V?; zyd&=H<&U4n^?hj`YviX(YJc9ViaX!?sG9rrz?Q=TlJ6ZYW{BNM&e(tc^7q#YPJ$ua zD;PXaZe2HX+Rw}t`$Hz_6^e0OoZ=pM-dyBRV1d*V?pq!_1|<s`+>iI`FwXRtv*=WX z&&9CKW>=P~sAoB^yTSZgtkKE*d#dE=-Bo)8q`x<5+C5%XQT%bKhOp#{o)rv9dY=_{ z{=Lj~u4b=#)4vzx8~-l3a%8XEeP`BLg%6^Qgo-6kis_wgd)_DhKVGpYgtd4sqv{k^ zkC2{(rQAhQ-#`5G{rFU??CP#7)^6WV3az`ndBbPz1^)ZXOE+g;t=ZT-jV;OD-y+>O zvRLM_QT?+o?X3B~gI$_<ud{4YU3RNEDW1i~mp|`=-om~f-jh{Zbhn<cw^J5XT@exd zIfL(%;k<7F4!)091gKs~{a^Gty4L2XYE(r<%|7`s<-0dEV}7q(Ffr!vvFoq*ZcKUN z|F1JeFz;WuoT90=hLqZdGoPJ;C$5W{tADQEF39_B>a9>g^&S0tjm@3i9fd#4x!t`h z@m2CV!96PKE*@PsAO7+EX?Y=AMC|UxvxZmC?%8>4{{)My55ndun`9PznB3l(R{M9~ z^0X%n2Ky(c*h}tNRCrQs)^(qyON_hK_PSPNCe5vqoT_)hdhfO_*W_HTo-<B*dw2s| zax|6M?P7GVuAEu0{C1Yd){K=eS1g~%wy9BL*?-yBpOn|GeEh_uSBaVbIopc5S%OOL z-vU4BUbW&6pHkMD{F8IxwLg6m&T_Fv$8VPTxghiZ{ny{#XzkXzdeq|Y>z-Yie!X5* zo*(yb{%F@MKJnU%$0vnqUsfJ0`>OqwJ1Dy@s^NwEl<$2_I$_UbpWG8oIMr!e)hqqS zHSge|S+8WXO1D1c%vc`Z*lo{sU;Z`Q-h>Mml0HS+bY7M7srsC_`&-e+_A}k-tNXqF zznrfARzmKo>|yPKgDHNzvH^y1KUJPYh)rnQerM4Yfu5$*=XMsmKX^KK-ocLdimGjP zE3&6J=(O;Dm=SnZ$3x#mAk@lmwSLC_Q!cI7Qv#L+dbr<-`aDDSY>)^4F8(B?Pg6h6 ze;;_{jM<jr>576*+)59MANiV}N!_!%Y^|v}17FMPB_}=lDtS1XGs{c^=ZF1fWSJU% z=T_$&%jKpQ*o1B{T0A@+ouxfZL4VQy7xf!<h~M3N>DIEnzn{+V|Lu9N?4r-wK29Dj z=c79wYn`?`G<jR(&*p}^YbxIsx6Qlqyy<Mf*^>(PhXNhu@T{6;_IlCfm2uft8`d9Q z_JhqTVg8rA`3EmqdQRn8yHK>XH7DctY3ILZ1zw(<@P1$N$5p4U8qM52L8VOC<^SOv z_W<rKFRdp$S-xwT|Kqs&Iad8|Qa@k05@-GS`li6l^lODLHw(YKfAMdI7xy#9+SyWb zGBmbc<=<hmUroSyg~f-ffzxkC#-Cbk`yx=Fek%9>&w?S7{=c3v(e;d7%$I{Fnm)Mx zWDU^meb#r1cj3F~&+FNJ83aVX8qV1gv}^vUwa-31TaxmLX<F=xOW*B->z_$zop)K^ z?H;06pK)vA>zhB->~o9ly$*bzsw2E_%3YNkR>!V!`h4Aa;e_PQ-q@DuM<n(*zC2eb zJn!=j`z!qKi_c!&w{Y9cqFozqzb%w>@nqz=w=qRt!t-peTKQv!J^wo8embB3D0*o9 zI)NuYrYz){bBoDh%T%SSVvp=g_Y|+)=W=MGgr4tGhE#v)=Iv?v)An#obKY~$luMA$ zP1%Mev}S3K%~I`k4(7{EEQA*?n`OSG%;`(w#8!{#wUK*Ye-M8v)&0%w)2D`ujBN9y zBL1mbdT386yO{ht!Rp|WYXuLoM7p`7^q%(=ev6*x+57HgZ)n`a-*Fdmyv<&1ymHpz z{hr|LdnbRqx;?G-p4_{x;sa9q+J)T9m9o9wJ5O5XlKNOiVz+R`D%r!rJ<{j)Z;YH* z%XeaKwwJcY|Ia>$np-y(Zr1vnoc3Mu!kH(P;U|;(r_I@=5p!Xdi33B0=C>oW_MVns z_R5QU-ReNUiesjg?urvP#R$3-7f*73^hZ#U@xUaRHD|>?HC?}*@t5n%#w(kG7;HSQ zKGAXfI9*BbI>$lZGk=Y^pFcH|ZOgdC^#AULxjE4$*PG7w9xlEZ^^EDzMBjrK=E+4> znS3eQdhqs)KXM0-zf}0K`}>~hxz@UeZbeUJ{W8hm<!qa%Oux&I&D_4J{m#)a7D|r% zZt=3>xtZ6Leckm6Zx{7X;*RoIr7s%iv54`YR>{I|ZyRKvXRKa4gIiD`|IU)Yjp63^ z*)$E+*KAmSKB#+7usi>)rvG#1ty#BS$tPE%aZU00^`>bbb&j}woi5Hb{jKi9ecJXs z$CNljQ=JO`7`)i($oIpG`;L(q(@wL<8?Fi4H*v(RNm-xT`laWgZfIiH0TIJ_7k{{} z6|_5_^LDG)p68w>3@!~BOT0pgmo(4(s&YuoR{P_Avui*98g7Z=h}S;-FYed=$uqV4 zzDH#1eRaC?W4=U8xY*J~2S2+#o<3<u%dPk9&a40LoXF?COd|WD=%=bHpZu6+{PB1` zJ=7!9Zf(#1UDKq$XDyK5E`M#Egx%qLvwRryghEV{I<{{(Q?ORiS!Bw*`-!cO{#`X! zc&KE@#du8o-=pcf*Bi(yw|_Y#*AldL>Fml6Hv>f$Pd19kXvuNBC%NkBAH6j_H47AL zIA?T3&r*6*aj7S8!}}ul!z^aSQWh^y8Ge*Ik#+se^Ro}*_sOcVn7Kc>bN6pr{gM6% zc}t<<0NZIr=Z-Gj{Av3d)1*(TYgEpv+BR4odVZlT^gEOB9qIm<!f(8Om)^#>i{0NG zY!xTolUS>!Wo7Zx?!tb@l{*-ovAv$8fBMG+)|%haQu(tZW_&&<SJCMnEaG7hvS&G; z54UY(<<Xzt-7H(8*GH#k`82Q_AH8Ad(DEtswKC7Vx+9yP1We7UotrSd#Ph%$y|;_H z8Sn5qI9w?<ExX0GsB4P4xmLrS4IL)DM%UJH%(=1a<h+mbx-Ph`>i<={XnDPzR$Ex@ zbP?uF-TI#Q!u9X=eF;lh$n|L2n)q!OZiI<;tXi|=Ve9_q?4mDMZJnSl8+6N=iCtkw znP+~c2uplW+FVx0)e3o;jZf{2th^Pc79RM$%2%b}zu}Zw$26EEXJ*BG{O9w6N9Jk1 zE~ACk1KTI&yBD3kVUnw)m$jwm;o*JXv(Krw#H`Nu3`v#HW#XJN>;J##-8|C&Q%|wh zJ~`;5xIePF<aUNk&(#z2auUyo9TgIEtK;eYW%puA$gZFtyH;%yH`JQ7=Hds}^@56} z2Tv)lS-f`UGgEfI%Z~~yUK`xc*crrM%jJ`Or?+W(`5vjKQObcmd_MllF0l_(pX8pG z`JNe2dfkb)V?jT6$q|OWmoI8^`ZtPum2<9;_;N<6SA^%nzJotSdCau6{>Z*!^$wcJ zU7?a~5V~Eq<vPO({hi;t6)rSztbH%3P&{$&xhKC3&ALu1Pw|{CG=n2rV_R#$T;r7K z^N&ukmT1<FbG>rqE{nmp(o5goWitv*d;Nux(S_l8;PZk8gW!~=%~LsE*jw`O?_<?7 zw|E}uGDq^0<IJ=ypR>YyOKtp@yS1ImKKZ4Ld0AEDWs3>kM|m$X%ifomE2-S)_x<Z; zL$6uSa~?5vDqI$9yDOsQ^j)L3>MT23Ae-RQ;w|~o%T8Up(tY|$zonRYRzT^aQ%@f) zxU04<`a{a!^-ha6?eD*PB{4F8<qqM7>nr$GE~_nP`+4N(`JaXho_{z$gWtn@^RJ4G zPqSxS5ZZC=-~5|DAJ2H2v13`J%v{ry**mwr_}P=$c-E+chu3{w&*m)=O3u>^o;>Yo zV&z`M{PB=N^$(weyFx0Pd3XvW{q?OL#XY<woI7WZg3@e>t)|DFehZqOG~izQ`R}r} zhfHVZiff0c+~GQ8HGA60#ZTf4R~q~5Jr(r1f~h5~@=EF3)&0s2{u-~hYN(4Hl+<)R zk`Pz)?7FSeH>I!13{$x5W|fD3jjL1d-K8OTP32aGW{vp!oh6s@y`z}2pMGk|*rEJk z>ba5?dv%&v8)GhST<V>7ans>hH+Q$BpBLJ1EdBaEUkUTHp2W+s-S0|b_<ZDUMahc1 zShk*zE9COd0@W)orSmR}m-KpzDoy&ZZ7Tc0%hxXboTYwdUE5;rzwLjN4JRKw_d#l= z){}L|W*_}=IbeH4mDLSXhM9ef{N_gT9#683d^o@KYwkA#j`Vd~rrPh93wT{MtNqT* z6F*~qSg$a@dPw_1+8P$YRdQ<mSx4uk-rml~ax?7Ey93J(Tb{PO{k(qXzi$(2^WIp9 z&F8f>FL^uvLUG!0H$k1Ns%n3E-(SCWr*8M;OV%3?$NW>DE1$68<s`Q6+P>N=|9qBh znz!;+=>J<OvC3yJt(zDtx_iO>xNj>eqRZduNyf+eluq=_f9i7iM8;XmmO{-1^IlF^ zG%tZQX<_Ttj;Z?&>70Eu=g!Q1kE4Q<u7{mr^7K<W*nj9Ahrssy=N}fcx^;v&n>{=5 zf7ZS-rFx?x5Ak@m4{LrI1Y}IU6;|t4XJEl|^Vq%TXRgg)EoA#H_*nV)SC*WO+ZP^~ zxZ||nt6SW$UO(LPX7AdmXEo9FUjBF9D_1W0r3k*<{+lgN-Ccc>$A?>g)iNHZEdP{I zQS$%NUzr}JqtCbOxYH72@^fmtTfiMz^O;xf%r&2RVUKmb(ZZe9`9_wu0n>PIhVK@7 zl)|@n<&WSa>bpx+?pj=*<({<3qI_rh)MM{uJY{4Ro!<M!GkjSWb3nKA(SwQE{_hw3 z=i8|K{p0#G+XUUe-eD9dFfLQ^u5Yo|6qY-c;B)rstf^<C|M*Q2&UqMcs+#}9S>Hnv zHB!siB5FlvMjn}$KP^dUUD031?FXZob9>(w{i|X;@X0sq+x3w2BM&1o{7+sJJ#pmL z>U+#f7EN>Tjr_GE_h8}b*zMo;IJ<~QXE$yPJ72zLt?-FMJqkBNziU=UYbd=gkKXvu z)VV(*<M^`RmD8$<>%Shk^s|eHOXixWk@}>=z0=lzcsq%KUvc|hhb7;1rfg?(_D-wW z{l4%(@|!!$YD>P%l{waV+>M)ezH`4q;ANd%6WtC!yr|r9#bk0{&5Q$G?AI1b9m$e; zDd5P(ZZ#*N)uN=o``XtQ!z-pgcfI@?cdO!EtP*#kK)wEb<!F&RYyuK1mKUTN{!Tp8 z&u;hezytkxoo65TY*9bKS<W)i=jWYco{w!OZLF16=R744ut}yv#O<b#61!UeW3QQq zHpy)e>z58Z(AT<EQh&;KmdKi>^N|u2wd^au1u4oce6;xaEDIk$R^?CXD-;ss0@sVb zn%}sD*|yZYErCHa@_b}<w!X+EZ%_BP#z7aYv#uzIf9}{Mr=C!4a<Dybm6O6c`DJ1M zC2Spng8U@~uZHZMedt?Ub3(}4#yzcz)OO{qxUk)4<>`y{5ecsB5kkQ?-9KH)4c(&f zc2)A`SBJu*pKrL#b7}oA*6_Niwd?XGt>UywosoJY{O4Y`t*a_Lc9?|TEjeHN?}3@k zJZt`nEnMu?%KF0M7fzXa2s3C&O-lOl*I@72v)jAH=FHT8A|8AFO6SyP(SI)q6*+!p z<2%=|hlw%ny7`XViq4KdqFh)rrN0<IeJ;6Cq^(4IP0+uod<qN1Usf{v+&=hp+3D?U z)9>3odM<kTb=RCbi?4_>Y)#fW@7YkLQ0&oo#*vHv#f_YJpA&wZ;r|vStokSK=)hL9 zqG3jw0{d+bM>C&>AN{Xpu0L$;KB4i*W%0uAHz)o!zrBasrd#6rZQ+elzU-bR8jRJO z<sZykD>!FC>t;`ebG-X5t9&`Dza!ne>*=PT7wbz-pIYQ|FX`RcqqkobCNu3;XiXM9 zAofVu>P`9kduKlJHZtnx@O232wWOzh4_$S7+lfAVv8=5LLf#t9idmH$7Y;=`E!_Vj z>gM+svAwf-b9-1HO&5Og=Ei-u-PxQPVmzTs84Ie5gFaph%(!`RBBQnuYe4)hVb3{A z)z!yWd*pq+C3$$`teqxr7dwB>?RDr5+FkN#`~3e#!QYSYM18++_VPY!)j>|4x8Ysh ze+t!p_XKR(cfXfgR?*w`+FzAB^M5QiU8Crk;y7ddxi4b+vmQ6bIvlp;-*Wr7wVv8v zr@mR+XUNY~{FVKo%~kQ&OD^3*8+6@`tBNPePw;*DmK|x`iJ`H%c_L`tiJ_^1;n(F1 zS)n($RX=RdkbSiJFW>DIj4eGO*LTgi{^+Xu`d_)Hrv!ODk_w2D5fhy_eG0=whLsFk zcE|Y3Z}08@v)6O_cdhABT`qht=d239yY%n8-Gz_47ANh@nf`vYuIHQQ6Sb%R=?Yt| zWo5m5)v1Y_p5M;9S$22T+>Fq#SyS(BTe9olreAw&&(E*;_hU{~=+*c8QclnLskME{ z)s)-YVz=eqmbrOQru+Geo10@d-7U-Yo~82Y|828C?<<q;s|Zf=Q0jB>JFgj5YkzXP zEYq8fx$ARpuY0{~+6vvk=ta}i!h^kSuWnQEob=@PRgZ0{<)K-XlaqU&_)L0o>EHkV z;bz{qw&iZ#cJbD>T<wn=JtwKudRKVl-QH%qBGc}(-uAck_3u-+yXp3C&)pJy*Gx%C z>DT|?H*|x&r{6KRD&<IxF%np{>hJQm+e}ZsH{@QTz))fHuBhDdS8QL+^u_m{c9id2 ze(tb`tmfWV)AnQ;75ZJx-=1<cfBTcPmk&<J7(8fjc;cYYcZPM5shEkLnU$Fd-^Kkm zQ*KZC=62=5|9WQym(bh(w{o*5*Xv$NnDy(Vs<hAHKv!4SjgeQgLRYWK{at@M*LxC| z!m9LQt%-AbuQ->^EXz^cys&Xu=B&K%<@GJU=j`5+f7^PV^1uK8|Nnnk^6T&a_0!CD zp7{U&f9~yVZ};c?UVV2#&aXR9l(x$939xrCY?hP}mvz}}AuerYBqpITwcWeT$Gw65 z*rP=oH!3O~c+9vo=%R_Rw~KS5!UqAzjR6q~TCB5J#5mb{Sy~S;u?zaPEHzSeT$!OG zz%4hUhf8KUCnJN6m4%*&k(NS5LWG=@)bx{&of;o)bo{s@CP8l|_c7N)j7pA9+>b?M zeKckS2>3{yZgJw3mXhIJ)_j<0@j(IC1&p&V_^AE+W&hwxnTqy=OP1-ONB?hqyG=c^ zT7c!iW5>{~|F_)TruH+J4;~fP4q;o_F0T>%RIs&brq$%dlMFUFi<#(Y3F}FEFU^|f z7a``OrNHH3Bhpc^V8(_J36@U26)6{L+gKDDR1_FDi!&rBC<utGh@3M;;2om~0|P$~ zmzI}-nUsW#kc5x`4-c1&iWrv=7kl%=2@Vn>{Vs}^euflXV!l*gSNr7KvyIzbPt4G9 zt#0&qc)j=L|Gxo$#jd^C*7WG1cjB>|rx(xAy1qo}z@7B23EEC`zP=6?68OlHGk=5U z_2#wPI?8=#-PwHQjq`#to_Y%liW+t&s-4{a_53-PGIp(7k9YsS9&ECrE4%v2(U2eh zyu~M9UzU#LSIn4U-X8pt!#}f&;hnHt&Dn2}0;M0AWhzs5gqihNCG}OM9Z`I)*>vx> zZ>;<ObF-~#L|0G9itU$QBD`O*UE<Y^TT_|0)=yY3mw10ls9@|-<+G2jEL*%dT1aI1 zdnF&k%CFTEA33gPn|1B6c>1kEi;ra%91fOxS*@`J+$PGeJttb9FuBusSon)dF7vjQ zM0v@#VJ7@X_i!%l5jk_=sg<2Ui{<(*?~lK>XLs2O>Xu74d-H_^&TgEjo$<fhOi1uV z=cY+aAB=NVlM>WVWpO$9Z@JjxaDK~0nZ|h;i_eNF*xrqlE}Ok8^z1%^i0_KC<oC6C zy<W;1cP2T@TW$Z{g}G}vYxdvzcVp80^-Z@Gce1&t)+#vmOxrKe<#(p^qrlpp+K;Eg zp6#nR4I)ytljHZKRKKxr`lZzG)p)c;P5<4j1^>AOYwQC!_x@#1eS6V)Hy`7o!?P2< z@420LD9J){tF(cdb;0tU0@dx4Q#MOQPPKYd8X2);#?|buC3CNz;97Y*Z2KREM;+~P zdSU4vw@xWJoDwn#u)2Nia@yL%7mn?BnY+z)$NoJ_60>q+wA6CmvKdWPVSlk(Q}E&0 z<5FsZHmkC{^mXJM7Hzt{luv?J^JS3STi(v6d3y6&<XjcgUw(6PvM^tz#Azw1XW)9c z-M+x1vj1c~`>GJxopvG2Qe`KrEvNVuZs5>n2vIn>OXC2)l&Z68PG?8?;cNB6e>!sR zC2VL+)_d2M)BZka_Kf!&dlUWh4lwno)&FVk7x)ov(){}IGG3M0>dG9QOB4=9YXvk* zS$}9>`-xLc^2)Bn$8%OyTxeS>UgdZ|H2QsTjAb_e=Fa!b1*|Rmx6KiinIrHo``o9^ z9Sd43KUwzGPOd(-z+v8lDo>9mYhE{f^)s7u_R5ry_ultRf0kJ#b2-Z$n3J1%>Qkgm zW?TFX@9mco9O@**{Y0PbQf{8o(U$Z7ciJ4gaKq3ym6obE(gmg5J*1h$@9z2YG-LwL zk*F2hW;?b`XAXba7;^6Y!K8@L+xeD>c?B{-xoI7)hh<;PlTWK-San7;;L3{M`!fE` zF?(yp7MvRKK}NW%v)xi;{gu}*_P8D`%Ud{6{Y*>OeLmLH%`EM=n%#Zg+;PcU!5*Ia zzFV2${KwnP^?!@5W!&pLDmo!y8>7ONJ&_ziLcedM_FtQKCwS-X8yxBzG?-SpGwuq| zoRQD8mM1pd`+(-O#W|*{A9+4Jc1?9lZjy#;KKq_4ObIVro_N-CZ0FtP+|aV#+^2id zJ*BrL>Zdeo75;48chKEwNl(t1o!_H6Bv>ZCiQoCJa%N0uK+7e?Ho1vTM_L&UMV+zV zDi-HB*Z!Aa!NjAcG3;E)x1B#9Q8M~a&&8p=W!6^z^j&+!*$bs5o;>=pM%8__*7xlK zmwF~yHR{`Kx3p3&zZ-O_fZ_d#M&Dw2*7;jZ?w17y9lbZDZSQo4Y2O+IZu##!xj`~l zpLy9u+1qb-UYy|fuzlf9MYFXYQ&z-C9N%_T;mX#-ww+ps4zy{`JG;x`ZShA=r+1vM zl>T1)c<20vlP7jGSiZM(pYJkj`Mp%O)ALrClxS`#S-Itl=9ZG6%$IJZnS!hSceO2g zwfA~~JG0A&linhE-6!f!2gdvKN)?B!ULJkBJn%K!wr76P!pX{du`2P=oU66}WpS5Z z&z;b-%SHKf%chIRO?qlBT>a(0phWic5uW91*Qpk*;5>5C>FAfc`~SR<F%oo;Soel` zGN<f{>o@N0I5P7Z+f!d3OUKn7b4p_av)*=Qm>z8H+qpdIl2<IV>81sH+ND|_e%-46 z!*YI;fA2<P)>;pt+q>1{&WT2RVzgPe^%={9-+`*CFYIlcb+qjjoMszcKK1m3-h?{Q zlO}ax7wccYjnD1KQF-$HaCGPAyqV1(<90P$aGYDVEw-mQyw9#6$$i6ysnbnz-k1F6 zot1R&jdhK)+YfX8V5Pr@!(CeHKU=6?+F!$eQOS?Txu9hFJ@?xh^Og%IJp8mfGe0ZQ z{`4KQ<Nn9ewlf5k?s^-3__%sRnU0fR$Di5K2if%`HoUA;65FG>xBTu}x1*}QMuHKM z@y;dgY%Of3417wZ>rI~Ck<nLtT9xxcW|d2axsX(D-u0);Wo8$x2wjkJYOdkE@YxIA zy)JyD{z#^#A^Ta@m#)rRKVQw0=I&ha@N<!Y?7O*Zmdw+Qj(YK_!gcDjoqb0yF<ib? zzhP#a!|%6~H`GWJc4hX-Oki{=T3>ypAjWt}?FHU)mh;9w_xDe*eKNb_b5r()08!;E zhnMZ{75))%oR_S7HNp<<)SEFQ{=9y4#=L9O%u1g|?8;OrV5r<VFMq??UHe~DY|J>E z`JyIm+N_Yex#8vSQm((eC7D)NesJdrrnzlRv%mYQC(1Ojb~IcL56xY>SE=qu9;evh zOFPc;@UB{z&1bfzhH<{)EwgBaYez3u-rae2)$%`#H_t|{T+GY6D!E5}Ps;nvJv|fD zFRxsbbE+);pmB;v+s;L+8s{9yit>D*@L~_s&zdKTV~;)frrdk^VC~LLlP)%|>XUn7 z{7u<h-{9Xgm79h4B6j;7d-R6owt=XF#WUCU>XvRAip$v+M@7%N(=)B};ID(<Om4Bn zGxy2_sWk7u<dAV-@2`oAu0^=~(%7-8wKQqll*e554;w#DyU5sZ&C=-G(`>G5t0NR= zxHU@m-eW0yEz$O>_5Cl2m$Lu9bibJpA$eg->}sW5LCLWXo$mxXAC1+Mx%%hSf=ril zPgBmtXKY%@>-F4JW{u63HxKHK;(ob1G`ccx3Ozpi<xkgJXH!hQc*8GtE(tgEpP=*f zdE2i?#dDiZht0llKR?sukVFt;+~36>jrHyGzkFZEw_nKVQ<48RlXH8Ub<Q)!&E=UC zCGzX{r48-(ucS6=mPS?w?Wz27;leht#=edvytyv3eX1{4KbxGQryBot;}qTt>KDZf zjTe9OpDOZg=eKWD#TUvbU;cJ%%X<FsYi-uX;YN&w4w6B|At5Dld#@)|UFh$VIw)L` z%dVDt@R;9@n^QKvjA`kyOp8zQj<((ADXkcEiDAFnrx>v_QB!jla{T^V$f2_8?@Y;- z@KtqXk%9aD8Fp&9-hZ2wBO=~DE!FGN^j$5|XXNwx<!xR#sCo1I8rhUolm@F#=G#%Y zo^7hY>lxPhng!i*f$h`WI2m3wTfCedx@PgK9aDm@^u(<+JGkiBgRrfWcFv6X*tVt7 zI_9a*_Bp*f_Q@>S9XU;l)8)E}?&~|BXD>Y7FmGXln{q^We14MbqQ?{Ec;~(P;wvJ% z_wbp}rGhK0GGce1Iec8=U*jDKA-<*VbDBDK+xGdCcDE!jmkKTU*O4}_e0ABx8!|OX z1rB*hbEmB5xv2FaRK3Dm;@8nnm6fl<H0xG~UYxCV^zMQettTF7GKx3+a{KX7sDAhI zD2sn{uB%O*&%$L>plD{$_+3)1W`|g%NAJdquRJ6aneWy#usbg1lB#ex=x@Dox@dDd zliasI^UA(YjGMrs>2Bn{yyxgj#hSy1it4uHt$N(_@?48ZCvSvw+wQFouati*|5nTW zuv`7x)eolcOJn*NjRjn|;y3J=D81T@VRcns)!gS(L>Fc_85Zx|UCP%T)tbta`krBu zNw0@RvRLPbS=pHzwlA>Ya-FhF@6Ww;&%2stU*I_-pv4`Wnj5ZkJ5sAW$m^j%=d<Dm z8Ir%wN+=X5eV&@$?!W$lL0eR+e2$yW{I&e)UhD?9RJ4}rJQm4FC<}IHi1v*;uw_Q$ zY87MQyNkjV9_?>teLh7%aaV}TjBo#Rf*!wjH?w(ntEA7ZPWkBiClV8lyWFGnCWNQ= z9!X3plyCf?ReigSH(KVwBE1(5=3Cb?UkQtTm8RM@C-6uQvvu^5DAzEH#vS`3H|TW# zjdB+Y-&ghV`!`!{p7=+F35QB+WuLH}jH|KXSbWNNQsCByGo%Dr9;z($>0Iq;!f`Bk z5^r#*MhDx&MNGR&*|nrPpV+@(ZneCr)ZWLJncpLKuW5hl9G=ZSnT`&ba^71bKJ>i| zpSR<=(i~$wTfav~9=I^^7lizc{Sn;KAhLJzz8XQ^eGykr312p<y6V5<PQu)&n|M=B zKJa<AIA)sg)ded~2e(DtKT<gD+R=3DAAh6#-^#RR)_+{%@G{BpK1=G=ts1kl?kcr+ z=(Vk8>5&Xy_R%E#Jww1Ev8yXqL|^-N^wa*r$Hu2QrEJgSF-Moj&57B%^+@vS<9dD5 z-1FSre?I8CGwIRtfYa?F3m27KQFjiwZ}%eGg=JCFPG$bAINs7Vq8Gv|og6$Ay6R_h zdKA8`;@tJc$TMMX(ge$=AuH7$w3Q?kcOJ6T-`x76$n=o(u6o|-JF+hBy7fO~-}|CV zqPym7(Q)^geR#c*THX;$D?<^RH(eoV6Kpr+T)cUjBbU?GF8*cW&xo`*<tdHxzh9g_ zPwv8>5Vy0R_HH?P`jO|K7CE1*rfhTGZ90ErZR+N(u*q(#=loo09jc<$oc4Oth9d$2 zJ#VJ3IxMq9<VrzfV1?9OhQ?TqAM?v61YMMNja$I@df}r(Z@VfNYfL+C(rR_ZoUPT~ z<&Z3k$oX?ej~Xp~c>J*MzxmP^%B1zBW}05qo1=2z`3LpR^2BFLULKs&{O)Z0S><y@ zb2)#MM9hs&=t%vRnq=?b@R66RFfVg)*av;VNEbP!d3qO}N|MC7CtH}zlU2=GQ0WoG zV|IB(+^z`yH4)2$yIH0@yRhyxzelZ(wPwoSs6Nr$toqrrkMA{FF(Lb-V2Dnmzqj$_ z6O%ncr<~sU=i2j!YtQdvDQ3#by7t^Kcbe^UP2K%RIy)?tY$^`Ujo^_^6A>_s+x^hF zU#{#88*f0;feryCcK$aW505oIYLiuSso3~#>NVH<Ic(VmbNc@n+;q>jFDYNLE?-mI z?uhR1Q+DPLXIePEn3lEe)&JeLRoAmyg3OP8-YpqZ=N)?a=k=<di4vikI2e+ngrrn- zdp2yku%`Ru$r<@;xJ943{a>ViI{NQg;l^P1o||)K`q`hqs^p=&U#aqz%>NYS4L_H) z`krB1T4B5Pgx&Pq*7r$2dWyt<tuxqh(ku4xzx)+NYRoMSp8Un<4@Qfd)M+GYmYtH< z37q<}JLqfWYhH(W%C&pdQ}5^fuoC&SEvlyfSLRL0Y>l$x-wu5`Fe%MrE0gq*6JiDC z*}|_hp8xbcZnWc=TH@a`+qf@ZIF<6bN2YY5SeC-=sclVhoKpYz4A(6wG+4;WH1pw- zI)PfZ%^DTlGr#*de&rH3xcd9woHI#}f4M#NILN13U~$d&_wOFPsm{yN)a!3bOYq7q z`Mtc`O7_>5`n>-wS(dw3US5>G!isIJtr7piIWPB0)!lki^W;)q;2M$dqJd%G0|PIt z_ms<XTkJcbefhtWYqvLC@SoIld$Ao`>tpt;3mLtf6Vz5RPPO|rQ_*8ZP|i}1&GYxl zSp5Fr>z#GcmC;c+e72p~TEi1@bJVSs!d<2MZ*ZFY(x^>WDb`q!tDRc=?p{cc!K6;P z3u{xKsxgH%ik~)~)c8E4EJ#)^wqU+s`I4)Al9e|9?wc51+@<|}{szS-?3Z|M$~swp z4S0UbhS}pbS77zTq*DS8eOKpAT)VM}Yx$iIPnNx%o@lIR!E<mI_u9{y-D$@(L&SGk z6{hE~E#0*KEo;+BtB!jw6FBF|yGcY`p0a$#x}Zfr=Dp02R*Ro`;7Q_)TQj<swm#nV zB}U01x$Sk*>WG;5|KImH8Fu=LCrxfmj4ywAwphOKdQIiLl@;b(&hgAflgoeXWpmH0 z?QCD;n?7fIr`eb44-eler5a`$Bs85rCZZd1UPoSPa}2}A&c$~pNgYb6<2%6Tsw(q( zhU*Ej!*%KhJe+nj1hHH{uvd2W?|)M^Mc?~d9i;DI%bLME<5<(vRQdj7hZ~PRZaLK< z-&XZ9Ai=mxa?#Vq_B4f+p0b`B?=MOD-=6a6O7PdT{oisA>@m+c_NzNAv)EPo{fpOH zWx1ILtfrp(rsUxFbguBakj9sS!HcFWkKcWq5w?ksfq^aFCu)XJAZL$00|N+iFmN(} zFL5s}NrZ3W1MSmG2+I?#VZ0^YJS#$h@6hCurNt}T?&@Ftwln0g9{cSZ$IP}Jov}3O ziG#%o1FMfuCi~m{d@{NGQ0u9;wsB5hioSXV{r`3UPIi0i#SQJM^5;{^;{AX69-jUr z`?y<_vdY`Np0}2;c5`juILkTZ)v{posk<_-_%56`XVwh8my?2oE?rbL)l!lY+O@>d zoORdB^S-t3ZXUJWx#PLm_bZR)+3rXe`+nrnyzo1hyQ;$q{k7g%9<{A3@Yj4NdDM2{ zj_1PPOCHU$-m#qT-OVGmGj}`}`X2IVS@50sQ_Pxr`%St|?-1!aEjiV$(6e&KOFr|f z50_cq5ow>jZs*EbvzP7EoHcvi&XqG~Pur<Eb9Ud(l{03y?bMtxyKd*o>9fmrYEGY> zw{zvR*=aj9r_GMrxpM04u$`JyXZPI=d9y4yPwVNjz&x&}|E68**I9G=_l{SIp{13p zeg}VF`p*4s`>y{b_g~gsu3h%q`}@>)>UZ6D)tB6VQFpO+(QoJPt?$_HvhVs|eE<2f z*gI3YXXh0L>*n2Ro}E@0tdm!D)OYQUOi}ZtkJ$D$loaO1-dnNYn(B|oY@720UbEHS zd2p5Y+YZOo(rb1(u9BX!%W<W2&o0Lm(lxsrmrLjDa$F`I6XfM&wTq><%R#AtLu40A zvxCA1_Af6VeR`b4ty?wW=+pM2e`5>l!|ue3es@3m*SE0VW{17zJN>TT&5!=g+hMQ% zE}#AT^5);!kN(Zrv0wF_f9G#+rcUJ^jiwI877nJ4)9=~kt4=WTPruJ+^`znOtkeEy z|1RBWZ}PqT*}pS);%EL=F0N00=YQsJ>rQ)v@86&P+jA#=`tQd@_0jMAPybEbX|EH$ zCS+mJ&)!boi9%05HGewdIeCLO-<AH8LaGU#diE;c%b)z~xf4I}_wQZyO5e?&{A;-r zKjHW1UG@s!ub0-xeBWMLANBqF<A0mp@xT686Z3V$s$G9pEL*Z@f!==g<!Z~8p7z(| ze0k!<C+%JP<u6`%`dxTe&u`Y)dn@EGJpEo=Z})xoj`K(V>b}b^+|T}f^N#+bf3@F5 z7w+%+9dc*>BRl?g-i7vEzXNO@9^oq~_^CD{!l_e5um6akn~39)r|kkt913+i_V9nN z-Z8)Vx6PgV5BKqXFW%9A_^;{rt9Sg1<<I^#{(kn3e)0S>e+|DMy~EG^tb4lNbgmW8 zH@SL?=}h0Bw3KV=#?#$*=NHAF`m6DM;hp-T`zQaZeLwupc<25n`zHNP_&)iLd(r<B zwaVYS@BH7jU)|`*r)tINh4N26#eHwQ^M2R)Qtt;Jk12Ea3s%HD6lCh>uLyZ)*y=7K z=U-8BU@>REs?Dv6H6J}5PkdhZJn*^U^No!CJQXnq7Bk7E+nlOUc_{eZ;!twWaTXh; z2Ok;wm29LQ2tMD)(9cpKb6_!pT%yfAxqP|rhAqd}?b~7Ayg)o6vQbohLlTRJ?2J_n zB7!%H8U(EzJUp5wAI)27XVZP6^{~_P&}!{732d=J?pqf>e%1G4kCmxuhGKa04N<3n zvtAjjXSpOi%~$z%J>`1XAs%OD8Ygy7sP@IC1B^|VC6^z2o#0r;bel2A;~<N57RNyj zp94&yMMWVW9BLmjnFVcK7to`|*8E~xY4TQkMV7q^n>;I%*}4<%O7O5IEPTC7uPpX@ z>DLy~nwp}5ngY?|F6m~=%hDOSud-ZVpL28T)b3xFwn7J!-Fbu$1Tt`%J}F@AV=8;F zfU%Fu!aD4La+~;rGYV|t4+>Hen0XBzXe?lpE(kerv2ih*tl1I;c7Cb60!FU54GWp> zaTy7xSQ^dYao-mER*reL-mVvmy3dPgy(l$!&Jb{VTM6S6AA?`dycnAISxU#$6q|1N zap}jT9SlV#b_$PXKmPe}_V0xD{B>=!#3Sq)WO_H=e^OCqr?LO!+cz~nb(1gO{5j#x zo(~@xpA?m?sGEHG=e&KE>@{BdPtN=x`d2Od5c?OVE`Ejd#hv$`WGQ@{Ez_%5H~I0$ zhpsdD6}BIKYHm4Kd;iI&FSZ2er|sSv@V}I?qP9G0#rt2|0?wCyIL0eb@jAipID6=o z%hTI4)jf~Du$Vhf#+GeI?1H)%7W25TFvq&}>iQkx<JIwV;+@AG;&oJnz1Z5nrr64- zrvHYZ)AIKGbA0jaHynzE%1Ux%9L}U(SaI;F%9{@{mYg=$!G4GO_&Bv%i-hv-Ff`7u zF=OVF^)7g^q47AMiVGhD$SR`;7YsjJF{B+>=-k~VC+@W7cG`i3i(l!NR26+W6X47z zXL={WnX4*hh3nr|7BdG%=h|tvBddDm^j%DTQj~Ea`Nf-zj}kT^FKj+aSb3FdeU#WK z<@!g>ow?)wlZuKTzIo}rGYw3)T#$I&C{)(cCXsaE@MBiZ1*?ot<b#~F*Y5P&jK6Bj zi@(l}Id`RR@;RShy?uN#Hd#9kwn^LSANbICF+b~!f>g|hgpJ0n=Y+Sv{9;ge+pjNd zs)x<7UTK97g7cqd>=Agxa^m8PhudZR`Sw38yYOe)Wy#O8#ib%Dj+HHXVe!*<d3rmK zMaGMVADcwJ>|tQ$NZkMO%aa$33^x*Ae0lO><K12BwR&q9c1k(roxb>Bm+tZA(&)V9 zueQzMyOO(ej+FQIr&$trr!7`&&O80FpfEa*z58~NRq&l@rx$)alDkvN=gzdng-3EN z<rMQyzx`4W?Wf*;yU5ZeBk%N8iQ?$Kw&}NvY$EeczYW-_yIlC`wwQB%H*$AMdFGwI z_+yvu@yDgndG4>b%{g~9cc;wUmA8vb=j5Hf=&?)pxN}K#-tpf%b(b$bn!9t3(3u8j zcmH!d7A0SH2t*am<_w5&<5bcqlr-zu(IaJ6vx7&<tY`<1oOHTgvO9~x^gyR+=Qk*R zlQf-G!^W#Jf1&ad<08HVUnQ-~*61~}zcMPDr02Z6tzB<1i=N)$Hqq&h%w4A+WIi$~ z$&e_NG^yLcGfzg(x&8Q=)HgLZ4;D#USq1AQtG_V<C5w)NCq^;?PYiv2e3VcPv2EjB zetbpY7YP%k3(0Q;9J-G$a6Ib%pzx9M8<mfOB`rTVEUj#HD-@XI8Wuihm8i<-P-O3y zD!9YIEMr||!f=>{tKjv4tmB8>Z@j$O=*-?PYngUnVRBiv<J$v#O@95{DIc2TBnvKl zxEmF)dwuv^Imx060?s_Et~p<Ld9je0Pfov}kymrUBf)0woCk%@JP+2gZVmp$Ze?qc z*5T;RC%6A(7I)m`mm3|MZom0*VMAk^bjpT<toMDx^b4ft%1J!9u&_x^*8IVZiOOu2 zDI0d4%;MgDcLyWW{ghCq|9J-j@;9A26n~<@*}b3J%sevo;Wnv)2Nw*T`?=-sq<m%M zU)Um&Gbi9Mi<DVK#+x@Up8R3332JC&lQH0VpthRl2V;)Lf;Jv0i+K{(92W#1a-4WN zC4osk;b5zr)Defn++|NDF!W0m>_}jfvG#gV*vMcWdDN1r;?0GN$&9mSuMv7+CTE>> zLa<@>8m<TCTs{w&b#^GIyDwkPYO-X(aVB%~$h~_ROm7@$KF+K0Vj}~GdE{OFep%Be zFJ>NKkJ#Yg&mEM&43as(ZXQ|tQJ|tkEI~P)QSHT(HxE9%F>qi{XV!~2z-(@(z$hN~ z-~cZx+vcPbqDm`T13#`j)F`p7ReU4Y9RX%ui<Ad9J0I$taB%LE4lro0Wa^zeM^=X4 z!-3g??}X(+w)327FCM&k^WcI48}EY?4azJ`H#+?m2poE8&~VJ}+&PhhY?4VGj(h@V zZugzzG|QT^U~%*2#hV-E&SAZB<K#_71`eZ{XVl(IQ+mKC;U{pA%}AkX;_A5U#S^&P z*6rB3(L+Y4^T_%L6{gNOLk|(5-AVnuF<-lPM5v~Qx^6AxTBXVLDs%B=A)(8YE*(=@ zyij>@#FmLGngqp@dz>u<Gama--TtyG=lGIy8e8s8vU+^6%<EN;&+W#mk~_B<2c?^D zn>)cua)WI6)VWv6B1+4GZ--vJ$(g%6B>j2v?j=^c*S?+b>P=Hlc}TkWwz~myWv`am zmAb{Kr*_@AA*>NNt07n1%r%F{Yl@oJHf~|*Y@ux_yES|d&B!rVOYOQbW3qa{A``<+ zu{WkqP>OfiCjIEB=n?J{WwB8a(NXr>PVZXvsqpI88|PLvxqIB!y{ewe9(u6j^|UG5 z7O(2xq34y=wd$1Ga`hEnd*^h#o}u-2O2OR=W!_)Acj&D+)$zKfC9KR*`z600`<A=@ zZ}Wr~UMtL$?Y(B0akqA@_4?A~Ti>m_7JK1WYp>LejO6{V&Mv(=**-h}#I4s+)sflf zv)=w)Q=9TuF}r;2+%0AoZ`r@DNReHB?P8|v<ZBZ%Wb3cpoltsx>$^?Y=3d?PJF+-I zna%7{MC9I?Tjzzm*2;Cyo_!%|-OY8UI;sz{O2oZ5P_)4Akx4-#Z-xSMcjGUSveqim zvesXsavOB7xF@i3tYLlCvx754|B*((-j3A{UzN@=T}^)2#uByS^6AbU99LdGE%CAt zE^GbeI;Z!QtBgPAYuA__3+dODmV(bKEstG|koszPoWWx5-Iex?+Z$3H=AI8~p1qN4 zg?eJ@mHrJoSaN!pb(pkXJe5drU6H^jmd@bKAfeqbdvEK8?AziDHyCO*DBQ^5-5&N| z$8^WdORx5`zTEeZWzL<*=PQpC#I64qlC&c)`7K|V-mDqZrs(Ze5ea$!;!5K7nRnuf zqEF^-xb2yzytDSnwu!f0^Xl)a6;+?eRovcu=i4scCuP@j*WHfZzBTXvj=81MuebHz z4&PpVXWOpW((?hnrIPFKTs$Sa`p(2tvd7<PlpWVBpHg&Oqr9c)xO#a>(Q&nMp54lm zt@U;;o@Cv0*YKq5#5*5P$oAgZctUpnJLxMPN7zD{3auL7{VevMKbtMItkAOe&gK)g z``>v!ndkgY^GTlLT*o;#8u@1JSmLAOICX(%fQsHrL37hb%UthF>6pz|7_68lb;P%E z$4h?muMd~m-r3aYRs7+l?Jq;Svi@zy8Elvy2(}#OmwUbVZ1P*fnkBa9<PIKx|FQo| zq204pd%jO~eKK7|sXfL^(Wv9gB!`siOP@@N4)XptA#%Eq_pb@zo7%VRni4w6%g<9& zvQ%^7lgTBMd?&pO((_cEsWS1&M3YIblRWr57kW#oG(PG7^77FoXcuQ``%%%b5XYlS z%~|=EbTD;!zvomhThOegXR9_XK#5aDZ!e@fqi3tw#PEn+UT?2l(SgH!RxwqU4>q{@ z&hq9zD`}j1BF1Y$Rq~M*#?MbLeZJIsT1<C(oEWI{vh&M|o=)G1MK4_&L7f+G{T@)) zWqO>DihGa9&M*5qcm#!>T>8v(>FCzdmzjT09QsuFGWP3+Rf~3g0d-U6>7|F9H{R9r zS~RxG<@@!|EqeRoE~Lirzqs^!=l*%;pI(oRsXKc#H736>Q}^Ba>Vlu8V$<W33nj0I z$K2|=9eQWkqi-VHD+{8#ZU^3Jd-P3s``jISn{Qj~xO*g5El<BNy7RW@9k)l{1h@C@ zh&__4l*eBf-ErIT4%?$|{M&1H+&%nO=FYQ+-}ttd?wETxS0-=$&b80VX5G%no4+&n zS=r3nDS7=nb)S{ZxSfz!zw_<Wvgx;D^741CeOfl{c0^wM&fKSEQ*Vdl_1_iSZTocF zl-q%M&O84;**3Y_t#q=t|J`Z3WJ{%AeY>2y{I>7*nR)tm!*<Ork$(B@V(#MGuG>5F z`0tACk}Z*b@$G!>eCx78cfEHzj>>M`k<9;Y#!=agJ06RcZ+X-gdS_ynwO^sT+?~KK zYqvsojdwqe$j;sInD5<+W^1!TceQsrj>t~kkt|g1@~F@K&czOEu|jvHcNRxvTX!T2 zl(RhQv%hn(-TGU>ahW?4+pXUfxXZqqaagu+$KzSnt9B;OvR<_F@l5MkJCkQxPulr- zhIQA@<QdjYJ0DNCuG*PA-MVP!<7w7eJCmnXyY)usJ)U6AwKI8wb=6(L6SBMCohUuN z);jC%$K$e_-*uF_%a`xjmE3O~b$8>dzL4@cyB1%OUHp!t^!RdXtGgRt_W6{@>{@(D zcJjN9lH-f5rS5Kg(dSYwvup7M+2(f~CCBGm|GE<^UVi-O-Q2?Rs5`Oz@ASHNAAa<1 z-41K*ck8-#FMjlH*$!*DJ99<K#gE=~EG+lGGgr8Ld-Lwg2k)8|miyefD^$Mx$X(UK za*sQA1<TWq+!ZY>ce!&{pj`dPUDm>KhdX!q%by><`?a9la)-5CUS*4*tAOE=r#)J& zKMuBg-*o1yxZ&9DJ(HO)`^u7?Q!>uY&~$zl)VFhgkk5?M>sg8=!|QfViOakBbXrW_ z&ZpC&@@77r7Lix^bXr(m<kM*(d6s3~8>9VpE}atXwo`RVwB637lcUXcrryw<d8g>4 z?$kR%Z>D+Xbv~Kqo|pM#UYx_O9ozJlU+dS=k)Qr~RePxD)u(fIEx%&B_#J2I`Q_DC zcQ?PB=kq;g*YZoYlizigoL^imb$9cNc`n~&b}bLMu=L5JY0-Crx}(26m=<|Qs5?5Y z&|5n%@~H009ZyBJKRKwobVsVl_LfJ}{O?@qjDGiEn$?a};q57prg`59>Wo$^^j4YV z@Q6cMFI~`uN6|%Kx^Rbl%?m~L>66=KOHMGhpWf-_D`k?dw|wSr>(di<{yAqjy>R)A z(~{5TCA|CjbY9%Mn@{J(yxaM7UevppPv=Fvt9&{y>|Ny3c_HsC%ltQ1`|VslrP^($ z`jl$Boy#Xzo9#@$VLS6q@k!gMcZA=}^L*F&WM1I&iQd1CcuqD@n_hC#cj_IHH_JTp zx}J1QREnQI<%Dnhosbj0&3CSFdx&4Zrh0YP%l_GEcSTMg59MF&9aYM1tob@WE7Q63 zT*tNflb2px<{&s*DK#^2jzQ(4B_}T(nxYW7h$Sb}vq!)(X~~I8`&t+zl~hh#+Owms zq%c?4mwUCl*tGtov7r~2WQ1+elDc|nSw_GXwI~tZu5VjR)Ncv#b{=zyUZkn3+nMGf zy-4<APll_v@*>uYH5pD@7Rbv;UAR=2^<5w&syXo5=Jj8BPE<|X%C`BM!qs1Hnd!-; z=T?+GTXJsp#dDLcRBA^x`@fc6G*@t?u*{}?ylW3G_<Hurxm~aJaIQT#|Euqna~oc< z)UL^&cTM5KFSo2(?x^OZYekvq!KL@+l^qLt&YPL;TIywD-E7Fh+>yc2cHt05)5FPZ z$M|{Xn_34KxADv}PAdy@J2Txd>ChV<pXoEF&72-NU2^)|+?D5>mY&iMTNPrt%~&fb zc!^8nqm*=its6@&>8-hRBBgVZ*W@=mYnlYjor{im2r60smQqsb2)}+VEhak3e$|}D z*@<s;R^K}wI@LBUG}P~HkCztLtCO9X>Av1xo*wR-7tNInEpRiDp2c~#Db2xn{@g~7 zYYLa{^}V{Ymob#HE>ggEuEKQI!&iPL9n=kN@)BDTr2b>;gUMFuzSkDa{CYN>S8c7M zW_G}ds<0H>&r#>=)*O`2-jG$hc?(;w4o8@}M9M*>Qnmy~h0vzJ*RvP1ZhXZuS8UEI z;TG1Jt618_bXINFdl8&Ey)|@c|7y9ZVQ<f_Y6=Msbz6D+Y-YZ{Xin0qPuog@cd6d( z`_>lXxY#RMFmS10`EJfo&Mn&)+&+Icy?2&Y`U3|O?qw_cEk5+jG)g(v5*gsbdNAbI zQSYe<;svLhVzgGMdQX*|rX8mBc7;wvs!vYW6s^S}zfv4EL`yC$Ic1>ceLyUPNsV!0 zgZlv`ZmE?C-(8nn%Ilb*5XuyJVRh5S?(Eg4{9e0Hjo@ywTUQkk8alI?dAZn<RqvN9 z(&`QHpK8_U!)+G2Av$Q4$s-4Wm0lk>bfPY-XmXia=IrFC5U|wSV!`<)d(%C>x%mrL z?J>MHdx=$MwQ|T)^R)dYrFEX)goB^Ar|j1)t#kjjIOutL%6|3II@fQ^tBaM^F27tC zUs{*(?e(h9)|=<|TiF}`_PqLY>dpL>=lajoxs?9P{;Tl&=M~AdT5nga(CXbWTXUt> z!f$G?POj|CTzN|7j_;*=8du9brXE}DWxaTj^djkndnH#T9J$MKm*MW8J3rQVZk{h? zGPNvSt2a&S?WqYT57s7qlNM$>Bd#C2?agt}&|dhOfSc=2&A1_=8946^`%zFf_df6~ zIB5P8=TEXfdurl;i>^#KQtQ&j!TIRwR(XMSx@+Yl?Y<q}^(rycRdwtA5RogBgD+pI z^8qC`x6o$~>=ym)dZql1`&W(KLj4!ax88eYbEWxSUUPqo-Gy0Uk?jvQFH5>6a(dq? zzg20zr`NokX8L!N-KAfyuLbI^rAPfw_g(pB;j2H_>Q<ineM9<c<*L5{bGNQ7J$rnY z+tziqYqPyxM_sI|z4Ye%uQfMUTRO9yl{Efsl(er$P&kc$S+|&e?2b3v6IM=QJw0`= zs8(`P@S-<M9V#EG<lJvLDYRklBo+Hj?w^#ZmE&Dn-n84C*n4j)`=ZvZOTQ-1FAaVA zc-8THuY%jHt}fTx+Fw<)YSYR~f(7xn;x$*E>aY%)YM9P)r}vw?XwMGuP)(+Hⅇ4 zaofIdm|AA3d?)>XWn{hMwfL*Mj&0%VU*o*Y^zDgR$F|JtjpSN#PTVE?)Rtwo*F>^> z`>%;){9PMaAA2o+%Gc*x|E;*Tb#bXhimcq)Oy_G`7Jof+`jUKU(8i^qrI*yb=e}Cv zJx%SZXTOf_s>ytjuY%J#92FT_KWt8O{;)D(`LauTytBL(&s>^*Dn#mJ&&n;_n?rbJ zc<t7Y)9STUexNaZX{^?cr9rxpT6;H%aBp>5ePQF$5NH7`qV6-#B6E|859cIRuLIN6 z44ps)y7zui`Fq4dDrIH(^?tomriU-CT^bb`VYjX-WM=c?Q17+i0qZ%_>_QD5We8sm zx)ikZSI1(HP&XOdRYHswQCqI8*mt_q!Yd@xT*akXtJi|drFNR%(yuC;=S5#D&apjw zO*q?j`L)TJ>fv*ZPo7(Cm42zp?rqPi;<~pntBR}Ms;mlL7I}NZHK8+6S&_G!u6;Tg z)wVW0;@albUvsl+lcSn_uYJl`?myRM>OP4o#V<-PSOfUpwVvPi!!sFF4O*X{qG){d zjSTZLZwZ6=vZ{xhmY&kF-kPwm>FFsR^R;i*7#)8$Wo}a7vdNmMZZiYQ>{cdB_ByQ9 zYsqootg7|Q21(DRl;;zboc49Rkuhr4>D_VCbT320Y=^S4&<(dQ{R&Jyb7++jyZQRE zUoR3vT}?w%ulQ-MP+xvC<R#CYm|G`9<O_o{)qIzDDd+hu)=Ku{%M*G#r}!M_mSD5B zsh55o%i?uf>$uSLMQUyEmTAG)E}e?1iuBgeZoi^Ab<LMm!BUYoL)FiDt<~b(Ahg<x zam|~+r@a}q(Vn5IH@31(zh<nOEpY183M)q??U}Dw_J%24<@8#cKOs!$>dVfxTU;$Y zT^-lHJ$Wp}dz0zS89CnB!A@32t2UjMWK36%iVkE|K4%t^dgNwHj^ot6hRuK1@UF0X zlw%j#)i60LY~9L!i<!&3Os39TX);UGI8AkCmEqL3l_pI;gEFTZGpp}j^CjqD-)S-3 z(6Y#NYmNjtYEKPYY4S*As+Ri!&y7=)zXogd1}zG?<+#%1*_i{L>e}-|49pTY8S%X7 z5Za)kJyB$$^Af>Gm%6noN=LF&1#WH?;s{?FnEmt`|C*_hqCIw1Yxb;4NO#@3X;oA3 z)G|w@2Ps#)R&u$m@Y=1u+-qhSsPJ92CD<uyX2`+CUdet(JQsWImSK6Ia`BXs=F%w+ z+Iy8=8?FrITDyJ8Io>tPmv~8BV_v-}I_kW~+<P~woL+rdbnWq~%afz{SNr8>?OkPM zyYTCjS6^z@Ob=PUeXCs1+_(u}`(AxHbWM8I<;79wKihy1b2NzjY@_<Ra^CYw?`xlJ z`cGEPdk*5vOHZ*q|JkPh1c)y;;j7L0&wJ#-%51MeG=J`cF!(b;3iUzc6o|<A&wCbv zG#n|gS@5+^i);JL1GhY;ibQa)ycG3%=hk_)*FLA`R!7~QaxJEGR;F+0^5!+mUwyeI zwep-rifu@`%BC_Q<pj?OYx-+AH9xtg*dFQD?hv0)I{jMM)Ok_KH&<QiC=Q(}D|#;E z_1a&qb8@3Ib8qWK-8K`DVP0GRiZM@kznRoZ<EY#_tBjj+udKT4Bwc^y<>KDR(p_1} z{Z`2ndxJ{D5|V?b&YjZhQCb#k)|K1i+0&rvxap$@>*l(g*thQ*V|x`OcCVX!GG)`c z*C`f~e&$m{OwE@3bePT<bc0bjp<(tr!!jkkM@Qaf@vUf*Xfm6-=2pS_)pu=Y=7b6) zH!D<JXgqa;{cgHKjlyppkp`b{9A$U6?|!s7Ry_3E)Ppmt*<8#mm={av+%;4XYF;DV z!zje@r@)&*gG*yxLK3GcxGmhJ;M6XY_u#;xS<+7&_|0#K3O;0XGQY%Yci;t^d_hSw z<A;!dU7;MpOPwzBt}1xO#%U0o@W_v`OWNmQr%2b1;D|?T!4^!dOQl<vO1muNY+WeL zx<pxZ$>Jr#J9Y#z{1Rkxdib0zkYktQo%H_M-wqrGPZ&y>W?q<M7~Iw)t{1%}<zUfK zFL3>`#A2cEy0m`jB?);3agh&C*g}fPd2>J`CX+f^ni}es`HRQoEOh1Hbl^~z)`Szv z<`%tq26CPYUrmdQxb$*bh`GknJb@`!D@+~=Zn=0e#VE4<a_L<5Ca$O)kK(52@ZFOa zOg{N&G0zvPn~QboCLYz^B;hJHwcx0nK!cK9=|vB*+6^zPG*adYZSw0_ciZvW+=->} zDR)I9-CeHbp4=t3>73^^$&*&|*L6#th`X@Xp{!|Lx55gAv}T2t2`5uTYaE#*`8ui( zGCQhGKAB=P)2EI3k=}*17iNBFkTOXSa)OGgO};vpeTxILnqrEPB&U(g&9bd+GWstv z8F@8d+;nV~H8x0kDVM5tqA0~evM*=1RQ%^9Yq&$Jg1<3^PTL@`BCh8ad&o<r)lO5{ zZi}q?GVNN6R{6B$RbmlyUtQgDZR_gN+^pE*sOyuinJy}Qn=b1X*`D~?;H1@`sP?$m z0ok%~YY(q1eX)ga@-@YaR(~QdFItnCm>o6A^li59=cvnDu5DTUwb)9pAR~Bp!z<s9 z1!`OW9TH;;n7euD*X1ecnXg#3uGz0?tsMMZH~Vkz+c(~GjW>Q}Pfd?{#d3blOCgKx z^XK+nm6?Bb#hbOO{0eexoj1+njXb~On!<IdJyG{9)*e)OeRGnjMH=t2$Y!_d;#I}R zQf#d^&C6YTP&2zAoA+D9eUr86j@KT{+R7_np)hl=>{Y&w%YG@f>%T5tW52L=mX&|% zU$ttZQn^#Vg0F>d`XBWC=(YMy|0aE%{ATNwpBul<T=PDqR^_$y&G41Q_1^+t+03eq zm@B`Tef8(KZ|<*Zrqxcg65Svkw6v!-d}@7^eTFR4g;|p#gLSjBQvWVCwcWfd++xdV zxz+dVRx_@3)_lF;q!mkayX&>Y2}`qI@oc}gu)ow}E8qNU3olveL}e{ICy?PgdDAk} z$jb+=ZBfdOny@tS)soz`nReGqR++xd^bL->+%>Ie<z%)kZHimwt&Kc?W=(qHHDf*R z^;ayrr}bU+Tzl<Hrf>Z<{km)MlfQ1?`ft*;`%}L@-?FZBZMM_v4cW3>YcpfBXI%)= zD801Wv^VPVg0I{QzD`g5>$uil?e+WPzpSIKZ@RYdhSiy<%SWzlS!2qxXsOSuC6U=) zTbH@K7I)5GwdLQMHTzXx>u3KpU2DI%^lX-_U1WP$_N~dLXR~-8r)y5K(!4gyZ|%zq zv&`1UbF5u?@fTah-^R##=WFr(U)57%yQA8@uO(iYwJpWBJL>!e9n~Q9uN#*IWovC& z=J8tGF?-jRe@CuuVV@&Cf2l(jr`_V1--ni;<6i5`5p_TF?-Enj&C8OnT{%4~EpmRw z@|jl4XU$D|^=HD|*jIBB%hFbUb|?#4SsZuMa;cwIs_*PInm1;NMP63O-nI3z=iJ~^ z=TuAm)_&a*b>8Up$yD3fYtk=QNv&Oe!%w-?Z^hR?8|Q_-uG}&&`C9PlS#k$w`jm04 z<oa>OY|FI7YelD{ydqDlWk+9(niP3@<5!(iRo}MEQ(T+w`1<x$sXd$Kg+`uVb<KRm zSKUlry~xwcw_0A+Ji118`qrLJ+a%ZK+GR(k>8@Op>+t&Kl&?Bjw!u;7+pd|fF`bcW zyLr>R$hG-_uV-J9O4&3mAlrLYG1uDO6@Ig?+^T!E#dK{*@N=CU-mbOjPS+A<em!%d zYS~t{t=AS@{neJqyDGBT{n~?zrwmKa9SAF1Da^9@lh@pY%fHexmPX7~xag%`dM{*c zO3?BrQK#jzEw@hd%U-!<n&Y)kS-PvQZOW*1-paOnP3qLGe>P2vye4$&lya$;V)n~q z-L=;)W#~4pd3r4>ZLRx{gA;?a+e6+zH<@*L*0e3l1lMNTXG=J~o;mC5nln{wX|}gF z{j0kczPWDZ*Mn>Jr`Bq}PG6h9weHF+x5&#IOno;ki_d<wby?Kw$~4=(YtpBFt=Tls z{aW#fs<O4JleVgCdbg;IG4z(j+a9glS<_mya%YsaXyr~bV+_5e@s@Gb7SAZ=kj&;S ztSc@(%U};!+I#WPic8Bf@>gw9yd|(|i)2*vR5K^;2CdwtZ_Qe{4cC@=&7RM@ddtU~ zkyB0AmGWlCu8z9C;M&%urDro@xue>HuPvNhx*|nZDze?_n&Ne<BT?<4uLZJX_0~Es zE|u7_%s2A#oHdzV*G!bNXH78e&GtPUb$Qh_lNF}9nZCwRmz%B`v|Tf(xwhH(^~@Px z*PQ;v9ku@auk>r;o9m{3-MD7`)_+%j1!v#dx-9tGm6NlyB7>E)wNh+1u36sybxPEE zlh>SSwu{%KU$`Z<b(`T@)f-V_k*61I{l4|vo@?{2-P#>h?U=0|`8zvz{nqK%%%ZF_ zWGAng-^@HGVr%@?gXs@$d4&Gl+PUgdwDbzCm!flanE98sHh(&Et?o+Y;#*PuR{6fs zlUE6sY}&faDm`*rTgdY>$FH@$`m<rp(wS?oW^Iki&CcE$wKnYYMi99=Fn4X(>Z@7) z(W|d!ZJl-LS{6uj3y4_FnCpA`m)cgvDOUO3uh*YyOT3nFqs`;hoY=_vc(XSf|Lw@( zSaQxk)AsjGflS-%TNg6^isovBKKH#KwPsUd@LY5I*R!v-`Cpqqi?{vNpB>kX7w{f7 z;BnTJZcCJC^EKl+Y`}96Om^o;v?WTg-8A4iY;flmi2SlKQKBu;V=IXKu?0k~0Fx0Q za-pCf$Ciiwe-Ha-+ZIPjO|eRMf6bqr>GSGO#~Md%Z|9)r>M6CaH<~Dwo?EcSUcs9= z=($hEUt8U`?sMa>RIzQ{B3F8D(lz<ZmzKQxv+kP0w56t3D?e}iw`N*fNP2tpiq9V+ z9Cy5unJ6hJC@45lMX!hPvb2Ii_u@;Tf$YK!$08p;vTc!3JZ9k3BPcjga-zZ|6HAV; zF8|WClX<uL1-v-q5dI}*)oSbMM$1pJIp5^de-l&^`)XNPWtnpHYlVpUYfpt84PUy^ zdG3^&EAwBzHWazkBjK=A{Lg)LKlx5sn~foVwmHsM6mkA`;^kRuU*SIIjh%v<)qkAe z+@9Pv+fX_8#Dl$O_-@W!s!?TlVZF+{EC-pwhe4a}U%Y-M?#6V-L&pueWVt6kTX#@M z%jwwJ8IRL^BIH%~@Jr^k_1xA_uC?n()JpL8R(9{6xH0kcg-uQdYb4zM&UldL^W(M8 z<aDW<*G=;-n)gM<7Oh#=lv3(vo5xvq`PG|EOV)DV<CSCkvf;vnb&o|EdO{z++E+V6 zRK#+^KmC`MUtBJSuUcDTyLO#tvvKp{^aBrbwomb$qdfPbO5vn(x1y=3lhjzxoV2vd zj{EsN>cEWJ>paY!^Zs7nIR9(Srn&pK7eB9kHhX>T#m}$pp4Busc6d)~c%weyC&RPp zo^Kd;{AJk`-}olQtZK<Av4~rrk8W?i6?wX#Pc>ps<*bmJ6OG63l_dEpd^5Lc&JHTy z?Kd-MrReXc=k-miEmAK!eA{{K$fK_o8IeMVe2ep=em(fT%0tVsLOfh6`P~i$ix@+P zw5aH*e;>_0TJRv=j$J1=VWxd-O#R&8rTd;dVOQQ;=BZ}pq{ubn+MX{LeuZ5Aq4do9 z;)bc0zwMEZFRis%e@OX&l%s_w+Xg?TrLo>qOHx+_$Z;Q54P4%6ySMnKP`!kd`3mo& z&)&`GI(EJ7=WDYSX`A*RuRrRfs=cJ@g@(>-e?zygZa@5s&*$idFITSK>``Hw8GA~Q zZT90PNspJCHgDco`FknnB*lH}6^z?FyVmVLQWH}p9`xf<dt20GQ@fTs=XoPOUJ4J; zR*8Q4`bT)TQldb|>ZlE6Q)fPG^<famKcpt8cl3gj+>Q#53-Tc$E{oe`Ocpim*}?w) zKY!75b>C%M*S`P!Znx7@u1A}kly)!SPGj2QvTJIsc4Iq_c3kb;ZT>dgM~+=w|Ni@b zi4OO>fr~vv)@OXZ;kMP`%Uh-uTo<MtQT-J3=t}iNNuQq`hnLq#wd*_h&bc?k>BOm- z(GmwuvdSmyadVdRD0uX@^4I1C<`aLb6`#$_lAhSqw&>8yjD3?H-Dhw<%6sVWb#~rO z9*dq{oTQ;6d&c6|&*@bgv*P4W?wr}Qk3Ie0@pF8tGaq}cPTeBo?i1&<Cg+xE+_!o= zLC?~Lq|PJ0E03Gq;^|vk9$NLTW1+0lmbl;wF}-(Q46fS#KYZpdnC*Xm@zx1tb?cH0 zUy4}%%GXQW=NKo}J$FXKmA|uItiS#GiNJ}zC81VPn<`g}9ays4xp2pnHAzxj<?FeF zV|KMM{T5xicUoSSY}vKH4v(+gj!-VTAhLF2nRqx`N3UJr0#A?1QtSISgm<)b$@#IT znzx>uwcaS#Tm7ki+-vi~X9l^;R4%1Whz+{7FkJk=x`rw30$yns@@MFIbhf++($+ZY zU@W*d`ohLVtg_3uoj9Ppl>3cFpr^1}OOy9v-zi#>;!lD<+5G$dUq8IM@!&k}RVMFa zE59>6KVqK#z5QNC<6#~9=P|-ztBukYR{!a_b9Ta(bF6$Sha&4-?(&?ttoxW*?BTq6 z4{4RT$*xxX8uN1gUtknD<Zxy|Tts)zi=dx}bmU@nujDV~nmxHkWaU+%4cZHyD!5GL z`69gf=oW=2m6Yx%N5deOj&q_+2DhtT<|d>c+tA8aIFrofM9pMAD}@lTKs7o2F~ zwPe~omgwa(zIuQA{EPKZ<DpIGqfD8&Ri>3WHa!2lpgwd)r>u<A=2uUqhi<e9U(P!3 z;iY=k%4ZiYubo$5z0>-|zx_ND6}>*}u-BM6ebwf>^JHY|-o%D&)b@!q-1k9VS@+YV z#ZPvXKjpSH>RS5d`<_c{-{{8&S8NjzDO^8!>(}7F-%<snrY`wjdi7tZ=V#liGTH6Z z?yvh{J*9O`L0e{uZo-kah+juTijqT3TLZjj9W}cuX0p<8SxxfN6JC}b_q#fLZ!51} z_L*VFg1hx1&vpb&y5Y59(HEBe+@eYkf|a6f%>N>=I8{kw;TchF1)qyc_DfZ<Oj&Q( zeqebNS4`u)>vAH>n?6l`cIj`Rt9zirKkub1z3dr$hk^|PRO4@4KcEwIbHUWxUwS0w z>^ic@=h1>pJE0W`(rXSM;A&d8+w|-?N8UA4X1pl-5|P2pG9g{#i+?JEFw31|+AIfT zS~#npx-Xsea*19Hqg2T!xm`M{QmKCr<aWh0>@Ur8zds{=_2$^fi;nTE(qB3SE~kDD zU3rEjXX%kwH<QH{-|^C18SAI>*<n@N^6N~?j06KRu9QB$q{GS0xoFM2<Rw`TKc6|> z^=V(s{E$WWT%Jy7T;I_Z@so*bwfCbUZoU-mOno8W!oLBrw<Xs)JC%LC;eGAKM#G!k z)dyBziQIQ&o{&tN^q)ML|Ax;NHWggnc4n5Saa%-C?TYt{p8q?Oy`iOWSHS8Sy@y() z=G>lp?&XcffMuT(LTCJN=8w4+a+-Z#_7w>}&n=RxU0IIW$@)8(zb=21m$Fssd+4|Q z0W9mD&B;tC^A+mL&{(lUr^Y*7kK@XcO?R~2V*(?WhPj3xfABTvDeLE+dyPlSvwLsJ z9s29Db<)YM4Q$P8Rc8hAyDkcv_F}HBGnbi(N$-81;0=nc>%=5w4vW|5I%#izTkW#< z$C>M^*mD=IUGQjjEmyqgKiMY}C;E7%IXLl8GB$Pl=fG`X&$2_}m9~VGoY@S;=}&Zu z>vprX>w11Z!{BA?tamapjcNHzkhpQ<<uen!i>A#ykeTbGxy&JT`P-sHyzJa+C)V<> zvgl@CzVp)4SdrKtF&k6`bXRN3Yy(ZcKarBXrhD8~PAuxxjZ<8!qIupG2lj8$a1Cl% zq&Z2vSIO&OwPE+U?mMQzr~lg2N#9!8EIRj~NZ})v8IM+XiEQD~?|t3Je5mz@Q-PwL z!29Ne^tr#8I-(OV-85QlTorccjdCkL|J_9zp^k^8=4-rJ!~J#RDaBaSrTeeEAAGlD zU|;}YF4)rjl(dSJB$UPb3RbO*3?WQ>yl2j=y(h}Xz`!8EpuoVy!N|sOclY+MyzlP* z|G)eDwy(TQ9E{8i4G9iA;!=5FHgSMA2}p!4R2F1lVBlw9V1V0{oRf`Y)8ogr-}Bzu z2AZ+4v2n7ovN6C+fNV3k9>nFwdzyg(gyGu^ijee!wizt=`;C!-H-c?mK_8c%j)1_0 za_0WG^;WL~{@7kIeOw<_*T~=gSu##e&E<nl%;R~6mEQ#&HD<@2WMFjERn=41D~iji z?fkm*>hzHKuFpbqrU%7WeHMD<y<C51<;gEgFHQG|&-yGhd-}CKCch@V@Xm_ks^$E$ z)I`5_-;<v~&%Nj9=k8nbQ)t%ob9<)zoHTQ~LHw?olb@Cv>4)xf`FZJy_auGSeJ;;b zPfS0yN9Ea41AW_lPksbF_U_Tw-M8dN&?D~-ec62}KY|{5x9IckQ~4n@WBR^5Po6H- z(=V*dJnh}6&$#c)_euA?YxOfLJKrzeJAKohmZwX#^<VCt@?GejcUau2PeN0tzl)po zY0_QqQhm?L&UZ^S^c^cR->L4LK5vi6_eqndKZvWUKAF4p{?wZASFxGrz4mCojLa-o zy*u^OnwIpXcc#8ulaj7_XKG&frr4Ebs<)=5g-?oIdCqI2_Q7>7=}WIqeYWPwu1RyI zMujKEW|pd6o%(1EOZw6)Q}3;5*>&lqS6-M=?8*|=OH*&HIg+;2RJ(Vb%C1SXraFZ) z#cH1Qny+2C?#fP~=U%h5GuO4GEj89oU6+!kdS<F#_@<bZXS}9r2d*>OIq8YlMD2-@ zoJC6wwL2p<&v^A~TdupZL+G(rx3=cGDLaH7d9`axt_#^A^w6tWn{ypY+ERV(%E*<c zy<);{Z3=qe)u8=%?UU_6_r0RR9z|#7FV)dbjC^^@t4jOn+LrB;rcPb8#wB&>?y1Yx zq-+nm>lGBXC|dKBS3uaTXwST*>e@%wK1osCG4)<p(eH!r`D^Mg{BE|jfAF_)p8T7C z4&T`;>l&W3@3i0Xnc3R@z~6&!`E%+6ely>aKl9Jw8+&P;z;EUo@)v#{e9iyt&w;P( zMRgZ`F<+Bk^UvWcdtn{JbM}??6`z@}$j|wA;4HsSeZVi~OY&QOGR~G?^Yh>fewX?M z&)65*GyHX&C13OJz-RWk_7DCXe8z85-|&n*y>7x!W@GyUe-1w7*QpP9#y-`4!JmUq z_*Lo!eli=`H~cv`L%!pu;|zJ5e+NFY_u41?IrxZQq<+E=<|Fbl{|<a$Z?#wWbMOH_ zOFhFg_V~I7PuXMZ4m@R#t`qpdd_exs-vjU2Ywa(5V%{hJ=C8tO{tbT~oZ|mdH{m<; z9{Gr$j#K3WejdEbzwFO}ckHG16FxCpiN_~ucHK+K%*<W5_QfG7Ev+hnr|bcB2H%-? z%G>;Oyu&}|55qh5eEWji2jBD6_&42ke9!moO+z_*mCT!W4(05XWew@<JJsKQw0&95 zULF#j{~(pUOy<G62W9NrtXJG+e9M<suCTk=%6vu29>-aCPjwu+d*CGRqbEA~1(iW> zJ-6*pziIjM950vuq2i!<lS}-&Y(39=?lI5U<2YSfXWo=qQ+7AsnC!)B?jMy?Ba&Pt zB<X2Bd9Hfpyf2(pK`%VB+&I~P?m2Nzp^R<a)M<S)dD7OaSPJ?sn&g$vmXqdS-F)lz z<R@t#$}h!Ck^FJZcc#rsu6c3s3ezP^CKg}1%X8p|sGORf*|`LP&%s5PPxB;PJhPyf zZC=o-fR(!!Z=StrK{;Eo{)d{)%gWdaSMON2e&1T-j!n!rWG~!2_?qw8n**inMP(O$ zG2NEk@Gha0eU){`W@Za(kGltF%P!dGP{zJof5+a#ry06t-Iv^P_Q6}$>L+mx&kamA ztT$xOD@)kbd`Wh~y9H<YZoEl2%jZ_Eu#4H$n&U3x3%*Nl9u%|Bvwm@h@j0Ja`GTFy zCe{|4o6pG3xXJj8Pp>>-XS0#@iaU%?`P9l4b~c}oop6)!3E#0d4rlldy?Ic`-e;Y0 zhw(9=Sowk-%|~Pv-aRN_Z?o38!}ySotz2OTvw`)GP0ae%FE%mjS>M>yd_b1r-GhAg zI_np=8>h*Bc$<*V9$D6q${t>}V0-f(*#~bAoZ?&crr{LdyE21R_A=`gw;QL(UU;i; zif_T2ggo{l>xxayJ7g_xGT!04SN5Q+`M&gzdkem^Rp%Y3X0|oIvA6LZ@25Kq=Xs0r z3!bx;<uz0{-<G~{Z^1XVE#@=!HooC~bw}YF+XnLm#m(2H&+Ke`&HLoeg0F0A%p>+T zzT$m!hv6J=Q2vK!Y%9zyYP;XGgh>cYWy{M;sA|3>J>lMhv%EL%B%I}S%U7skHZ|wi z%lLx#(wzsN+2)zQ*u(go*DQZQC9{dSMRD^P=@~m2pYiJDCsZ~YnXlNx_>@;IU!k)3 zg!F`+j8Axv-Ela>d+5%Ck8FMB8G9HX^NQs!sAxVSt#I$b2evkIjXjJHdD-$6Dwqw- ze-tt6o4+Vx)-%6R)O<ji;ogJyY<1=@b~jFw{%|+pJzHd6!&A2KyanaWd!!%SJ#dP5 z)t!b@yzlZ1p0bshuh`u<Mf$>Bg;Tr>?j*cpD>APrYThAjv6Jx*@4dVSWtZN2?o<Cd zZ_9I)dy~J+*-|~}ooA)rq2i!-p5=a<iiO^JZdboKFQi)Nt><R-lk-BJFS#)}!GBTl zrPrRtenqyP=RDV{Z=AQKYSJsuLcgZspjV#xeonS8zo?k2&zu)hCG^rW*DtB~(yYmD z{zA5%XFV6HH_n^#Y{~h_HvX?{IzKNtJK4nFsrb^&$wvN0#X`?Kr>jTK3wgHW)MO3+ zSvH=ZmYkS;Vh&5?r5Tft%n^CE<oIM6|16u%k4uhD7V-D8;rzJd@MIo;Et}2{OAbzE z@n<T&G=1`(Iai*l=&9#gYJN~TF!`U~C+n5(Rdm%q&fQWTG;Q*RIVDe3_D=rfcgXtW zDbG;9MManHdItM#vR?U4MN|FaT#=_L8tP}~s+3=vJo%AdQIXIc&wTZrXCIWa?K6Hc z_uxFq59b`t^OU78ux_?7K49E@NAk|h#<`LY&OIn&+h)9CF5_FCv~&gQW-H^4xr}dk zUYvPQ%2u5Az>3+@IAbp3Yo4(51y;=##tp{JS0wk$WPHWrm;NA)ZJDvgT*j9?x6U-2 z<+*kyp@eObae#621<8hU3TJuj(jQnhpOY*&mr%?$%h<uV+1U8RoW_}w3FjUZu}w49 zFm6628E`J4h;5Q_#~j9)k`Ct*&hQ*Lqi}{tF8zT;^D#+-a|wlPUB(`B7$5QQr6*W4 zACeR}mr%ggWXxdPtZ)3ms9DeWfl;%rF~=On2R#4M9+)@plgyaOc%SE6+JscLh_r%K zwkqQjvl;L4tU0sb6wit?5AxW8(-O>^cS(B8WW39>=nTUto@Z$a=FRHH9kUxJOCC7; zAeSvq|3_`(d+w_E4bNHk=wJBUbXWYvK85q#ANDAGXDyFQc+R>*e@AWOTkfoQhu=*% z#ZT;8aE?1Ep5Zr>m3~F-!8zhP_Bov6j*36<tLduvihT;_xF76M_{y3e*YK<9viOe5 z#+Te)@eaS5%=8mJH<{{7)Hc50zOqN*3+n=XkIzl##VhtL_-wFc<9gu?jcq6V7J#an z0GAZWZ4IAUXX{_6VVosCr_%8m_nAEmpIN8tZ>VvcDIT(~;S=j*{RuUWPq<I)Vfe(F z9H;P%H8JkP52oYdCi@ybvUckS)Hpuk7KktS!E{($WM9Jv)@FTy8pavoHI<Fi#Y-v~ zr;F!QIzHfLi2v}NX}|cFy$+|jf5dJ0-lU^{;8T;f{)KACd)y!55}vY##yLD?E!Us% ziD|d^mAww9xL?ExJY@}tGx*N5Q{1M~@ecQ#Jq+(y^Ysg&55DKB3BRzr$yWP8Y~wu9 zH|reASu4XD(ph(E@7T;_t$iT&;9IVo@POS+w?xmZb0}ji4HMYSbVKw)<iXcm&(<6$ zWi1N3u#4%M=$ds7rL2Wv4C$;ZwJSC=T@jtL?!Z|tpYVWPOqWErL^946T@!im1(!?s zf;85J+6=LdvqWpw9Vlj<tNkG6;4?0h@P;(j^sos#nT)j$#2kFer4t^I#yVAdLCnD? zTq@xLJDH5M8)6R55bcO`oFQtn?m!`HuXaMr!AD#o;S+W+9TAmTcc6f^Ra+tE-~%p} zaE3J2_^=15tg&GSQdy(J1a>eT5dE|EKt5}&_JvJM`$XTYRXEMHVa<b6TwlT_Y-idd z8WHI@RWu;-;9ag|cUqshN-jH)$6BgAVH49XQI|-@DWYfAI^?nXhY4(FQrB*XK6sn! zj^BrBru)KQ<|Ulxtngp(oMpHA1zX2?!VfGR-*LX1bKo3jfqy|Y({14^^BTUfY*wFO z>o`~V$UKH`EG2#)s+ug-3v3(b2ydC!@Ren?dVsCt9N`0&j;}cH&0+Y;vRu8OnCY_c zlz9zbSaSUiJY&iBGpJ&^D7?bb@dc-Y|Ac2O^VL7tI6mjJ@ZV6$bXItQ<-wW4Df14T z;WY3ssAM`VJjK%SDW`_NK_$~kVV8LgXE+u74W6+i`3XE@=~pkXaeT}v;lH7R>8P;E zJcf@f?dk?Ljt@CG{0%CY4hlC|9-J;*Vd*$sxWMw@G+~x`3?EqP)jwD}-sjvhr{O(I zwfc!7CLQ%1MNE5zpUh==&l2Vr@RTLQub{k1Q+<QA<6X`ra~j^U1o|;NWhqvlV1011 z@R7L(PI5l*`%uQTUGPuefpV6;$~TOe?g_q-Jot{I#C<|KONHA6>n3aE4aQ7&1n=}6 zC}Y{Gyg>Hg8;%tBfOM9P$_=uNa|QSGC7k1kaaTxZS*x5Nd+-%Uh`T^KOM%-2t0wdE zg{7r)UmOzB;$rAvC}ml$Twu&}S<pw)@g;|c`-L=?9JdW=EQ^%|WE*D*w)8QSuw>db zST~&)tms=%%rZy$hD_r#jte~x&TtsHC#12=P~IWa_>|*R&w?VBDatcs8lP||xjUq> zOi-3EW-?UfFm5_7XwkQzkfldCLZ<N%hmd=NMblwHiM|B|EG^0sGK~*74)i=Y&9SFv z!D)^iJqf2dnA{mU9=w05){xFpm*sZT=Ri5rs?EVGDjYAEGwBMSa5-SkcvtX^;t3;0 zgLTRWgtV<04<6iitgb*XMzZl9$0xT2b0%%&6-G>2$~&YFP7%D(yC9Dx$j!mLNkh58 zsA;F*iQWe%IUcz^NMR{Zu8?lL&0c5!;CJIa{x?4v-m`zHN%+pZOa8=XMjQDPe-F&% zzwwjd9ebXA!tcgg{1@sHzA<l-U-7x|2LFM&hI8z3_6E<H*U3-#+<1+DLtVmG=2h|? zpBXLWJ^mh;&A*`T!58K{yM$kjm-r{tEjY`5qbA`jyPLhjFGf>&j=u~q*e}&Q_{=;{ z{>2}L=j>+o3w|=1$Xk4FJi|ZZC&M##J^O^8jYje-{xCdcSF>06*?59~!cT@L?8j;x z&afY<dGL|BPd?)h!((<a`vpH5kMJwhJ@~-fCa>{_;UPPly}}Ph1Nk4H81>~}d}7p- zzwxQ@06#<BgZIpJ@-KcjOymDhoA91F(yrktbGY4t?~Qx-AJiT=#lEVh;S~EjJA<dp zW%4V2H%#HbP^)l?eL+paJLV$!icgI@_$_`i++n|G_h4J&ecm5=3(A?R%?|8lw3WVb zx8WVzryPd!Y(?e;>C9zj4Z9m}^WMl?P{zDPddA&`H*BwR6v~)4NH5sjc%Apm&4$-( zPjVKNGOv-2xZCiG?NJWHIkq744{6LRq%H0?%;ueux1fZ1iFC$hMl<P%&5aj%JMtXP zvN@SE>}oWT{&A<_Ih&RFft`(Kc{B1D&a$1$Q7C3kGi%t{c$zmNZ^0QhEpvyRjVF0M z@)U}gQ_L84HX2F`Y;H7=uDH|im`%$3z>dbFyc&55h0Gn&7IzvRvT>O^>}Wj5%aO-$ zhHYQYfzxceavDyvZOc(8U~Z89al7F@+b^>PsmwLfH*Pyj<4w4EU@Gs6T!nn*3h51- z8nvVsY--%i>vOZ=F54?Jg;eGe>5ff|8qzavH{4;Hm!pu!>}STXy>UD5j@Sp^nf8gl z*n40e_lG!#^Q>k13#uD!#19lV-r>HpvtcgxgSZFZn6`<p*vs&iHBDcky3tC!V=u!S z))z4kzA_c-J*Z-|6wlbp@R~JDe?e8Fg?K}8;}z~bI~iWF`sqJ-#<WaaV=u!?)>|<R zXIZbsBz$37Bpy)Qc!9eiPT?%8o&JN$#&g^SaS5N9W{Eo#HyVqd*wZkRJ0b4DC#GrQ z8pVyLxC7!6J~2%a@7TjIliMLK;SB4M7=<&ea{3P{8jo=s#3g)W>Js<Z!|;fePd}le z@esE_T*3#YCUJ)1Mt$)QMU8sm4~iOf#X0scJYfB&_n^FSA9u!1hWo7F^d>xIiqI=~ z%2XwOVmHG*)-^E;PO+|tdGL-YSTCWxaTmA8PKLXzi((i~u|CsNC~s63@7UcinfpNO zgSSk1|9|hh|GRqL_pg86y{?;g{PWKG=WlJ^-QV~2y4~CJ``%ptd8577>i@6edtaZ{ zyx#op)#|!A?Vnfve-`-v%iTRMr`x^UUTL=fnS1<~t2Hm0>t_A0Isd-q-2Ixf?w`}` zKA*LD7QgT5^q;4e|2aAR&k6O<6YQTIt(j3@b3DK1SbWV<|C%HAHHY<U4$0RXWd9t0 z?{s;k-u|b|@*n=+d;dG`^yk0#(kpf9pMJG_|MA|b@Jg-v@4@%py^cF|xpqqXr(*r@ z{&w%?+T7W{_clwN`UC66dz^1(GQ4N`;*(I$v`hGeF{6#}iMa>na^9H9@Qx)<J;A#1 z7Uu=Ogfga0!Yhm$Z*U&)YdFUer*4qWv`%<}apN`44SorwOsj-Dj2SJ2J?0*m&AGtu zK?zfyT7p&MCC&+c3(m6K@JTq!;-;=(#b_$bF_+;5%O#%&#Z2>rU(8{6&SIv%z>?8K z*uuE+4CjoQ49{5f)DtWljf7XsVR*`-rmkSwc!G1nOok^c$9x>lupIJvP{`CLoH2*t zF^ib`0*l5YoC<yq3Ygl2HRdopWMNZRuwXP0{$a$ZFZ{xYQBU}WQR4wl2EPaSOm)I9 zW;aaZ{NS6A&lIWFkjfOUw!pk`59b5l1E*M4`81qjd8cNO%2X!2Vs^t6&I`T@r&t#F zB;+v_30D|3?%=eT$#93|p4x+N4fol9*e&?ZSS@#;T5P&Fqb2hfn}qL-yZBFhX0YKu zQF~x6`;AJ5cg%V63BMa|v0t!D_{O-2f5qp98|(+{8qP7t$s0UpT*p7*bHg?E4R#4% z8CUUle7<p=bBn=RL$Roc42^9Y*hKDXXjwUFDH$-FV-Ax4@QiT<zeR1sZ1x#;3%)Qe z;m`QYV8$Qux#1#vhn>S&W+!=uUkxVwKWZADGh4|Y_}OrlJ;RRSEb}=Vh0ly>a>)lx zlNp{d>&YklY%t<qQN!?*SxsKyXTu5h36%^_n2+U{wJp+G!_DiXvwFpgj5FCsJN4Eq zT(rnwt)U5%E9)Mgmbnhz8<pO$1Wpv3G-INZdyui!rAHpU%B_-|MJh*4l|%bdJS`?Y z_L!-Bv~P-)(A<d@?pDS^vnHAx@8}arSGhVd%)Lm~^PI=p6t^s6p_d*@m1p){NnK*D zyil%hO1jG3i3fU2tS7zk$a7m|eCdHlft!`=$^w;J6EF1~NnLV!qMiFBnU{qsZZ^uC zeJK`~rcZp=vn6%Ot%(WluVhZ1^Vp$$v+s%JrMDhyl%MvwSO(3UD5UJ(Wprt3f0F&H zzaHn^*T_HYd-8qaJNHfUck5D~FSe1N`g6s%#djzEv)lByqkPHUehqu4&w;Pqi`*s| z3ElOmRX*CwVsYt?M}cyvWGDYAk0`sUvuC9_PkS`V-<9-yuY7CbrkX9!7hB3t{Q2U_ z7v(GcA@)X}FFtec@0IWT+3`X7<l%k+d#^tk-xeS3m#{DTqjAQ)S^jUW$us2>{Yv&) ze@>LD?Cf{4XZri%v-0_VEBi-(JU*XspDBOw=ZW`=PxtHCull2L&V8k_tz_pZk8)*S z$&>FEAL!pz^W~|sfqY_}$*+sE`ft^^{0e;Oo@W>I`Qq$;7yGEc9Azr%@>eBazFmC3 z|3l4_)88jPaIclG{Mm8ZJ(|Hj=#NL9@)dLW#Gfxtx|hp8tQFZl@r_%7S=Z;lX}v+_ zm+r18UwpTJO^r*cvYGVGI+j#rTj`H=C8>*TrB~LOe7`uQ*U$XW=ZUx7itM^JU7Xwh z$?nqa7vGiDrLX3hq%XeFn`2&ex8t09we-QfCuxiC^rk&D+jaNE_r+S$YwJR`3%+(Q zlYW?&lD_y_|2?xscRfm!O{J&aJW;&(T<?XPlC;HVde7vv?40=2ZMt-3p2;r3=Wdy1 zOm{U(7GLW1G5>YPqiFHTUM2HIcQ}fa4W)DQuB0tClwNzY<BZ!>>8Ce4N*3MjykH|z zF>y}!vly3jrL&!8>W}8E_^foj`(4bJs=(K-Ys4#eu6VCxAkG<A;!zR!(6vpta@J0c z&x=lX-pVOSUA(LJlUfpURp7ktH$Gd^7G1p4{VHZk)x|e1^M#kr^e9<$;Z}E!{;s_o z<;r_HulSTaTeP$LiCK}#E!|f|fp=ZY%EbeBt~liyrq{IF<CJTac<fG&)2@+vw{~~% zzhC5IBmOdOOZCKg-T(AH?S66Eb&9xeoJytOl<q|_F53fVbbr$G+T-zY(a~-t{j5DF zJ}x@meJG}-;^K^M1%0Jr!N)Gkr4{q0?3nn-txei6PsDuU>`otbt-C7<7a#8xGuN7< zan7Y*`lj!c;~A&i;>=#n<|tRX+xg7riPgk8y?4y2Zgb=*s|)YVD=EL2FZkZgU(M-m zMxL^U@XB9tSE?`0>s^%NvVGz`w>oKAKbG`GS32+IsF+WD>XNFqYEQ?PMP}j~cV?V* zT`U~v_hiS!sogI6LB)YDT&D_OG(2Qfd2wd<C5h6T9jD!*&A8@pY&+!^qNla@#1|!V z@wss-y91|nI;bC-bK;E4O!0+rU(%E{rT^x#SX_MS+`#`=*Y3&p36Gt7yZCwiu9#1p z*3KnwRP*A)!aeOj<dS55)voxo@I<?f{H;$HX1Cv&;y2}~;-U6yHYUFUrnlS4PpZlI zwCH@djr^vX7oQfMYqyZUHK*hK!n>W1<aT`$nB9KMM&<W}XU;SD7gl<lcWLlDWwYdW zz`RZl`AbdTCroemkv~=A@m29&`w<(FXA9jgE)3t^`9N*gY>&c4N7{4b7uCM_w(x3u zl>DPl0WX}B)pi*PPCL@>A#YX7QK}SV%74}_#CoFKBbRnzNxv^<6Q^78AGKroEiku} zOa9R3fLZN9@{>MYnA7=9ZBcc{*M-LX6Du{&IZxp~SXleQ`=wK+Sk`8N+0D;vT%Inl zVBaVgQa$0VV;SF~;tQ{xvgMkpPn>iv<hQN#c(-t;2LDCflC*_8o4?2$xxIVB<klyA zUA7)y7hG*$q9d|v!mL&oajDvjQ_khwAN97RFT8iZ{hnCY=3N4>oz`-nj66}g(2Dz{ zp2=>3w@&N1L-j(^6i>J6i5qPWc;+;jdtszUvEsQ_D{-dS7oW=%@3g*oqBkXd;jPxP zyJDYePP|)arOVB$r?Nv}M(cwN{#mvjWr~Mde~1~yo;d3?g*#Di%gzaJohrmGZ4S8S zROYyXKXj&WOZvj?t#|l-*{(R}6rUhoRW#w9V<q3MSdDiI_nRNE?37bUU#Q9LXt|<v zeNacYfQ-N2rPh+e7Ey96&lc#jr&_LfuVBvpS9Z%&1wD3EITeYP){hI$>D7hveJT=o z=-A3GsU&B@Q8D2WQyKpuYmI!yecV56U*t0GDq=q?%kp%AhCg4E^@+C%>fA4QH$@+q z*St)oq+DQX^QsrJF5ejpnNrwWEE{IB+t?lW$k@xDP;=lBvxxkJ9}Gv>W$X@oU~J`A zs5$U}nMI!A8DqTMgQtwKatEF=M#~BOU^u}3$M!(J!+i!_<{xq!zBlObANbUu&3~cV z;U4n`xrC>Tp>htX2ktU2vpMjNv6O$pCx%_@E|m;Z*w5HHykqp26EHt;lF@*_;3vZl z_C2--a+lnnSmXXmHuJp49_5#knco?bo-~|_*eHFXXu;`519l_hfLV<X*ouq-?l^2^ z-pi|!s<4}(migr0$-n*Q#ooJDU-SQ`?1|h3yO>4f4&*PeyvES6h3N{<li%!1(idDY z+sw?!=hBg;aJJEm{gKRyVukaKcI>BQI7${=XuQG`VtGOSi9-rom&}Vog;R}E?2~GY zE-ZQM5X1J$Xu|ZyeLO5_3I`k6*tuj@6et|7|G?8?5%9>Ni&>ZNNQK3PDZ3jt@wlWa zXfr2Dt~h0%`!7*t?ce2J<%2H-U%686#kjrXr;^7H{{=Ja_5NEfKKau>eNO$QfR84B z*gwjf|KG9i(6yO+{~qVddz1KR{$u-;7q6D>IePcot3{jI6kmcG12)P}`%2PPtd$@3 zl~_-D>#<e&VjsA1Q0mqt%UPykrM$P#BwgkD#3wyhtb|^BtX5tLYGYU^FYWu1rgCMX zpL>z<Bv2D$V&9cC6*J|vl9?qc7bjln5wQ|_;gRX~OXg(pl5-O++;7Qv7OR*j&z00H zRxwsi>?^UH^wcBOZIw)C(UOxBRouH|I?s4aQ0|rVJmZn*RwZ+?aLKWW67Eqlo`p+} zOcZdpk~vwh<j_P8cPSaq0u=-0TFH~AJ!0J+8C{w-k;$D&=4HOh{)xZbE*S~k_o!A* zmE=6_5$<+LS~Fi|&%`x7A*m`_%6EHBQdM?Od<AKC1iCdDUAp5@q--mxnWv(ze6aV6 z*`(X<`{jSuUHN@+UVnxCrOy}Nx$lyH`jg|l`%d}0KTn)<-vMgU{0@BUzD53QoyzaP zx&2A@O@BMixv!T$`}4$CWlQ<3bw_?(eC57M{^(DRbM7nU_x|Mgy7+ScothL-`(cUv z)}I|`-Lvha{(5{-HkEI!WBC<0tKZK4)1MWemCyB?*<bp@an?QE?$n<XXWVDV$JV9% z44m1oWxwc8#wX>I{Yv&qp9P<|C)){q4xG_1XJ7PZ#Yg31{bKe(e>6TSAL-|_H~O>U zgYuz%HhZBz9B16)?LK`9oZi2qX3NvX2l^T8fBio3e(}EkEj35JU%clYX?N(;#i{*Y z>`wjWc(1H2ANsT7lzX|n@6QwO7Vqj`QseSHa7zDz8k6r6@3{Nhb^ShZ(!D@_Z|#$9 z7vH<>lm42wC0+Sm@0Xk{yC=SLt28^bIq;oZx!I=8g74h6OW({3*)90iZL{>rybw@> zCBb~r=8Lc0ip`4ddYp4xE4?vq%dUy9+zQQ_HV3|P%Qthn`=UhITzY0+$S%Q`Zn<Vj zn=j7lbu$;b>v7g?p>$*3l(fa?du_~L-RUS^e74ub+-dX0nY~8lMw<nnxlNaj%nM0d ze5zN&d={wfa-#P{4$IDqGkTBYh@>q(-Ya9Cb*H0n@zGupbFVubg^LgO@|bJg=_puy zu$RT0Y4gSDy?b)5q$=x4=ibyPP(IN6&+OCf75U1#(jRlTY!95)yCJ6}Re5jkC$mGh zPn>cKHCwdl;$633vrV^G<SA=PU(6LrRo0L`o2#<@;^f{(W<{F>@3`el?~MIYJ@LKk zUh%g(JH9K~iocCpQXM$2`$No>>Wg!`-^8?3UwrGjP5f${OZCM!uA9WK?$r3Ebff!4 z%$KT*bGl>nCl!O+QETItR0YoIegJA7&F&7+x7vH+tm`uIsc|k<fwQ}B#B6!C=pw9@ zut0q2&KIAR&UahsKiZS=S?O%|g_x3Oi_UbPiD{|4_|$cp_|%;%J}I5*R@0xfN8^){ zk+@@=$ulKG@z$L$J}MpWmeNn!lkrjMXt$8Q)1DU}ln!@u=_~EY_@H#K`#{W>r%L+b zr8_%LyGHAA?cw;aXn*$~y;r+EPP^8Kzm1*pR7poXcBjYtMSHqG=xr(roZ7u2rlkDh zl<qfrt3Zvk5^>j^9H(51#ZSh5d9r9n_na7(@{6}!^MwEUJ+Tga?^3PyXs*Y3m)*iI z{idWV*$6-ND@j+f7JlegVm<M#%U0owekRrv-?)^jb<O1{Q?e4?>t~X#biMP5&lM}d z*DkAtSNe&hD_ICH_4|^hbfwczy~udtOP8g>6aB8FDVYhcotaUhbg}b_kBF7v3ztl_ zUvo|rFFMz0p?+(QN3oKL@Z6ai#Y)D)iGC%Pkha*Ij-o{;J5|)X=5(BKnIPOd)8mXw zqFU9Q6NQV8bxNp5%>gxCItA3N=A0;4bf}X<U22X;fs%o6?aULWU1HT98C{&#$)wIS z=S9BK{?1=&my87OyHpFO&g3}l60UY>wno0vp3XHsA*o7Q!gqa5Qk8ahzEYcHBzV^) zP_4=6;vJVFVcVG+c}nWS2YtVoO}y>ApZ}-bmERZUwO7br`h4M?^Dh3Ul^o}tck<t@ zJaNu>2mf8Wl-~hwowx9xwNv>WFt<HPzNxn3ob!7Avy~^lDq8YywL9|b!Yk)h{6{M} z&N;8--&@J?b>ZdqJ2ol50$w^V;on->an?CoPO8@9i=ruis~yX)fLZN!@}Fu}d{#Wy zZYF=JhU2Vry4<On6K9-f@W<Mv{0x}ct|h;yCgYRh$#x}qrOyISoRj5*J_pQbmy<85 zS@BWvSi6{fP>se%#Ut%}@<uf)J}4e)XOkDI;W*<QFZbzF!1VSVHd~%9JkZV{|Ev1M z`-S`3x7Zx{e&L>Tq}-uT7pAs<kvmn*@m^7zKeV#rlyf=1Z{>-13wO0Iv2pnxFr|Hg zjmh^3cbxs@x<C!M0{*?WPqtln@3fEmtKOD$#e1z^bhhlC@Xo1H?9k?bcTVMEn>Gu) zbK1^*Q!fP6Jlo8DQZFQZ;f>Y=@kN_2yml%UD~k0v=d_l4qu!QX6J9wLiZyKxc;%EY z<`ny)MA4jkre4S{ftOCXVo94X%xZNL7mD>b>$H%&QEy7x!t<>*;;&*liWi=3H4%5( zd|_s*k+{)jfoD$Bxg+&L(iWa-)exT*<59HmMC%D1mYo-7v>wqBNn3clRYp83rlWA- z(N+<0uNaQPg@;>t#I<5N3Kkx0Wf5oEd|`U)9-S+xihA6+ks1Yx2U`D$eTrU@uc*uY zQFqJsfN8B8bV^bc_qKi#I~0B5lvAkKqD>d>It7buie8bYsL6d%S0q(YgZr$m%JvJB zTOWxPZ4$WSl+V3W_Dl7I_l|qn-&%HjSFmM&E4QRNU|#bFnJLv5<~F~PX{o;O)^QvA zRXLaH3vV1Zv0t^+_@;29`Gw4vsta?PWB4Z(2fTJ%!@gE-Nmam{<_9uUsxHiK4&b-4 zJ#p4?8T(W@m#To-%{OGWJX>(F*@Zu-IN*ij0`{erFFq@rZ?@upWRvk(;cW8-nUZG< z&NQEqX{o&M)Nva7RLd2g6izj(@lUeR_@rRO?kH#SOu>-7)$+whh2zaq{7E($9~F)^ z3-LSIy!fDSxS5Mz$tL52!olVPGGCr5=(CqvcAR#M=Hs&A_^@Dq^B=xf)*h!FYuMk) zPI;=J!yaqt@qWRc<_~<EiUOuKuaGGzzc8iw4c{tjk5i5%?5>s^ryPsfPs)CIvS3H^ z92u7K3%4EfxPQbpyl1Ks-;mC@hx@|jhP$jU^c2oBeb7-TXDk;>NN3!^y(6~aEmM}b z!|sNgtS9sqoMTE7XV}eP#a$75U=HgJJ%@8lQQ`-7HC$y~p{H<;>4A<yDPz7^!>)$Q ztUDqbUNU)!JM3yO<4)MzV9G5K+wg+vijG1F;{tAv%?;;SEA$o=GtS|@5!3LD>4MIK zGfYO}32BToxOc=fJY_niv!IA^3iphdh9^u);tpwy6SyTdGZ=DnY;HKtYN5BFkg<n5 zBBtRHlaP4Bj)udm5_$^?7+bg{Vj3PW9ng7jnrV;Dg40YpbP`T8F^MzmXxPvCLw7+w zW0cr|?G1ZbQz99rvBpF;+++GA*07yHn|sA31}*L#(Fdlm-q2l;#~38$u)RTpyJ1tq zPSz8;4^A>Y5_^!sSioHo-Ef<+j{iY*!#(CVmJIJ1zsMwfXV}GlqL{&k{e<m-xy&~# z8QwAG@h4O_++w~Um+*~Y6Z?wdh8xTW<QmQ~#_=0GXIRHRp}651^9H$uuMDf$JBk@B z*gb3y%w}F7_uvad9$!LL!zJbkatqEf-jGQ+%jm|hP{m-%&SA^&g7K2fgU<}}*k9N% zJZCiHUr@<l!fsLAaE5t?CBrjDJ^qBs1|#+rHVjW0)%X=E8%{7!uw;0`cudCO4C5i0 z2Ok;w*fVSx9y5yZFQ{lZ!mJ?o-~&S&yM_(JLq;}!g$f1(_8&zI`s^=?81&e06g3=R zW{`XEo}rHYg>}O;<`1$7?-?Tb8lEzQ^DQWE*u(rl_P{B|RWc2y7~k<3JY^_jUt!%a zh53T4!YRfDG70Y(ir6cP8g?*SSTfvUyvO&z?81A8eav6^wxlcEYy85q#d^X!hf20X z#sTjf%Gov<3%qmK&U}+E#9H93!)E4_d?D!zZZsyaFEYOH+M$@ONY>+=!&>Hzd|Rw0 zymBaHYcdXa<&e+jB>SR7!JK&}Ux<~!ONU&xB;yOS8r|51WIfJ0EM#uvo07KRe4`Ef zE18bs1!o&g*qw|o%xpAbH!>D@<}jT(k}o7}!Kp?K_E|C>MGH<ep5S4zyfCBj2#-kG zg5!-c>{&7$g$s^0im-dha1<^$+{nYOCDT!`;9w&QJCpH+>5Y4MuB0mHG3QEZ6et{M z{Kxi5dPTm1F7rp;E#?8!8aMEiq$=!f{KR%h`ot-RP_{)z7w$R)vu%=Ik*A=^e34fq zRY8OKEU$|Bg~^SN*ouq<?l|N#@BI7ucmI3)z5n0*Z2vBA`~S_q#lQXM)qnUi`S<y` z^>6+(|33fLe%t>m|D1oHe`CMt|COKW-{f!9zxea{*ZDd1G5;ri_J3`^=Kq?1i+}mg zsekZi@~`u=>jVB<{yl!ye%b#i|D1pM&#u4mXY;f97wcXA2Y&W{VZY%2lAq5%%b%~e z`v34x`e*sG^%wpWKbwE1{>-1|pXZ<2Py0XR=kibTr|Q-IPyD0)N#5wc!$0F^@`nFg zem?&wf4pAmf8w9?kMc+Bh5kGKdHzBEa6Q+5#XspE<PX*#`1ARxy#D`^pY5mZqyKUK zVgE3HfBm0-FMqqAwy*jB=I`XE@;d)xe!9P(zo-7gzm1>#r`E6dQ~dq>l=?USR{nNB zWnc2&<tO_o`{Ms6{(gQke@FeCKg{3H-?q>D{pa1|-Tv?Gs=qzF>weyD_wN_)Ca25W z{C@JTI9=ZQ_k(xEyZhhTZT)@Wo$>DeH+H4pI`6WV$y@#2^UgS3{(9|`H<x$uzqVWb zd&N89ba{*4OWu7>lfP2y_q}j)|4X~2zbCxAoF;Gfd(F-CFY6X8;@WGs==X}7>Lv0Q zYA?O{ytDtg-Q3>=?>6u3e`c5Q&2qE<GrP2J2k$&Dl0RL0>P_%Y{-<`6e|x+W-pN0+ zR^hwk=KdMAhu(btaow)3`t9W%{EzLre`~y(yo3LdUHfl|cfl6d>{@>Fyi?x6KcjZv zo5!j1^?n!JOh0Yc_?zL~=k5LX?P`B#+-%REzqfYNo95K{+P`1CoxGj@o?Y0tm7Dmd z*1r2T^HK74|GRcU-xgM9&e!<ua5FtmerN5xH^STdC)YmsR(bpQTQ%d#lU{yCllpU> zY`Yn^`$U#-<q0P~qX~!WbeMk}w|i&UU%uwC%;O)&%+?w9UUsWKX4Gfc6JN9Krk>vH zHye-g?LL}Ne>Q3T?zoLzJN*p1wqLW#UgMwhNN94!5hXsu4*NF``HCwJOPw=l)6aRh zdhNPduU0PYlX|s$Zr-eyT)`EGg!&9xricAH$d^}ei6?GDlW@-iX6YRV{2wqX*KWD! zDr~#uf+M%Z0f+y0T9>t^CTaU*_pplJlHk@e(HBls7ZCY*;g^LZlhY~L&EG9WR2m$w z^2+466s<Ampffs9#=9DPl)KsiM<FS*r>GOC=SKuog|3ER(DY8cxdEOoB45*P2>f z4VXY@@1-g5D9qed>nMAPt6L+6<)IRrxZ(~DgYF-jIP|+;Y~s-CzOkv}fGdOEqkO@- z?ibN5(_BC3Cglr8iZ!MRhKnuS-m%B^f$pJGN~?65PAR<;GfWjM>s}GvGR5_RuF@%` z1v*K2f<@gGn>u#5T12wkQMxDgu&m?0^AEX2-vz4q4pwv6w%@RAd8hbEhUvUw5r5%x zfik|v>W<saH{=$56WG!|!?xv(;wu@YZvq?I7Zi6~cRpj;@>=nU%%ZOXYuY1hTV5$X zl3_Zh7{veanZSy63)`02&NJi|eGypFo>9zU)*eyZanZR$&grb86F*~Bhe`Vno0jK_ zR{RG+?cNMIrn8FYWRyM&r13RYcAR#OkXv*{QH$TPvg4$)hn&(UffPQ*$_~SJf#ME> z_6nPp$BI(?2P-;`I%~)&eH7?ux3Fn>sK~|dSkZCNnM020jN(3-L#GvY$uyl-+$N*+ zL7<`ihjq(+#b102p9<8p->`O>=A2-8WUBKES*7;^73~{}I<(ps6m{%&_OWcatN4mf z@u@&bdq)w6M*9rwmOF~`WR%_s`0+88cWigw!TYFOU|;hK*(38DKkzx7S14m&Xx(Ac ze89Nlj^iE4mbs1(_#TxBY-?U2%kowsja|{Y!>YMMmgSAY3!X=%0>x|(tvD>3Gh|s_ zD}=ExwCb>EZZPh+;<!hW<&}aT`@=MWWz8D0EH4#q@id)PxW<!IBCx1Az_{arV*{Vk zSp_@xhn5}Z91Hl8iUnphI~aEuH=mGcndz9o_ozr<TC;|6$0^4EzN8|7NzENHEHfP) z_>#^j9N|$qqaerr(4ym*qXA!1p+Hx&hYZUj1wQsfi;hE%0(?mY0!_^f#vS_2AB;Nm znjaW-=r(i6usl%s$M(>?W1nM&B+Gq;Z)_7&1tQoAQw6G;Pe`-eQ&_{Z=#;_=o=15C z!EA}<9lIPoBw6k%EaG80rSObR(Y!;wxkI{Tvf}~XN4Wxd^*{bLzn8E2zu`Imp85-) z+wa=H_@{VY{=*-|@BHQe5}xz#sNeCo`K^4`e}~`gH|<aSTX;@B=|977cB}e|zlZ18 z@A&6<PCn}YfnV)c?N|I$JSYF)kK$MU{C^F<+ArJh_}TnY-s``^uXeNggwO4!^%8%Z zU&vqiqxgk?LA}T4_Ve}?{}z7cpHqM1PxCYR3x6J-kvICE@Qi;({f<A)PvuYjS@?;6 zO8tyK%}?Z&{yRM5pHMIHncc9S<8%9Qdy9VyKl1m~NBn7iBro*8;Ya&ndx?JwKk&EI zOZ;hmAb;S`!_)G6{wzE#zvEBhX?dpq3_sfU+yD5x@I8OjzXRXf_u8lYWS(Xp^RxM$ z{HK2n-`Tb6SA1gEs^9VZ@D%$Se;2;v5Blfuy<MZe;Zyrg`xAd3o|J#|@4*xPg8GWz z&9~+1zCYOAe$V#JP3HG<U*05^^Y5xYv6<bb`o!JCb8T<jWPT@?_dQ{E`z_lG?-I-S zH&w6L+<wFMz`MqCa&g}c()rg_PuSdk&341P#8UoM)g7DJEvh~49-eKx;N8O#{=9Dq zyV@_=PI$NQtlW(^iD%{9zANlvH?8Kl%lty_(wm3H{PU_`++lt$XZC%;PIi-Oi_Pt4 zY-ikLekP~)Jz;0NQT2*D%unUizANl(KVduJCi4@yV{aVK$Q^p~u#ms6I^z!WV>z+! z3wE?0u~m5Yuz<g<TH_A$LpiqZ3Om>hs()-^*ROuDiCwSy#-{cIwhZqc=JVH8zqs8z z&Gy6F#C-n9Zw;yZ;olZ)Z{K74;O)Uva;x4no|1d_%^;P(ta`=m<|(!p-YTAwTks|^ zkH4t8VpIDLTZ@~_cjWGUdr;PX-}=YBh2QzA-yNuCw=KW1xA~pyr#p=2WsBYyJm)KW z*HGPl+xo`6h2QwLl+W1P{6_ZG9mQ{a8_E|Hw_mqDv$OfN?2|hSzw)gqkJ#J%O7_tm z#&fbk?>{`_TTyPYw|TbpjC%{e@GU9NC}uY+k0@@xXx(wo@vN-VdxolZlky*XnxD&B zy+2Ude%3nU9^+Zrb9WR!^QFCOsBAxN9dU2r8Ck9O4wdaEtv&83e&S1c$57dBST0c9 zZctvar}?q0)cXS!?MJOO?kRrc>nOL_)BI4D>%Buo`$21tdyHpf_uV;oT6Wi+#?!Lf z?kIlXYbgJ*yZOHCuXhWc^3{~z*zG*cI$`JGsn##<D!%8dDBn=ju2sIEsC~D!&(7w% zvajALJmo7X?<iu|D4(&r`Ht+oJBsi4{N6E?w{N%JarR+3-@f7(a}Uq6{BX|kyiD2i z1=j60#RrVr?^xcM**w?s!MTTJeA|jw%w>KnllEM}y4|X{V=nU>nHOgsmhu%pdtk+G zS)4JK`L#^g^95Gz7R3$5?N==K%w&Eg<M;eQ8sD;Fjk(M(Wp15mJS%hUOkxS&qT&GK z_6wE`=M>M%*gbz>*?!Kl;9O!c->hN><96fX6LXqpS|*%(Sj0E2Si`velx4uV#3H^) z#T|2)XIeU(OFScU<c#7O8M)^VEZUD*8k|ck<m)Q-n8W-?hVOZTMf)L3fpdukd`-m+ z#_jsWAB@`diXRxY>lSm&VSXU<@7V+M_I;KaGnwzpe0w$_l`rC1K`LKW@rl{Y_hi<b zS$Ilj#hHhBe8JBW%-eTaddy_LE3@bf<0+YE&lJqt)r&i3H&3=aaQ0y?Uta!?+UEDt zRrfbM=iQTk;d9$v^B4OR&r5&UqxhY-{9eLy-W~ZnYMb9mXWe)B-FDOb#J+{+q?7J5 z{ARPtuc$pd$9%^=$8*wA_YeGPyK25-pW->`2YVF1^5)-b_|<mVd`D&TOKGqB4!_#W z@)JI{ndVE>HouU*vPba??}B`f&u!<;EA}n?%sVIlMosfG=?i-vo{=`XpYV)#M*fbP z=BLu9_ALCwJ0*WcP4g3JrTY%gcqimbd}cGu=lI-q+}vW{!jHT?`4KhEkEDg}H~eTj zY%Z~H;RoK9e2JRo2hs=jJUlJEXV1dZ(mVDfo|b01&+wydzxj{73*Ylb-8=BTZLfJs zCG#}%n9AmR(x2`%d}q_nU-5}eD}P7z;VI@f_AY$K8+6a%dz(gn!>6{L<|p<(JSqL? z-h(H+1^E@#&9|lMu0Pn_cF*)pB=dWzFKZIZd3R->*vw{=eIoYoT+<to%<rV~t|#nn zyJdP|U1AyUrtB4)+isX1Sl4(?D(<>LI`6vd37gxlnQmB@SjxL9yJIt(MYc!m;n}7O z);%oY&AXPctL>8MgmnwgO5IqKcvi~oy236t(`=4d<`+_z);uicotOP0hWWXa+4TiG z*-Wx6Hn*KIoe|0WOiJ&1!p=6M>=iN0Po>nZE9`7LVLBm_`H9rAHI8Sb4y}1u$lI5l z5ySjgO6>ZA9c@QU71lj0;BCv+h+%#x#dcj`2b)3mk4<d)*)KM+>1E&8)ONs>Vco-g z-n#4;(aqCLKdep6=Z(D9kjfi=ZNc`oJ*E%V9y}$rYE9!Qsdv{5QhCd=S41~YF}<)> z@s!kpHHmq=McEab+IE;)L^9uzx_9kCS=)W%AM+M|=czt-pqkA#{f2GxJIPOT7|%-< zoiBLKQ+BSQy6v{{jd=^d@oY(-VcYyh^3@#0Z#)~)7ZkT$H$G$8{95wKoP}R`)}%++ zHoua5G>7q=WYGB!&v;g(Ti7<wHl8tW;TN7I=^4drX6X^dZ5NF@<~g2~bUM#a)n=0Z z!>0MUq}BNYm2GE@Gv+a#l{_~`@iR}_xrWNN)5a0=7M_vRI`2@~cGB2mp5iB-lyeM~ zZHDOr#cc-Z6*kR}C8f?EsAxNCtT9jVBTq-Vg-!EANv`t_6>SHNIp#5*k=!@u;AzQS za~e-eZkwa{fu|w;hjsIP$zSIdJmslLzhUh>%{amG@Koa$a~0q7RHSbxYST(zP}H{D z*vGQ@uH>t83Qu`T(mRUSG}32SH{X$*H%IXukKZ|l^0w{9J9;0M^XyB0A$xe9;fFrQ z^Acsp7g)F1Bp)ztyJL7qvU#rIgT9AlJlm32$TGi`NIR}z-DZ{CA<O(m;ziHHQl8>t z53JZMlQU$QUrU4?UtrZ{k=$V1cExayB=ai?zvB<mc$Ot=$TGi_xYg5mR^nPuVhPWp z<N)Kg3x*ASif1M4jz6$$J7-wXmsrd*E7`%g%{cjlO!G{`guaJGJkydjjN48b2J|Hs z@k~nYkYS!_=+KvVM&d}1;u#6K;}0y_ju{&CB^L5@C40y)Ka$`(o?y{-$WWj!v4E#3 znZdYCKly`En_lt*qc+`S4jJYL690}pFmKyum?6o0U*g-b38_30#|lz;s*+DgGvAX~ z)3flD#EPDWc|5_#63p9n8G1-E-<4R@!+1*K*)aw4HudBV>E_9X2YMgo^5n(;_}lbe zylVf3=iGbZFMMvjtN)@-@x1tl8pZG2<@*wzbMJ`X@we%%c-DT0->o<GPt+|uC!VyQ z;Ww*Qe8t~GbM$xAIi3@b+JE3z>s9>~b&BW2AJiy*<<8&N@T>K*{*Fk8K$e5t^|j3Z zQl7m!6+Ay&=Y{58fz;JExQpXg++OvSJ4kH5!1u`tQ*?jS9em4O5MN=u=RwNqQ{2nq zHU2Wa6nmF5Rg-<&#K1D{RnY~x&bwGI=pI>k*J<tz-7`0xUOX1l*<R4;Hu>zFR_lEl z;hW=c-ej77Q2Vmkn)r!%j;9i39)z!pKbn`wJtf2{dc$w7GVYR1V#_in&Mw~7x^Mlx zBNz8(x$^FH+N0(7QL9+(qV^eW<A9F%jL)oQ@e!X}FY0&HIi3}F+RyN-)x@~B?%`+d zdE!<_V;=0;@RRkd{(_mBevbo6x_e67tD6#bgxpnax;N#=)CX6muV*{FLT|a&=c%`E zhZjWe{i*py-PC{KKb2pXGv0)i&XcJBmGo@o<+TCZy=uL_uDm**tzOBbrmJ*y*tHYu z*S+zrTYB_#$mICkpIjeS@0)Klf76|=b78Zi1MRN<xRUfRb=LY1^KQ8`|GfMxyej^q z@5Fnyp}(fy4XX^9FR=DbK<i8|o+nc$U!E^iA2jFAs!69lXr7<H$Kv0YA6K4-?JnE4 zD><~<b^Fw};r<2NrS4|+KJ#zzzj-O|YMS<?m5;Xly3=*}v*wNE8m{Y?+1y-Jvg*Xz zu*j9md_tdFU5$AZ(h;}p(d|=b!qO9-E?bl&`s?bQkjQ;Du4$|9tTgnW`mpv@;OCV` z)>hSP70<R5jhT9X{gZj0{&Jqa7hdZB(eGv1##vhz?VU8~_jb{F>;D{caWXTC(!7&Z zpzZ8(RMTp;>vkXGg<%zesjJ?^wC)a#4}CALtx>c+aJsvv+|p=MZ7a#G-aA85S3H@y z_|b)li=w@XSDJe-)nk?Zu}dUt_0$KU8%mz!Br;cJaZRndGHsz%zmtir*1Hwz#qO4u zcw3iy%|4(Re$aB&hgDY=v$bcrw!YfyHFa`9`HJuxR-2tKZsL1tHD%snmeq0Vi;Abd z3|(Fr^OUD_*UFjCR{5V_eJZ3e&eToF>s)BsIhoe-kb9vStFDDay2W-fJ>@P{@1MO` zO#bh?zD=uV*_?W-bzFbW&7!Mv;s;mnsZrd_9k2YB)j0mZo`RpOr@2%21!%g9n)A;K z3ck2u1NW5p8Go9d_^-7;`jhjV|4RFb|3ZFUe&xTas4i*mq0C>x^QHI9|5kTt(f45a zx%1!5ds4P*^JLkWd*|mqd2=L9{rdH}K7r+4y_+Y$@>^}a@!gj+b@QdxmG7=BD_w4~ zcfa*c-ghEt%Z>Z31Fg)mPQJc;C$m`H&%n2&?9lG!*|(XaXY3Z9IoBxv(cP2l`QH1k zHQrfSEUX}Nbv9GFQ|RFq^?aj=JW4Z+qw{`UUaUOl<@UT~H}qZV8qbI;>^FGEowQHj z8Fznt!5q&s;!^t${AfL@uTiJ?k-H=1Sk1!=-<uw`9?}=6OZ>pyWc;;`@r+M(+9BS} zoRN`f1<Q_KjB!49QorVB({%lkpG?y?a7XVG_`!NW|4;3~_v*&+FMc;on=iNj!1s_R z!Smy9{C1kApYZe0RQ(sVito8A>^J^XdCncSuj;qcRQ-UThwg@luenu|cq({{edf(o zQ(hHl9nrs0yYQX%-t|AWt@_h6Wo^`chwmb<{oCR@KCx=V&-mSRM|@t5;yZ4?eGK1Q zx9ji7ZQa#+f4N`1(4D6DVH@pr^QP`>y{G%;Ce!<{t<e`Yx8Bu#k*9cG>_d)XId}QC zgy$>I=|0GNSjN3Adc|F)w_<7A6?V5;MR(j~dL#BC=V2*#@wNxMSS_P7?lQd=3){Y6 zSF1&I!{*j2x_fRiy%O`={veHeS+vGork7&3avINyUCT)<;a(ISu(|buZbP2pSuwlq z4|cYm(=EtLEasjS?XbDkIQqn$rkT14c@K-Yr$uXQZat+Nke67*Jt?~54%19shrGly zVn=cm&xpxwf3TzVn65!yVj*`|w8tH$M`C>26Lz#7(iO-{EZ}a6X4u@SAN^rdt6ubj zO|81o9Cw%=i2d94V0-I6-He+|_r<<#n~=&Kv8^DLyDIv`ZKiu-YjPHz5?hh;FpoQU zTf+9%UAi7OneK`$%3(Yu_H3KN_Ez=ij@wO>br0k|%;nCD|6BL;cj)`@>V1#?dYup7 z9sja!>T_+I_@{NH&$X@NAJ&!rp87U?Yy8DJ)8A9ygqQB?`pflA+bVu<o#}J!>+7G? zT>T~bI(&8f$~w{K+7|Ik>%KnIzOvqLf6?cuFT<C{PprH8OxrAe?a!<)+85VfsS&lh za@VINe8K+sr9WSN);_=9YX74@S)aAfuD?)Inx=Miy;1us;nYuSx;{;vxq1Ol>vz#- zJ{x^@g-;Kk7C-gps!!Ud)~oHG^hfKHwvq9~I@X_8XRJR`Bl>Lh@%1w7%Il?;7kw6e z98eOkdoQGX>5s{8eM9$o{dxIK`^Y}+&hYl+eMWy)eb7Fnc4qavb!_{E_AnI-zX*?a zxoqRsQuXwy=BedxY)YT6yt95+&C#ctcjq6mx%zCiZaixp>yMCm>;LWh^n2BNZC!s~ zJJp|}_rt5>Q-5-u4iDdVsaork)_d(e>(|tTKGoKWzw68TJ>;eT%wXHfQ(sqF#{2Hv zG+XQ4^3%&J=5PA5D(}@N%}dMA*t9;=yuW^lP3w;<?}KLt@3n2+X&yRFd)N9!HLOop z?_B?6U)856v(`Uy+F$fZ^iFtwaA@6Av(T9<^_DOC6f$e&DxcI;tvxG0ExT0BRkHg2 z+M4B)Zm;^j^5)uaHdB90QJcB8V*91dSKkGv&Xd|a^<7wH-KINS=Y#vBpV}SWadqz6 zH$JRs+IQxk^0}J2>cDch?Yr)tDqDSPzSj0dceB1}UR|3q->a7ETv+M0uD_jy+Ba4% z$#G3zwQK$XAJeDH9rWyLZ@wyBZ5b?Ur)nMgD5O02tnJoaQ(uP_ZOf|dy4qE$Wf;BI zPt;QMX-M+2S#!9Gw6Csxl*9Ub`GvJX+p9K<zVdJKpZjk~+A5RaTEDG3uD%TE2{xT{ z^VC`Y#rB<ct-Gea4C%Mu=qLJYxoPy&Jl9>J(zlj#{hfE~^OU##4Z(_eN7GiDM)%rn z{UP!$xXXX9ool-G#kE&*M1P6A30n}o^yaI}r#`D|2TSH%{W<wr*c|&@IlHUBgdh2L zM(5@&-6=Y2?YTc&euO*^n;AWGW>>zJesrSW($rP^R{mj_pLOR|k@o4er*cAfiargS z99($Q>y!G?wMyHSHj6$9OWr25IdsNax$Q-FRuyU=TPwCb=#EyQ_K~%G+l}t5D$qW( zmaX5aIOJJS;k>S*-6HRT%BEeq>s6q6Z~2{hRg;rqPMr=eara#2nC$jt<sNPS)XFUW z)4>7LR&Ad0Cb+=6Hj?X1P?I;Wp6iZ~he2)LvU;sMLLLQeuA0_$H&*Mr=AK>4-^`o! z=}PR><!f|G)3ptvYj2)99TvOo(Wa}@)-r8py7TJ&iqmWVY<qRv>vUL6^xNF2soFZx zu{XW)SMOQ-VcVunp;Onc$SK`^b;{Z|+g9E7Iu%wD?Rt~zR9JEJ$=t6gt9PuOlf%0G z>g}++@V{|St3%(1R<C=s*Xw-f?(mm!Q=e<ugg=cdO<#F@^&6e5KdPrZ4eASj7*|?7 z^=;_Z@Qc?%&&H|Fe!9xa`=zewt}ByIE&d{NwED`t#Z~>EY+sdWZeMz@?^f)pGf#tN zc+a%lEHZ1Ui{<oJF{g~r1}*Sz)myq_%9FrV?rY_aR)ws79a!8~70dNb<Hq8o{#C_O z-UM!TzbF@4J>_j+$+V(PA$J02c%RfY-7Yd^=^`E1@{l=;pU8w(O?erZ*JowR^>u~0 z`%*d9bWKfAQzLz)>1ywddRI?v7kLo6G5p{zJ=64+*OzY55&f}UWct!8C6TXuXD{`b zu2s7E%FCb?-nwzF>6$l|nrOb{Yu{8XGIe!ayHjb4(c9eS=PR__SwG25wYV~Gu}Hs? ziOs2#0qeuh?mYEX%QAdx+|jD5uL67AP2-kUh0eLW_)w2xambU<f^}YdU!4tI(c#X^ z_f$*$>#EBOBi0ucPk9j7>ilx&sS=HI=dZ5zTCcQs)!ERTby3AvUxY3SU%6B3i`IpO zPOj^{imyHo$XoZx=2hN`i>s~Lnf7*lUUhc0$$F>at20*{tv4zbeHJ=BJn~iIP3x}r ztBk@!<6J96?#bL;yyi-rX=nA7<SR-m*S`&&6z&@5`b_J@>SHmg&sG_@XLc4%xgXje zZYihwbcNM{#c$SS?dkfs>gZ~b^<I0pKCU{vnrFS%o~{q84z6Zd&s2PM`szI~SD$L> zh3D?n`k-}S^}ltWcCUJ`r5pY+c5C~x&&w{Y-jw5%yOZm5Xn32_9<TSS_N@Mp_Gypj z+0d|6t1Q|iSG`|mI3+c2)1IzVDOc}4d6RH;%FLRXKA&S!%R}#m2CZ8(d*%048sUx? zgG|kXRj$l^7+N$Z+;*nt$5lJD)WZ+Peg$2Zvp@K!-&O0Y^Hx@DRk`=&X@Qn)@LRv7 z)}ixOe(;%UeRb~2H$JV_SKo$g3%=^-YJK%h$fn?{GquXJZmfLa^VRC=oRu-lCmDyn z4p|et)^BN*@V$`2x?Ouc->d0m<lWlS`FWYm`IQg;wA^N~3Vjul|7FY1B~E)^p79N= z`z70zx9tAxSIeySp6q%Xl2??!>38P$W!5%j%Z%o(D$%;M@|Mrhv{k0Ty?&}zQ)jJo zTF%7EtQ@_;V`|!}^DAwZznarkyz1;qljTmvS7)v?T5e=4`YdF6aHL;o+Nx74HI~ns z<5jfk#L5$YRMNFhtUTtUnzqUy*w*i<Md;&@o?u<Sr52%&LOOzF{ZcJLABMC9^ZKb; zh|XBK&*y3CD!t&snOUbp8iN`AzM4<HA5t5fIkPK&)!vnxd|FdiX$QabooX(6FC=W) zDkIUUE8o@K`V{;iBzW1T*{kxjG=netil%C51fTU)HNQG}<)dXqMxu8@@`HEUe*Hb= zeehoYw?8xAYu;P_#b)d8Der<S=N<YS@-Dc1-losN)0P*^-}RUCgXZn||LQ*dUip6I zt>qc>XVrRrTWMwg^zV}I!uNtV`X97&eZKPg@@F<re@&S)Uub^T-<KaWug&MF*ZR}> zVfn%3Ve^A(wa)oB**E@tdD_3n|6rxo*Olh>8-He=4PIftvhvjzO>_U5cA>vSUIypR zOZt3e)^fM`LVq>S1ZUL+)o6Xuys-R|&DWooAN%*&oBn(9RP+3DtNN&#uFosa&exfL zsfO!paQeJcHK)!5&+w16OZ^!#bGg?1MKxKUG*2#9syF&9{46+SUelkIpO&9qe#}Po z*>WTQ*2-5OHIFZsnx9mY^-=Taa-sQ7HLpHs9-eP9U+YiiXU#*)+2#w?aGeQ`pZDog z$n@nqY_>jKd0;ui{9o0l-ml!Ze2dM|?^o^xN6tI+>B`jQU*?^v=6bKG?H^j%bt<^r z-?#GAyOq0^FR^j`9x`S50vprsQ|<)&&+Do_buzfXf3NM+ZCBn0?eqSsw>4e!-qJ5R zTX#=+7gRaz(B_bLLFLmnZ5DYKwB7rrUg&O-w?Uh|PwIuHue`A|Vfv!YS6&AdPb-S` zIv2Fod!ydgT~l5K6;5l~9P%nCf0|S5s}fCf@0og`yF^|F<xWf5d}Y>Bx9LK$UT1?A zdN=A#O<Q?>sm=6PF<r$g&n`8Y?zH*J%%w)tjW&xs3!3g7sTZ2I^3+m|>9b<IidLRj zdP0YF=am^tkLZY|tvtR|W_ngkSK-Q|OGT!8#c&m_JiL@=x>ihA!ODY6S*9~>zA}C3 z9-XVHntI;3ky-_s2bTVu_9=Q*zNW7CN8PR4L#8d=pi`Qvxp(QOX@{auoeBz_wrJCp zyFtOzHbt+>)7137s4JSPso{NAS9SZ9$x9ziE7~M-Cn(>0r|j42DenXKy1%vT`mSN? z{#I^jb;!KMA7rLhUzxl3jZACxmA8T0+^@>HR$qA&xXJyhrPeo%8;f7ae66}NXK_sb zq~eg*fot5?$}O!5nX~wT%+#tYvlj>STiKpE8@SAUs+?<8$n3>8WVSwAadELre^7DA zi@*i$OD$i0);Pb|s{fHq)@O~gi!aEOK3j2S@fn%c$}3L;r@2qHT=hxg)MB;%Nj6%a zG>qIG<xHPx7`nGwzWS(fe6du2l1<h}jiZZ&`kicEeb6|(n5$pOChLR7!Nmt;zCP8^ zcQ3W<Ivp6@$7RFyVa5K%fBIfodz}ufaepg2^{IxAd#t6``xSc@f9Ts(6f$-33YpUK zD^nJ~>04#(bt<sL-PMxoRA90DN!hPYR_s_jM~1ch3g}9)zkE-vL*55ew>^^eIv=px z`6b`fbPXHlr+lU98rIGa`AV&)ybajue38%8ddi!C(zY&Ht}+cP=e>NU=^ED;KH<4) zCGt98wew0o(R2+9=cRmK(=@Iu^lL9Np7Jtasq;j>t7#f$&TA#JN;EDmyuu@DCGsL5 zv+bA6sp1vq7Fx95lJP3mFmawMsa33D?3~C~YB}X;Kx*46nXaN0Cl{);cgb{}37FvA zE9rG6AhE4V=2YQ|V+$qPqh!1a)y^&yYIpi0^Qu7O@ItQqESau?6$clxv@;oBnZ9rj z&(%~7J?Gq=CqFJbzL2S%X^PCN_sfhnIsfFnYCc&t?V0cNor^c_b<Uir$@kJ{sq;tP zt>z)q7H&B6#QMtAg<slENpt0^T{Lx$oSFHlV1<@*VBr**SMQcNuFy13l{}TVV%O|N zJgTW0yJo-n*4AYtGG*bjwn@^jP6iY@TS{`hQ#-WqUS81L%&%(OXD@NsdH2iD%kTa7 z*?;}FMg6n-z4>46JgK~_A#L8(W8V31%kRnW{447YeGY!-UtYKAv+z6L(7Ii=iz|bl z`){+q`p@O}<v0GD?63aR{HA_m{tKJ1gpV)3F1NJb`A_Bf@@w-W>ZAU8eqC;1fAFW~ z*X8E+3;&h;nml{HPrcUP&M(W&z{Mn}$^380v*j1&JJfr9zWm&Oo_*=RBR?-c^PgqE z@aM}j{xj`o{^b0;{PcXCdacjFPyMIZ`~Fk;8T`aQrLO5u<{AH_I<C*q^7F`#%a8oK z>{b7@{J8wkzs+9spUaQS4`8KpT-~cr!qew(`y=v0_<?`D{m;KwzE7Su|3}@e-<qfW zBkFd2ntac{(*EJ!l&8zJ>;r$ke79WF{^sA1?~|v@e^J-<NqEZqXLXZ)zdY$*Xm9zG z^WF08^Y4`Xx_h#G`MtT{-W=IIdEVTz@=JF$&-+!Box1z-oL^blp}Q~3)NjwtDqnOr zvrPTw+*fZzb_>7pD=DkGn|aP}o%P9^D@)a{%?&FLx~qB4ub|B9?#r`&E3D_fdy=Mp zd9GKv(%qG3{c_5pHeY_>x5#?sP0bSZ3v(~M`Lc8JbHBOPh3~fPoczo$qs(e^@H4-( zvO{-X7O9_}d+JTdPT{A1ldV19iR=`fIai@vYV+h7a}T}wvSadNzg}y@cUyK$e&p9_ zt@y5F$K;28t=59?Om<9u;FnPLYt!WEb9cQtlDb^in)Myaj?4G`>a4%MU6QK4Z|=9U zQ=5dR&0Y6q$@buTeihb{H&>qW3n|-mJM)xZnf2ATDyizb<}P}}lDd57+$UvKn}YB7 z`IR-@ewnMjeRf^`r@bq`FS9lOcyGz`Wp`(n<ew@Qo;SNFe^>G3xw8xMckMm-ZP~5a z8TqsJdVX7GWq$M?OSSOa*>U-^iYLGJEy~N<+xd0b)!8BWUB$w4W(Vb06$`)eU2eW} z=gBY2F3tAH&ngz4J^R`nld8!te6#Yn_Huq%W@27@?@49wbKg1Ux%ZY-3eTE-?#`6T z$unmg<nP*Z^3yUS^U!-Pm6xCRPBM4B=kiSL#Oz~tRGuv}Ft@$;q$2pSZ;!d|y(JaF zk9<4KW$&d_1V8j`G3ULfQXxEJ_P#q$o-WffFWi}V+PBf1@!ps6$@hJ0%`<m)zF)R? z_NF^6PnT(%zq~u8T=<@ESl+54;i<FV<;^OZeAl<s+;eB=yJZ^Yjyp5osqLIS?~X|M z<jJ!i<W=oHnY--%%$oF9b2HET>@j{hGqYUn?#xeTTGE%@nfdNaO1j#enR)4(=B_ML zyEQW{ebU^O=X^FAA3W!hzU=zUXJ?*RO`bC|Dm`g#W~tiMnUBt}q%XTN^WK>jtIIEa z^3sgvt}IczH1pP(BWcS_jeF0jSWTWa(<z;4uI5>v`Noy!u2>2`_nB>+d9EdGnXz%| zxs)`uGc)zlH_cgj#%HQ=;5ie^$xnPH8c&?bS+va1xO1lF8J~V*%X3#Ogdh8K8*84M zVj=v<r`=fcT!@A6L!V}2&T}kj%k+&af3if)nAW{sZ_7GE{WVOku7$fUbaeHc+H>H- zu{|4Fx;hTc*mdSe>M~tp)^jWtm+$-38Gk*yBvozS%x`I@jD)AnTz6)PdGI}-3ggI` zD^K}^r0trWdCI5E`081eRJC0*7oA~AUAA-Ple8+M;5$BkX-%_V=BjPi{u6ibJJ;Uu z8^x^mv|sEz^iH&7{e<US73(Hcw_1m9C}zE*eJAeVH?FPW3-%s*Bbu^4;5paE@P@ri zbG7%xC7u(FS+DS%Yi)SK-b1fML)HsC=PFn?p{mt9++pvbm!fxK4u0WU8m_R{X}0!; zorh*=_ry7V;mTSkP{n#dd&$m2&qZz4U#Mg~r=1h$_?atXUBNT1nc)V-tY@@i;tqb| zN?jLF$$CoLC(iK`*TiszV%8JdJv*CbXt(S<^jK78{e=qFW7;}#jvu)?!yWb<dML`X zKA?j2kTy@8;|H#UbswH`#jU&Wlq+W4hNoPO;S75Y-533{?m{`MZuo=Uhwh1PieWr0 zx-Q1?Jy&J;f!$40wL^9?P1U{=n|Mm}&ANbcR?YAQyPc+JJM27kNA$_M4^OxX!wZU7 zcWCd4J($b2KlsC3r}rY?d>YHSs+MiAX1%NVWG2%*%_n{j&xyS8VJzp`9=u_$(_4{@ z<p$QQH#M)!bb2F_u-qY?YklwxW7g}MCuTam7KvEC!HV^&=8>69b2OLuJv=KCu)M&E z)jZf>uG32qkL4HAxN??lNaI=@EHJlemS&3|V+mL0vJaN5=QT_G8jHDR2Va=OG)r^N zOs8ieXM7loxuyqinBz24GsLg4h--52ggH)6L{9iH7I7snQ%K`VT=v0&^|+>qUt=Lx zcW}TQr$-_J%L^=64{M6}H5PC+2Mf$$nxR=UvuV0!$xNo{nmIF_9*8h3|6tC#U-OHv z<7tr}%Ql#|>I5G!YSj+DFx%;#$cJSKsa&DU98$T;gJ&4A?$*5G>v&4!#WI0Zu7G6* z=Bzt4ZDu;%5t-w|n8%eLTu^=Jy>QL^3%^@z{U6je%~OA4=lGqoa$du8&Yk`{KC@W+ zAE-U_Ryb#Vz;BjY>Syd6zj2n%6Zp+?L;XVKq1VFCYz}_qESh)W7t1yEHFl0)ISc18 zJm*~LU-6mciuxS8gJ*?(<_G*@xum|Ol4-X3n#w~jgk9z@c*eQVpP|-imU@ld!Oxs? z{U6jEdM0c#zu_5Y`n(B0S&aP;)Es&$tTR908Ru001vQ7B2&>E&_{n1A-%xXChI&V( z(+qVRyMrG&d;Jq?4m}bUnLptN%Mo=MyMrG%Tm2Pk4m}WNna}WyGk)HKr<}3#4m{<I zo+t2w<$(Gh+k@{pYyB^LV%ewu##ZsP@CKWQr-Z-EoA8}wk9tI<(^U0<%0qXBm)RVA z$64w>;S<X)b(cz}De7lz9p7>K&lC90qVC^NedxCEooOF-v)otxqL+AHsABqpbk5!0 z7h;{}sXmBwdMETw=ioV^g6RdjS#GOd(Q7Q@-0VFe)@iQl5k1B-&XQ>#cC}b~7sNKr zQQe}~SjxHDJ0R9+j_QF(r&mJvbQnuHmwOj%X1T07MX#}hGk4m7G|ud42D?};s;-E1 zdLiU6eL@=NeD4o2PS1rbrf=BEa#nRg<e{0WDS8Lb2pLQ-*vWEQbxNeuQz4D%20K|! zs=DYko)J=*Zji>AG)*9lv){WQ#_6$;#Pkh2SdOZy=rI;@wtE}II6V~Nm~OCx<)CUq z<e}-R6_HNURSO~yO;csjV=UmT_x=#=bYEzTPGdf2wfBilEIQshHnHqgeWJ^l&lxr? zAeA#@TEX@fP45lSPIrZt=rrbW22Nv0<t+A|5PfK}>Ji<8CxsqN`>>5=yYe5ogWoy! zy5A^fxu^WX^3XfMlKu(LIV$=lRJT~WZzyKDqkKp1;5Uw~?h9-Wy%9|54|vY8(Y?Wz zX|D1fxx{mVG5re9Io7%-*dBT%7}78BoTH#`LRE{oyMyhamx6a>4u0WS>aJkxG+TLt z<)K;1J#vm;II{W#s#q>4FR?uIJT@afIwm?JIWEH0mHE@2LtBn1Rmd&;%rVFPhE3Bm z!3#1E&j=dzCp_br;l9JB>7M$&9bH}Pj-5JkK~F}s^g&W(i;?>Z8>Xj%YW)h8Egssb zaS4)26D*mY2p*GhJR^8W=HW+<KKBe8rpJO}{R=8ujwmb0J^aAY=B{DG^iYtkU!j7< zV0vXE=nzvOtxH`Wo^r(XU3kh7)3@O%N25D~&7u2(fBG(zv*@}%us(E8aFYz<X~A_e zj_)}t-49qdO;rxDWSXjcM>g@4_%^?uN{}&1T0+eZl`OlJugE%{5`57o@RTD!>5Q!7 zI}ZQ0fXbE~$`+PPcLeYCJuquIr}&3YF`r{jg|mlD(|duc_6_MAdz^R3G|f}=;A<@8 z*zJ5l)@h#N14*ZM0`GVZo)ai&FR*60t@wxcp#K>GncX}GPYHZ$n~=&e!+FKh*62gB zhi(hpdADcEZ=re9KfT$v<hkm->EC|r`(n+a<vbyJ)#piXyf<&vzqwE3_ocVqi?ZXA zK3{t6y;^_czALYOU7BO2zq0ZqZ`Moi{5YdpP1AGUtMps<r94wTI9<s!{#H%r=cU%? zr(fE$1#~d6QT(E6&#v2E`}A*K-COcZ_2ks5_(eLOCq4C^uAiv&cioofOHWQ$h*$c2 z>A82Iex~GSp{e_(v&9R2Rz2f2Tf1=Gm7SBGd(GF*TsI|6)m;1JI+NW(Z@so^-&|L+ zTj-hBOzpXmoM*k#!kA(;OH@s@JJ*@)x+HaHYDjog?8_2W%Qf0}BQ?uaZM2_8dX_J} zJ2fiY33N(vRoJG@Lht#DKL1(pjeYkX`xk#>PriM7P)>FCZY}M|p9f_v%IYTU5_;@a ztS$P_;ktq0q@H`tru=z{ug|R8_fO$Vv8t82f7qnoj4!+@8ge{*{llH+9PZj-p=NlQ zXW0_9mYa$v_!gcMNNQ)WX0dXvkUca<aR;B{If1D516D0p6<6>no)dV$qgcw3-_~H& za#?YQWYbFluXYEk7BlAr;}%nA3E8F>0#|qxOE?w?T;h3H%rVdTg$&bk0kif6mMkXD z7RD`S6lX{>JrmGtPq1t;a$X_B^i)8tUBR;DgyIBArY8c&cpT3N9O8Lc$kFGVA;a`o zK&*X%MavOI1-^#`9Bs}TGE5Hz*xD5=SPYzh7_sO(zc6CabG~8JazK%R?_oYio%0Ln zrfG^FcoXwEBHJ2LIl|i(n78ave879~l)x&U#!~|C+6+=T%A8k7H%(Ezz^iylU;$5J z9!HULg;C26MGHx$I|BFG9(=p>-g}?^*L_<+>Fdj$Ex#wd^RA3L^f~CAcX`~V&qD9K zx9i{B7xG)^t@mdAllwxRFTF86A$}1ku@%P^)oNDuH9nWvd3;APkM;2bw#VKY=JW?v z^V~{4Bj@~0qO?!2n&(FH1<PZv4WG#z{wh(_cd?4+TJjn>=dTileT>f~Rvxb?=DCtQ zNAB=hL!bV@DxOQpTP)dTC$F(Q_QKGmf8jHUg~u6e-DV}%$Q}MHG57cbn`6%mP5K+3 zNu>8ptmH91e!%9~Q$wBpz-JOuk1wz}_QX)7U$BzL=y-$8u^Gu7mTohWZR8Grl;}O4 zU~}w|p-BJ43Z5g$GIEDMNVFbTusQa?kfopTnM8cw!>1CleFvXPME40+@El0~BYXJ0 zMD6hlMLheG-^ePTHrycd_>|$7zKP{Ldy*q8-KHi7SRT7;xJ>5oJBiZc6N-3tCA(O% zO-Vi@>-<i_zfZ87NBww%^|9NAciKK$^W0DT!k2vBprU<Yy2S3o7i8V$B|eaJduQ;D z=kPg$g7!jdp4*96_?pWkHXoiK>ozy>2p@BqL`mC6s~*e41+r~(61VU*mrATY93bm9 zC-H!!+be^6Jj|sM%MTYA^IT4x!q;3Pk=u4KO(MI^(2D0`;tEN(7X}XP6VoK-AO0ZY z_T0dteWNAM*~A5s$7Uv`@Ety5V9;J@$#Xh!ilp091C4e=OP-U7E_}^r3>4Z8(<GAG z1k)t?4;RR|JvNYN-)O;eG*N|*xlp40uz`%*Lj#U>LkpgRi4Bs+rYBZNx=l|kkUTam zk%f=BK%)Ne2Whwa23vTV^ChYepD^OlIlRM&XK&&YUgms>u(rTdiIBEJ^B&E^8>HRt z8Z6;y&XWjiV@#DOK0HDC*yO|`yoXO3JZSr9#<M;C&%Z<8#rN*N@tONx{EMGQ-|3gs zPkb(3Q8)2-xAp!FpSkbE-}!gwoA}oK3;rH`qn}bA_*{JB{)WG-bL02?OFE|?Q?K}3 zeC_^(zeiu`htvx`7cZ!r_^aD|zr)|7FZJ*IIrK$*>3)U3uCwDe{5(1<zUQCQ7xAn* z!C%}L;+On9`dr_p{^C#WbMZO<oIZ<Z)D=DxpSj=QGxwSJn16>piKo^D{^ULt@AJ>; zlla8_3ZJ=8#P|Gcoe|&i^XOxJnfi-AxR1r_{B!y!-nrl5&(VkaJoSM;xDUni{B!ys zo>2Gksd!x7#i!yibsL|GH|}TnbM(IcpSp|RxpntH_<i)A{-!@nr}fwUae6Oax&OfL z)~WF!KUt^7-}#$#O8-q=;CF7#{R@7(PKkH;dGwC{le&*j#0&dt=Q><|bcjh>%c=O% zRo7!m4uKaU->2^{sCw5>+v~Zv;1l<b_&t9Qz1@8Oe2x9<zd7gA_soC!Gv~YU-SeMn z+MaK|bN(IAH&eAoYtC#<Kl38y8kcmmdiQDW*JsY9@0fqLF70>Z+w?8-&(^8^j+}cw z$-en-&$+aXTV~(PYg@hh=Df3Ca>AbL=;?3TeEs~hnq@D4&75=I$G({P=gn8?Q|B*L z{2ci@y?=h|%{O0-ubnrt@BZWS$vD(-e&$b|51V!87k>OCIpaK!z4e_tYs!t|FP*<t zGwsLB2kDUy?1KOBeA%oSVz>I!%&F%;*j@hp=5%_59rs_Kv+0ZG_ttHDW^6WpYF*h= zW4lA=x$L9=^jv&vy!-q;yW&rgbMB-U&%avxY=`8Vw2iZ`ZrsZ=?OfW<*>CffJ>PWg zY@PY;&5>Ep)91~vt=qQ!=4^}kg?Za{Nxn{7HT&SroGy8Z!#l5<7dU*3IdL<m*!bMJ zvj)+==FOYBFYk(d@#^N|w9OaHW^epyz4_*}vts7nn`h37eUPWN>*k85X(?vhdu0B& zEZx+7`|O=H8}i!H#MiCgFx&H{>)e}XP0A<Enw?&5yu)Jl&9_Qrn>7mSckkH5eb%_F zxG1BH(P~zzFyEPkhk87(m&AOlp85Wvo?7|D9o)xVHFi6QFiYOt(Y+@&AS~+LBcl(6 z;?a7yd+)sYW_0)ThZwc$_H$`_ww4vCJDQ)~EIIFVjP2(hscC`toQ_!@R{hd{Mtn!e zgxrOq8d{TVqW}7Q-E1-c;7^^eo6YAhtSkF9bM|>3d+onHUpAY~-}p1<Z2IE)opsBe zZN6~c!QT7xO;9kE)*btK^I7_=`3rx(Ig>tf{>+~|pEsXAuVb(MIr3@xl=;4OYCj{N zq^H<5|H(O%o@B@U`R3#FzWJtg$9~*=l-@O8wXW^Q&4=l2^F{02e%ySJ-Za1Q=bO{% zadxjiNlrh%tw!vJ<b(A3`9Eu~eV;k){13a^zjZ)Sz5CP5d+C+)AJ(Ql-K;e~@aLO% zn>FX(tPT4<bISP_cHN&Or<{LgH~IIQlj()?Er0U7+r0h!9kbte&y;VzclKM(vE4K0 zoh>uJd{^guT7}u^yKl~=m6;vB`=-qJ_Sr1+#dmYcjBlQOl_R!W@=aQaS@qqVb7|{l zpS-!I)cD%jF!SKMI_J^~%)IZuIh(d(_T0Q@X~vh&dYLQVU2`@q$1HmD%@=8lX0N=d zQ(}DK?4_J<J7+#mn>)KOZ`;n9&(bo?tT#tKOG`65eCJJ(@#(Xta>8~>K24iE+cQsW zr{v7D3g*(AXU;f#DCgUbnUB+YXB*~i+cEP|TIX!Vys{lLAEvd=7R)o-G4nxMg4yp) zGpC>3m2)h0v+iuxJhmM-@2Azx{+hci)p+08Z)T@ANlrVvE@#>H$a`rOvm<Y=Ih7V- zw)=L@skE}$S98@;jdz`0l*5*~dFR<DX4RV_@1*&eHQ#=dYrH-7&$~nA;(K@B*vx$| z_QlPk@AOK_C#H*6lug{-ZM}QLX6`$&citT;6W_Xf!QG>8^is+L)5SOLZn(=jH+Ijv zq;q;P<%;RzYj-EyJ^D&7q+Bpvyr692u5R<)4tI~f)VuTMP>J}`-3oVIXUA^1d3086 z&pW3Q@vJhzUECL9m)t!1T+gQb;!f^!u{rOYip4X^3e&`A?l#!WeI_>M-Jv4!)Uv>x z+^1rF-Z>SCPu#7rnfpX+&&}2uu`M@`KGu^dzqo_@Sgg)Fr$X`0-41t-KGfqW58T0h zD3<4)Q-OFw*~e7zxU!3>;xT0#Q^gy1Gu%0PU++)Z#qHd>yC2*>dQWfD8>Z8G>)tr! zi&ySGaJzMCY{*U4sj+w7CY{oIQx>?LTXXk<+pbe$9c~`IqxYojV~Tj;?t)F+J7V{| zJ@j_d{nIu2ulMGhPu(;9<<6Y%Mt4ttifMbk>CWkQF=@|@?wrok-@JFtH=|pp)AT3r zU2`sV<Me}ZZqGMeKm9D`S=G!rr=#?f_vU;xx_bIi4BPWfS5DuHX{);VGBr=nc<-7o zMwd?CiaGXdlj-!{IJK&ovraqdGw;<on>v4bW!$w&$>*uFr)S2sJ=<hFJvA=vnbDck zditC9tT~f9b$Vc&S>?<psS~G9+{yE4li~EvojPYy`=?vRU8|6MoZ3BIGj3Xi<fGK~ z>5_3_6_O8Ao2PTeu|3<QKfQA2n$xK<dbf)rAEY)+|NGbU*wII)Pp?>U!Z$qd;>B4f zZ^W3HOJ=T=-ek6G@>|BcYl7Xx**|^#{)JcO_z5?g_Li7GTyN`6KD+e6Pwo1z8FP-m z`K2eXZNlSH<KwM0f1XBhe$xJZ$%RGTXZ6zDzm;t5OIJ*JlCo4aEp3LMjs1FqwT4Wt z&f8K;r{9cKE5AAU<cS!yR3o!oz2e<xPNo)4KO5_2esh-X<d;pCChq-g{i{myPRhRN zjvI|7Ghe=xHkmv(Gi8oXV9`eb!!t9S3?`S}G`cCS7p``A6Z_G;O&XI=`kIyhKJC5w zheS%oo8%4YMrUoF{haYSIp6B_gqgK}KFxTQyt;p*UE22<G6%yBA3w0A@{Pbm?w83c z`UUNdJ=<szEq6JH_5H>@R<;q}<StkD<e$HtT+;pX-6uP>)Vz)6{X6d#Zo2V4*<b8+ ztxld{xb^ZaMMdJxu{@^dUL~#HI(Pm8(22!r9;Y&wcBLn3+>;NRG2_~eo1KPR7+sxr zq-3bQp2M^G)8|bZlYjbslQxfhpE7H5W862hn@jGdY?yp?W=`3rD67d6XZDmBnNMEm zXJ!@oE~Qefd$U#K>y&l7C%gKkS<HN#lBDK6r|09QeJ2n3Y-24jI&^ZE&$FjSx|0)k z_PpP;_w**8X-|!GrpNB|dB17T=?{9Fiz26<UJ+APesjukC;8ydGhQSw?q6H^=G#Ww z{;zg!zh}HnE|xo7%k#z1y8mva&i9SCj{C@4*Ycc8-qe5AuI#(S%;O(yj=lJC<8kub z{zSWPKO>|J`+F;SJ{h{|_A9!{`PsSsjCh)yDz~}j&8E}IlXmsLwOjUlqfP%(JF&EK z!+S?V<f}i;m~#BtA{#gH@3YelZ(Tc@C0`wVW{Xje`uzKGagP=}O9~cSEzQcUXK2C` z6CV@n>dJU*%FWoY@|jakztHP0lALn-ncn2xZ$K%;awpHbP1{f3QTsjjO!=mJC%^d| zvz|HcWSRQqxjN@lD%4KTeRD3QOzrU8H)Te*PiCnvo|{u<bo1mZA2DmmHz_4*)pK*s zrL3ELa^{*+qiZL_)Pv{hoJ%QC^Pc<WY|4tsbN!yB8C^c<rLH`8&DoS3wP@p;FH#mw zUO7{z#OT7wOFrK$XFgAvJGszro8`=BDH&?k#*xob($o&mc~fL``s68}FiXj&DU&CA z`iWUe&OE80E^Rz>#>qoI-$3!!JK4~0o5jpWDV>uQ{mLw6K1^wyEa+!uG4nx6g4%DR znbS}1@;R2eNp~`<ADhL^`zdvkzxpmqHQIObo7!n3$!RCo`7AS!yq8ijIdbNjQz;>8 zyJzQ|N-3Ls)mJUmXxGU_K5VI*cAk8qR&5k{C&f>#dG?!JqwUA*<UiN0`M%M%|05_; z?jA3ZKmA!^-ti*&-JfU7JzgNcyY|etjkk_x$j`3z`L@xj|EL|?Z;83b<K$<5p7A=l zNG`j!=j+C+$3x`1KTFIx9wcA=S>jdl^8THbXTEH_blgKe`?JLC<JWA=e$9B1oF&Iy z%kyQUNq?=~v!4;qljrp3+AaGjG3)p_n`u91%sg%&zq{tlr;SGap>}RRZ#+q!)bDEN z_RR3a@nbe>&o&zL+uA+*5%D;=r(f4@*^h`v$sPT&c4<E%9wxW+^V+HXkeG3NpUtzU z8}<4ND|1dKH}*5yefvJ+esXPpW@XR&jeC!8vT1v|QM>=8?X>R__macpR)3P1di<T- z>`yc9CYSbmR`$HxsL}6One)zY=ka+qV&7*>KK?+iy82A+#`{NW#9zneoKM=*{W3DA z-0<$vPdaVs8}A%_r<0a$c;{%I_~zI(Wrnworio9EU2`sJWA{NlxAcwIk3Q3Rwrj?m zqfz3?u{ouNSC2l@VN2h5<>)<~wp}+~Cgq74$F3<cyma)I&at$Orro`IYP)94I_f0O z9IJCSX?}O5-nE?)&y!|%XX>@3Z8Yvq)k{k=Jabe}d~?j2Gf7js1NF>y&UlhEv3p`9 zPtiuh?#@V^GfDm3mU`EANIXvJ?$*?swnO4kQhT?gUf2$ahe^%doO*0&8}+*@BiEcx ziV?fLDdIs=L-$|ZXWJw0Cq;=pj?T&7sMDPo`Q}toRrgcfw(T>f9$ls5mb!8G(PcVm z+avBu?AW(s7mJAC&DN)0g^!o+Jt_;%m5Ub%B?XBsj@CJq6d*P`+9z+LdiPP?XDNm| zj@}b1wtn;7;Qrw%{@cY8?-Q%|Zrl2N-(b`J&{F672J7~lmOS4#+&-MczuLCv+lHHm zQ}|aGN4!bg(0);pxlK4eCf3o_RqNuu9Xuj`zieG#`{wHg%l4geYR|<swr`a?R>gfi zddt10uN$r&4#}Tb6*1@V1DR=6H)coAx%cR-ZouJy{WkZM&gin`JFckU){o6QxMM~+ z_ujqH89Q0yr$(RgJh*!I?$*1yEAA-iz4>Bb-ab<<tV-f#VlH2D@r_xB-S~xVea<E> zY;TmC_H4uX!#4b{ZF)X$ID6QH-?{k4%)>_Pr;TU4OW5ALS1v7WgL(5@KCyIzkn0DZ z9gy@XH3+_TFp6DTw&!d@_7xtrDv4)_)7vBE!k%q7by$OcHqT@molgcv?T&J0&kPLP zTP@!dZZK(Xm3w9p@hq{oSyAqo#f+zk-R+uk(<&q$B`j#3Yx(Ab!QsPP{K_&qMFyu1 zv+)bd@SIId;QKA~?CA!*_Cm=s`5X2h-X<efA@Lxgy#DLIrPeb<475si@7Y&b|CH}r zT58?~t%_z#$u}nx0{{J5TFcz|EBr}9Y5QN<XXO$16QlSZTj#vrpwpgc`Q}t&Rr^!f zw(=QM53iDOd%9uw;bk0hY2^`j6NC5`TkD)k4B(q>?elJfdizn?XHN`v9KOd_y!+^T z-J1N1)!nw+AM9<N7yag()ArVPx}WYao!2eOFMKXmme*L_eLMQby+z+Ph;7+EV{hvl z-B)*%zKLzvzM#1Kdi0r{t*>>T+*$NhY|ZwFy{)fwAKhU(ryG?2@tN3)?G}4mXGhPt zx9E%5lI<DA+-BP&in}jHcieM2tLv1{Sk-N^{l}ix=ekz;2P?bJMrYh(I;(r`j?!nb zw7ka3?$gl`_ZFSe)yj9Q>^>RoaZl-!SV|saWw+sWf#Pn1?G<}kAL~lxAFSv;8m)0p z>7!W3c8fi&4|Tcn9V@yIMswU_I-|Sq&Y{z~yY4id*4=hT>4R9q_8+@j@9X}`TliG0 zX8VoZuG69ub{?G?{o=0Dd$Ee`8;ZKMwl65^-W~0;v-Pg-t31W0VkO%<inukl&)D61 zM|a*GrFUX}d5q=V+oN~zezTtOK4EY3Tgjes1KZ}ee9NpO<{kXNGtK(O+=Fj;+N^K9 zP1x3amCw!k#+!sq%~vIL$_#ECe8Kb0>c*UdG3=9#BVH%0X<o~>%qn8e!3R9ktZvLc z7{G2Vd**DyvgWCLZdMVq58mL}mbT&IK^OL5<A@gt3!0Zoz9}|1f6$8ku}n^}!P$cs zc*@c?oH=-gr_J)l(}ZcwQzh3F8Js$(#y%O8+KieV`OMM`44Yde-xL}gKPbhXER$1c zaP*)MyR*!j0)xW`x!9FuataI%9z4MFE!9B3xm2>}bV4*6w+v6ghW!Wsu)UV{Ih|0` z{FZlGs)0^(tfWu=hCK&Aux&Pqn0jypPnr3RDF@%Mt(NvVl~B^`D#>#yp}6@Z@3)i< zI}Xm_VKcvRJ0Y+B@4u(N!{5hO|9kY;`+WTF`j`Kvf<|SY{wsa1Z(aZJU+M4ZZ{xSt zU;JnKd-|LB(tlllxxeXK)$jdh`dt6|{wIH~{t|y3zq)?qKhfv<7WGU2eSM~XWxwD5 zqR-P`#xJd(`0wg7eY5(tKeNB+U)+D?kLWM)7x9_@e*HQ9dHuQl7XNSk@&2rDQa|^n z_Gf+L`ow>wKc_#9PyM&*Pxq(wC-<xT@A}hyCVoPF?@#YD@rnPc{+#}}{@8wr|51Ou zKdwKrU*NyhpVJ@KAKK6HU+Rzd2YrM3+MlOS$H)GA^y&Jv{Y?Lv{=9y#zkmO)f0sUq z-;b}ZPyNY#IzIg0rQh1`_4n*w^C$GFzE=I+zot+1ckh4oZ_+36yYYelnm%2>6JJzs z`&0X!zIy$^zhA#izpYc3{?NMnUgVpZtnYQcoJlGd+f~k~AvNjmE%h_v2P4<;{c`wa zb5niYTQy_O$te@l#VXP!T6bG--eAmqC-Tm@LuF!HH!qlb^o>qRdSJTP#?1|LS?5OX zIhS-!CnjAnef?3s->=1jcQAEdi`>vR<A`e7#$TPLZ?;^EUTkqRW_88U*v&VN=!B#T zri&G%O|<GZ-|R5==u4eDXAYH!E#0gz*L8N}hM7laMfRL?DiO;{6SU&K5V>UL(dRlg z^0Qr^>sX|3%rt3vz@q)*#r#IT4Gqm=ySRHDE}p;FYA6=N+;#1fk>Iw_ZAx!kX5N(G zGFhU;6qgv4>^3t+Me(SCp4}#g5T46(SZ77fnd$mW=gb+VVzKF)H_UOJ85we}sYq<{ z<_U9LpXi)8!&D@eoTiv2mYDX@g8O)+$+@ONvF^<Qb6g+k2&5NUa378oIoDJm*1TC@ z4(p7_nwhQB4@dl9l)JH9wSPU=yty(Wy9_oN8i6k@691cUF;y%kZDXof<7S3ANAK(W zNxNvyt-JZb?4$Q|Hl1NQt+VcoQ@&W`<^!`^r$&a%WSts$=WNm`oi}NL=G>Z_7tD5@ z66rAW=pCIWX&+O>3O5%Raqo!SbN0~Nbqf|L#qF>D`R?lO>+|+je805$`n$MY)lYA7 zpO4#FefQ?+b8$PW@4idj9sV|MOZC}zs=LGI?oImMbhrCl-1_RXH&2)9TUKv<cXZeF zS8=PVkKW`y7q_x{?@jK~^_TbFd6T*;{AJvd>a91s&&Fkcle+6&qHkK=`i^y1_^iEl z-#^`1U95j@ui5uYceu~SrGGnh=k%Gl8P&1xQg?>W+^h9{(Vgrf{gZo@zAJ4Oe-fAc zO=xrYjJ<N-i|(v0)IYXY?0e81?Lz${d-=W_-C13re`qh;ccDAnXX4_&ecBX0eeaGp zTT|B`*vs(!*X`5!>-X*5^5*FF>-XX!za83ied^vX-%j1;&ezwj4wYoSE`GiLWv9L# zvn$7$V*wJPim@9S+{C6O>3Dl*cRK_&ZctvYQGL;NySRvYLHXBbJ5Psx=aY?yymnyk z?jOId^lajE-P{@Z%!nstal%4z(Mx94ci);m)w5Vtee-T>SjZRW<E!)ZHLEYa6;0LG zs6P8vb^G<ndmnu(+9ZA_F28!`-LKWt-^cDPf4j5$yPj?N+j~o^!{_b(aA#`u^|`y> z+-a@8{x)`7`PF-_)z{y|ZYsaJQ~R6VjomNqe66}ZXLro|NyXu>W7m|gy|=U~e9rC% zccxZdpS?Taz17~+XJeO@Prc__6+V0SjXPVPt-H9}<$X|b_>0&D<x6+I{;YR?x7GVc zd$K?4o!x!mPU*9CXLg^t(^`4`Y3#J}sXJGH(mS<V?fs-Z+Mo1{${p{SKGQQSZ{7L& zqu%k|Qty-YWPj8<x?AYI)1KEK^bYUldatx6`-9%W-3RV`eX6HlUb?gUbZqoHu07lz z*6rW@=iRH_-lt=0%HP^P`)d5$Mf_SoU|{&P-5c(dKGoa1`_sEayHB5rQ#%B{m&?mB zL`3l*=O)9AI(qu7iELd0C80aJPsNs(`|dpbZr!fkOYXRqhfmqP;Erke^gFTs@49xM zJ{en3zW46aZ(aApf9zZIU9>vx;BPM5-#wK_^`2;##7}%KS`jz#cbE104WGI0gx}eB z=$q))^$Ti`zR^yJ4}31VaeYHA>)h}?`;yLS$HXf>7hStPq4wx2?T~oE=b{C16MuD? zuXm_D`cnJOo<m<mm#$Z+b)6l)q4Mag@Sc56UqrLw1b=Z|2wzfp^trZ8{KcPK=fZRL zIeiw*h%0<1I&;0jXD*+E`}RBN#mcB!8mb?xFswQHR9h!L@R{h;^$Ti_KG9Z*7yQX( zw7#L{=#21=O4k|THv0~J6zyG~P;>N=wn+TMA6!SmW%eEVAlkZKq2}lVZI*b(XQJ_O z51)$0#vObr8XYJ2gX=)}pS_3Pi`K5c@QG_*_?x{-r?ofid2~wqOWefoTzkSJDqW|B z2UH%ttG#T`p?9LC>nD8T+7<3n$vP$c%wDH=qW*D$-?`M+H&h?Jt$io#<8H3|p<mV| zo!6=eU-*1Otmy957h+xKg+7RMeW&$q&7pHz1>)6j#M;ju%H)-PR?u$yCsCmN#}TEl z!rffAL$9oBDihtjdP1!0+|VQIn94*;!anZmvRqvd+d3z7%etmg(bcO1VqNEi9*A^( zrFCx&Q>p0k)dicmE{9H8*Hj{!8+I^FG&{_27uUtm6_Ktlv>d`Grisp9{UOHnxt2xv z#+_VeLl;CIof(?4?$8-6gXdKu%#xOomeUujrm4+3yYq(yt8{oFXqUv4NY|%Y8sUaJ zxlV?<tZO=>r4VkICYlr`m?ql4x**2&v6e*m#vNQoLsix>6^gd6Hi&V3sKpU(xP$9p zXhY=D>7f;ouG2#cB9BfBWm(5mAX>lrL$vFCtu1Ss@<ppxpV-8uvwFuSuDzj8)-vUb zhJ^*DiiU(0Ztv1uy&>B5uGW$@O?je$VT`Gw#j7VoADtX}WbL7oS`WfLZsXd%v+nt) zxvR_9*%p61xAeK*7KW8}&A$cSUEY3v%Hdi8QAyeFg3OlJyql{3iXO|CH~7u)@9b9V z>F;7HpB*v|e-~5!Y?HD0yO{08H_wGyi@%N8Tzv9eX!^PvI}@HSGQR#grubRWT<>!+ zYl}CY+iEraRZQWtCgbo|G5OD&=Dse`GcTTbF4Ri=WlZj~B;)I|cDg+mn(KWwW?^yT zxv6RE&hNB&{%THl@w&4+O`ba$U!S?t=(&-x__LVl#gXSi)7G8ZsquW)9PgraCw87V z!)keb#?B*WMAOzC-zoDvYfg9Jx}!Tqo_o#VE?jqbC(m=OIo$>84(?=m&SZRj`p!LP zuBPhg73a>>F3>x$^WU>ivsdTq=@x%HyVX2=+RhDUN>lar?)>!Z(CpKvVnUxSGP-^@ zCivN=*{k#PG>b2u6;0LCC_Z~u)%^P8osXUs8HwMC$uHhH_tAHeeam0i9-SBbVV=`@ z%`*Rm)m=8r4-|LZ3BF_5Iyd;iyhq<ewk=;_%lcL`&0n#)%W8SY+>^d}w~wE+EBtMv zX>>dL_l~!pxAEU`V=J1_YLlY0I(C7EgVr0(7i&B>clg|JU9i){xU$Q5)*Ozmj&&Ie zcU7(~&^6e&ydcH-+1iuAJ()EzuRn++UNef}7W$}jc=NWDvlmw_np)m<J@|~}l_xew z?`!_@V@%WWTH~*$xogkUA7OW+_U7BpQ+=*;b92$=82^Xn*XC_zI{!(!`}-Q5<rj*$ z4s2d9=g?P?BEO4OT-Sov%yarGQs~F{Tx8|)iej!S!E@#vI;-j9zHoKANOg_-$7-(a z!F#68YZ767ruoUwv7Af0yFW=m*<G=+OJnf@8`gW8s}{_tQ9gcEjP<RCgr|GBuB`FJ zkg~d_PkFBvU2s-py<R3#n18qP)3kZJw0AGw;Pjkj!-vlI(uwcqxjXbJT%NV)tWwVQ zv{3(p&jiCC?K%4E+HCh3H@#}PO9YGMK0aA{&HcpQtya%Pvi%IJxGn~-sLU=A+|_-f zw&j_olRslsm&x)UB}<jHeolL%q^5oUSB*CBn`^UNQ|2A|ED}9;`2(Ay&ooW^8=r}! z`%SFmGG2bb=IB#R9sj^*B2$+yusQmqQAx>G*L?YenAat`SGETE3+@z~9X!F3^-0vm z?AA!`Gf~;sf{MEg^F`-5ozXlr=g~)zzU3J<tdBLt{1^VX_9QaSZ(;@4kzg6ObyK&C z-HQr4Hz~IJR8-`(ThZP5y1L5^uB<A$_AYW)`cup9bDCV|5815#taEO&+4);VVzYw< z=3RZJb7`~Jc`qC8k82KZ<~e^!ru);{UEAN>tNJ81HJE4J)oQMTTkHH8pNYi#J$$Nr zY`e_;s+iRUx`(&&`Coi05_8Q-hWl*9)a5sf!k%d|ou6gR`XDm$TvJilJI#N`e#vxy z7pYtR!us@kk*ITAGTG-ffB0=I@6uU*pr}iG`2}m&dzv5o5}%5M`Z+!oDPKOLh--K7 zmAOu*G++1$J{1Y@Gc4!Y8Ej+edPj549Hw_7`O6EWkG|KaaldHYWxM!+Z0o$hH+@d! zB9(59=^{H9?=a@FUVK3I=v$2(_dsi|TY+c#oXSK>-2|<<ZUkPCJo;MWS<j(Tks`N? zR$SKt*Yr7+iWIsrri-jxTw%<0C2&sPp|cu3?txZZmjbs)vd#`%BYE_NhKu{cG?9gi z8Dw2&1=jQ(Di)c$_<_vPXBsB%jcFq3ZWArJj29n}ISSfd5tt@2b@2k3qfazc+yyPU zj21V@9Gwx^A?Z3J(5CNDp-AuI1ev3cG(_AdT5uf+l<7NEAkw;6LFVWK4HkFCG?93> zhp8g5ZU<9EqTK{7xDEvV={=M$QoHzq5!b%JH@!-yH8%7-I;HW&ZK65Xp1=r6*QtR4 zl1J}qEbBRxCsMk2f)UrQKo?2YDS>Bto$^Hd-2~0K)E75MAHA({=iaZ{)8E(L+x~6O z(cjbNZ7;ijsaE@Zbj7_>wXe@bm)$#5`}&*i?d@6j7u9Be)4jR<m5o!L;I90mc1}ft zmG_!zyU#_h&p%svWa{>q`;$J0y^da!b}y>8#{279i~NI?+NFX!^7lp_c^AE+dqeH( zFS_Ra4LLPOmMop)ekStB%jjkKQ}?<43Y)$C#-6Ru)?VE1azE&E*bC)H_n7W_eZKZw zNlSgZjpqGVHQmKx@o{nSuCC7Q5@x0sbLK|2=(lmtOxtGQejqniZ_)RrR^8KUjiRSU zPwBq#nZul0GoYYVSEGo*V%yTIt<#P^ZC$qD#KHU5o<vW|cirduO!vh0V|!Getu@HE z-S_lI*yHG)eBFIZe}p}X?#P$jm--{@VRTDA?>^NZVl%ey+w=74TD|<j%Iwq8jrolG zzJ8x}Ke{$Qv$FgB+P&L1?P-0wRy+UY-l^Zk?nQ^)TlGn7>h^c{W__A=H@Y<6v$Ff$ zT8(_i%ItT#JGal<Bl>;X<n0gcRaKwPU3-6P&GlEY+2^D7WWS8eF4w)g_0yWx^tE@k zzFU);u6t)|-t|qftIKq6ZB4sADR%X_sEyeN*SV&zy}tF?ny0&_&Dk1tJt;Q3RQKxE zM{8Kq*IwCrZ%ym2YcHent{KIyF44WT_12oBX=_chd)KM%nl@{z({-j;?Xywyvn$tK z-6{4wYIb(!y4JL{#@VUsQqy$LY}LEIDQ5MVsHxe3>r8h}dlEG<dtxMa(OSdo&PeSu zQT^GL>#pt)dmPoBt+{UM4zWj3?b(v+LU)KgjB3v2T*sQWRzJHka`ow`m}|E-g*}LB z$o{+b>GrVuQBl_(MQ7)))yYnbe0?gaD*Nf$*6q`#Ze6v;HFfRot;^P=ZV$T~6?AP; zwDzf}fNQg&z4O+pXCGbrG(~sE)_d2AtY3fExxcyU{H<cK_mNfSZrOT&Ut^R0&{F&R z8te3%mfYXh+}@mXewA(aw>396r<`9^9QG!1L;6L_*I(CIrth4m`h3l`%@OCLY`wp( zu}D8?sr_}0dHTY6rB%~rZ}vH_W!wE_jam9e%j~m}i_<&jEq%7;!e)o_Ud7j*N6t$x zop-eI+Ox=6=?g7ipNX8AKGTx>^P1C}b<S%Qhdqs)lI}ZCwKD8UWXicFo9r`@N$0qV zuRV_JOE;Z&wBp*M$gXtNd94-K9!9pMi_UYcxb`5jDZSD1_36mCbFYfTrf=RhN3=rh zL1caU&$(C2r%l`Z<J>K4?bDGF=XMoMyBArR{%~&U(=}S@ftIh|t<g-sIXAR?+LX;N z&UF=uP1*eH+$8JQCnF2fEiJj<t=Yc$&aq#zr_0ye+xV^LsP(jY8_SMglGQ#RQE}{) z?CWz8WycQ5zAn?by)o<fBH8RRotqn9^@v)Fy@@C}RwbK#E@EBsNy*ivI@dOa9S@S# zJ{M7N%uDw5*@zX%bNimA>0I9EbzDhy_1TD=V^PM}UPLTPUMZ<vqH|&6rJk>r)1F7n zO)l))YB}v$M8+{I<FIEDX~z!9ye`r?z425}sHND`h{?&GeWI3PGdC(6molC<W8<No zuNKoDNAxBe_HDJ8_9&t=S+TFwV%o!q)?~pxQ;TU2A`*`MGMYAh<F1~gscUqTS^HQm zuHBEQOa9usG*xHc#&5??8Hr8XxUOfZdDy*(isVSi)u$ptj_s1pJ{3`xe6?3KRcF`6 zMLn#kYj$pYa;(ZI>`sK=u_o!)xjNhZ|I{7&F1&aCjnAC-{9pV$@=m?Pe&TcC3cHEF zJFVw$_{@37|4!YZZ^B#WFZg@pje3fG;B(=P^BexM%=O<>mvl}&#$NHc@Y?wae~-LU z53v_~E?i(Y@mHt$e22eBUaH@zIrK$%>3oI1F0=hN{5&$tzo*XWi*S~m;4jV#{!4xy zd9H3_e-U(?OHQ5BXW<OH!e_!W=No+HJmVizcj%LFs$Jku&QtzAbxxmzC(c*+%z47U z=V!|d|CXOe9;?gPU;M#&%wMO@>7#Jxe1|_r9;)-$2matZ<j+&*^g%em?&DM8IJ=8a zg=6eCJ{4}9&+zBSef2+f7r%4r&VTUx$UXH<HB6_~*VQ<^7p|Ot;CIVZ|B#<7Q~mGM zCY@4$V;A_HQ*-`;-!4=99ey6UqyEJ1;}hY+`30XiclhtAJ(MfFfA)vFF7MU8<usKG zSD9_x&3V`N$xW7dzEAQVol|?0!&EN3efEaCE^pN`%nf&Q-t@h4)8&m?g1KY5@cP*^ zHgjJ0J#o|JwOWMv#$B9OeUIE^nd7@8@6lPc0Q16KoaVC)?z+5G^Dw`dCY)ooF->^! zY=OHivwU0fm`a2*%|7nrJnvhQ*HkP#d-jDpEVF#)+;n-Sb|#0ZSa|yE4R>5-`iA5+ z6$wwCJ>iba6SWgLOhv-UW{PRTiDn;na31$H$!jVU?w%cR$K{clfO+8#&cnVUc})ev z&9epWu*~qSx!E$^x8x?vbl;qtE)Ucg%s+1D-0%A(*Xgv{53`NiJ9TCs*wm>#`@(IP zdukud5>tgk%^XvO%V*En#JSt|O0Lr>wHIcBslowfhTA!J`r6!dxuZ5Ghbd1ue|Ev{ zBkxsf^e<L-+D?D4w`HF9n>eTMLX~=r&xLkQ-%-qIJ^jGmBX3o6^aHCoZ+V}IbNVJ! zswY^@dBgj{&Lgi?pT!*dDpaI*v5NDW_nJ7TuR?`-jL(HuPOm8Dyy874?$B9PAN{~8 z&P(1~cCyU&UbFMa3so2Wh0lZ*PG{KbGRwOr?$BqUxziu)Ir2=^M8ENwP`cj4N>1bH z2lgC!s;Z+O_)KW(^aXp4JW*BA7p&won%=PI$PDj}oh~!HZQ>4n6zZLxu;<7lRT2G( z6`V)BW#SHf5Ne&Su;<7FRTh25XF~CM51$If>K%M46s;#%!Fj;@Pwb)hLbcN`6mjnJ zeiN&7T6IIrqf@G1^d^>b?(vS;=`z(jVCRv$s>@;yy%Q>(KB0(nm$%DKmMPw6Vx8Uz z`RfUmbE;2o*nQ-->K(O@)|~e}zxXAcSE*26m@c$?@`brB^E@BSba|)p&galMl>+rb zYtGxASNxjFgf>r}FxO?S=Mg`qGNBT+k5-+QlMCjy%<<gf*HkLBdUC*AmpPsXX1csm zx#z=FDztoZfidT0&nbRQB|^Ds2h)VI)eNmTFM6(+>GDFwL49JH(EQ0C=D0jpu~6S= z$$8du!OSBwJyZM+ol!ARFSO)5?Kx$p%TpB%bwf+elb$YqO=naT)D6>wlGFs#g!(5J z%yD_FBB8#~g7c`SiXT&<Q2S(qIW7-XIMfX-I1hR@%sevPvtp*pbkBmBN2YnQ_%Rg- z)ldE~+vUE>7N4ekq3X#ej5u{B?=a%r>-of&DPJf|EihFmM6J-gQ*-i$*)DfgmiRQ~ z2?eS#rV15Lo-q5!WX~hMhfb<IQ2S`cx!wJb-J$P-d;4#E=D6qnqVmW)<r4Xc&jl;w zCjRcQ?%(j4<Bt0syF=duxArfnJ@Q65MLzJk;Ku%jT9&!)d+d_VDaXhwJ{MfupHO?` zm2!x@;B&zOxrx6z%=;Z`kGxdAV{_<>;L?7DT9?`G8!C^?a__No`XZPmC-{rwg8P!n zBhQs><S&9QTFS9=`Yf0sSNKeDX1~E_jx+8tc85L*rpg8W<T&N-W9RfqaALp0XO0u@ zJ(Vpp+*>M-JXV&GzxadWn7fXh(?`M1eutVP50!c31AlNFa_6yg`XHDf_wlJ<oZQ8y zf-!O%p9(hiGt?Zpulz^u;&%?+{s+}Z?kR7wVLGk6&c^AzU}gV->XxbQA(bps-S5~Y zol<@y7x<k+vwuOg%M^Eq$|HA_pU8cDB3Rg8@QGuG`ySgvxq|z<Kg7DcSNf*YR4!O0 zwsAMdUDqd(Ec0BS=sh~8^hSrNTyT5$hFF)kN*UsYyE$&UUWs&hqm&@-m@c@!d&Xvt z>#ipvU0y3ih;Q7*an<!mB+DGvC3=s}Dg}ra?&2`-Hi&h3spKJkF-<T>Y-5_>;%<T1 zmRYVXdQ2sPnPMMza-4T9(Q7IeoZWpPhGmxPoJf~vN@sMKiUp^4Z-{Z3=^CQfR3tdL zd%_>Cwcg#V+`^h0E*v-(pde~_L0C|;$wxwJM#Qv+MI22^3gU)of=Ob6X@dRT1u-s< zl_bPB?%+7;s-nkKDA?X@z~iwqxvrj3;+N-ASuUo87wnqlJt?mO0s<~xm@!lAR@VmM zRA*OL?L&T3ST(q$q_wn+q@5eh7Q8T!H+QnzzhAeaF=ES)9jPA+Hw(YMdp!5u$q1QP z;rnX)yN`<9Qz_dv?VNAH`v(_WRRdg(xQC_7n>)#Gca%4Gls7ks{84k~&$nA|9<!}5 zce^#+{QHsPyn23bc<0sLxwGhk>CfCn&&v$`?rdOmb*Z(>Yq86VWm)}W2Wy|}yT@hE zpFdt^uC}xP_Pl=SIWtyGslBu0hUv$>C+8i_I_guH`n5$=l=a7#LghQhb1xkC{jl*$ z?VSTQZM*t!Pp`eR=gs45sq*G}c6rg?3c2qb=Pi)mzQ6X)pKpb)Zy)zPZI@T`d&k?i zkEf-|o9q1E5qtBvZ@&EYJ+*f}d@J0%sXuyZ?VS~G9+z$JzbztfzPt9$t8ayqKh3yf zmsiq%^zCD@RC#la-#gB}eXO?q#(TRw|C&3Gz7-a4>X*J_mzQssm*-oT|LFFT?`qYv zKHPKhpL}=ri+d{PeLvh$`L0%;m-Jk1hxyLEoo{`!@|~(D-<*Bo-jZ{^N%>6G!d8h) zT^+|>w7oQ|+<S7)h6Rh1+*!<<b_kxFz2lzCIp3)KL%Sxbn@8U5xbODMY|&G-Rpy<a z1&{Wt++#WC8<hX)nc50-%e|emXV18|<cr!8>BK#rvuAJEd2&|oioBGsYKzSU_jb;@ z{o#yQ`Q!^XwbB>Q$t^NFIlJLpSjA+M&AjK-DrP^=ox8p8-nL5VC%HQ|3*LM3S#6$t z<)?`|ZU&`S7f(KS^W2$f=CfzcE;z?#Ej{;UT>9+d$!Bk_JG0C@`kAjue$z9x^t?%x z!p7zY_nds{tCPQbujkC!A@^E7sZBPYxX1H}?}<AspVX4`l%A<2=6$LVK0e#zUdu<d zZu7uBo{xM5@{1~j56>34*YZKF*<5fB=Zx7kJ3FV(F4@UBeRj@H&j;=d=0)ks>!lm_ zaz1d^vv2w-Xd-{{&xvQg|MDJvpLnqM$h{@+)uQqal~3L~J7p*5wAnE`JMZ~^%4;eY z);3>RB&=n=bN9(9vv1s8l4o{tw#QD+yS|I=u$=OJmZwxcS>3#Icjx5U2kt(3tCnZ{ zb8hE*pQ`jt>1un7FB(t2JM+akmGeFy&Zv~Dm8T`8tL-q}Ik)qzPgc5<_2io~Pn=tF z&L=6I$y(UTxN`2vIWu>hb2;Y|m43)-^3|Cu&Z(U9d2mLhR4qTP$!hZDnLB27zVz`* zce0vnW}Ij|+0<BaZs!Z1D`!+n)D{?f8c#kyv*O&6VzoKOH|KOd^SN;5$r&G`^rSSk z8QXJr>V7agaQk0ck)`nInNwzZKK0Q^H?kByIn(7_%NZYqbfYx2q%@&4wf^ll?}b%M zzs)Vk^WOXRZ0?HfbMGB{YPS3KtF+t2(sy%{^0<p{KhEvjZhFrwRjtoBa}MWYAF=dB z7L$+6R5<sfK&{PKa}MW2A2!fsUIxZLjfC}$Um6MP8Q(OTd|)QSxhMH*b;d7ecTSu6 z;cQC2T4Y*Ns#<v3BJ;_6W<EH3<dn~<GcBil-i7TpnyhKOakl4OpCxBn^3(#;m{Qe> zjVI1NIeF%hvqw()JV^UwCcOPBQ~2#oQq!;QS~D$m>$R&-);#+)>HhQ|`<8rHt&ThN zTgX=bW^Ly??@xPJ&U+Wd7d=-k3p@Nt=+5*z`;L55-MX4{o!Jhlhgq$wCD*C#lzN;M z7U%R^YVP%f`0m=Z=dw46Z`^z0t!sgP(sQM9y+f4~cU*lIv*e4?vh|6Tx~H?N*MHo* z?5R?<-lb~6``v%`Ju4HubTuw~c1&;a)^k^F!hhHJe%^Zax<&l!nzd)L+t<(BH|@u) zN7>!$FYXKbJ?m}u_Vo|Xv6;`Fd_5vwyOy_b+qs*U&ahcUzsy;tKeM*;jrXfPD&JH$ z=r8;{>H73Dm7TA>pX^!kRdtPiWNqgw??-!B&UpvLe|o06LOQbM<V){6dyafjU8=8G z>p6S+hRTz(ruXb~`J$Q?C-h6`!t^DTC!c%U#9#U;bgp+#?vY&Ow(XgfC!gik>R+tk zoE4vP())Drtask$_NaVTO^a*#Iq7u#8(C)YLdkY-o$8x=mYng{ig)@s>Ev{eeJY<+ zQ{tF@PBPRN{5;7(zjBV-V{aM%+jHHfTz)WT*)x-im)-o6ZP$J^v0T1$o>{t7kA7wi z=VNcN_(eY^9ht7M@5u+%Hhs++&WGM?@k&304D^3~64KXy`AJAm|K_Jj2c|RZd-7hj zPXA?f=d|e`_NKg7jf`u0sv7Q=EVK5bWO?Yk#HW%K=U;r{(LeuVQ_tPhE0JvP4d3W2 zE|=VQ`bTZsb7Q;t6Q4;gD4e(OSC7GYftq6vjK1}>S>AfOXr}Svt(t$Obp=luO7$OH z@q_0?>H)pxb4GER^BvtjNlsq=bY9!@j}kMFZ?J89Y?#q+$nE@HqWJiV+Rl64pW>Rn z3u)`G{3N8Mzq9(}l<7D2E_tUK6zBAPl7@ccr%5}fpV<54r1zt^M^97>^ed}7Z+q2+ zKiWO%-qbgdobSE9oai%)wx0DibMo@Qd0~}NGcW7-U$%aG%B#%(bkVJQnJvpj=cQHL zdYIX9SVHGZbmzOwh_FfNsuf|Ac2Ba_-nd!l&eS{Wj+Cix)m|8T@{LzYcu>0PM(xH} z&bd?ftV=oP6%($MuDVt`G4|vuuaIz|bk%~eNxLSQYdglCeCc&(&5;t-rTc%#wn^VH znDd0!IbU_j+I8zEYiDj2GSiOSJn7=p4!*~yysoTKDN$XZ?YVi<`KcA_mK3Yb(Y_he z`ONFWnkQ$xjNF}LJ7-Q!Sofqzb(*&3=1Hff2CPddQk|sT8N)eqs>8aJGhRp5sGRYV z3xBj@(y^%q>rx6;yR<!HI3IcOg(vNpbZDx;x|9OdCT+&elk~MeZknX0{czJHU2V=7 z&Iexq!X9m(v~OxgB<FpvZ();CRU^WRQdO(8Peyaz^IEfJ$tkZDYo6q(28ShWpR_CU zk1un9RO96vM!b5<ch3D*CUqy%&#znh?bi~i;4r0BsXpz6(VkPLIz*m~nUVQstxKM& zf0)pAA$9G>*=<uYulOF$Q_a^dv_ARXv&R2Y^(0&Mhqj&bCcl~I@?E8p?YES-!1b%H z$C_50IH5k_v|a4CkB=62Z0%QmU{@Rbt<i>a-sC6qo}BZ1Gl%87%69dQww`Z2GyILJ zg>Fv1V(IzDGr`~KxypL=nZ-iaC!etNeC-+Gzo|;->f|GqoO32GnfK(ZXMlfEm5{l* zp{?gjPY?e~&s1{!Ha$~WtS)HVIcsvuJeDsinSP%th0aednb-1JWw!c78_rpi=U93^ z^E@+$<+I9k^^G>3Gbe}4Yx$%yS$(37=M&Epb67s9B>O2nQ%UsuR3UVHvdO%bk1E~j zfi|9xJO%uVDufPC7Ma)bL8Vz;(1vry<QmJ)>61$=Ij2v~vGjc4$>9H~TxkE~FLPZ^ zd;aj-R6a>Z{b11~ZS{-Rp7%UI_$5763H5V&s#2~#vq)(7<STPsPI<oY6MCu=;Ad1W zv~#kJrRN>bIdfRvspP8{N}qi1QR9BedXla3L)p%G6W{c?l&e&_HKnWURNiSUWUYKq z_T*cS9QPn=p<5Hr^tqI&l)4F73*DG_LGt8l55G;5rYmT0Ezn9@zyH~xR~y>=Eo{vu zSt=LGcFvi&rLUz_WwmmktmmAG2P8dTdEDz^DOFjnTxcwGdE%75mJ*d*w?k<v*=|Nw zLKi2lko0`v;ov?gO=Z6FM;XuO9v1GKEQQWaTp)RJ=ERh~BWFAe+>0!QPEVX7>G{+{ z!`;YI=;TC~zLql{3hqW}DoJiaX)687g)*LxJtW*WSqL4SsM5z$sM4-%DC7CigTvj( zLg?Vc2Fa7tCss&$PM=sHd2-rBmOhpOm3rlm(w_G{w)C{*t5hqWG!oKL-f1MXcjA*? zmVA{kx1dy&5Vs=pNt((Vr9JO@Ea_><QwelqN>wRVo+y2C^28&(M^1V?aQkE?w7vgN z-I4Fgd*yF_7QEO0;^&EX?j`n<o-0?_P5M32T7Kha!8`qT>W+L<-YUQF?}<0=DfU6n zl{d;a{^gk4zo#zcoO_JD(sSjt@`-;>ymAk*7kaK-U^nU4M00t^zb9V0->EtBMR_Uz zj05or%<?y0uo_!%`gU`3^Ed`JoKjvQpZQtPOg{4S#EbnMbuMS!o$Q%@O*E1J`KRN# zyOsT+pA*maXVkHrbw5|5@>w~}uIcB*)BO>3OU}4!**pE5c(UK4PUVwwiXGF>iH7om zpC=l~SN`dE>@H=0=*PsP{Tg*DAC)`gE&p^pbmy{n`Z4ifKSv$Q8TWlPM^3x%s%bgx zzO6>(gK~rX&)*&Q-GA9Fda7I_fAhD;wEl#jC#Lqls8xBdTp_>l(?l)#g`Xzw?)UlG zao7Eoozhd~68X+gf*SHOe|Ow*pI4*uPT9|n>HEa({X24>lq>I(etGxAyxtFaF6Z6K z%opvRXd``a^Ta#7cW!pf?R}8<q)d66^vb&&Z{5<&m3B|GlJ30A@y6{%&XZE*VzWoP z1TCdA?{d6$3o~D|Yodj8<K~H1diUJqc;)72{wPg(nY89zj+bt?a$3&1UCT)+QC=h+ zxOw7*-iADtvu<|gk9JNx*ISU6Qmi~n+HvzlW9gH3I%f7J<UJ`;o+hoidE%+wfV`9< z<w??=cQ|JDI^?CCaXXTua>h;0{Lzky$9fI&QVNy3q&@F&JaXeRPuel@P_IB<N`Z2d zG~?!p`qCdaP1KWqxM`xUH0K?T2X6n&9&MkvuQ%f+$9=bNW|LBtBg~3Ym8+yr-sZUH zwkBuEDYq3lPx6$5&62iH+|}!GljE-2q8ye}ZqLk=wog=-?!4VGx%WWslU(Jz?SJn* ztB!u3Tb=iKukZQX-P>Q@oA%tyX8Y57WzWs5w?DjBRz3S|?$+%W@0nH4ev@08*S(kb zo0--2z4y$Xn_a*C<j%D!>DRfdx39b>_T0>3`_g;go|#>_?U!F%Jo{zt((M!PU3+F` zwtel++%IMqZ(q41Rwex+H#6_|p0l5~ox5$3e|wMbXET%Sb9d@~HZ$Iyc(1H-_S4+d zyw!VpKW#gCTP44HPw$!B3EO*j`ku*6%&XpW_T#o=w<YqU_xOI?cI37|zV)87AGRI3 z&5<v?$M=Jo!S>pnXHVzG<~=UDJ?%DAKJ%Wp@6Gn#{*`yRNcw(m_4d@Ayr*-+^Dgh! zeQ&ns_L@6kPtCNp-@R)FZu#a-E|R{R8<^Kzbo)+j(RSONy6?=?w;#Owt!(z~oc)`B zp1Wp!d*028^vlM#-{tJu{B$Po`JA1b@6J4XE@#K)yXVrZqu=Ii*?jh#nsxNtn@Q=- zb9>L_tlxZg=Gjs+%gtNQ9kaUqDreQ^qceHW<*eMicP4M?w#zr~oJj*U2A6E!I<xm| zPIj8~T;CEi)6K2t*sP*w-Ly;pJZEjO*}0o$>6hp5p3O;5J3Z&@nVcD$W6z~oM$d$I z(QYcGD;rBc$w^KVHjbWgQ!c%D&e}q=V>iXpgXicLnjN{xmu@^~ZGqXLn{4UAb9m3> z#HW2Wik^OR$C+)Z+Ya1hNdG<iZ2q==H@BQQW`6r#PGs6)quWz&en~q$n>XJ~dvoZ_ z-cvc{n|)`V&D*x?=8`jR=FwAbE;wUmKKo9Ne_HqKvnO*3Ht#+A?AxvP+56Ui-M8(z z>AmY;_H6q->s@wb+~Lnr@3PC|Hh-3Sm%V-c&3$3NrQT+5UVn05*z>J7t|!DV{(S3o zc5z&Bt?#+)wd*(T+xBbLtL(zK=Fd^Dvh(AdYu|n`HD5n-U)V3Hm)W^-$)9h{y6zS) zT<d!_d*S-Vebb(8J%8OM{&h_+s2Oe&@BI1J%<D$+#-F90Wlvupxi9S5)>GFt;%C?R ze%gBC`iVVkKX1*req@i>v#rOk%fx5b^nToW^twpAcMb2yt%tAk#B0~|e%N~OI!iqB z=UdaS@7Z(hsj1%j+)CXKrU$P7i~C%?_Pwd@`j30JeUF-UeZ!uzr>1+ae~LR?efCs# zXx!pYx9(;K$8D}&`_5Ez{l&dvPfaz}pWUnW{nq5`kK&3yN!`iLU%xZ<$#<oF;xG4} znAiOw&gHyonf{{ci8kT~iznXczO%DqZuf(@C*PE|iLczt@zym>U#WVcm3Ze~jyJ9^ zVxD|eD%N{cC1@$0xtHU$Ync9`s)-ijjl~nMbnn^8@ygXt|Ist0W#XE9IbOQnifK9P zdMzg9i_#+Tz~YG)x*OtD&br#^KdPK~uDc*E<+IW(amV6`#^NXUbj<8dh<ox$X_~lZ z@x)Wz0dXmxlqQLH?%|l(?GTr8#`Q>y${ANV{YMoOk98ZwrF>NC68GH0@yL}=KdEBk zp>Bb=ln+Wx;*7-;^~FCHP1F;ASTs>roO2Jy1J{3gkIE<R>(1E8ao_cu-lV5W5qd>W zm8!%~?&i4Xx+Z4HDc2P-Pu?j7>m`*>+|})|ljE-Iq8OG_uFv$8$|tIeckb?(+<hSS z$y=qo)qmGL+a2{jt2*p)tnc}(-K$@&o0e{Bv-;_}vUF4H)eqN|?Vj~EYwPNZ>&$k~ zdXrTe)*Z`RW@@#1?>e(|)9Y8Cthu&J>UGxY)hpMDrJGu;Ub^mEn(39Re&NNNXT8i? zx_aWeYiXuttJg;6mY80=dS#8+E~yt;nPI<U&Vssm7U8#Je2Yy@R?m&pEjBe?ow%-S z=d7n$sbQ;QdW*K6ys8r39n*UzYr^W@NZ&JAiDA_-XA8F;yDAYL9phWL^~hC$aO;?} z1zQhY<p`IK@hvblSX~==_H<Tk*yByNrd?$UXO4NBZ@T~LudvITr0!=`uTG8RJ)IRE zb~#!%-*nH_HEY6BO|@3vU2B$Vy8G&@u*sXG?q&ssHE+6gC#z_+ZKQ6Vsru@JYrk!q zb=##*{gL&=d!27)a=dr>;*(OYv`hG;v7n9c$+;)ycHWrD@y;brJ;{3Ft<DR6DP>BV zgjX6*ywQ2UujQOeoVroE(mLUZ#uKk~ZtzPfRazz7X)I_V>^b+u?9K&#PfC>X)RL?w zUh16Sx8$tL4WE>=E^g{dR)VI&oO3x|xLopiQmiyj_~jgq=PqXIi!23Age{FHp6Q%1 zljE6-o_dnyL?hvqb2y&5sHrPiPCU^$VJ62Dmt#IIXIu{XJSkM_6V9B&@z_O7eUZh) zBb^F<PYRUUgf-`IJal1GSF#W^5dLW-s4x7|NKjAsrqRR$oeX|Y@|Eg@U(W8B*7?CV zC0{90ttnM0Ty2s0#66u4e2<)RS>@Am%H^G!QL0jz@XFa8Q#vpBs+@9J;FFT4R3uz! zG;v3##Y~PnF89<PeVcH<{fFI>?~2uOhkgs#^53lOc<21dhUL6-k$ll}#WK03-xF@P z->_TqO>qnV%-W7O&aZ4#zA0|tU-)^#_4YHB9j~3A*ev;~xQ0Knw&RuaBO8`;&O!2@ zo++;2x2)}$-9E!^$rr^X{F$Ex%=jZePq^6LVdrwz*-4)1*8~&(pEVuNovq{#{hV;N zJ;RRWtn)b=mCuT4a!o%coNkY>TXM!(OWx_{gp=(ab}F9~Q{<R_PB7#b{5-*czp|#| zv9px?p&t{Dwrkj_d{pe<x2)-S=*%VW^kc%ob`Cq1GtT>Lj+}PhWz%xnd7F*O2gL^d zpVb}roqx$Kda78%f3w<ST6;p}iK*=`Y*pSXR`759G(n4h;in0^+kGlK?mEAcQ+ldc z!r%ExK!bl~b;lj&c{VEV6#e9wzE9ZRzC-s(x#B+Vm$4`2wSLfZIqy^^zG(LZ8}5Uf zC){bh6WKAh^?}}#GR1A&D`Pp{I;Dv#?Ve!8-5JaA#_5I5lTyWEu}8ZEEV(mdIbJ)3 zi7(nU!GgPS^Mos{dm=erIr)h{N>g0Mtr^Sl(&?5?%UP#uIw>WJi?{<fPq@(9pr>-y z$xi&y&I#vQ3-nTo6=!ifZk}MweKMwFW^01plOn}w+?tywoN5iwODR&E#N8RgF|*Y{ zFXfEW5gnB?PIBUpc1$?dYM_@=sMy8r8N>0&iBCLf$Am+z0(vP0icQ>%n<waVf7~=d zkNe@K3A)^zF&qz^{)s)>K4D*LMkL35r*C4DQWYb_ic%G;xKBoN+;du^v*eW13Y{l; zios$@+b8U5^@!xS>$FIR<&@JiF{SMj)VVvOJ0`at(0!7tn8*Ipw&T5H75}E^3VYZu z7Eid_{6bFUyyFKMmG27Wd`Zt0cChcX?Re{$#qU%-;b!v*xh3ZullYmc1+3UBZBNW; z-XZ65&M}JrP}PL1%`4<o&N)7iQTeKn&(~Bn;d1j1%Z`_hUi?l~6U^8Xizk?}OWJn4 zaJ(X;@<m|*yJzu)^UW1<OFk>iVZUk9@yzjp%#$;YM*K<76lSpRwCQ;2cuHo;Cxt2O zGi^GaI4bcwJyV##E?F#K$j(_j;drx!+>(z9J?xP-9giG^_?s#w9B!77Tk=7ng<aC7 z<ALJ=nJ1?m_sA?c?YKiG<+LLcKU2ko{mnmQm%LYq;yYA6VQ+JaCC9Yp7|V`(j-U9N z$_2F9R~89qvG24#F{Sy2?2>m1L3~c-6ExTxize)BJ|X+$q~jyLM^6+A*ek6&ZadVm zKeC>1ukno}$9sn_JSpW0yO>WJ3)nE9lsz%G@rESFJBK{>B<l&c8ZYprlqqatUTHkx zM&kj#mU9kq>_+Jd>zF4RPq@~&fiI<0VHI<yv491$r|gN@jSKjmlqlq}C0R|l)Hs1} z$ytXRJSk@#+}M?@1WcJZWjS6rT;h3BtT2!Hr3}Y&2Q&6XmI5Zsmc|p#G|rIZc;=wT zo@6<}h<T+9$5RJ2b|uRRCmJV6ay)T3#^Z9v;SkT0LWMr&Oc{>H4r1(!EG8UjRN#A3 zpwPywDZ}y5fsI|sLcoCer;&g@^GhQEJ?5K66Am;o@IA>_sAGO9-7&5418+*cLL^&L zszNy1BJ&A*8Xxc;Ipwg5r{$ExJ2s<Kg)-)q(j8M8FYv0Ia#+BVlBZC_Txm36N27%# z#~p`zY>&zq_Om~zX4uQV;W<MEzr%UPH*yW{7@t@&*sxEiW+>-7aE|eT+<~`@H{=}N zGG357@P_e(oWoq^4qJvB%nOPc*0UQtXNci<ILEj}j^Q=q8o7p7j7KaPEZ8ShG34_x zd}Z+CKX8_DhMdDo#tCu`vzZf$8M65jzA(7(JDg=~kz;tlxWuyIJo5*ehI7mhY#Poo zU$9{?VJ~>bV8nmm3}b@afv1cSat<?@SJ*I|VxD2caFV&hhQWxPp^_n)&*2PXi)F)c z<^r3BW6TLQ4M&*+Y#NR*JJ>WFW;U>CIK-@A({PYkz=pwq{lim+Ju(i{nI9A}MDr<p zU|`^X@Sfoh--7oHTVxpSGro~^n8tjdh#{QM;XT7AK8E)UYh)azGA}4%C}%f#%J7D7 z!8?W}G7NVaT`U<i*bT}Vir7CqVR*!M;3VS#*#oy3cl>?)U4GyH7k`h>tN-xN`MiDE z|AoK%ZT=tl+<&M3&d>I_^$-3%{wBZe|BAotZ|&3mEB@}c`rq-F{f+&LKaao47yo<s zi{J8p#$Wc=_F?}Q{_3~*-|)HrO8uUn?62(o{y%&szwE!pU-p;wxBfJrwZHZ!`HTFb z{{f%-FVr{uQ$A~N_y6I~{&V#O|B^q;&-(B1x!?Hzi9hW#>l6Mx{v<!`zsBeOQ}qG= zl0V5$`rq+~eP+GGzvMIaNB$_Ev6uV*@JIi#dV_z-ALYCLd;DR4WY70M@kjrmdVzn* zALN_<GkosX|Nr4rzux}`pZazGbNpd{VE^ym!|(n3>N9?_-?#tvZ{kz=h<}Ao<*WXm z_|1OLe$Ai7r|eh!dHha3_+R4p{$2GRKiTivFZ#oL%Kq6u#qa&<|2uxSPp&`k_wif# zyx%|Ww!gQl`o1w;e$Vd<oBQw9zIdm6-tNO2<#PG*Z;9#hJAUuD+y2%r>$~Ib{+qQY z-Yq_7m-L-+H^0^Iio3_>)b4oae9kWF`@voPS8G?iQ$A<+;Ei&reEzq_UHzA9cie1$ zY3KFbaaX_D?}W|$roScbw!g5u@<zEte!*{#&Hd+VE8Z<GmY?(c#+~+Ob{F0}K4WL} zJuyvw#_t_>+Mn8;db7Ale#-9|ciNxWDSdZLlb`TgVl%(tZ;s9V$7?O#EiRPr`5kem z{gIu}_r@LlhifI?EiRC6`7Lp${ej(qH;+%-?Rm5KwB3$3$*1j@zBBIV-(UOV?c#j- zsBZ_i_wTJuxye4QHs)sgJ-bie8n^Rn|6Z|)U+edd+sCKW-gvt>Pd@0I<Mw`y-wm7k zch;VG`}m~Yqi+vW<O_aR+-|>ZTlfB9b^pEUH#^zi+kUx|{9SI>_Y=kZHs4R|JwCVk z#!mKkwt4RptNU+NU$~e2O>Wco6~+BGst??2K4%;E-tf8Hy6+Q;`>$1RxR?A@Zq@gW zVt$M79(#|^u3m8O@fW$gcZpT~m#Qb+TYT2`#+~G|wr=kgtN2a7bL?e*VSDM$<Ii&Q zzQ5SR{@m8={lZFqlkXPA{b#CY>|}pttM@*!vft?YiaqR4ZPnf@R`#E$p0JbsiS4mF z&Sz{7-Ff^`uJ3!s9`?t!V(%AL^dG5KxcB&jT-$ezJ?sx{*+A_IgYQ3z`1QZPDB{=q zexs=WKsCd?$M5CpzQ5SrKCSx0-Q@Rjk?$Ix%7wpMSl+*<`oZ19r)*c<X+CB9?w#RN zxw7vocDGNdzHnFhl<k5$$?xQfzE>3W@2Ixe$$rQ7-n)lp{r4+>oLgKjSN-guHNWlW z8*|&=*?c;~eBP$$d11O-*|SFL{@ax|&MhvJ+wytF-1av%ug)l!$!++&z_|Z<<(Zl7 zuWg>3SzIc&=5xf{_E$EK&M=>|33~o9O>V_!i@EKyD`%WrTq3vRbA~a$+2;u3{)?3z z=bX>lI6Y^y>NolPV@~^X8>{CBE&I<_W}IU_Yjf_5a<N?6vqsDQ)0GkD7N4=vdhTf1 zf3ni!oN|#|$}>jGe#6fK#{CALE9SI6wvl>%(4zlnrN%ksLb;C57IWGk+HgI0wCF!r z$#IVPjLp6?hfmw=I@5gGX4@I%0=b6IKW4YzxB2yKVX9os=Nq%#r&T7*JU+GZ#aZQi zxr)yljQX`cFEHxgUFkEk{jSZcXNswEC7(Nt_%%MynB9KIX5Ja)JUPE-jOP8@D|hUD z{9Sh6`xmvx=aqlh=X~C}?Eb>veKzk8eD1qbey6g1Zux_KkH5)od%vQV{jGJ{eZ}8> zR_{A%+22^d*z@?SZ1KH^zxXWQXVkL4whp_$@K>M3`-abbSIYNPvcIzSyZ`W+?6UV7 zwd^mgZ|!M5Ykh4`@)y}f?*l&fT_|tZr+n7h?*7A{edo#x_9cIoo%P<~bD#116E*EK z%M<oJ{v<o?y~gLhQ{@5sl0V5#df!pQKC|3mU-B92BYTw3Sj*ji_@nPwxxv2VkFs6w zJ!;q=S@Ydb{Ly!)Twq`F2id0g44?b--+%bjr}zHBr#{{H95w6@tpDA6_`Ppmc}6As zed}-cCO(yoxL5d8w(9+fYW929YxXQYWxZn0<9D*b_Y%MN?JD=EWWQ^@Xb<x#>u2{A zzxS!X@2GB{Tz+8h<F~STuYbh0zqhKozA;^P&+7}D`|g&$Sf_m6>cbl4a@q20iRrRC zUhjx)e`}R>-Enu{&C(O=7N4_9y3V+p&+2tW?D09JJJva$vx>TYa97{e(iQ8J&sjZK zqg*PRf30y>-{sOBk?k+7yskU$>N9(tu({9lwM1<D3#%(@luKk6y!P1KcfPb@-Qr@| zIj?WTv_G@Du;%d@E2Ha)X|gk3?}%xCYISPO;v(58uV=)xKe1A}?wBS!;kCqOKEu}> zoBNKJTC7`KDBJToBBuS3mC*IZ9esyOCDtu2kZpM_5!3#_>cE=Er>*v^S$x`R$C~8R zR!r9!cl7Nq{jqj&zHHRBgWLP|mZn6qPb-ayY`<sq>00A<KJC{lHt}h_-VuF#O6iTY zi}PfIt~qY+(|Fymsc&cLiM5YUT0Oe<Fh#cDbwzahZOgjz53Bp`6~D1$e{cC^PV#q| zUC&Px^VvK<VS9XT@eND%cb0kQ6RZ1f6<?T_{7q)l^A*K?H;NC;Yd&Wgci!;1%(~|j ziu<k=Z<v?-Rc6)mj$%HG=N`7lXBRJ+_xOuU-nqo8zDvau<}E&Jd1FrUSxdL`idB53 z&pB+_Uszt6^Z2vOyyq`$*q>XPonKhVXY$;lxbIBy3`_QBmU`zCEBlO|udrc%YN>W! zv9j+(@dQiuCzi+NIG?dRH0SY0nZD;4Htdfr#m+CR=sQxZFz@jPnYQN|HtY{A+0H9g z@EJV+QN*YJ{6!I;-t!wpeFuse<~@EdQ}_IZb^Em94|9{>%S4`Qd@2)uZee-fp5g~{ z51+DJHK+NM<-2o+Pi4xUudr^PQhZ^q@+r#&bCTc56g{sf>f2FlVaa~S^4__JW_|Yy zfAlRbm#IE>(3;Qo@eSGbcNU*|n9o}j9WP9mDLd9^-FLh2M&IHxnJtfJ$hN<+c-5m^ zCbQx30^`2xg=Zw&Ut2usSzIc!=5d5<`zwn_J<R7Uf{uSolUecDLbiQ&;f%h;B{EAM zXBhLDJ&rK$yI9!K=X}<}={TcRpULANGVRYTtd1YF>^obS(Z_t&;#`k%u}s>rM$5j_ zg%N#=&sb<3ceLy~S?JNHTqKinjM1{s@Ueh#pTXk_nfAvPQpXQk^c^kK=u<9~>3D1* z)Bezc>$syu-@!tTKIStP`+5$aw%FCveA;4Lk8*)b!{Z;)?e{Hy9b1?xQ}g(SwEMKe z1j*x53t#jq=gU+)-eA<H^>~3%-|j*m$@aSzuZ}6E%9K3rFyhmAJVUzuj>Wtl<vba` zV~pl~+Y5KpKK?Gf@BWLw$L8gKsB=DVUbcVX?_Qhx2R`@S$-ncnZEpU9y2sz7x7}ay zm+h^2+J42~y;k=-{<6I>e^K-Jt90?chrf6&?`QmFdu<-Jf8noQi~9|qd#~j0`N{Um z+;9KGXVS~=Yy4$<X@0Aw`K<Z1n&dCii|z+}?!A!TP^Wy>+;0EFpS|bu3+j?TOV7IR z@VVFc{)s<rGxHPb9)FUac3<Oj@2UKNy5vvNlkRu?VVjxnP?vnh{78-R8FRV)4}bI? z%QvV?{wUpb-{TM4BXhp}i9dP|<qOm$e~@mv&+xfd|Ne(hy?XZ_eCpM`&+&)tf%(6E z55M>B%g^}9cHjKlzKKtzBlZ<Om9Dyf;y2qp^EEY#PnoZ%dHhZ~cwgf8-d*_~KiTe@ zFREcaW&UiR;`d(l`yIdACg&fhef(BB@Ai+oZST#hwr@<A-gEoH=H9!xFY=Vnn|;Vp zE|)IfmY6QR<MxibZEwx8wma_by_tI=Z}B;^r0tBmd97|&+&wlYcSoM{IkTwk2Y2;e z&0UeFe9r7aj&iAV{<g+ly_a)$+-!Sk=C$2%SFhRagw4ICw<Yejy)e6yqg*1r;I_x+ z-t)N?d5eps=iI(=r|p^9g`CG{%#5}trb*AZz2i>XQ?pY!i;JYE+@5i#?TMMvcE>d7 z3AZIS^BUgf*xY+O*CKCmp>)sfh&yeM%!IZ#?&v+7E0MRjK)U6&#GSSWW(RT}pElc* zv-q^xj-2GvW=z`|cl7Sh{gJykUpi{r!R@_!b5m}zP0NkB*>=zD)3(O#yxO-{Y~t0r zz2o+=DY-Xt7w1U_ZFAh-t8u$wQ}52)6S<F1nmyX~Fh#oHcE#<s+opBvA6EC?%YL(y z?Y-%jnB?zLyRM%o=C!$gV(+oJ**A8wy)(^QpIF^{EBiuR@;9kX*H;wx-pD=>*L==2 zZoT1isdd*U6!%`s-Vm4kRch7sj$&Sm>mGZL&CXsB_xOuc-nzu9-b>jN;ufDZy%Cdq z*3@miVim9Hb&kDkFHA4RJpL>-@A`{9Y|l;2)-SB&HMwq4+<PW_#!j|prh4lWD|?Nu zuh_%()KqP~VrB1%><K&Bo|qnsaXw>uDCY4;slMwOd)OYEimhK*(R(CYA@1=9skZAH zd)OYDvaMIF;5E4Zqlj1k`iml7z3VrMdJkkX#65m5Rd@Zx?zU;!A7Yc=OGU10d@2>b zZee-vp6my)hfkTVifKM&`fi=!Q>n7+D|WX{$-WS)e9Ck|O!7OaqU#k!y*sikcCy_u zy|?b6S?~SKAAXC=rK*=5wC1(Fd}D6gJCjd7%;!ytmKUZ=l`U(u?!BFP!*6k!)RxOL z=C-{tdF7*ACbi-60^{E6nP+CUy*7E`v$#}h&E<%>ZLdro`7oa|30nR!O=`tui@9yH zGiUfME|FStIm4LO>~e&0@5RgxKj*V1PRkjsdQC3>nA7&$#A^9L%ign@8Gg)XP0sl! z7fYorYqab=of+Y`_>76xa!1SFlbIfV%0*Hs%NQ+t4KE8A_ZnQTnA7&yL~8j#i{7J| z8h*-!QXQ8q=CnOD;acu!(R(nH!;krl$v&UMr%iVGG@mxv=A&F7)o}U8?6&(Rzm_db zm8!XXW47D0%!HZ8re?nIRnC{HxV*urSL^Zuqu$+_J~P|yn!H-3m?~9rxx<K8<MNEz zZFfxO`6%Z}`7L8K@7<ocWA3-=S?@FVE`Mv;``yHL`P+HRs-xyz{xD}+^{u&=-^^*N zzV$Y9+w!aP+^TQA$=tO3s-^BXlN*;`%=uPzYtH2u|H;KsuQS&yUpsGERn(lz59Um( zx;6W9fWNiv*|V9;mQS7MRuwh-@{Kv$o^82!*~LG&IO;{_g5^ss-+neZf7#0au}$u0 zle3pE%qe@e<;>+XbJ{9zJ<Xi9e5&QzPbQ}>tNBm1(fwp%wA^u?*)tQv<*k-)KbjoB zEajhUll#%+=w%^)XPdVlOb%b>@>jOW{a|wN@_{+uo|@<{F9ij9v>&$(?}sh>FaPm- zZS8wHvu63*xznDS=q!)5^nJf&&*cw(n~S2RUS2V$to+uL%WwQvTl=2MELrYq$$KiZ zc=^e>-=1vQae2-hw(?uIGxHYz?R#b&^**E8?Xj%y`HbC*U-nH)H?dj#w684P#Cq|= zzB21sZ!@+ozSw7GJ?l+Isav-!Z<&eJ;=O%l=_c1NKIyq;CG|RE_2QL%V(BIpi<kC& zOEbB0(a*iuc-G5|rHd!_T}v}DTf9~>x5VV)#Vb8xR#GoAGTna5oGspR?xKbJZ5iKU z6O+YrC3TBUj29>Nm08Yunvv?ZTBf&X%gKu>?%guIXEG)%?v?aClac6FEpxVT%dv|R z?$I*7g<FnX6mYkeIa{#h&_xb+X&K)F6NAOIl4nn6#JW8;x;5=0lRLA_+kBJ#7k{~3 zHj=uZQN1`-lJ|5*xZ7oE-F%Zh7uWQJrJ86hzT0b-YO?#{E4Rr;Qg<@~-I|SV-N`6g zY%8goXQICNVDC4xS+|Yr=0E)1b1(f(CEI)BFE+{FC3l@a@tMcw{E6CQbJK5Bvb{6T zo1gf*=T`a!yX0?@o6fKJ+;b!SfL-%B<GA^T&n4HLpYXZoTKWdN<gb#e&Ubv~u{iHh zdu(?40=vgwB=hDa{_44uKEZDBS>qcv$!Cq-<}3c<F+I;w%l5+flFj4KlJm~Ls9}3< zY&L)4Pac!=7N2|0q|c~iduFURKk;Xe(fJiMY)_5V<}3c}Igvi0lI@A{F&pPI#)oVk zf0XPypHaj1*jQ}-!XG_H(iQ9;e~@fDuTjJH(3ow$;tw8!^FKiA#a?{k(K~<RQ_q2P z2D``aCF{<=sBW8<{=qi+y=3IP#;20u^A>*Z*^~aj_V6j=RW{A1jNi>Od@5OXenoZL zl=KU>%BPGM*d)J`EIMEDsb@#JMJ3xE<9qWSZtJ<9`a^GVxn%XUgS&ZbPv3}bduQ}X zhxxow(e%P}$+BsUyL)b@-q2fICb{MGjM%m}Mz3^~%Op3PUa-06dg__Tw%0~abQYIN zt~nhM+xE)nkq+}YqoC;@(<E1%wuo(;ojOBraf#%T(;1t2%uYva?zx!Sq33+o$Z0y` zt{#)qKVsUR8(B?1xU=VMYK9*3S)+40%Egjt(;9d7oKB6<TYSbyYr5mko|CB_ddfwT zDbpBt_86WP*xX}qx+13Sv60mDgFAYTrfTRZ7fN=VwuotaXv8($aYxU=R1Q7nGe-M# z4xcvKrPF-cXq%35fn>w!AJJ|1jebpAm?~Lw`bM<dwA6&iV^dRK=ql$+R-E3jsYmPd zf=xZUQ+*=a?i#(CrkE;Oa=K#^kH+a4(QS8(=IJQsN%~D=+}^W2b%*TZ?-Kitzpy<v zFZqL<^LfLv{)N>&?Y%1&2Yu7fbE)X?a1v4M5=d!F%b8T-EaYJzAi>tw9;)`dh+Wvh zF?xxir@&DSf#xZOrzD(v6qTT$a}x7si4foF*_PtE=j-0jEe*Z#g-Pi9feSax(#7?~ z=5NrwnDF?<tqB@_ml6^*)UI8#d~$c=t#!`JIFD}mr^w^=aCvM@p?0ssEu)?N+v@l0 zeS1`@*VdBUsOtD(bL&Ezy<3lLxt-F}GiCF_z59Nt{`}arW6s*v$^4HZ@4Vgg?$RCQ zO0K-E(H7fI-<&3Os(Mn#+DU=Rb9@(cKTZ3sZ0Vsh%WU=omU9aww_Q_9bJnj|Ys8Uw z`r6LV?$dGwpUOWC+xDN?I(>IeQN8BAjnRTZd&70*c3oL;skblJ?Ze)<g$DMGKJ8t+ zb35K`7PrwW*V`R={!iSIbsO|L&sy?JXKv?FQ!}b(U)^dNy5+&)uz%anBntn3BPt=b z?Nm~FS)EJhFRn%0Y05Vww60jWu;*~H|9SI~bGCBw$$j4hZ@QSiFl&+Z5vbSbsGoTK z`ZVuVEH%8neDd1srz+_^UfX%+Q{i9d?rUBvTq7lVmvpSMOyAmVG51<Y%CrX?GJREB zt{z&rU~5k6)1;E#>#KM0T0B0yR(t8{)K0d3!Gep|A1q~H=Uu&h&-bq#*Ofjc8yI(l z*2=~F{-i(S7h~d+s<Pv^mw#$C|B>a$5$l;SF;Pi&^}5KNRf;^`2TZb$?2hR_=zD&{ z_q7e2+jZw=OgQMY<&@CfvkuK)HvdX^_uI(F*kjgJ(Su=@8s3dvIT=e+G6EN`<9zme z-wx9aH-5$Gn%VYs-!<HQ^j+(h5QYb#cj|mTZK*i-Sdh`?Lj8%2nfFeWPX6j*dw;8d z@6V}1sdxK=PZd2~^mEopm-<UyrK|7AFz||UJS_R(EAS)vtlC;tj`)&?$G(TVrT7MT zKUL-58ST4uYM}6fiK%+41k96DST`-&YLH{XTfqIyEub}f*Cfr$dNU49vhk~Z8pf#{ zvoCOi<Q=ZZEN_LivrMA8f;wB4Xx`r$J*ncBK$tgc?7DcL^#0_SwiiK1rYu=l(Q_cm zao*CcYO}UHlxq6@AX@X>s#~WFMe3h)UQ(Kxd*Y{1&?XLT53^fbzuR&bs8lm~dC8=9 zG$~wnbgtR4_CfsG1%{y?D|{_pDXjYaK-Q@5>8xu3oY8;ybUq~RUTd{uLAXamfY!R} zl54y!6xG~5b#t%#%2S(uM3-B=Tsbw|dt21PDc9Jwg)BqjTFrj59t>N@c!b&V=9Uk~ z?pmcSXOdqP=6WU3^Ked4i+j(J|8>c)749_NEUge<c4CwKm!d0Y86Gs=IsB{1zfYOX zzGBPG6BBPuaJHOfdn|dT)ux8^+VfNLU#wL2*E#;PVoBSBO-~lEJ(cQeZ+XmT8TDF2 zrL2Wr%5qujcB>B4TW@}_?eDwx_mFhV3T4X`BI<T(D;8}jeZb1}bz<5LhVm&p6^|Rd z7C3g;y|vPENA6nZ`wylbp2WK>OD)s-+PN8Pi_(u>YfJT+c6}lHp7f?fA)A1`kHd~W zijZ>hittVhRxm9JRTZg7k}0?&zGai9$&5*f&p$D>t!BuabZh>79R}_Oh8N+VUoCy& zc=W<!r-R=o#7@gy@_uE6o{sOvoYvBHiW<{oZn~e}_g(vY<R+_vtNe){-_Kq9aK;Dj zeM>pD7i^Zk7xjnbf1Q$XyQHeIy;JCvWX@1oQU9WqN3&KlYWHf#&Mq$6<Mvy3<*z?F zt8Vz2znQkQ#VOZ$)x0YGbB~zsa!G%=ec<Nx^;ZIyBnj@`Cw6A){!g1PrA*xUBH19X zUU_$z_n8Aq-CWmiy7MMK-NF$0G?lsTN?htqr(_jDrai(-`7h4ZUHHS{R<rsq!P^cZ zZ*Dnn@0nAh^H<irztMA@L+yLXgS)@RmTLZcRwO*Bm~-iSrXy_)$6P!XUY*Z*LaB!( zYY}%><AW;-&qXvh8P&Mt@c-X(=xc7W{PM$7E%rTH@WrR2ZN(oQjgn;<Z6}UCtZ%+> zr~QrEw7!c+1g|M`N$ok((O_-Xy;6VDo88W-4MD=HCUeX-_S9{(_@LQV(ry#{LGT8P zT;^WoQwugdSlQyeWjAxjPt$A3R?{w9Z+gqX@%K(=$-lHC3mvX#Fg4Av`jwGvyZP+= zedW_U@BD4+Vo<$c@A<@<v8Hlit0=!OW6)2*_+Gmzk>{@&p4G^*TgAKkr`qcNa<KZ% z&$|0{`u|Tp&1bqg?yJ3d&GY*0u{ZT?o6AG~TgKe2*rZ^?E3)p*_ZT(q_iv6F-?{B{ zFP*PAZ{ohlLyF(}Ug`7amp{6o&iZ&^z1BKQz4bS2u4o9}+O5{7qpV=P@ZpO7U;iIH z+^FYvac++B+cl-R4hI`<#oV}7rl=AitZrwJd#&<9u<xrwk&6WsY#IDQ0v0Bp`z6`Z z8k}VwnEg68ppxs2+PPUfxR<ZV&AJ|UZb9DcN#V0su6=u|^49w+H~f5FT$y@f)}`I* zA19yC@;5gPRJ<_pmT13L_Lu$w!%cig)ONP*D6~l5G~1iiX-?Le)|y2=ot`y%Ij7#n z{YZ}LoMQBUnxo7fR-O%O7aD9ivVd=)s=GOFr}w(YQ_Z*vUv66Q$zNQitEWfx6ibDI z>jWEBo&(Fj7HkZ#Fyyi*QsCS3vafuxX8G&9ZPDg$N?)h#seIj0xV^8p?DZ9<;N7K{ zMH8agQ_rrQ$k?#4R5WX4?epS*Ex`>eHs*>_ULCg<>AFsR)LC<ct&u@k-ne>ZmeMPi z*<JxjAJ|=It<bd0Q2x}eB6ddQUkZo7$K|gVGD+2js40e|3NA7FzIDsg1M>@JJ&EuY zbv-5RKgo^#yI#)PXT}e8m@NNy$(rZL<tOtfhb9PZWbbD5zQ7~Jdqnk8Ak%y)Ii)ab zrjLnJ*}p!x!K1^zCUM4V?@z_uMo(k*dMNPoZD0>#uQ{ADZI<K0nTvcJTzegZg^m~` zhCjZy-09Rcjx`L<4Z5EM;w+B%%PhK4_ExiCo?+SD^p?qD&J#1j{J#7;%>BTH*C=qy zRj0r&ce@ucbS_%cEbJPcaaUv2DUGV|{Tf~#hcql%PkCS3rY#v(tl<&Yw{33P3p3@| zl@(QCw>5H0UH&}ccr<-U==O~_&U;SSFSFLlYw>NKlGu;0I{l;`U4Ib!G{DePJGQZG zLNU8Y@s_Kq_qNX3nC)r!eWH@?ZtLy0J@^%MGOwoITE%7^^;&E8)i)W(*S)gX)E&hY zafoT@x+>lyZw!_{-|>oLsh#%%Ikv!9QP!n)NrCGNRyH5HXRcwgRrT`wDgBpEyKXuz zvaafRP`|YG&XfC+?^?WZO;&e(-MwY<%5~b|a(>f|x37E8@^al$b{4%?YlHsRUFPn( zZvOJ-w7#$Dzmi@akXn7E^R=^uzi91KH}}~k^FQ9W_tCrd5##O;6Ylw1$-C5AH$R@b zdTG0a^_pLQUcPElkof=9hW*tFxmDh^o3^Ff+Fn_=T~hVho`Ah>t5@8+B%Qf(v82h# znw>4Xj})YHJ^Z`FWvx-$t1q`!2gIHWI?uVx$<W!daqC6ZiBnJe?lE;Nz2>pf{Q9cN zC6`PWRhj(oO0im%Eygj;ieJlqttm&Ue8Vml%kqm24txQx8aL>(HqDtlCEj<{InySE z7_KWutmZExm}|d0@_q8qNK?W5oA6)3i+>+CpKm|%ou~SWAJY&2M?MYz)@t)t++gc7 zZ+_46Jml>fucY<6*WYto{@$-6Nk4z;WUju;%J$2(FZ%Ucx#i6HdFtlty;ZgMGk%CL zyELBZ_O7_}yzs{rVV_l{Z{{#u<`Z7_toHJr*wfS1ZIkL|%j`Rrxp~t28O;9|KQDWB z;*>zkuDq9Lx5T;5EnXS!YHPtzAGO?nzS>-~ch}q<OY@voaRr)7Uws**|Gw~~k;1E( zA9){NR1_b{;aT;+bGrMLm+`4iU%A%Jp7Q;&X=Gr3tzu4rDPv*P?ep8Ul%~DBYH!;p zHEFZda`w-~yCxOCE|fN|eYVw8-y-(8a9z&%pO=^0hKu%F$nouazUT$#rNjF(JwA99 z6p6(0`39+7T7FA2^rCUY+!H^Awpu@(v~B6;-wKt<>ta2V<T*WBf7>6nUGzz&cviw^ z&SyJTMCa$U+y1V&_W0+^f5l&m&K~}9Eq&^)O=(iA;?u4s*L4fq*IM4_e)i>wkNo!e zd5^wGt>F7|&AoYDkp2Px<r<}etB!{*pKP~uh4sX_LHfrkEzW&0)X^=i_*1fW#h)oJ z!wr|tQ=D6J)pC`wVW4cB>Q1M-yc4EXDTYi8eP7AEN?E9M;oHf(OA`~l_paWXHz{kq zj9%%z!zH#^-uu>_fBAO1-pm!pr&y)B)Fz$xYu|GErF&ujhp)RYz4$hp{pvaPko(hE zSKsUZ+Ufny+(uHj^q*w)Uc={;;{snDEw%k|bM}|npLM?KzGB*3ze-LZg3*+*v3zB- zO5pvqR~l_YUS%9u;<S=m@zu-k3p0)c3%$SaKdd#o_<>2n%I|8gYNr0;dBnijA$;9x z>A(KxPd%->zG|{fxVcC0#Op8iwFh2$-u?E{=-f-;3(Gn0g-!^|_;P2y-5<-?Epyww z_G)~p+&PumqNieZ-UNrPrSo>qJz?a3<DB9ofmu%kGi0~sUY=lZHDFmp!-h!9#0ppO zjw4sKDt~Ouy%Mh6Wo{Pr#BKZY;`P1)&rc@WElQqy(RnSaX#Yi?>9!qN*Cz=TW&PFl zxOJq}$>G4#-rU_yi;F@YPiwQe|9Gc_%%-vjJU`zT_pG#z*y~!uw_B!r&Q{&dDW^Z) z`omSvded+2qoeO%<?IvwG{bxSg5`<KQ#N--{aoa5qHBU7x5n(LOu@%Bv|P>X>I?2X z`;wj}cPzR3bk6fNPD>B@Dt=S%=+1e1#9HZFv_(u<&xWr4&!@7lt`c~eb)#XM((CM* zjVoop+dsE4wGh^su<`w_o9SPFU)y4=^@~MAS#FBil9+iqj6O;2A9>TOEuY%W@!i&v z6&-Ra#@IBwCqHiE0+&vKO1Z;xjvhY!fa3t8llI=HZ!A5vs;>y^zkRN?`;d(Pruh># z+5T?`xq1G){kE$?rvi7n_a`oyak65oip;~cr)o2=O{kGS9rxU93eWAf#KQ7}?dHkv zzF+8=op{0InpNFnk8p-(#y*ns3OBwy^8V71ARQUoZ?~gn_^rO?b?NBj(odH|EO%F! z&b5(}I-(Gg^7d4ca-$+|%9++%A^Qpsz16vrSY1C`NY^xD8nb$n)%o=4F5weHtqOm2 zW*uITFnz``$@~lQ2?r$)6g+Rb7_o2tS2?{Fhi3-w^*6C*=({nU@D5+Xv8eOSTpsRK z+YT7U$esFhduspGC&q%!8zOqc4r{Rn+)B#OE{~Y|B4n}dX0M}Z^#=E^eig}{cdo$m zPFRBAnQ7;amN@JGTRL;<2FVvs{ux>rYA&3+@qVI}*Y9If&ho73t@|my?9+nRfg1j+ z=gGZJcKEf=UR>v9>(xo&|MGgSI4DNfzu^<{d61RzHR9!(BkP5itg4EXpM19eT8*eI zmpNCxr@xb!osR3Qh+{6*@e#*jo*dm560v+sqs_@vYkRGvsxOz!U6W~J<?hQL7~$%7 z<inCfcQ`9WH@{St3H-D*OVUQutR&S>b%~ltr~2$j|Gr-Jbu3jKW(K>j=Qnz7y(18F z+V7Eu=EGCh?M&s@mQS1VCI8W+eFjTbha~f)KVLODMTpzkM#KD0!`3vRmlEPW_g%M6 zJ$dWw#ua&)VJ3e~JSvR^=Wn|&9%8lCwM2f+^;+?zj}NHzz1*@!L)i1DVD1&M?4(&M zHH@Ymy}Yh0@N&>XjfGh=Z8KGlEb|xr_^`Rf-fVN&oY0D;1&Yfgo^>;Z{BE0Y!XU}% z!j?RhDS~!VGq}}OXqz3%VzkuN?UGu%W_`u&#Pcr-lAb6Ohj!VOI?nqmyrskLa)HjJ z7NO}=X1(}b?=h2oVvfm<7glE$&yl&_zo^*npZZM3<aO;4k2XHAKA9r4YSH&g(>@DD zU$UQNBE+M<__l||7PrU){EESWrlJLlk{g{C<|Z2GMP(`qs+c@kJug$$T<>YL((<qj z<(uCtjV?TV^R%a{_r{E#gUp5!yK`$_D$G2-g~5$qx$DqfR-u5Np1e~GPj0&KxtDV} z$#TzhF_o_4zx+;h;SsYt(`NoQk0?rbeDH9N@g*Vdj3qt(B94m<q|2h?lmaD>%xgQd zA)&L)ZvM7z2I(Iu-d-m*wEs`o^2da=_kBuORI0}(PoGyU0%`k<HZ5|usFO-kon+gl zyz{!?hs3!?VhauO0wy{iJk7%5QK5N*No#WGq1;P?M;BarYr}X{Kh@EF&E+Lmre!O2 zL`NR7>U-y~G=N7ri6zx#ZIs}JM_rl|j)<^o^a|`-z#E~EyihfYv2@?diMrlq2|X+9 zS|<3u4*#PPpXF}KTKb{*Y+Ikn_BX-XGW1lHTEk^!m&UG0+ck^FK-DnQz$O0Loue;< zUinKD8?D#>pnj~tyKpK)#hNP%BPLZeb4u?v`jNJRf%ABWN1Dh@o_N7`wj6;y{y}9k zW;Wjwnf2TzSR>T1>PF{+lG3Y6M;8SiIHR)4K)qz*8oMZ#0B>d%5e5)oi}#6gdY5W- zQG$U1gt-_v8FKPV^7Zoaa`K8pLs%J@ed^YvYi!m3n{d*>_85a!zNDACfWTVcON_w` zAN&~)=yRIv7Pt@^w?O;_YgJ?10(Y(h0%zj_e{bIRGb2}Y%EwU2_lk%9ubt?2CqR#P z?gEny+rE6sy<HPg{gnSHOD#(>%R(uKv<V!??dMG8Np@Yuxb}zzU(~@*T#G*Z3Vpa| zWpnH4U7TAj8h9IKv*)ll@W*`S>ax7yxlitY()~YAc&u(%#ig3dZ*I75uQ&Z+*%^81 z=X@OOS3d9kDdyiFd0^MYQ-z78sW%G(mw5Qf%gMCx%dwWXZuXQ+@Kbqy=v3;-%);Er z(5G8A6j{s`S$WfW-Oo1%534;jU93~Vs#)<@t77WiE$c!K-ds{5{A&Fc<~V1?^~P** z2kZWJ?Kzbv|71o?(^XE(wL0r`HJIWuqxQ6!c&|6UCAMDSExY&@&Wz+eZRS!u>o-gD z_Jn_M``oan&Rj}z{U+(N)ra0nt~W7>J8Tx0p0}qddrw`?o>NYoPKI%Zz1MHnk8{+I z+aG=7=;}9OZn16J52mIa$bRv>^xJb$mM_1~GM$w;D^#)cZd!n2+~M12I<L=M5dPuZ zYxC~3xXko8=U-ONpShZ>64uMlP*eNW&fHqDl=Y*O-UqAV&s_H$SmX9fOI+V`DsO?% z`Yoz)&T4V#&T$8GA6<5Q61~NF&!vtE-o3StO1~Y@-VwT|Zu|M-2cc!Z6)KiWR`TwS zY5N_Q{y<ye;A{2CU0n;7@!itat>Nw8b8bc4!Ijt7f79B1a#c~3g~r{MO9{vSD9XC} z%Bh@rdD4CVq|1jK1rz+V*PqIabv-M)L)&ug^`2dyR?V5l6|}jXGu%QWAULa8PPijQ z#k98N!}7|TFCuo%2@~bxV*IT6ebt;WE~{nzTA>kPF%hwmVFdwuRyyyR*%Un4d2-1i zdt>X(&g(b3Dad`esQu&6_J%8;=T`m|<H_NhSkrevWK-rnVaA`&O0~{q=bqDEdoH`; zdFiz0qIW+3I%&6lll1hdcS8M6_1$Fpb7F$7%ht>}t9n*iJPYp2GT9R*?Yug7>E*1Z zLsCnl7U<89EWFU6DQDNZvh7H=?VN9J5)W;7KF{Q3e_Ip&XLYc$k^Bvh>9t#L=xplD zs>_-Xmu+sd<f*~p%AY-I%pQ|nW;e2RY&)#2+p}`V^Wc`OnmFbXsks8(?GNWg-cU;s z`NqlG+7WkHfB95SQQJ8)XPy;1t2ARKLt0B(MqIk#ne+sn$$Zxsq?k%8SZ~V2*vkuc zzA*msOLwN?<;LE}3KJPQW;!ofq+q-)D=yVsEo6$rLB^SmPv&2bS`dC~!SxLnUcb4Z zJ>$jK=r3BkOIH>xO+C%UthXj++NwL(MEJP+IbEDS87@~>o}2B>{oJL&a;b`$L)rw3 zm%cLs0-GKiHl8pGoZLR=i;mIF_TY!7xXzuN6L@J--_K_5EU%N^$4@<a^va6yd5ij# zB_->0b+qSP`LeP2TuYab`i|wAs@k!v+L7Vv59SCM%rB}rHHpt4LaDXOy!1r<N2A%3 z)dJ={n5PoR^wd*$h33|;T<bpk%G`S@FVs?Z&IB<B-Tw}X+(###c<#Ppf!CvuG@sOu zD_a+D%i43T&F$Hi6KX0#r)|!BnmI$C!P-^gv5ijBHoF=%R*By-J&#U*$u~c_Pv5M2 z&9c+`Se7wG#OIV4JUf(Xp)+TKrOIphb6nx6+f{=n`Alr;R$?s=J=(>seSND_9{2G^ z5jDqU6K<}4vrXmrlf9SEDC*D6KK-goyWRCbP0^y;ZtI?G%Xqr2DDZ`t_%yB68ed*% zahfKvp5{ztJpJmF7t60kqX|WcD>q$wwMg}}SYzLGHS>Kbk2slZYt%n}$(R}^x6ky$ zmkiFmg*Cb#zr2{%7q>6^%FC&rBh&-l&vtkIV|DbB^>gl)`S(owo9}%&R9SOazkk&Q z>BHui=f|1$x4ihWt#XO+^66(E%hb(XH|L&7f6<T9sH@%2emnEXR{J=$&8s@&;$mFD z+4lS;)2q_Y5gqaVTbiH!I`!~fXWE6xeK|WmYlOU)R&TJDSi3;{%+?*v7dfLCt{-}H z@$O~rV~^+C?M<>_do<m>-1og`f7*}M7QH2L4=xHVUG~6`ZJvKf^R-W<hhux(E^xmL z*wG!RxVL*<%WetNORrzZ7Kkqv_+n(me&?vh#5m{tL$N)4FLg`Q?i^jgw70j9vE1;K zgZ@J6hk9<^U*xLT?i|fv-rKc~vE1;LL;j-t1F}N9OibPea?bO3k(9OSxO~N-7Zc?U zY@CreWmRN_SekUKaqQRL!<XF_m;C+r@SNLrpXi_a9%e39D&f5EcIW1bNyq-Orb)+M zQm;8!z`j`M3-|e?Z!f~~m+6a@SIw=sb6CH>>Voyl+wIHU7k>Hm;pySai~Xu5|Je5M zWuVsH+55UGs=hSclhtE*XT#;BFL2-0CO5=u@(0!<b9;?4h4&@y`21qq%d3wBdH37Q zT58_4UdU$tim84NtC`FFOOBgdOO}`?_cl)3uf@8b>80;ufr953nX0bt5PWA-<*;6Q zdg1enVpS7=EDNme{1L`=Is3?C@w&>A)_aQk!ajUi5&B*zUZtYS<of9&+u7&I$u11< z%vYHwH}_KX%h?^v-Nk+03--tTcp50TH|`F@JDXiqRr@Qx9M<pu_TucLDc0(*uK1NF z-SdsNm|Y^#Wc^cSj<Q`*-`*!Oe(~ofE`A<A^TvfE?djk7r>|bMDD~*k&z<~_w#6BH zwOrq@@0?o&E8~Y>cjHpcbpy8TTDPS{SnJfrH3dd<rZGNyQ}s7(&LZvXhM!Uo<kzun zjpKWCXwB+%+L4jFUf%roa8tp>rrncvzf!w0t$v4SQIy7mExU@M!=l%$b2%$({qNzr zh}h7ZpH4czlJ{G!eSE2^_q3^&d%6ETEQ$%;lu}q4I`eXOYg}eq++p+en=_t^u3<i+ zaBE?>)Ix!a*&gX_&r8kN&wS=`vy8v;`PW;1_dTcb!b7(?_{s)2RLu3PWL>$ZE|@3a zlT^_MtHTdO-#qwQeMu$7sF*43gYL~;lXf2}%={?0wZeANQSGZz>w`1*T)R-Q^r&&% zVWaiNp3g*kzDPBh?K$Tl73WyccAbC9!%()q%D){Iwn|5|Lj~3cJM6hOr-FBO#azRW zTxB1B9o3CXz29HiAo5vj_rnwmojaoI-S;f`)x^cK%3#I31&f-vy2Do)#w}NpT)#Eq zn09Zk|JILD5_c_hd-82~l*OmFCe8RLRaH5+wvttT?<I{|tu<ewUTCy@k&3IDd+-BS z(~LO#4{iPrKSc2@fB7LmD8rNO*at1<gL~ZM;`+aQ@Opaqb%>y;a+dp*2`jD!++O(Y zaJKGcZQsk;D~`P8>GIF5((Ssuq{705(YSF&3u9qt_=c|Q`cWUXewUn)zjS8#xjk*q zOlCe~+_GAgH{K`ctT^AyXB&C<CxtZixyG<mK8tQ&?jD<=`D`|`e}7S?<TLHVO_h5Z z<9|ODWUs3f@jk5|?`ShWgM06cbFJ3>VwsUIOy4DyT$|Y8{!q^?Q?_=8$h##gCiL~* zV<<PYy85v__~E<GeO0MHOctmvR99fPJuzci(>}i=KJgwxZOjkPx!JyW`?=4r=IVzp z8eFpTKfIXS7qExBT(0)GhMep2)TQ~7lP+x+I4?1GL9&2+<8BGpONAZb?^I^%i~ezP z67RZaG(G7@Yl~Ipt=%&wUNBwcUGe8p$GZN64_`Dmbd_sQf3W#_(eV82-NCm4&v#FF zcu{4qRSm<P$}i1viu>v+s=j#T3&+b<REad+GyS*lW$U9tp8Ynv3aaK;v>ev&&-$A6 zEAHUs#SAb0KGZ(hb?$-CB$2xZRTn8sF-*@{_d;#@qzxHr)2CD%TCv9bjQ4|coo=Nf zpZl!Uv$A#K^+UICOz*2W^kPkHg=m_@)&<v3Z{N{;aXoW^jB(Gks^fpS%H`g^YJI$# zm5<jh>fq;2vzKe%OTC--V)C_LhbCSTvpHn2QoG_v$V$<QJB`nU?=A@cv9I!qxQ&z5 z!q6YL6qaq4JNV?n{l}s<xh5^^KBX>kJ}tNL!9wK<nZxaE4>tD5N`2F46L@=I>2$?i zji>c{t4=IlEM-*_lhn4(HtW$(m-yOJ#u@W9*zbPr6<#^fCXQ=Sr_HgC&h{TN+7|nL z`thP|o^9C2rRE*^GEG(gYp#Fbc3WO`(k9)RyK>2*cC$|&ZA<4pnAq;EdoY>b;LAjJ z`HCu&)#Y9K!Zx<Q7EkZue|T>3jySLTl0Uw*edOry)fekezw@Q&<BYa=xvLjHo~|!= zeo?&Y?2lasFE3_%Vf%PDn}0vo0`XpbX&c*Ji??@+Z&)FIA^hBedv^EDyQ==y+%<T` z`=$4=zNT08*BYjGOEQ@DR_ie?x@X<r!}ax6rH{*s>F34IEsV42@BX3cdOaY2uDk1> zu8XWyg0-CGK_$XppH=1@(O2(UGPR~#uy%Khvul6B$&Tg1wXbyL8*X;K6XAUtBq*}? z;L-&;RVSCK&I=4#6@H<-K-@KPZ)zQ5xt#05^0xK-Hj7_Wzl`a!mOi?m_`be_No~vG z4!xqztJ+7)Ip@iJy}0{m;+;wp4}EF&ou4&0_qx_--hA2nIio#Z?&_uJquV*>$(b(L z-s^r~uDkDxtzVWlruW!g`2E6vNAaR=m+FF-YMuWc2>C7RE7Eii_TIT<v5WuCEr(s2 z3sx@g<a;>jypZoxp?=}FPcEHbR#)Vy(e>=XB>{i+UH)6xrZ-f$1+Gt?VO$<)a!vZB z*}DXjH+uGsl5UxMlk!@vC0KX2&OY!aP*3(?&CUle1I4P2|Co31^5WR4lRp+6yu6tC zh3@0qZ1duz<bLkBF#B+LugP9Bn@OGv#oO|Qva0ecbc&aKzqDAQu5!w1@%DVN!m8AY zp2^F_FDJ{_Reo8uy=}dke(#}hK7Z+0quQsh+tho4F8qF~UGV&3biT(6w~L}NlOA@e zoJm%xE3DkosLtJQ^5M-Vi^I#dJt*qo>US}?7$kQyW6@%pZ4X`QKfE|5{Py5uX@9w$ zCl<->s9Ef4Um)3@-oofM`^&zMlH~@w9FH5NC*Iw`e*2jH13tIuB{s#PJ2qd8UcVq; z%JZ3ZT6f!nB0q_>2cF6sRyCe>Nd7Y6v}20N#M4fxB@<6Or<pKM?>zT{O;7yoi_l`Z z%r9>~2};SvnFTFQo<6a{>Z07<%y-SZbBk}L^@<f)b}kn_yP*8+&K;8%IanE4G2QVD zjCA+#KQvdwb;09B$9GI#WMyTyi|^f%6%+fW<}sEBeQE5Q;>TDXRMObjW%t;x%jv?! zOWF_oy0R`@ytuPKT)+0ci%+~wixpdyO~?x61@10?x|-!ae&BYSTq5#W>s`W?YZuR~ z-O(JVCVTl$m$k&v1<JFQAGkZ^xL!rom6mB~`^q-iur+?=XyNSl3#rU$dM><o5qrPO z=M!!5TaRmAJDtq;$LirkPL)|L#|<j%0_FCa?r9h8J(xPnTsQeg(?NaherKPHzItZ^ zF8b)554q^AC!5jv!hOf*3}#*4xn0)%(=J>(J)Q6Tn&VPy<jg~Dy%xH}e^}wh)gKUZ z(L+x%qw+=Yol2AIhJEkl=E<GCw6Sx&qE3I{hn7H9*^@Hd<sK(&f*wtGch1m!nZ3;C zv{}5ylPz-|TDysSnc*IA+`hl+N2%7;jpxqa%`D&YnR$nGtfB1Rn*ImZUoy(RkKrg^ zzM-$;97DN5mE&<k_eN`Vlm5v+p1N%BT{eAsh1JE>#fj6WRvgM;*_$K7SuS_?Qqd)I zHqGbOu5+hl?@Rlj?WX;r;z<4-+lyM|i<Z6T3+&WiwBv}lZ_1<9%;zPRzS212_(0Ds zTek6s$h)K~O?{SgSl%Ul@o<-sJ0$)$_8sHmgQx9cwoE*26<fkGy?jr`%~ZL0ep|k6 z`g!gl=VF<Xkk4W}ngcatuiG%(IhesNd-D$KJDXisFJ1h8Y5ueZx%VHu|5b(@*6-hU z;nMkVj+@V=S^WFeE=)Qv{^4@b`Nh(8H(#*tt&3~1mOg5A<g#0M#gWTy?iQO{?RIXy zC{o3Fb495B{Njh_j=fmj9&e`Q^nStq9h*&mJ$fiU^|)@?nPgSpw@(avebydSmh$|< z)}Q`i%8Y%99tQDF3N~2>ja!cEh-~HVpR~<J?BLf5mh)@fRVwTP#j5guJUZ~X)9vfA zg8tp=Qm$vX`>j5_sWM5*{qZbvk#R*#b9zsk?8F_D9Cev(xhD1Qi~rzl^s7oyymt48 zOP|h_bF5!1(mw0*rAyO!R`kvOC$jwM;`I`LK4napR<(|yT<H9C4kO*SHS-@#cNfiw ze9m8>{<3EEgX!+cy3g-4+UkC`S@&?dd*_yr5~*@I*-J(jo!{A<y1J=NpU1{_)zZ|N z>y<TUHJvunwK-MrRfFZ-Mecb@Hg*R;cb2_e`p~-Lxp3{PxexW<B~5ASbCY4XQ#r-U z+aun{=-hUWI!}vu%_p<-Mbe^n{mk2R`O~HEymggZRz01Oub_GOI!9e4V{^rdOB|vc z)8vE%1qEkLRPkhY;!&DVa>?>Kvrt1okjN2(9)TqshF*e7CdEpgllk`l)!?=DT=;A2 zy)#D+-CuG*rmp*7gYxkbrRv;XZC^dNb#=AMM_XhMvj0E3zNx=Ecw6OR$FGMUExnl= zF!9*A$r|>mHFrdNuej@TmiD$p3jGq*(K_Z_cl=o)*JQWneU59wIHaB3xeT)fx};fm zR5KJW%Vo$9`5=9@;j%-*bJoJSo0ywr&K1ZkH`?}K(Xpd_jjWkVuFR9&;<9<tbKXOl z?dKorb1LX<eC++5!~E-N(bQ8b(*7o{6;_jZ%HxrsuC`$9gd=B{Su9bXoh{!U-k-Lx zimSJ|{-U9P4wHR=Co2zgk8-@x^n@=LJP$sQiPkyv(ZP9B;BzUlZqt9A!OTT<s!R9m zTg(0Rpszgd5nKNio%Q8EwusbxKem}&kMC8t9D_#09GNV;+Ql<;`wlIBcXp=wQoE|T zU25IIW>=0h{$;zQEB`5~Y6F+TjSiN>C4wqTgYPdcxA2&Ep!j5W`<yc0^J00Yk}jt# zd3xE1^>V08lA3cz)sL?E?9pcI4=?C&vw3w~{ovDSy!<JTER*wGv*g8v9Gu1)9djOq z=g*#&<Y9QU^YoG)hn$_~RdV>Ib8z3|PZ8dABVEy7Jos3f;EG74xY8-JY{aq;9XhjL z-Egnt;RdEzYlE+LKD=FNxJ%BsqUMFGh?<QJZ+E3ztjE+EjYEgd3;YPKE?IIdy|4O6 z#@jie;`Ij13y(EF59jKTJR0>sJ<0QHsj7@lgp8T(x)pyf@GP16pTEWD`n>!c#hX@r zt2W$wdGz+OX<<`aHt25dK4<w!x}cMJLgkh965o;ypPiYLkpKT<p7zJ)H`3K#I{yAU zHffDfe85WK6R)-=A1vzGBpxBQqkbY+v%BTBBb#G%JRXVJpNlX&())K}!|M8p%CCJL z(^H>6ef|_gmj4K!(rk9um+Rzub-|tOHRpcGR=hJ>@p7(*%ib&@ty_GhdkbDw%((3G z>dd@<>;ep?&F{Y{1$?&NyE(3HlGnm%AzWXkO*ng5uw{pkv+k8OD>E}MTsqSJ)2brU z?|Xmc^tqp{PDdZxbN2SLw-WZ&kxw%lX5T$p<HWlo>Y?Si6J{ofYpfE_T|a$qwpD^> zMqe~r`6u3sXU~7q|GdHWV-jEd#n-aTx0YnCGu`dK{qJvu$L5nAS(6)B&9=>)oS4s* zwzxmm(yRZ+n+VIYtmeSGZf?`VC1<IHYh9Z*@31H9)pfipjz+oc%02fdbH;4B$K71Z z1y5S?M>c#|a!0b{SNgQ%y5-D$A35hgWt|YWSW0-Fq~Dy5n=OkSOP&=e$%<~zs1e9L z!1g_ob<e4i*OIO56AZ7cpD*{!@R0WWIqOd72H%<y!{X`Dl^`^8k--B4WvQc&%q<sl zMF@*M{nF4Zo|l&u*`50$ThQs({4bSHqYfw~b>7=t<d`>8?Z0Gq_v~lunrCWlVwrT1 z*J_LC+>O7u53pLY9I0Y_^iSTx_<Kp`FTq;I>((b_12kuEE0OhxcvNumSmeuSHI1up z|GaN<+GzafnNr=7=<*#(PV*+-_mLAW7G4&bH(UJ17SlC`tCL!b15Z@U-+5}2*pVe% zi!$sTkKHPGvti*|;kODMD%)@0&u`=P>`*Fy{n-1^miylK@3C*Rn)GMWUgb}}tWNx2 z(wKa3R`Xk9UJgaBpGryk(QguG?@cuNwt1?CbLWW{6(2884PNtF@PqTRb<gkk|M-}h zHUGeeJ;kEYUygiQ{pv}vV>MIWwrA#*tF7%GtFdm;iaejGw)U}?w1m#~BQ`DjPW|zG zoP42YeVMCRcc$|@^*u{Uwi@1zli%EY>2FA_;jbAh)#AE}Ef2=$oDsU}+?*k9@3#4B zsg3Zqnx}<(8P*H*3Ql!jC3kD@YmM?5X+rycFJP+({G}zP^7rH7E$UluaKybhA<81R z>fmgS%?fL{y<dH**IvcUxA8f1q=9Y!R@s}+w%h;zw*23>@BdW7y($`4C0#k<dG5@C zP`xABS*N-_{X2NZ`PWi0rt+YDi%fPe3S-^!B&4-{&4Qk;j(XkcoD5uf3-)G~v;E&F z98opJob|4voX(}SDLGG*4{dspTXN{#*$f%?XVzhC<vKpsKDZX`_gSfVZ+3l3%pa{= z6{#1c75$|HP-Z~Z$VnM1@-i@hFehvVq#`LL8Fd2WV9MSMhFud~6v7q+gxaq9Zy;i) z&t#|XJ5QuyZR_=ePb&Ua)CM{HZ4cY`n{U$A@7Dd&_NK0H1^BP7<Xq+CDe3C5=Y<}p zfXAaFX(~cRHvBBEiCj(Ex3~9Kb=hjZ`fWb(E#n8qXN+cZwEQmRr+01tweO%y;iPl3 z)LFgGdsaoa>3r~=vt;*v73KCLj+Fv_m+s35J!kEE&U|O-!MMAJgP9Y*oc<)g=c=o; z%)RE{b(dGamgoQ5Rw4BL!ch*FeZ|4<A203t<-T9_{z2DY^BkX8ntzQF_^~)w_54BC zsvEZ!A3xP!u_|9Os``o2yjLbu_zT1C8x~Xue6Nk2`1xZ=Ms$bx&h~wY8E+@9-*NxX zm8;j}oL4Js*uFS?*38~_KbsuIthSu}J)!=EviuvqLyS%$igQj~u~>Ha>i%7<d=}?S zHe`3R*4xdWU-d_8<F4(GdkqtM%q+rw@8LKkQER<dcJ6P+V)bo2kK?{hc(T3p{7=no zCpUa`H8{xXCDEqnWqF82TzTR9w>2+B_sH<pFsgjzbrMe$cx7ojPj<t#iwEQW{@!@v zVUJ-_wAA4bo@?G6{90#czyIU$66<MGr{14&`R|%PuM`BXUsC^Y)c@_KCv^^DqLyNI zvYX$mWU1aUx6gG$*hkh{8`1d+-M<&DytQe=fsa{pBOgUHl=l0xdarr*wyenDSb1sg zmQ%OFq<Wuzbo~(i`$wH!$p*G}BERAi*Kf;Qe8AEzM#Qrs(d~4+rS~S8&JQmObjwT^ zPx{oafBnb*h<_VZ+`~PmE$2&=C|YvJK&E!ii#1}-4>xHr$sPIaVUxJ=`^49`Pb`l- zXHqk7*^2JP0iHXIe1GO%l$!SYtgqUOub~s}&ruO-OWwidyMBF>m8YsuVEMe2Cr-+O zfJ)4Kw~G<W{`>6yzxhkHbn>xX?AN8Mtt(U>{z^WxV^RC;nKNd**d!?KY?RZwc*m_} zXKlA}$gn(WSiNfpWBAv-rVm!}>{vN{#>cil#~NnqZCJoG@0Gquo=(&y*}C8Z!NMK| z&9ke{w3%#e{j=?$uVBH%dykI!@-B;&mR-GR4O{(>*yiq%@I9B#IMq2H@aDI;z|bqn zb0Q)^`#>P4g1}=23AQ~y3NJRf3jEMMaQyn~hierY=KosJJbh>I!Og+1i>!7qW-t~p z9q=q{KD4YYZQ5hWAEnXL=I_d2+4^+<>fERQj`_<TV>Nr?{~<^`mtlGKiQ5$`4{tfp zR?|CuR|k`*@AV1GKVDk3?1hh7@*)?WGu~&GvVJR?oA&eP%Gn7IFRQM-6)>ZE()?ei zdClfsl$Kn*Y}>oYu#k#X$8Tg@-1c@Ji+`!O)|PJ^n^t+ImaN>QbLXLZL5R3yL+67v ze1{oke9S5gn_s!~LP6_;HFCQHq%8a`R-azp$(+WS{`DryM+eKIu;rSb3O{tty)vzD zSzfa7*va|_Yx0E8T-_5T_ff9G>%PeztAa^+)ty%!L={e|J9JdhplI3M`0R^z+P|*N z`gHT_*Q)|AUv}BCbQSGdak}=bU&>PLU&4};gPxV7Zpd7;q~)||ttSu1bm`<>n>NqM z6kayHK{EbK*q7v<BRR=SwXLJ8&a_^=)HRP)Ix|teuC`!r%*A>O+dY1lrcO0}yRByW zzocoh|GGtM*@K=Oon-Rk=%y&m=!@$^ET*hI^=h6<i1L})FG7`qp82+LO=nmTrLJ8S za_XgzXRM-4SGVY1d(Og*>MvFuGhIJjE;&i-=eifZ3SReF4V|CPywX!T<06OCp)R?W zuLryKu6EIpd^K&uJ0mXllH?8H-`~7>@S?nB=7L?Xn%VpL*3Wuj>*BF~7DsQ}+^1d6 z;XCsdy<f?9U03w-`uVGLEH7_3-Sbi{K>fV)iFoEub0+)9JXz0JI!Uwi`OW1|XHGFo zm-_cq|6;0_!kuIjrtl{<d+uaS-n}((heXxH>l<&GZ`j5mqw*+;|Lq(>i9bJUUL>4t zUVO{+kgl>x!tWNXLs1&S+gdhCS7g2yDC_QFPkh03*r4QX82e3$Hu;nn)ph&k?qWU1 z`rhjPyYSyVRt7Uxb6)sZ_+=OSb?(x+eC)4uXY)^NU)dGs!ZmZ%jon@@UV+)3pYBIo z$$5Cm=eN}>o0$G|cA4-eH8v$ChMHd|@bNuToBX)t=<H_RLwlnQ)kM86G&JwZU}a8j z;#6PoCRFQ7k}un*cQVc8<#mlYj;v}XTs|4~O%Y8+ZeKq2R($7^&df?a`>*H4)4SG6 z9y>3_MDE#Vd{+DX68Tk9n&v`WO%+RL?&Y5lz&A%qpz*?k1$w_`T=eJpGMT5Sala^t zs}jPb%9PggnL)f~I^za$U3)z}ho}iUzcf9V{=c6bz&gcl+50y;tKw{Kbcr#=l<5n* zuyP(XKAnI1R9Rlyj?nCBOCz1-cg^9;V~A>)!%z@(XNh5#iCE)}2?3__TNV}0j82L8 zGpq36^Xy%zzi-}LT6yutviW(c*)pp)_HsO7$!@+J+WSz-JNRNr>e;ky{gEP9euML7 z@#LFdrgq-my>7kq<uj`HB6A;J34MCW<Ba*ngp^6SiIHZTH$`!r?0&t<@KV2?mel^$ z^3hR0+V<&G73b>Yx>_zc;HMC09`fzns#iyrZY$tkp4IE?dUb}{_AR2ejTZJcGmOsa z%ue0PtEhQ${^!Cgk!GhiW_>=iv1gk>u4}Mb%E?!&HfIM%&!~NTv-aZ8+T>eZt7<Bf zFGftwtguhq>6tv?cvp6?<;sH}tWR(LdTf!LrjYtpL7ffrV?VSnZnjsRaC~*~mUDgS zPSHzW@z&m)IRB}{74L=O!FOEd{8;<K^#;R_wYtqwD~wi7a}wz7>F^NnoTMVl#K&QH zLd7VHPpDx+01sQCgo~og5d)qH0!ciIEdov*2Lu>ZT$I)_FDSFwv+9Jd){;4M3|QWD zFkS!r?d=RP{=n8%{Epf`H*!nu>OIfGe>712%9b|MKVBz~y_&qn;KYrvV^{dwdiBoU z+<oZ$Io2HlVcMBl{PE^5d1RN&l<S=EHGuEx`PCB&zCZPu)8YQU`kI=nn^EnO>0z=> zeC9_oWiAx*{$uiwpOHRo!#zpS8I1<k7Za8`@8A5ANAUDb%N(8kVcVV^+mgs`b}!Jb z``i=13?KgnA;Whi3-?U+j#Anx@w1_1=O?a*?@ky$I@%?^WV&|0$9A`SN+Pdcey^SN zsjt;iShAPz;3VPY{mX5)8nDUNM&>4J7+<c7nQd^ZQ}eg$ah9jms)hdrW0uM^BwxSD zu<69<g045wPtMJH*mcLRTJF>td*wY<@6)cG7g)D%yXNckmhks?&-^kzboHBA>R+CR zS$ALOboCbQdb;z=dC^sK6~v7$nKaGYt@V7ZOzxv8{Ndtm)`oA}wYlAA@650=zf{0> zcFQ@I9@gVCa+a}JRdiMg$<Doh@S>2>W|98}^O~GL?2&3YDL4Py3FgZIxd9$ITO6Yn z+)~U944qru>eCRt^wIy6LdA;i8mW0%yo+TH`j<QpIhpomt+Ug=u2)Z6Os5-)RP{R9 zDJ}|Cby}k_&*Wy{SM7tPq6@S)_Qh7lg#7J15SDw+xA5?sRCi~Mo7X-mcAlQ?zLh)P zwD(}flG`$~&hu>N<(O((nReBw+GaPKjKr%)YIYrBM~Z#B)l^s|EZ!)!P5q^1+WDK; z`suw7Uvl`}nEHSED6$>(O+OrJ7#{MlI4<spXjaFnBZl{Nj&VIaGFPnPRPSGtXAcV_ z4tDWwXO>#FI5g?=p0Em$onBgN7JugqaJSsB-ssNCR<j3&a&fs+3uY+1%Z>e*n<?Zu z@3D@~bGHRAKUJ=gQx~am;Vn5`-ZB5djPoLkisU)6ItycTk8%Cv2y1y>m?s$SVdGZ3 zN~z?`_7_V946QAea?QT{RA~(t({;P5x2rx_>T3(_n|<kE)Sds1PakKN#>|Vk!Kgev zfh}VZqo~-D7}sCBn!oL|wN2VkcV@|IQ@cQKS@kOMV><<6UUXa9&pMr)p138z_C(g~ ztL_iyE#Npd{i)s4j4gTBos||Gt2aG!`J95&e(&B{-254?ceUF@AACI0z<R4)@AKQn z54;7k*G>s)?btnI%bU5647{xG9y+k9B9Q%>tMZ{9{vU2%=Sn|rma?B^H|^d*#T(1k z9o@9x<3g>&tPOLta&{Jf{=s5ec6Whuh>CoO?N6&$ffc7tZ0?tG`>|p2Q%h~<{WGHG zm%ZCF^$>4n@Z{4g|9b9NZJc9&{=sZcZ^?(6OE3M->9(z3x`O}gxpQ;pT3a>k+w-sR zPuQ(B66gDpPD_~`+G%Y+yPxye=ItMY8ZGOrd=HsiYzTLKv~JbRi9F#)Q#}?xJ@oOd zNZcBQbr(NhT)}F!&;BqYo8A(Ym|OO;R+l_3E$r@1k=k{^V~L}9Z;Dje#R*Fi#m{Oq z`gmFk1n4ZEew6*n?wxv^^$HAY)(6Vi&saX`>GqTI|7#T3Zro44H_`5Dor{{a|Ia;( z<Nq<7<}~{G;K67018*uk?00-@@!9x(wo;$nx|;e~TdrFEJ<1#57f>7~aL@N<;Kiz? zGp-%~z~^?fMbNCbWwK=M&q~)E1~ai8j<1WfVI3+C2DW&gsO)cje|LH_GQ6!|V}LIy zOGzz5T2j`tmvx4UXVtQm&)((CSifrZ&gy0EXQbKQ2`WZtu3Qy7cWUI+siv{TyUQzg z-`aQe&e^QeNq*a{bkg8po#|(X&p*!E%wN7+=}oo0`Tw(b&i*m)_vqimFKsfTa8u%< ztLy9juHOGY|NgYUKlJzix-Gw7_b-3_?{fQpAGa_4|5g6qSNZy{^Z&n_zyGPB+J4=C zU)S&F?fU=at^L0r{`UVK@Bi~-{=YA?uh%~|uY3G=QTeI*zmMyGetiF5GW5OJ-pDtm zc|GM*SKK$ry~(xzf853Bqj9OHqa5~s{<?d9M)FJj<b+!hVF$N-Tz^lqYH>vFpUS|C zw~wyOoh%i;ea+SP!d0)Q>7F|M!8+@;(B9eQBG>Xw{@J#AH{GpSa-Vhg&j9JKc}cfs z+&jBJbhl=pbp5ij;7Pa4))iKn@4L4w^|9KI>{#Co`|pPZt-N>t_NnFfGw#c4#Ynw* z&-_>K#{J)`PF;F5YgW9M$q&zqzgKQAJ$mb(Z`{t%Qr>+hrh1$F&y0)wtY7>z=xqJ8 z@N0io$L#d^od5aMtopUd*L?q*TRkhe{QuM8Mc=(nm(DJE*}rLN{FG^rc(3X%UDZ4P zmD!S6FJ5k+dOtkmFH`-ZY|rl#{w#PA``7NpZ2N1y{P+G&Tla3dXsGB`-&LnRL~UE5 zT_5ZkysY|zZfJkq;@3Z!w`N9OXm<S-d!hM#=3>6z{-GABS<U_-JgJ)d9WJt`iuOu} zUkMFitzL6s>eA*%ySg0y`fhc7Tyf!LZ_{?i|8;9B9=Ft8|L}Ox{E!vOF<}=M?O3vD zkDvQ(izQn%*1IgtN^_km^!n85fIpsr&0VW*eVVoG=WJ8WfUj-7SJ!DZ1?&rczpA<X z{%VhqC9BM)te9r1t>=8x-PQG#>E(%`s}9e)I%WAU9{cdW7uKYvs-Bv9XOWxr7ryxO z(@MPSwmV*IuX<j3b-%{?l`A#0-B;d9T(xU|VC`q;LYs>J&kz1~`S9=jl*jEwd%wo7 z_`94vZ*%-#+gbZVzeMrKudJ7p+7tVw%J6XZuhz$<f0aJ8)lIAj-d|DS%^$Yjvd&$+ zcF)>)ErIL$tGd4m1b^86B_im#oRF9P%7*3bA(w*=PPpQvU9;5RD)rA!yQM+t`8@Mi z9k|q*@Z?F?uOt6f-rBT9=KZVbTm`X?uA&RN??1MUUGqn7{>#mqKK<S$RI~0r>+Ub6 ztNRvORHPm()L8pWgEx-#REg-?4@>KQs_wh?yzW)RpP8>F8;QIW4r={neDt)ILdiy@ zHhca!$vX3jr$6>S2z{U*(ru)!z3R(JNfyPg*VcY$t;&=+p8sk(OI>vC;{Lp)w*pyb zX$6MHEMzOWr`egM8~!l4^h|Fs-`NoL@Q|ybivwTza@qyvH|;eHO*H-Imb>y+?7Daf zsn_CtYQJ0`SpR+W(E0D9$FiaxTj$NY?>TqTuQ?fg{31DmhvQ#~x7DwXxA^C^pX1Ng zx|IiiXEooN#Z|LDu0A0B+<W%*GW7y`xc(%5(E8|paCN&qUtH}U&ks`kZo93VKjw#& z+D}nl*7Yl*ezn!cqwD|uJbtVGZ@YZ6rOJ#M_b)tNy~~AT&q}_a?o(YsrZ3`7@5$}D z9{8m$ZS^Yo|B`RxgU@QT{VyvB+P^fu;#G@ih5P;!4|0MM_b&fsQ+spO<-ZqP;yXij zuCtuAGN5U7#N1cw?5~x{yS_TZvo5{VG5`PEZ7i-=r^bk1aS^++xIgummiUza;d>MF z%zvF&aqzSJ7uUe~9}j9|^*#HwRP<i>#pz4j1i1TLIr;m-I}f=3a($%!>nQV;xJ%)O z7u<UPg;h_qwzo@dhsCQG|3hxqnglqqOg|a&#Xieh?bi&wlturgWAo#_?7t-atZeb| zUa8yv1LfspYYn!{Uffz27~I&kPo#bs*HRw&*W!I{zg!;(|5f_9vhL%Dnfn@^y8gdj z#jtGA`m39r)qdGuER&!AI#N;m@Bc-*GhejMI{SIuUdPh^alNzmyv~m`{dath_i@=B z|6<QAU4Q7*SNo?izpP|-{A<6{vqXK$`p?}u_4_VeKJEXxo5}w4TgUk0SLI$6RbSX# zwV^%!S<J7jbus@p@0+(wWb5hs=d}(0IL388=Kt))RKLVptypFM_1Zb*D*w&zPJjBt z>Amh`F_s7COMPZuS@3T6qgh66=B3U>$CroXM>p=TRX1g<Um1V(%<rjXtd`CXesRxj zd$IYE{#WU>FMclGVj1;HeCoCrvZ<5W65I{<OuJfSU9UW&x%;Zyst?6~4>jN1?DJsz zm+m9^uf_TIFP=5S&!CAx>h&MRQmMaA{wHT%_Bd~nYF8KDDxD&?^70G&Z0~0#NxLSz z+~t*fCNz4H`T7I(r{+KXzcWzq)91+te$+2Ny6b_{+O)j6zJKJcPG8)+==rZr&we=W zUm+6qR(a}9mf2N~hShmqr7_JsY8s)e*Sr2dfBPWnBmcteqx)+Dzj$gK_5A+UzBcSj zp~gkdRln;)^QL;1F+GguUT6IOdARF*(IpNqv;GIZH<-i0`t{HMRrB7TK0SNt^%SZ9 z_nW#e{a+U)yu_{X_5WbswS1QrFZfZvH~veRmZ{V0>vlnvfstvCx2<3KYg1-W!awcY zjG2a8E_-(GQra4%9%z>D<^FTmEwkCSthZNnzslbFifQ30j!VlNaz4*`b*|){2*-ZD zQ;z>cru;vB`(Ws+{j1biR)#42+iMj1@0I<ue?MNwvrk{0@OGhocx88!(o$2sVC8pT zANU$iJ;susb*lMDxcrO+>r$po52}{mTj3r0s&`k!(t93zCRQ!pwBd@h{Q8yVSF1kr zUzvR?{_ijMKW>2+XWRdIC0~E!$+h*hVOKURS+*lHwlFfX_Z8>gAj!kJLFqd_-+JyU zFaFBVW+~%gW2u5W%Nh@GOL^>3X@0cB`xVo7kJv|cE2}FUUfIb_s*>sVux&iPWZn^B zuYAeF+Ck|V->ohR>V~G5FJN@8mMYk?tkEG_%419AT&}Lg>?^rT)Jr?_mb_c2z4G@9 zr&om~YF}BbCRPc_^6q`oF7<cOxi`<<($h=Y_8yCzel^*`i@7b?<bj1BbEB}y1Pf2L zqZ|9Luzs7o>xg8~_kxBiwK6JSE%+u@F|~Wzb}CO<ckWH_l5+*KUUAf>#V=$$94b{1 zv$*j<sg%bZh2}>$oL@1ed&WMJSy^r2|H@8pLY0iTr){J6l6gm-UcaKGcEP*urq7i^ zpI-|8AEp|-Z=Nq+E6cI;sBQ>bSWv9=m5H;9#M~_;+Uh^dcD=tt#!#knlfj$rO$9Pt zn>b{8H+jtI$`Ej0&wrP%ah@Pwr<@=k|6OGnJ2zJsspB^|+?gIL%Xs>1uqa9{&TVN< zoV@h*=VPyXG`J6+(cnJ5Mx*<{8;$NGcRJV#bNUN7&U6-d=yViR%#eBb!BF*(;PERQ z?o5}JWITQNEwfh7mQ?rT`K&C%qwI7y?m<Ul$&}VapDC@$F;iR<lBT#OmAf=sh`Cv? zh`Cy*OmnfY(djMtAtCgzBRQf)xpARjpNd<Q%+AOJ{}yLew`qCjXS1GjiIh0!7HMJT z63H^nJyIpi&7>o_zQy^0LyPmHgqFjHA9A$!#|rjY^toCHSqMJ#c=UzcUi+8P>wwjP zk3~8k-i+2e_u<Fd{O*05K1=M{|JKC7rttcKk5_U9D&CoS>?tsF(v7-TR#^J9yr?tI z@7==flYhT>{G{+p`6rfNmX$)ap7W%i>Yw~~viIY)Cx&OFAI9Ec+xn?Q+Tzv@UZ(XY zn&+5rx@{$W^5cn{9*t$^-l|$z>}>Dzd$)M<<kg~UCaYgKdvfxNUu9}%_1FHf3ll$l zF6W*+2amOnW5R4rkDRmOGgc*cYZ~O3u{QQDQ`q>Xnax#xNlVn$MPXCd@N4qCcIrAX zYl70oTLC2otDU$GcTG?#Fk0dvbDr(SjvZYqpPs+K|Cz;~GuHmkvKODH1YF!POZAhx zKhNa*n}gfh-~Ze4f?K*b@liG38H1CpmTtQ?91w6%c~w(?-H&yi)rup=>|a0Jaje}_ zaKYl1RZ<wYID2Tf*e2^N?Nj?#XMBp~agLplDADS@DcXM#UkcmmRIaP5zC7qo+}3P# zMsc-Y@KnX!28ScWpWS$Th^bn3V&R$6fX0V>T85_`ZFx!p<eOzo`VR8VI>5mms`++z zym)Qeg;UF}>SmpuZMOQa>aP92LoY6G-LUcBHka+?`*nV)%wPG^XKv-M=9SGXLDp9? zj_;m1+aNO}EO3qeZF`?rJjd^yy;ExRMZ#*YJ5$>^lLtFI!c_}8*@UKNK6uC_se9Zk zNc@J^tE{KaujIle?CPJsM9%&9cMaP{z9sWqjyvsjk#Aky`j!2@=FZp~f>Hg6Rf*}@ z2MoH|WHx6i7__qq{mp!^Q2QoVpLL2h%ezUtj@Sl$FPML&wnzE9^l_0O{{*d->V|Lk z>UT-atTHaGn055RZ6}Fe0!vhGEL-1d@J0JZeQ9Cj)?1Hcy~2N2sNZ?mD0f&%%0Ooc z<8dY_jx);}kJw06%<y(QeR1{`)~boST>OK+UueEk%cJ(yV%nrCCT|bh&ZDhWopxNs z9&;0G65TUw+r;xHH#}73wTQduu)uULe<%AFF*&P=7grg6H(SbmN3GO!>!e-n-%|eC z-I`b>(|@u`sKVowr%jc$jn}HL%kO9XDXD8$<B8=663V%J)k$L4-p`qV%{7yDEttpq zJ-c%1uC!_4iSK^1I>Z`^&iMaN-Sq_f!K2?}c1XTD6n%HoDzmoFVuuPIy}b}>>72CV zUmvSs47a&quf@8kZz=_6omAFEceG23J$gJ<waKC^%7ba|{iU8l=7-qbO6z@j*X<Mb zYR)<}d&)F%_Wz5b17D<YJrOF==UO@`iht7rh3hW^MXZ)-#x%`iy}fls=+Z}5xT{2S z#9yes@XZasr*dQ69?o9@x2KwGI^JJ&!Sai9<wmPY&Z!IS7Z&j-gfuojtoyle&y)5~ z5^NK#4krXXmvDN;<m?%{h<9amh0wkWth@S`FOfUG`Gack(-kiRMeH_TYIzt_u_DET z=X`F*!<kF%`ZkLx6&!O?@r&+g4;Fj$cuDJ#!i!Eq6`6{jdpP=)Ov6_Oe)%%@k8sG3 zgF(uBWv<>AH3*xcc;>^Sy=Qo(xsG4hc;cv}m8GS7y1Mv_M^A+Q&bf1#C%K}{;<$JC zYu31(8(gx(pUTPY-uCU{wXLNxZ(}SPzv<0!VQ0^iJnSPLrp@ZU{#EqS?zwXkJ0}<G zlomCn`sr=FBf2l@{EiJ#S9feU5+nZ2;CyTCnevAg%XSwqamQM8x{CPSHw#Fv+{10m zv%Jc*J9)-~Cp^M)=Oj;>%<WTF)T!zBE^*cBN*U#th=e8F-aSQ${EK669G4L9OJ2jR zZg8%3vc$6kSvvm3iEX#9@XR}ExTd_QZLZ(Bji*ntmRavSB9}GoOhF&7p3a7gt*T}_ zH?*JCdz0MFeSG89R^c1Z4teI(>)Y?XYVls}{=D)}`JYk~H=Mg6oAzt*lt-IBb*)Nz zQ+xiH*9QGPhfP-JMMn3;KGad<zh-!+=J2ju&axZZbpvnTt5TK;ezq({^_%#S*@?Ov zdlFO+%AWQ*vw73B8Tof+2d!DNWKmeUQ*DoRn$!#H{H%L10UKNyB*dgnX7C-^aLP#{ zESlrE6t`eRwxZB$og;_&tTOssO#~u*m^)QXK5Ur6X7}upLf=ypj|5iUNfDk*rt4#u zF&-A(<o_k6?7EZ0yGV}XwcLUg35r7ex<?KxTV-rDTHY8n<Fdor)0qpVJvI4IIGL^R z<&q=@}D_%ykM7>X|y(B*7x$hB{-%!zrx>bG8Ze8H*_uTys)67unJNx#WUOdxk>6 z3^pP6j0X=jcxz-9JIWl-oN$0sN@e4L9kVAeX03@)*dCDlROzOA)tZv(`D#4OIxWcy zS~++&33MJ5dz9EwSg-4CQFX~-fi<s6)rAAj`cgk$_%>Vox}eaw&%|TV{og;oOE3x4 z7%gx+9Uz?~k>>a+W7}rMwshCl6)IcTKQ~(v_EBufezRm}p7mVk%xbU4yj_`nu+@Zt zC$=ZL#!|pJn>(>0<<5l@f_=Wn-X50;jGW)<k+VU7|8?)}J&}hl&dlp|P?z#3S<=|f zXY%3VJhrT<{>?16E-7@HsRXze$=-A*mAO8tcG2?&Rk1@GZa9ThB`Pv;>$)U0wpPsW zXJ0!>Rf=QHvc@A?QWX)N%u|nEa7Z;Y@ko&5ofHwp;nlR!sGp5To9nj<gQ3g@fwsS5 zhc@1HV)+);5mg!4(SEn&g3R$ug@PV7q2!+a&6^xz^<V1N$Sib}Y0sQ+Kv7DiZ~{x# znm3CYqux%cU3C1E2X~@GmZFTaj!VLZRu7&H0{o9(EU+xQ;E-U=tFrClfx}@^E4CW& zKDy!F%yR6KLTBKy_BcikyQ{&AQ#bJ%`fOAvHCcX3;IIkP)Ft0c@3u*#MNPPMT}L`; z#^17xz^J&WTWfYKTl8(Z;hBv_ZJuGr3@@HyQHz*)q(b|%!HH8fJexOJwC$h!pRqaZ z;NC95hr(ume`cyiwFmDv$?P<1UE)%|C8~3q*d-zV-t)UfIN!DP)LEB&UbyI0{weQl z<LrOm-cR1)Dpk($UibIRw=)V>Z<>Ge9LIddo#%7frRSSHRh;_nroizF1#DCPT9j{V z<>=Wg(793UQR0H7o_220+d7k{w5k|fa+)NOSR)`RaQC|Ny_5gX&7M{)|2%Bb<KJbs zgytUFS^nzsJ+G2wj@~7e_9eG3{*c)r^mpza)i&`tk}LVUv<=TXMJ4_EJ=6Z~i3xws zPtd-~ohI_e?EWPoV{R?~+H*0TE5$AeZC+`$S>7=`sc-W3BQ~p^eNxMszgcIA>av#K zw#JKgwZHefwr#~#*SkMXKI|3IzS^8C#4DlJ#_Z87;TFN+9M1jFLM-mW5{~06RsWeD zXDS%>vB@2~bl|vz)Dgp3Y@9ud9EFq*zjkJo3Kuh9x#CdZ<K!PAt5$S9lv-&lqgdK^ zc!^#?#}!pRp{mly$1EI5DoedfPq4RsJ|vPL;lbR;Xd>{!pSiQ{n7Buf!`Yxv$CTgQ zT>g`1ZF(hU_-3;}+unyqi`tHCIN2s)c1z$m+v#-{-y%8=<Z(~Dk*X+H7S+)&DkgNi zjN3DzyH#c5&J`Pu*RSyW{-Y{svwT)b|N6}WTgy~`=_wfA*{0YQZFZ#a@+R%AkG2SG zeX>Ph>$5EaTVHGukhj)R>1!98bRd@7^O0ZRi=^Mql^ebC@&k+xmwJVrw(=6&;dMfb zb)VBop6Qx19}B5+$8h-b9Q<A%^5l8ufr36ZnZ<|7U4k4Qu<UI;;we>O;mRz(JX7Gf zq|k~uD{tnuZj%Q)+?gAHn@p&1VCL`7c<|Ve_fdtA-Qi95ch3^_`vRgQ&t(?h-#shA znI|`=<8hsZ^VvGp>^4=7#+PDBhG(5@e6|Y62kWTxofMmNK!w{gDak_EuU7T-z1uVQ zzh9McYtz(!uQyG<x&MckCeLg;6Vbk2Mb`vpkBJfg6Q3E)35vS5ZOzJ{ulMVkFG;?e zV0An;NPLI;E1~cAGa|kuhNbWF47ca-<auq!<0(^qkKZ%vN?WW@`R17cQKz=NJ}9k{ zP~pMc=WZfU;ltc1op$?+{Kr_c+spoUy`Lz#^`G?K>a^Qm;=kGQ><+m;GdKC>Hd|BX zQw(kCCJ!q7m>b1SCRAi@>s@^}&gk~Bt9<e^0*kk<{+;MKS31%2tbf|=ify^;&ome{ zEc`lK<w1rsbK^FX2^rs)3HAr<w$0z>yF&J!>EdhWXWdMfGhbL%r2b}7MaDMYl-t+t z@n|qhoSV^mj-ij)M8Lv>xzqVs;pP6`y?NVwSIOQpTRiR87xUX{SN-x@V}<(9ZabNC zn{PH_YD1L!g@(r(yexee9UkqtY3BcSTeIY%<@+ZJO|zIcBlq(azj<w{o#|<}_vGC6 zo57gMaM)9-V9uh(1DR4DZ_IB$dwuz6PRIKG*^*o9?p*BNpi-c`XQ9-&wA+7jZl9aQ znA+gAD&s)mJT|Su#f?W?H|G}C`pr^2c9m~^t7>0(+U-YK>fwj=I<Lt~{O(P^U3QX3 zgE_>>i@8tRBw+W_#v`Vib2+!(-j>$Ex%IGiqNi{4vlTuo=EiXCR=G7R*E{8Q*<FyQ z=Ur$>USjz>rQv{vlt+)*^OH+%<z^cnS#s;)(G5o~p38|2nlF<$-KBbS?#Z0n$H0Dw zkSgd|+<2fu%EPC^*xvcl^mpuT%a*RcBkRQFetd$`1<fTMbIgs_-U+RKG<(W9gU&0K zYLj;z;0T&NBTGhio$*@nV}%c_E?cF`u&*;-yE)PGfloxxS)(+8d8fB5lZ>6i%5yn$ zK~R|#$C)LKM|3vl{wlCFy}hjedZuw!?SVcCV~*?YZ$fUU7GBATemCQ+8S^RGEtVz^ zW_U9<R+>zhk-yD1J*xlgwwFGymq>0sx211p?&X=+-fi%XH95QOsnJ@yG>4r&tCe{z ztS&k%Fy>X+H3KB?ygAqE@~k|!wZ?0O({7h6D>c&boMUu*+UYI3W-&fBTAOF`;DsM^ zqnycv7nvXn{Lg?a*aEWPT#IUBW*YwspVu=Dtv|&qyLy^OV|wP-WsL6ZQXF5FHoDk@ z%(lt~neCZ&#p<$^cp0Y;$8MFlnYpJ^qyC<4D4KbVL8^dfN#g+pDGwgA+slmC9tJ6t zN(brTPMm(=#s=?2XWNg}70<jTV7wq^nduZZnZp?h26Nbig266X1agVk?7-JPuY+!= z8d$yw;#S|ByVGa&?1?2QjE7gh5ia9B@Np7bma7+Y=kxW_A7A*q-UQMUHZ$<GPqu4> zP=wet6{}ew7o9%?a#66<il=P6EM<FLnYOMm0=Y>W6fmZjd!o{#!Vl{;x+YFP!dkrN zsnJ^Zv?V)zUMuom`81D>N%l;z!t~728f8$z*xv1SZpr$*>-Wq>`(7)$uFZ1l+EpYq zGwj`|YbSSzPu;ztRIRj6Z;9T8xmQ$oNm=f{F!P%4V+)SCnP*M=nlH?}wswPeg5M3* z!lM>ZO4Bp9n(eaSmbi7<LG_V|K!!K7X)QQz6CSTpD_LfG8sxC+hx8g*6Q>_>N}kdg zvux{KP*kj4%6MD~+6LL2`zypI-EHmpqyG{+7sp2kMPzRCo!@7E=J7OZ`S4kcPyJ4a znmmZ`V>T_k=#bQR(`;^LsoCOdGp`95XU)z0%D0;(ttD1#qH%w;-sPwywkfB#h+S?- z7UX3SyX2762v%+mQXT?QUdp|jWm!wC&|YxD`w2>T*>b!g-~5>ymzqqtkq$~ov1eA4 zE;BvWV^y&x`o>bfKO4Lk_21kUvux{ikkj8TWIUcHwPLjtuS(f0P&!ornX3!7;?jmC z)BF=XlNNxL9|kF3yO{B~nG{FP(#9iVphWiyoK`oV1zBP6MkqqK%##P4sLf`B61A_% zgBu>qjl3okBEY$(7Oe0hC>(7M={0^#obL7HOr_EEOkXqRQ>jtPnFkD;*tDFNH6Ael znJ$$8N}d-%DYZ(Zj5CIB_m#{<x$QB_Os{|(!@H32c!Jc5sl2=@RT49&?PIxPVx(Kk z`a(3w;#Z4E<8!xVOPBv&>$Ys_?7!?1l0kW&-uu6L<>d7$OVR69)-R*JX;)@mGh2Ll z>A5XpQkhe)%)It!gUSXa9nU*P=Uir<-cltUJBM}E?~DT%C$ljzXDS%p&Y68~OP=)e z8CParV*~}$TYhh*>cf+c$bvFL_B6==z00#anfoM71ZMa#cV>c8bLn-Erf)Mqd7wNZ zX!`UGDuw5BqV+D%$^ymhvI`B#BD^eW7afuo-88d3^KN$T<;OWoCAZ3DL?51XVA=+i zizjC|rsS>hHDEr)yX~XN0||HL#*HQuB$7dK>wM<pGUK(ppa8lhSH`)9fA^Iq+1qrd zXWANsO^sY2)^@DRlG`HfvV-o53<bmQy2^5MGkZ-y$;S%h{<XZ`uexNXsknj5iO=9% zStC`Tv!L<RgiM8vCqPbbn+-}8T43dT-b~hqq`i6!K*g3AIEGbC0#?fMvZP&fNZJWX zoV;evS7u&2x8cY}Z5_@vyxv09XFz45C^%`V@>+ylbWnX}G9lt~*uSY^{M#9inU{ab zxSbKx`!(UVNDzxzyGZB1W1`mPm#*z|efesSdTHO+CF>@+2Ti|`vBiUbQ=MD)7m3?> zF00#b^i5FOV7$cRi)qvp>G;Pz9z}<<1y-)!({+WlZNjc20gGL$GTxd-Epfl*s2pXx zfv;7hF;~%b?cW};r*2mblqcO;Am#T;i(9R<apID7%d{4|Ryn*ik6Lp4a*%SA?Hs-q z8zy$=t|N+zU3YFYxz(X!KIPa1tK$(t;U`5_diR(|EfLqb+jHYfRqD)(N_%4>g@Rc4 z+P4L5KL7h?^_jJyY7!HlOw$tfoSQ7PlG{hEv{Tcq`-{=i&4RPzmdfyJ%5?XLy)-@b zY=V1I<HbdHxKdXMdge76^<J@DHhI?po}lS7euh1heh|e!uX1u-U1%!L={FM|eu<vB zpFyj|Fs3k|Z8hJi!c&f{>#SEC;bs3?aQU7>pK+N+!8JbBb2k^Ze=d9RxJmX{;YB{- z9VzXeG20GJGrY6Ox$X9~f0ye+b{}<=+-9Y5+>BlH#=8YV)^8Mx?`(8#++DUJOsDL{ z!+o;9DiYcYZMGa{`F1l=Xf}7^FV2uGlXqL3+b-sde2Eu3R<L73`Q|APJDQ7RN&^~` z`Lqh}INHwHVD7Qj@@+suzpU4rt<L<j-&{CeXWjF-K~}Bc0^ekdl-4Yhd0U*@)?NE| zdGW^!v&&8u+;Ft)vsiKJvrNdN8?&!X2(8O+XWIQX;OU>T7m4d-f89uLFMPB8Fbm&} zL?QP3XZ|RrF=R8%nVE9+r}Z1<rgXmDbrHwhXWE;rSd$kNxT?N<r)zBIzSy#FrLzp? zY!v9bET&X&%1Om<-@Ko<duxPh?*DJ$UCDE-&7yCArel`chFsOcDQ>@>-QwKkR6F_Y z65f@YuZJys#dAAMXnE$6_swq?XkYn$nD3^*aYtz(i{#tWk1$qg*PhPW&ue&Qn_}PQ zFZ;f%T~oVl?G+PG<-K?ACOh-&&h_Z6kq-LY$-DASr(0ET)#Wycyqgoe*mFD{%;WXE zvvguy#Jz2bZPI2(3j6Fds?Jv4-8S`zsnpA>4??Arb|g8=OwaXrFp1YQX3503Lvxqk zUV6PqJg4Jv(^{v-t=rbBoVy(Tvh;eQbkdAGXBqC7wJ%j~hTIMldVS(&==nHrotDIn ztp#Vc3N+3XQ!?!4(feWckwZFZMzV93r&pQF2i?b&+t#W)yX^7(2$OVDM252#kF-#P zkNb-1WX|3%Hv6Wm3poGybWTU|PTrNJn|VFgESWg(c=%0$!&%agB9fi6Cft~C<dXTy z&2Ht#sy@|Rs@-_d$-*qE<A56X#2Y?Bclo1rT-J)}x~vt}by+K@>ypIk`DN*v>8%Dl z+Xea(#gq)LIH|NvaEU+47R_;3f%~CEh9VQQj>{r@&o8WN=C>Mr*(%UyC#H1awv$TL zB$xQzZc!YEHMk#2<SH^H>$oK8cz#LEp3`d3vt6K%Q%uR=qLYf+M3?xDYSA2rMYtbE zxb@}M?wpl&)9ul-Y{^S&Ug|epf3>MA{zYKDPPM$n{1spR96mF7)}~#zzE9*o{B?`Q zdL8|>sS)#fPpQag>$oH=ZS`1lYMtrT|G#$4-+rp=p~JW9lH8hy7ro&Z6f$Xhc+<T~ zc*er%+{Ir?5*y|EPJ}F*`1j}mC#?+!9IvKcbF@8E93U?&YtqNbH|sziyKfT9P1&3G zH@$gxi07`xoZqTvHtL-*XnXbgi<foVMZQ&O5}RI`gkIjvZCp~A*k^o&bH+iY*DK|G zll#K?v@RUGsMUFm@72S`#cA@lWlj1X^UZRoXZKC2`8M6a*yO$9PutD=TQUzk*jQk@ z$-aDM+D-P;$(D^?P3gxUyL`LOdRe1Fri|yg$J~cC-%cvGty?$2BtgQ7xo@F~fP@=! z=RT7U27NtYYiD}I>dJe@`u<t+E-~QS^4XtC<tKaA%LIGaHm+PU@5mPLD7EvFa~sxe zP~IYUSW0TeoSAGgt1}kpnVU?oaAoFKKbc`UZPKm-MnThWIK7fHo4l*vcZu9_w;=xn z=9TIj&3+$wwr1Wx)iX!M4sGaGP(5g7t?S}@l=b)Z{wuu?3%^}w{ao5M`{wdm$ts@c z%mW)**<@yCDr}g@CM2ElAW>3M_qcPA_zwS9Lf<{^9*zzAUf6!+@ebctoH7buRkn$~ zQvIc|M!B?YYpd+SuM&M18XhX}T5P-QkYLZN^6lb*!*NDYeOH(0ZRomk)oacb+cgTM z@~=H(V}E(rHYP8bcjV%m$t|0zeoZ&17VVjTMn7@h89QT5cdw@0a}ga<&NtO}F7tfV zRHgm<$i1F9|5VT9-dygM>gf5wdq(u;^C`Z~4<1#U?|J+tI8;B9<M7cp!J*D7ZOhG$ zd^i#`f7gx3jsuz8fyFypD|RF)+F7;mM}E7wGFMln@%gk{lPbjQ3|6`?FFRv*!a(`? zU!i9gKd{WIV$ter3HE%<x!givU%^uO<St3p+gnfkS3UER^)_o2CtI6w^kc)t5)=M* zaxqU&cviizSmTH48OfGY1+VWqO?}L^aMfd(chesPrW?*tEp5wQa&F`NE3Drp?mEH{ z^!<X~95dEk{l}Ns_o+WroL{SSd*O$fQ)`uOKYX+Ks*_6FX|p2*CxhgV7jX+lBr6Kd z);V%mm;2+#15P3O5gtt9Ix3yoH;=DN?UZv>-?TqCbn@>Kv%NBFdlC=2^PMrU{QXd; z6?y!Zr@W|BbKM6^w;dY}c!*DvczQ_AZTE(LLp_<}5#oLcbGTQlE@FP2et5URVH5ZD zuhzTploqvp^E-F(;7L|1tDP?D;Xh<9$3`TyaeJRBN#x%gbK`i9cwcfKx4Oag*2y#6 zAAZSYG!3)fZQv}vDtxm=o1Nde3)fFt{<7TZP_G_7og@5*Ol)k#g4x{OCFP0ywlO!{ z{l)th&*fIXaJqH!i?;`|*6@`iwmJ62T}}Rav|aDqhf60d<1BYNWIz9>dTYm_=MrxY zF*(OXELzK5UGZlj^Y4mBhYD><AF|BbSs--Y{>+_2-nE<&r)U1OY`c2%_Q%#KiDzfe zT*bNS+84bu8_u>~J$0#-wQR?RBZ1=IKAgI!*T>4Iwc(tj>NV>X?Yw-ik{350+j!DZ z_{N(Bo;e$XO-rKF_eVr{NZ#6$`qs;~ApTZ$RR8U~b!#nm?cU(PFFx(XOO82<*EVx) zDGuoT#`kJrbMvnkFBTM*6kTAkvd$2S<(M^dLGp{I3wZW+t~+x{Vx2&{VYlSET?WTZ z#l?2KJ0xTtbK|h@qbFw*`t16`xWAMJbk^~`TDZUYm&Ahwg?CDi%sHUS?)zxd+*kT< ztThhjR)@bj`0Gfk<h)%5$Bo6sD&8Fu(wAv0zEc>`*v+R^c;3<WPI<uAiqZ=fa#k5k z{W2z<$Mfr=zl3_8{eJ(d>B`*D@X*-c-?6@xk1w5ipIkcis{NGf2~XX_oKL5noszil z?14+BYc@#-nd<z~_%TH{*Pwj=l$4ckrwQt8zWh|hJ9qmbjuoz@rwe9_-{xH@6?U6v z=3V`b(m|$ceroJkxP(VEbZcqo)HO@?c=EK{bG=(IBP*6WRNYKi+CWCpEMX<@HUa4Z z8CA0fD|xrglD@LU=~jN;grH#QH%ev+7LGX+_%hWuG9E8XYA*4(-O0<+Cn()<h3$3^ zZ&b*pPpdXbm`O=5xq5}Iy@OZ6Oh9_c?Sct68j@u<I_~0S@8D&*CM><=_J;F!7O06{ zQY&trGV4|X|3=2+Q6ST*J9$Gkd4VkW?*3kMZ{(-bX@+Z7c<xP}F{kdhq55CHgveiW zk4*Yv&98m=ea#xL{oTpFXWL!huPQG+I(4g|&zf^nCEA`%wP<@fm8I?ZR28=;Q*C<A zX#bR$8s3#$V%l}@`qD|SriCtjYPcpVjf3a3Z)0+&CWntXYiH@Q2Z`;PKW-#&6|Tv@ zw&I1l<-&Dd_0=B&J~IU-)GvII9K2BCc-OP{;(OP+PL0vcnpSz<K=Jpy<d|=J5Bzvj z-Pw8byPR6;{oga@)XE>9l2#w{rFv=a>?>2Jg<qX^eMRl{YcG>rOJfT&Gb_8rO7~}6 zTC!zVrm^YChv(e)2Y<;uac7gO@3F|RnCLZnANpTCOsa_C7e79^Y6pwlmBXrqe;41^ z`!)0S(L(E6Dh1lNR0@r6J$ab7<>c|b8No8&7yEMF=`d6M7;s<ng!xtt-E~Q&OOKun zX$$PT%zI_)c7f?vr_}YY<K3_KYt4kKQ?_fYcirBWve#5Mbfv5AF7puK5XHQ}xK6o} zt-AYGXT)^R`%<=5YfhY4NLb@NvyfhCu`63xf0=~b3{%Xy>GL(l@>dY=lbe07FHLx1 zG4Iume<9qJyB@P$_?l*wXCSK2Z}l#cdDE?s<6D<+zbt$GU{lEP(rpW~zWkcuw(7A} zpzLvD+3ORt7Mv)Hv0nAqW!2+bL9*(XWUsfaGrwH8cIC2;1&`$dKqB8aG47oEZil$o z603JRc|(&Wt`;i2*s+|qqfdBdU-hMij0I=P?j&ceI2Cf-c2ShZW!di&Kt`-zvFyZx z$8EvKotIhXNnCws^kT<Cu_ab{9lW8*23HG>UhHtZBB^dHYi>~DwY1u2|D$=mp>_Sw zdFwa7m|b(t;(t)&D&5;s|MD)Le>?4%?-w2E!`FV@kO(vVvv<+`o-Ic=?25B%d;IlN zq0GwZ{t5YeYlPZgKmA;=BF;{p{q@uC#}0dIINz+FuHUKq)iOtB_UmBT{=}-iH9VJJ zJ$*bQN`L-=DOEpJ)UKaie(7}8&zhbU)Ajo}zkX6R*cZ1?<=EBd8#r@T`IjoSZT$Ml zP-pG=JXN!6$DbuS*XGz=yMA2k_^w|!ge2}QklUtm&BVmm`*K)dXkc_uqw2+FStb8& zq-I6kSrm5vaORI_SNpBASDn71Rgku%Juz`fdvfFD%WG02GdZ7G+nT1@Uzw5+cX+;d zZSL0>rz4m5*YAI^eNEq<)*E-)=8Ku0Fn<;8Z<v$sazZYy*5`y>RPZ~CTLDE(xtf-p zWxaJ_UsC7AghdH15h$H{b?N=-(-+ojoIO$WxBA>=d%lCK9_>oIYP$8{(y~xxZk^RD z4!v@FcGZ@>^t;Z+i?cpGGFp?FqGldB%PZ)bnGt_#<>P6(r<y~9KKSkJOmtn+o>-~; z*+$LA(5J_2<<`0_oyj3vJQGT`cqXN6IeGX-#^nCOi_0vIxy%-tA(rcvRP`kAVT4%Z z#9dnFJIoBv^q3W%=`!Oy(`V*+rqir)hIH;HL;YJK$NyvmGjT8S_0;LH(PHve)(#TC z>v~bG?dZhn$q|w-KN{FwdYqiKM7>XQ;ye~L_o_)VuAGReFj=RW7Zn#C7ZnvA6&w{8 z930y`lQ&1~YyBys#f_DXnp?K4SdkSJ+4piGYr?r3J0i~3UyhlP7{~m1QNkmMYu1*B zt<;}Kyne!zJtrn<>*VT)r%&v{tSV)+=h!rE^qY4iA^dw$PI>OSW$PXN&KX=dX~|}> z)4^VS`is|3<bLg#rM}^8tLnC08`^dC-Xw44KECl}tMH8%hdg!i6VfUy?>w3wsw%qG zu%xTN;7eCQ!Iz!_jxQYr9wqq+ewJ;E*DTw$^3?p@u2mAd%nY8V91=eKBSZM`jtt@B z6HZL9*yU2iV&z_?QsrJ{<J0-&#|)X5oykE<)Ehe|&Qnn{F}S|8bzZzkc-WN0p07a* zYwSa=PdRE}b?T6T)~Q2=MyHevlujub+Mjxqm@(z(@eOS8BBrM{hfEPO?`HMxm0Wnc zBlFTCC*3!8|Mt9i%oHN%%r0fH<^POZz5j|Ysq<zY`f$U^LN2o7fHU{RiUdWuchMdF z;$lL_6}+p3Br+5|c@|i=FP7cgxhv!Ac7e7!u|pTHJF)zV=#aW5_Gsba)*ml26$`&? zn830t+C%8>i*te*-ua7y?ynO&bfI;f&mvx4n=Q*4`@?xX1&(`5seI^R^R!WQEamy` z$kb-NAZo>bg)cgmIbY*j=4}?}`z*GoNc@w{^?H%2gPVHWy~Q3q-qd=e@Til}jx0sb zIhhV+e8-)46<=Ag(=U9P+gV=jhdVMAnYQb=JlfV;VUeO}R~G3Zvs+iC(N=8Ik+@yO zEOHm-E_OR>x68;hi|@izPteqBw#f&>Zo8|GXZSX=JiDOKId>udydZ_r&jo6R%o`p& zGVR_`vGK~$Y%{|vGxt@TUC4MirpWx)Bhw>J5^Yf&#|yXxEu49reO25dwtY0X<W$qM zX@Z4Yv<FkNj!I`?$@79Ui_HTM7wl;@@Yx{H*D0oCaMDRdExO~Bi|&!*A>5shjcNt1 z80<+=^o&vQi(952clpKL6^Rv%>wI_*e=bQC;(p=$AW@OGMrV<uOn2sl142?NhSOMH zJu2;*!8~JM#atCNDc+<T`HDQ&x-F0QwQ}6qEYP`I?9s!%)*m}E6bp5f`e*E`c)M|e zO4;P&L9u)MF6<6moF*PSQ_9p@rzLrJE619x0-eHQj~*^*{b7-&SSYh~0?W5Z4<X|h z=L9YM%KM~DlV6;=vO>}~T&=Lj&fZpLX=A^#NylRYUZER~%_@9t`?4o7t?a)3vfzks z^sD)T-#2L4Trv3|V&TOY->59op<Q5M^FL$4ir~jD8(KNuEZ4fsf7aTCnV)^-u1Qt; z)jBQ-=Akp|4DQ)URow8ovb0`jMsj;AM~=D{cb$5LIIqo{C9jgI^rLlL67)l7*0H=Y zld8Dkb@k}ixOGt-2SisH{Y^Ok+9cqmWa#t@)jJaunU1eG^<{gp{$+<pLcBIHOUjhK zzVnanIH0-8=&#TDVv`9L-pu^zE9O>Ieb?4?Nnj0~S(o#iQ>wzkubJgsu=U5U@1{q0 z90*!v^!LoUUXu?SI@vgN7MBTK-yNT<$h3OpsV~gd*DoJ9Y$c_#VcM<ES#c6ori(b; z^SJ|G2Xjw+al3=V!9PrT#fdK|S3B=1H8NiDn`HImc}Q~8&$lyMIc&BHbgGA>R6Tp` z@475~pPh-v1J;ege^<}r;n#6d4H8=<q^Y#w!E!tK%LfiCOQ{qz-{QR7cz2V)R;KL& zjqO+RzWk}0&Zf10u_M#=m2(SZBg`Lt$Ub!OsuRmD0d}<?6VJ{w`Ea3`jk9EVnNmrD ztZvKV=2ng^%gYw4zdmR3VZ(ej&OLvA++MW)lf%E}oaXr}BG{HUCfc3hk2}QH`Bbn^ zW!(#Vz0KAZVmlTKM7%Kb&?%C((J7Oz(J7RE6!FUF#e1!{h0+q|c2sFeBurP55eiq9 z@r)_>x~)#>PiFa?S61)LJm!>0+srAIu9;IT{mA0I(F^tU`K8hl+jdrI%`oM2v9REC zvtZ$KwNT-6x43di;n2h*uGbB(o}Y66-8<e!*}Uc>e%lWo*4uvYc;0r!zP)<Qb<_Sb zY;R1w=r3a{>a)XGNk-<fvJ7aQqfoH2jNCF8i%Axbt(N_I|3>WJkxYSz2WB2;%B5}2 z6ie5fDV2US<FV0;c=j1j%?xtNzfLhavO(q0!3}1I779J*X!ly6EF-j9Nk%Tr&0><p zd#hK!rVDP{`P(~T^=*ZXM{@(Z3%4IUuC`sVuUD`6pKIH~JZ5MAaR16*E6RHc3~c_M zc>5qjKCs<kH%Gh6ZI1SKGr>L;y`6o#{+V{kz56Hh;+>gCNwKs|NvU*ANul(k7jKMS z#2>79m$mGlVNd!x<!7%K+ugZ3eV^T{!%8wTdzECEoRwsRnw4eb*jz0pS-gG6x0S7+ ze8mBa?T$%nZa+xux&0t{%WZ+<8ru~c6MN^hskH6l`L*5q*RHaK^@o=9uU`N7*PhJx zrxrf?wI@^j;e!n6hXLI;J1kV9+^;-*80sSH8B;vh{8xE&)$ZTk33G2NT)a9p^W|*k z*gI_UbMLlUSncHHEGdzmB=N{9|BL=Pn^M!@{~yi>hsUdbsWd)Px~Hi+u31?|rddgb ziCI}D>sMdF3e!-R=t&k&t@6LDe`{0refk0A?T(9jU(a}HU7~!KO<ws<n?>7h-mHCv z(vu{fSml3t&k|c?8vH-zf@^rZ`q#>0<wFMxj1L_w)IOwGV0}ojQ2o%uhj}f^os-vn zcKh#kiM70*b56Om%DJ7qa(cUY?bhw!EsQCZ7TWR5OvUg<;XM|6mWuO%&L4^!zRT9G z<u#P)DlmA{QBWY$S->IFTi_wnT~J{m_t4|frpJ3Y>zF<^?%vPBx0_eU`Ys#)?mKMq zyYIGHeA~gx`KDBQ(v9avEc*|<HZz!0^40Y6bKm^qUmdMO`a~W+cp?2z;Dz|Z2`}Uy zelRdOH1SC2^~%NX1LhTdpMD^7yW^vt+YcV@y8U4B?#Eh(zsjDH%X4P3z1yZzwUg(U z+;ijb3BTl?7hkVD{I$|p>Ciy~qeBNj9@?07nXhrWAYW&=ARqr)<wqWm*1X<xvD`6! z$8YbWFU>qk3gm_Om1C}R)QWGJUoBqvrCeG_;(?ip;f2%--vh08^744c*6Mz#G}b(H z(7^K0K||w1iUxLv6b-EpJxnZYQSK~W_u1`!X6&<f`i)QXnvZa8KX}*y<mxT!%C8F? zXWOpW$eHIXBwU~LPc(X;qyBO}3(Mnm>f#dY;?pJGKe1B-wSHt`mVZ4yXHN2}$=p3f zMV+30?-H9P|F(GX!hY_-b;spJ|K`c%M+Js0?`Gv+9<Vq+b?;u8&#&H!Mug_CKk}-o z+SX^~_Up%AR8>Eg2+q%E+WhLRZ&F$9-k7E3U%wgUtlU2RamC)fOzyAVPQ4MIzrMZp z>$hJwLh|!vX1{(L%Dug+dh(9A`1KtRz4q?qnVx7Dy6M8~?*@~*7wS08?p1G$Y4~Zu zpX*V(H{|kU`PuySS3>^3t*LT9C@FQ=&1JWQ*_FdbH?EV@Yux&^z;jLG&-C>z-N|`d zJQMu3cqXN7IeEAzV{-q?i_0vQxy}}vA(QKsl=EVJ%`DTuuCAq?v4uR#o#LmQ6KKme z(OiG%>jm*imzPMLcIsb$n&EHr)rh=yt>v7y*&AGQ3w?7>r~TS;J^kg1wr5v$_|31@ zq`b(l-xRa4SS&2=E|akC8qaR2n5`RciS3ZAk_c?8jsJK0(y336W(nQl+G_SVBP`~Z z?YbKYzLmvmax(Z{@Px33+AFS?D%Z94mky~Ye-k<-G<<6K<86Dnasxu=<Yt@;-+I+C zU;JEn)}8$9ka+#$*Q%;nw_Uldy>aKgIR4qM-hSP<@m}0i)9bfqA9z<)J=r2Ee*G!_ zzALv+7wotf*XR888<)(Q?ct9c_U_fPU$OoA@q1O(k8gmY=k@EizDeP=d$sIuMCR)s z?z`~Iue#U!->wV7d$uT+pOn9MLgr6pc=x3%CDyAOcQ#-6s?v5dkNNPN3&tjg+9amA zSx8KCwXiUAv0!=EnkUdED^#v5BbVo9QFEuO;7FnMp@|22IoyT%oo2?JSSTc0UcTby z!;RJIS$-8l4<A%WJrt-AdpMy&?%{_IN{1dkPHj={3m5ETiE{rEA(VS3eb<4Ia?z}e z?Y#vCTZ-SiENZ^}AhGfGgXD#`1&%9iS8P0*=PcyybbbM+WYBrP%=-`2U0s(>Rh>Gu zRP~p+a9Qo7__Q5KQ!MqQQyabAA|EXZ{8n&K<F`frl*c<}X|JBC*Ou)z^WhzJjVk-t zbyxSFEIBM9k@sv{Moi37w*7%&&bHed|K-*2l>0P3e5cOaWp_e2$wDGXg0EGi@35ll z+QZIWN8U|%^f1EXW1-EGBZW#!R0@ois1%;cVL5Jld6C-m%ZjZJeLNmoNC&a3YZvK! zH-A=Fd!FJ^_v;gc+^0{N<nVmLB$ws>y>1+L%*)rYWxE$En_5`6i1d9^bX^eZF)>3r z$i{}_?P>Sf6V&^zDXKPxFI+pRB2$jDbMZ3QV;_{37})S@%A9xVI&gV{(npmg6%{f; zH8xzDg*GP4Up5>U2`NhBDtu$y%JOZtlMs8+VxPa^J=ZLo^^AE;So;{4DO|WOqEa=p zsoinegX9nDyxn$ZIJJbf7~7t`cuyoMu|qJ6(@MHcsBPi01BPcrSlVVc9pMQ6aN)d2 zO^=b2?!|>=rnYA<o)w8o+#;BzStZdH)MmKsfZ;U}mSZ!Uj#LDHFgPeu<73iV<a27S zbJU6zhf;zsde<zjUAn8*Us`g~KIv~e0v9iuFA)?g`p(?w+jW`PGYnA+`!DjRR;1<x z9=mY+#-g6Z>oqyf7_)YYE_;x;RP#qfs>w<p^Oe0XGyAqJyTG-YccClqwVFlpx^sj! zng2}QA!1W#p<*19^CdFyFjJ)Oy(Q-grd_#OI`ax^-^5)<M1#H;^j@irQU7X@H=&Bj z+|#x*I>fvnX2F|RQf0HRK$lyEzvj!@&h%U}@{x>cwT1IZJHLP;nd6#~v8Oa6W6w+1 zhJ1;O3cLJrvD;3@1?iW!Rx&&JFMHjy=|I(Dos9=Is&8aWsm(bheST%-m(GpHpH(DG ziB9~iUdcG^L84t)@r!AHWLIdGtPT{rkv2tjn{+C_b<d{$xjz}%HmCa^z9@6Pha)Pn zvaVt2i+TCs#?M0*pD{eE!R=#yO31ipQ~OM}o5yt)$MoH-{On+mdd`r=H2K`w{2+tG zG9!^vr3H`G<;82KtY7i+Q&yex)tsMqG`1g_@~3V3Lg%`KtW|UJr&x+fq&Bv?MJ7!Q z{I=nS#_xzp@+AhI`_AxtoSmrOQ>3kPcD>`-38#&oE?a5pe*FBW(>%&OM#l>l>lmET z@a{1=CFdrYD!;h5NG7@XX~J2<qZ+G&pKks#F?&{A@fjo5wwXJ1uShAk8M@6(o)ai0 zku^nCO(K<FxOY>(r<-Np$(;-(zeEq41Sib+7x`<&l2(bTR*}++Jeo3dow^+EPf)t3 zzog=Yd{7M!hh`xUk0vJ%m!>C=ne@Sn$G5EbS?}SIC?OwYVb(6v=&R_Ol<e_wgW{4O z5%NKgBE*A)BBX;RMI@U!E!dnHm_6gFVryck$HNH8AeOKek<O=zM-TT*c$6IJ(V3j- z;hEs+;hD7YCP$~<GS{_<Vyz-=t%|O`x{9s|bsiIEhzHr6;nlQz)-uH+Z8l@oi!3g? zT{E3z?)oY=$}OAVa{m0K>5rCGPJbk!R^xTz)sf#ObE71Rc!WJ;*J^ppP3Bn1&7)S@ z>A2)wqQc768$DhXeo_C*@@rz1Q0>aRfMDs=aOJK1D~-P>mG;eBqIY5Tt+i2&ft!v* zczrWyn{>6cVbWs>*OQz*OP;8>)y&#=M=LTlYqi~3zpE>K&T16DF+Rmq-Mi_C+~PNh z+XKIC)Gp>$(pddjY%-5~v5)bowHu7>4+xz(aa=3-cH$JvZPKYzS4yUKu64V4SZwi| z<l?#p$7S0N6qp>ZS$u~}`ZKf6(K$71jJBG(%}kygD0U-Zit07#RQ}gJoBEf#?UdPW zR6e(9)2S<Y(oLtXynAA<dE$zgRF{>Y!OzPj%ccc}EHgWEBe?jC$*Hvk=KCL}&Y0UD zx@_Bhjp94Tr<lI?ZaTue_|3zGf!{uA*uPK+o}F$nD|uaDUhDCnsZ&?lo@BifGWYf7 zq8%&kuIEdo_NBW;KG+#Jts-%X?H-d;c5#wVxwf2(WuLX|++WSM#g@yYQ@6(b*U^6< zwC3FLS9kJfpI$xdRq_70fnpi?Q&g=aQu)(+f7QsCoD#K|^7`Yll^Zu)Dlj?DwfGKK z`{(K%BEg?GN^!gQ7#$B>yk^>Wx5!630>2d;()ewWIpuWWDUI+4C3zYzibT&zG)YOb zf0`}P)BCh-(eY!O?%eRu%*y#<@a>9>cW;sQoYU_+jC4*O&&ru&eo98XXVU@K#X5y2 zHL7>yOsS1AJSDng*8PrzH9BT8XE&bE*nVj1pG{KQ*FT@OpYr(F^7o>9CD-U5dwG8n zUzk{O_80bpQ}17&x+Uk1%8$LbX6?H4{`Hl2r%osB_}DtvsN`2rz3OqN<QH!b@zlo5 zSiGK_`%7_RXP@4i#0Ku)5-$!F@)SK}VcT6G<h*aocTM;7<Hxj?OTIe9b2uhKH##;V zp@n<3>ss#Brt7#@`y6k5Eb;gdr_H}Zjgxo0vhZi!W$`Mkdtr9puB)eB>{^`Hxvp>V z%Z0O>f4z9Opzuq<1(qtS457XIuAE<UF6gU&!EFcoS-;Lb7duk$viNW9KBti0gY#eK z?`q}b*4mSv%Jco?T*KI>3RT9-R@Wq?^6<aZnz^b-VXx%%P`gb=ZO2Qd#)Min-1B*% zH9tb~aPTg#g{$89=c|=YJ-?>sp=IpSkkD_7%|Dk+J-)Ulkw13n@>OrVm17^rNhjTK zpXd1N#ur6%F21vM(n%H$*Ov49@E0w9utR2xVw-bH?XMMI)Xcf~P9;hQofL?iZ>O^N zL~oOF(UmrdzKLI7KE62l&WY<^-)>WE6Hck!w`#ri&cK-K<(Jwd{3d=q8d`hzWUtV! zeV5IS6tu0;U-;^^Q{I#-U*~RBY%5Nw^$d;Qe!h8W@vIdygX(*A+q_pw>n%G|@N@Hn zFb9vmMERAgONCYz%P5pKE?%NnIN^$|j8dum^!<yzSm;fxViNbX?c|OZynCRq_14-` zPUnKJuK4m;W@Ygl)zU`gC3=NzS8V5~mC7&ou<e_?WZnVJApb`eS9zIkugDANJZ!j1 zYO0~k(p3|0_|46hD+}1vKX;1E@jNfT1b$WZjZZiGcTJf3)z<CrgNE7hBCB||b4-0~ zwvg-2Po?_uDBgMZE2mGAd>3eRyw*#sB0%Hy{-}D7lRW(zk#l!W(fP0>;9C97KT{@2 z&I>d;UhE}S5vcKce_gHTNuKo@GZ%lI-lB71S->^_&Dq{3dERQwTx_e#U7|7NtMTPu z!A6I*yq-n)o@C1AO8uL%ZtIjY8~P?$UJKrIpv@`Les89-s&USul)kf5bT%vvxK=-D z*VIXp+X9V_dwGf72-J9OFMikaB+qV*naSp=+;4sw*+hN4aMOE*R=9FV-`^Ikf&~fX zG5z10r>xne<MqtK=Ooi@?o`<?IbLZJ{wHOGH6jy|7Opw|Tg5AFhR;cvV2#LxED+zs zD{Y3~Nf~2}$b>Wy|4fr-^XrfMrcAP&7O?5SBd5sz@2*qMY?v^~QY>K8fj=OA<&-l9 z(<fOz3)pnv4Tv8(Wz8{b)z!rlCb9B~o~qg-za(X=*1{Ci5{<}&c#xTKUSZxwUSW^t zPGT(+jhXxPW$l~*$u!ZPoZ6y()sRL@*5~stJg!Z56#2E?a6|JX%Wc7%PA%g~wY|4J z$mp<`SJ-DWRc;;ajGc0min(vCaDQUs^mK;*3MOu@5L+2>A+5toPER9zRxo*Uh1iNr z7t%Ux<n%PcZv~S!SBNdoaY3!aFPrQxH-BJR$TdeN;H!X*(+VwawUEB#7OjF+4p$Gq z=UHepM>V9cxJ9dApTkw>_e=|oVpKx<yj!#iZthT@@mpuxIv4)Qd*|QOJY38EbjQmD zOmFSB2imsGi{5@!d1Y^Mbl)~UtqT{uzSe9$y(`}Ky-Zm^-*i5$52w7o?#RpS_3D40 z-j^F{^yWs`(<5Q4j@PQQr@nZzK<4_Iz?VNC%$xeE^5L##qdNrwebIbc1-HGv?kT+P zD7nr`<2W<BXhp`-s<_H03wZivr#xQSysGp;`mgIf##@%f>|OG1{_E6YpZk8t`_|8y zcI#D|#kZyZj%IYXE?s5$W$Nw4uPtA#X=nXv)BoW`)h)~KN1Ln4Tq{a!)#v^ea<tv9 zWV37DZNGk=S2thvxU+qoQ+Dk!>sOnO4=<|ZtZeH7&c~(O)CHWEOt<~GVBT>-x!Ci{ z%hd(16d#cES6cCW&E|P20q6g6^sjq<Z+c&_U8&T)(&!T1@R;gHD+3E}T-BN<CF(xs z>ykq|1s`7g8dF>qwD{2i<9SzBSUg{&ZmJb!{o1p)>zto|R>&KW>&{s}_U!HYcCIRD z@uIbtCrgR`2Dy&=Tt(30N2x9D*FPV6>Dk+53+6A{Jnzbi3aN(|zuFYe{j~CO`s~+H z6RoQCc7Ia|TAb9qI#kJ2OV1Ky{%S$FSbz5L6DueGoVohp%Hp5PPEWF$x_x)q6syVo zyR$s!o>+fJEY@54dSB4;k9pf&uNNKKy7-Fcor|H~uVmgm*|fy(;oQ9Lbx)^gPrJfd zb3FIr))@1BngPOlUicqPDqZ^2Fve_C=;T#X|33f4e_1o?;*wRn+;aEZ9y++;ZSh|X z=~EY-*8X}Mu=cP0`Tt37e49dE%#NLrc=@^8^(T*gK1eUt;gQz)d${Stb!&~oHqi|_ z9rgRTjdeD#9^dp)zh{$y&L-CrC4ahQrzCdjI>;T_f2CD&+RdiNH-AibkCX_zq4dP+ z-&DR+8%~KW;CK}O_7G2T?!nHSKiP|QcwXlSa>>?LKelV~l)NPw?{jLgQOEwZ+^fI- z6QA}V#q8g;K(V&)Z9kUw{a@N_RI-uv1?xxtg2c9i+aB!6`O~~ehle@W_RY&5`nMi^ zFZm;$W5V%&PqR_X#xvbJ4_2EVI#_Vl$db+Z`z~3dI~$HnliFb}JLTat)pd6^?3I0( z@ZtljY>YvMdxR4Iu^ji)AH8^Q`thB*@RQqW-JOQo#7-OYxZP1o{B7Ch)+sOYI(1DW zsx35}{k@;;TzE_S(7_A0g<=c>e&0}Zj`z-4nRx5GqvWsXN2ho%?Ve_LzV~9{G+`x) zMapj{Bu;ti^R4-WyS2t)3GbLXvriiZ`PvGnJ*biWSz(<rBcW4Q&c*Jcqhwq3qpo!2 z`7#d^W+ZXyty7+#)y{KSXQOJ<iRIQBhk3kXI&+>FE6ea~);XwJ@?`Vd35k8G+foF6 zs<5X@WOZuK?5*)=X-@1_-La&vX1c7=8=j-fg#H{;E#3N5H|y(jUTJ4#lliN7%t~gO zt~hltW=7&7?&32AiH$GybPUh7+WM4k=}z9;%(bRCpmQtVtHj>sUlDH?6s{?{z!GMa zA*8(~q<)w2QAbI&=-oy?4)#sdTl36N{F%h7LrjNbB9eA+S4%w15I?TME;{4E0-?vU zR}KrXe>J@3_*mlc0?wYY3o3543+MOFUfk<F>xI8sNYN)rPKkFl)Bdl$Ces1l<+nwk zPgqQ;;Et0@Ut~x7+L8-0?imUN6WN5sGafv&;jNjo*ij}ubHV{hDV4%oKlZHHwktBW z;Lfa9`<Hh-JQrSes^ItPKf*RHp_hJbWnSHLz17lc#|DRL@o5>)56RuywV~fkPsTl4 z-0#8E)zOnGUcLzKi|*^)DW$EqCM;g>%*Q*etn+qmIASaQ?ZcJUTARX$7IM1_nEGQZ zI@|l~M0dQr5pm?hfmX?P)~}}Rc(_1ro%M?TY(A;uq3pg7)-|hL{Q3IJ+z;=wR@GlH z_X#%nFimW`#@ZEKi8s$Lvf(MNTP7d#^2?D8cDIgf(7SbHquDJL1GQT!7wvC7Np#q9 z^7w&_U>WB{zMMS0W~zodpH>vC=~?4(Rz!KRZ<efN?js4=Tp<bZ+@Ma+Ehi7RWK8bw zytvFl4K|Dw^(0X6hDhYZS=r0nXJ7HsFUl9Xt!yi|&9$l~r}xW>J9d{QA2{aWFC<+z zZC`HY$B^R}p1$VbcAnp{b8VEbC$o|*53{nZOtX?LQ?s(Ikh7AlT$O88&6l1pM=mN~ zn(Xl1!(Zs`B0g64_ball*jzEabohe#rNf4nmy``OFDV-uURu0tfk%J;$BFYSj=5F| zNl3r+O!B$1P(VU7w0Blbn_HEHoBLa@q?9SHYjYRt*tNMwK9Uei^;{4?MYZ!^{PO=f zMyZ)Mf9$^W$?9u-D$n|T8x`7~-(T{-W(UK{;9twlj(s>3rh431TBst^S?FzjmZO~2 zjS2mIW+LwD(q0QT^QvAv+LV>_BF~w}R>wAUaaG~gsfKGdhMv55wvFZ5?FmPMq(5yq z(^jLieW69zjQ}R?T#e39d*A#191|{St;+fux!UTZ^sE(jCg*x>gbv3(zH-i)=li~8 zuOGk2ygAuHpL^nqTt&HG5gq;R(>NaONLA##vu%RPy8sv6>ZBd{iah))-d$8_n{IaG z!>J(o<IdcH+CJP9D{>U&=0$Y$FBcO!Zp`iZU}vk!$49UK6i%BZv;T6cDPx&&+RmC| z7rxH^()-g%%vstx<werksf)Kx<t*7|)ae)YY~kXmKVKxI7H-+TiRD&gq)=|Ik8j)U zoV{z)4#&nvTlxC8hrgNdaMRYXzPVv)8xEhk!gc7B&75sU^5$!F`d)`kJ7B8q{YdLz zR!QXC#oK#dyVxb~NJ^F2zQ(6FTsqkOL)%otnC(V=*<or0Uzfj(_K{q+f7!COjDljD zHt(6zT_R~aer)~MYcAdQuw$~ZOj%K%v!7nUg_Ej$7CYPj`n^k@Kl%8^+b4x3e)e{+ zzWUp|@7>cK4_3vkO<1;jRru=Zl78n5FP~&hv)p+^M*X|N^^>({ia%LAv#w;~o@3Lg zy6&B*#rs!rTeTuztcqLfA+~eXbc^Rw)^g`|ZRj`FlQ}LY?)P8@w|e1}oXXPEw;GOb zn2}T2W~}qq?f<$7Q;%P`pPL}@z^s6$M4E+dC$CWRT{eE_J8bgKpi%w0w<anEXJVa& z4$rkcyYWn9<1A*k9lSiXciH*^^O_H2Y<EoRx&0t<&Fu%tb8ZV9uYiq+&$ZRva3`{H z7N6QqUY^Nwt0zP}d?FWSwX;9ePwse@x_`o|$?6yN=h(8vY?csf`Mf|Ud4{~7K(UYS zqOubkuJT!KyRq;<n)Q?$DeZD*w-)yEmPs71vi3=sE30OB`&PBg=QYx+F7Ca?Cwc9L z;_)nNk%-iGA?>#p4s%(5*?5QVaYR}>r_NUAQpxS#<{gN6-IjGkZ<}-J*KOBU2}x^z zHaL5##%KE`i?panrp;?~IwhYhjoEDVH}PeZQKNryTdDohV>N8YH{3lbnPzEuoJC!H z#)~IHljqzyoTC2S@aW0MGhRL6^eO(NvTXOR>B*hh#in)X7M6#7)SpYdf5NnRZp_>h ze(Q`%)WaorO<v7&_he|>yC<GHC8g^Ruw8$a)u3Ng)OK{;D^;^y8`^y#D;+LgZWX@q z=#Xd5*6nMe>P_DYRO+5DXlqW{H0i0~n*wQxYrA-5r0=jbe$8t>VzvF?VJYZr+S$Bj zE55vDE1`4qo>@Ft<8YSo_8qpiw|UIR-L^YE*l=5+@L2ALg0s0F3h(B2JZ`%^;ehXU z#m?w+^TckvU*q7*zWpv+oAo(ck2l3d{MB>s9G6q?Pu@OReZ%RKlW$1w+bMfzS%}B| zzCGFPJm2?u)@ye+7|N6ev~B*@W%=&*!UN3KQz|mr<>YQI>_1*6aa`Ei=fO%@wZf}h z*+~{@?L5cV{#~BGV198xoAS3VOTQZn4|rNnvB+<i)4Q>-zq?H0xT>|!gH5t(g->UH z5wA54sOS4~U$T02ooA8B+0SX^3R2HzzPmYh-rISr)|@)E=1GZXo9SKgLWS%ktxt1K z2v3|kH~eF0(@Z!>RxLIzpLp`mvp?s4*T<bynfYMq#O~>;djGmZ6?P;DA8G#n{4J9` z|NlvKEo-zoCKi4)7d!L$zwfWD5v<b-?wsQ6i?lo%sGV++WUXVr-0V!jxi;H5TNCBe zb1V*IO7j(-YEzck@K8d%Zt>Uf#-s9vf{E+pcBrhfIN4d_`TkAk9G}G8Sq9Pf&xC}| zV;1}CzuBSYjqJ85llyl$Pb(9D{C3(@;X7N3y8ecpE4Wk^(ba5rrr>a!ZOo=b`RTb9 z2Sla$3a_>)$83Hmab5TMde=XmegWa9e`c?ox4(PZ=j%b1^~E~R^+S*ToV_;Q@z=-a z_5PclH5c^MiLY8D=<~PNtT1G&5ZkkEmc_-zOJ_`4ZRm6Es*TU7t2I7nt}^+Yxa!n% z{;E>X>8nXSXD|QQATf2dV9%Ls6GI29=iMxGi;9;@Ok8cqbN;Fg&*`f*JZG;m@tnNs z#B=Vd63?ltNhLphKNVk|sb(j8Y{ReZY+p8bwLfBAZmRZW!;9@N%{hfN_IYX;-A!F6 zSN1<lZcCGz{LQ%h!*bVVModXs%3B?gC=+PGzcqm0nMZ5id-HovwmrrxeyH8A;r_be zz=B8CRkL-k99C=Y%D>;cC4hf1kJi3$^BYdKK87oP9J`al{ng<3f=AX<y>+e}E^6+| z_t)DRz<;_<n_ctd;d-T6&ljK96X5x^_RkWZP>qG@eNGpY-50)?x7eWMbf8Vi*}$5T zlYvY&Ve@2GYbjbiUDEl%P~Epjeg8%?zM~G+X*KnKugsIYA7OtwP-EV64Icd>5q|w* z5&88+QyA74PZ7v3n!=G^JVoODo8H3)2ku$ac>lRKPx3x@{f6{s3-|A>|MenWoaxI} zZpB|WS~>Q<b?S*bb@|uS?d`8N9Zx%|`o6u@j-`Lfb;&h$s(bXxly=0warwCHM(4xa zjg#BUkNVlkiCeS&2zVp1=(c*RpWrTW>!U9|dR~lNSP>ARVmGf^Os<#zZQM~mk-gn> zC4PxmSH6gTbL6=D#^8o^NBtD<iEj^X(K~8+^hHcXn8Z90xn6&jxm^9no=@p-Rla=q zvXi|0d9GR}8~rbw9~WIvKXlq@zQp<|-<y5rKNC79zEfb1w#B3h`xhAt`43KXlJ7d) zYRA*gRcj-o^W|gVf(z;gI-TYVh;!8{#przLEL`~_Bw&v2skZaZ)46Lo)`|QIxTF0g zaYyuv#}EA$_V?dzwPVZ^{nfG~@`dn2w}t*auU(fnJ@g4QtNFAu?1gi|))xVCe&RcS zScE<idXO^FyW_8`o?xH&&LbAzZtu_hD&Nw*qw130@A(NiyX!N)mOuJ0Z++#jgY~EX z1}}wKO}AtoI^sVme)ZXaWMQ=xe)dye88|sF;Zrtsd2JBmyoT?w$&;&zYuf%;^;`@H zXul}M_1fUo<Pz^#H{IU1($)!o)KB(v{a>2ATmHY7^)|i4#atX_D;kpaGF7x*ubuSg zP9E2+jo&6eF>g2Cz3^<j<~4~khhMGfnX@%>ov{1;mC8-BQjgg0%-dSoHEZMB$tC$S zCO%mZt$XFL*vcJ`W^{|pPFR-|d?q+&pL}@EKH=~jdG6HN)5{94y*ng!JFL*OZhFWT z7B%~~TfEAB>lBW()EKW>?qByPZKvZ=xB6Dcqwdd^zr5KMZ*n8oX`koy%f^L~NlP7% zF8{Us#T8-u2!qh`VSi>FNRjRG{kO^g()S{kHFbOAOm05&zqHzS)s#OBw;N=9MM~WI z)J_=~xC_Vsm!Gt_Gyal9mi{t}*x8>{+Gdt{n*1@?tum`*L#&XiOv-c@&fm{Hc`n;~ z*4^K&W18G&a^O;<akRT!TCI{^#Qzz4dZ*qgc%<%grt@U1iq8+Dc-0#}m+t5}c2)k? zp9^<3t`#`KzEtk-!6xTB1{;4ET-253+xVV8sXO}UNd`gPU3c{aWd1kbSUuZ`v%N^9 zsqWhujcqqs+vb)XJgm3-LGt$4j)DVvM=UagCGuA<EK@u(!M>x~VAcWQlD}t;IhXuA zb4KIm)J^8olvVZzn|(0e%-b4pXopN|!i2vXBEoN&4!i7rkT@y!gW*j*ml;XoNj=*+ z<?`3Wl_|RT&wlV(<n9}%4nH%;o;MfE8WX0h6=lA-PhMwlxJJ$X4C}~8?p|)U`VSc# z(yNfj6R+Xf%)Lkd7VACnw@xhw%M_1E%vPu~Sh+n`;YD)xT8}N)vZJ$I7j3n=ZM^tJ zwz$Nvo2>kQ-!}C#mMJ>%?-p3R+cjZPKJS(}F>1fA$;?*xbuDJMgTeVrYEBvp{re6b zUuSFF^|P!ou`%|;h6{Q>W~7NT@oeT+Y`fjcq5Q_FN6yUg%i4owjR_5FeJAbvYT>R} zk#xRi(f-(k8~NfkZ#Ht<mECCN;D77XGuzCOMbQ5((?R2C-sHgVmo2#tN>-<doPW!7 zn0xnwhfT2`3J>YISY(PP&Dpf3BZ<>C`>Eo&NTW|K998%91kP;R(jnj_F>|qi&(?Ie z!i#zaF`KyM^lr1thre-ZSY4)g#AmlaVq0v7;a#<k7dc(A3Nv!DC#zV^p0QZKXH&YH z;b}dCo=x0xZns(GlixTsY%EheVzFBwaZhZA;X$>QKN8Y!ojQ1@#c4TB*&8O8w7Rw1 z_E@5}x<!)Z27SL{T)Q1MT$&{eu1(XP%3X`t*?%-lE}?U3dqmb#!D*3|Jx|5-#Lk9> z`E9s$N#Nw9%Hw(hceXxr*?8iV<h7`s?WJMwlJ`z!zmf7((JZ>MMK?_D@wV1(Zm#cZ z<~-Q9RMF|8)84mCj`7)kBK&LSJX|`px+3+d-MYxihSy<oi*`?Sf06mru<EbkUEbv} zd;1ENZhJ<DH0_<=;l97<iV)w9l%~IZdo=qjL)sSeT|F$={_61t=iY)73qQr?<W22} z=>4|yiNNvCsT=)kUrgeZt5KTAa(=S+j(1l$)&88DXnVBw`bEiiJ5%_}V>k6%>scPi zoi6iens;BpLDl0sChDt)Z~ipfb<M}eb<=OJI_YJ9e5LT2(o}!LYpTXQg(q#83QpR& z?R>(Z9Bb6SAf~7#QqS_(o0`a&)Va?maXx##UCVn~$?|jIW#QjMxMPeC^Gtt}IMMsr z>V4kNy7zlOGi>mFwy?qbnV{o7oo^oMpWB;mXwE$~-*M94pznXe{L6f8W89ZpytT4A zEIIwn!!_RD3eTysTfCp-e5d53;I3U-VvBFT|8$MVaP9Y!6)bx{Z5H#jyPzt}Q}o35 z;_Zb-UkX>q?Xn1w|0|Q#@{iB-Si>EyK*1uOvXd;%MZXtJ@UED7yS>%#gVuMSV8Jq; zQrWo=w`y-~KdyK4xbSqD2P?h#KAur^uXr~pdC!UIM}>ZC#EW%5k)H1J!EFD<$*!C7 z|9N_goxJ;Vt)AG)@^y=i_mrQMv)hp(FCSaf;J0p3>x(y&lw*og<sK*HZP4V~t6eV9 zsr>#-=uxfBhZnZpn76u1y7#t>*1>Ccq^ci<tS>xS!~N>S?4)kz)QG16wmC&B?98mL zaJ-hu>e;$;)ef1BHx~-cIhWh_<kAt%={^OQCYm3WY(KT(-omOI4+4HJGu|HGby_@J zHmgOO&-B>Sn9!Uo|0mPDa&r5huX=RryJ5T62KDU=C$D}`9cy&Z$^D*)yQ~%{r99l= z{I&4JLN<#R0nRc7D+Iq;Uy=A-6e{TISH6Pfa?xy~PQ~`!DNP^y_Gm7%3Te~iyLvdK z{Z;ZL=U#)03y(>BwEp-mBsBN?{^;%bIWKQq3b_AGzv4f8>C4p}y}oN--!gl2SAI=r zy<mLuk?872@lltKBx`TyiI%E=6%l@YW32aMe%YhUADj1W)@Cs-7U_z#<U0K3{ia{s zs;iwI^V|RX^L~%L%s;buRf|UfKX3drTU$4KS&?e=_P-l<*0vv$zg_x6^lrWWyez{b z@^yK)#l-8>=bf0ir7ei_e0{z{Q@YxciY%u#b+#w6-!^h`zMD1S(L7E`If)6=K3r&F z`R?nn@oO-%k&Us!<Hq%zm0Pl%x~{9GR3tmBZ`rP<QjzY|^<6FHM}outmVPyr7hl+! zgG$U4oyCQ#USv7R-}RArFsJg1Ksv9)hp$IkSo(X4MLyW&R2j*bEo^sI`%-wkg>l_% zgCnNQZK^S*iU(DNgJR4S4~sIp?WvzNfn8Z`iA9D}+i|uY?}{X+rsZl&b|gBr&7Qxk zKO}X7jcdv8y%lZ#hfY4Z5Nhvh|MBF)Zca|C*%KB`=9IL1C4A0bmH)i&i^qF8nfDkc zc5G*RB79C-SZI%#;!$m3qdg`I+r`zsd_35~SS3}eu2>~G!9QuQug8VsEh4qPCoY_C zk^43K!f^&+Hi?Ww0?j-p)Q=rhQ;|q=+V|63@vwn#l|-JCyseMKgEbdV=p4yq{&e!& zOwOMShKfgpg+Do_JMs7Xym-8jli9`~vEw(}6X74W!k<_#wuqGboG7^0B9}Mw!f{_= zwjGIw1g`U*Q2&svrm`c;sY_ceWk-$^|8$=hj~8+NbV@!XpxrBXNd3cOH5H3Ar+pV@ zOn9&Fb7H%w+LPU!!k>IwIDg(d*iaLABj2g%tlE+r*-mY?YF{?oZm}zyX>h<#xa~%w z!@dhS=86Z+gg-qv)xs0)^WtF(=g&jAhZwf|N<6yue(TZId(3zISh=mDGnO&$wRY^! zx9z*<FDqYQd}rUj(%|G^*>^WtHnQ)?7w3?>!P=!<*7)$p@|V{f#AY20f1da6-a3Ih zCjvFrJ=fs5UZ+2gWxB{m*@^PArKMgMJi1&WujsAYyH;B2)|T~$8~rcmE?x8Q(xqk2 z$x8Dt-QKcizt!6L3>!Ben(2QzHvG2OzRSN`^TS^q5x!%8rSs7C%tKSpA2mN3^7pv> z>v|pQ!uI5Pk^M$<pC2ebILW>%yjDi8bjF|K=c8&Ty}q>1xp;S3FYk;m?wi-loNjUT z_*|=_obG|QO}6Iebldh774&bB;g`vs^ks7U{O9r>7FQllwB~L){!Yh3cHWN74{qC) zi*4a!{`cZcQ{tAzM_Zg_-F9yNU~uW}gXEUmiU&UIxqR!uac6H%_c>Mv6PNoHo>*xt zQ}RlV&!SZR_uQ(6+Ik=M-3vd99k^$|Oq`czYX7~(JCkM$`91GuNiXKV)z<!0T~=%U z8yT(nA7r%Vf00?$zMAjq@u`2fKJv!zJ5it+|E0h%{!5`^JWqjLJWrusd{2Q|d{3cP zc+NBH-8B;zWas_voW6RuWk}OIzNw4aol{@D46yxDw8F0Hd3eVn|9vMen8$y)pdbI` zqJ2Ei1<QCILxcDp1C96|LyPUAQBrkBC)`=G-{eu@J)TS3cK*|^ajg1XDJAftYWKG! zuFBcd_r(5gd$!Dt=d^a@?r$}HmD9JgZOOjBY~{X%8|B^?fBwI8>BH%2H78a_d;jM9 zF~j_C_X0PUvbp;TtOQE_DJ^eU$t-WoDp&JBq#~e1`$NKuxCajxx;M7>&tsKitP-tg zc@g_SaG`&rd(XaiZp&XhKi4$vgWCH0OXOI#bJ=L*iB}lh(fshCVEci?$M-VzGkoW^ zakA0)(D7lz0p(-L-vbhMygb)5^}~__%@0=oR*;*wQ?|yY+?u80VHwBAOK$}pPQ9&o zEIZtGvcw<1xG%G-1n&H^NspgYIi+CriPi^s6S<G>RT8gD>yG(Rsaf<eec6fD`LmV8 z>&m)fe*6RptXS|@^PWh`q3078Rz@E`)B2z;P*3E2SB%6x@tqYv0-ij2oIKIH!Ozu{ z%W(4v)kEKurc2!Ba^pCBt;y#{$)=?zS`&&Vavxo$B;MBRswZbAvhzno)DxkE*ood9 zTV3@8uZiqD5)pghpIn$|%A=_pHl1iqaGl6~^plc!TdJ#`T$%XJA2&ju2qmOX^zM)a zsTbdQL?ZgaKf7b1DUYTb>^sq#;53o@=n*CHHceMOxn*KIf6NGbB9u@y(Yr&^RZsAk z$j&1(LNEMdOcPHLnqsj0L~8=b&wG@_+kUbx<=wd8fbyY3O#KqwMTcj}*Z(Vj*LZa5 z+z{92(mUQrP8G_ld3T9(opotfw%^=`t74x=H$8c*xZ|Viwu>fT%zR}FEcGpQ)%VYv zK1c1O{!`WTr%$ebnzUKvXY2XRxyzKg+4LUP?DHsi_c@0-r%QEC=?a$RvRa_Jw&3;V zJ5GUj&WKC$JqbU4xcAQ#yLHdI8P`4SR$TY2TX5ZzZbQA>N6UJ3?WY)+#;eSjrXS>U zUR*M*ChVopqt{9H+q0)zcI4j=IP>$|QsXmc<(R$MGJXcGyC(bEddvFXJ8L<`_nipm zJQsbnZT_ju^Ohk^$z`Ut?+V+!3Y4T3CTZn;-}3IpvbZ~pr@p-j+qv*;e4(0p^R6Pc z<{~4F(@M>a@k`cfRezY-E5A7MNx?VnkCJC&=KiTZzN4i(e#z&pcRgjE2i+@tb?Ug} zgz2u4;*np@>lH51+kdS*BlGsgzw3R!J}NxWrWR9Z_2&+szrDAA+X;)EOV#Wzlz;u6 z`Tok4Cky9l-&|}X8fbH=+-|q4dSFwum{;LJCrP=;7470;r^>s<q!#RNZIeg{`go|X zRfuO(K-WRBQwB$zY<ac@$RE}*IZ(jOYj|i$jrGm*PMmI$8b>p@QzeoY${mZ+=-1aZ zIZ(mPYj|);&2Q6-PMm6y8b?#OQ(xpPl#83SxBvaTxX6r#>ta%mdOeRSPZ9I_aL!5d zUbMzxcJ8kqE;`kg7`;AbE}^fpPjT0beKvdEXDwv8t21TM?pC8O8v^)kb+5SVbMs!f z?d1H$P?Nv?ca+9K5ALNAxeIx+b*>za;{LkvoD=h!?H2^3eWa#sxah=b7O8PGjXU*5 z(!!eOIScK!MP@X-6+88PhFY)Jjm(8CyLF}{ZErPtvoV0bTKCFvJ8s?$_nn;2m}|ys zERWLYpQ$s2-COL`VioSM2A7>`&uj|V*S-0IK(U?g{sRFEpSpf5`c@Fos($*RYVw7y z_6Yqbjf1S*OD*yj@;vv^Vyfa+wMbqlvRrq{!zrFWKNVea;`|n=aWsZIbw+Z~KAtn% z0-7SlybLcp{meAeoWE{)w8ncoF)zcbPLj)JOz~f>tTRPFTFlGvqLZXr#ESNhVyC7@ za`PHob8_x6)SMsY9<9O8turNQNvo01wgCRwI#-Unar16C=;XY{TyuWd^+=6_QQS)- zk{9x<_C2+Hf2f$3!Feamun3LAMUy{eX3cCB(%Bl&r7Cu6!;kO3N`mTE6y$wdG5d)0 zY=iSNYESix`kkEjX7z_RI}fpjx!$RZo3U6x=TxAE*mDgYZ#&=lKZB>F6&}tC{ARI0 zPf+K~WDT(=o;;s7n|EnV+942Hcc38i8^^*$q4Nx4rrC<FIC0gTXSy_ROx>}9)<U~U z?L6UW{ZX%OzmScOVr_c6YeMe4?KfGQ*0xQMOYV+UxUq(dcWTht-{-Uh&RlO@6T<z5 z$-WpQw<-3)<tODY&u!J|*nUZBR(+<I@5bokXLE{YKM!h;j_=Sv_Izi@=F3vsxock) zsPDcP@O7okQaScb*YE0NF@LL{{mn2|H1hi61>SiF6hp<XXH3$qxLu@R7?`_k)t|*L zj&+`HdBf#@b7|Gg#mVi@%+BV1P}yCx<i*M#Ka8J#c)7HF$COk3n^t>w#hm@UaN_n0 z!5<sdy7G<9&8}|GyS;2(i{bqzT`b1?1GDCK<i4uQ%e=oZHaXaN_A>kF8@}FV5^u`A zzf8FK&817bUh1#)zA<mL;F}YdD>jxt%d%<MCbBY8_v<?)@h01ww<k~Xe!BI{$!TG$ z_e`}kj9)V2LvNLu_VrDdCU1S|t-JA#w^`lFZ<9V<UFxMD`C;k2?YF$INmP38O)35M z@?F=Bg_b*orp9zde@(3_JhiOrbhP&8N$S^+hdog}zphXBdRN?f)6+lRUs*K$YlzJE zxwmw_W^L}d%9a+n?wRee6VX}IF5X`nE)Z-ubJLHK(@%M}9-EfWJ@?gypV3<-E<HJ3 z?aujl%e;lJSd#a9%8PA(B^!O+^#9h4EgwxQK1SYu8+!f5wx835mR)(^Y7nZwz3kPq zsaKxqzFt|eVadE*Y+tX)YQ3ynz5LyViQ7wF^-a07K|^$P__FDl%#J%X_I^2Sa%7^b zVBVAsPfmYn+M=Vnki&jShy8|t*DqGOCkH><@WOj>z{{)ej;1YKCBEzWtkzZDT&^3= z>4eOUTJbe)VT=3L0O@@p%bhpg+V)Q4e0#-@skg*^#P`WPIv9RRYJSyK^Z$3QEZn#> zw>Vo+_x|>0DnI{hExj%D?&<^Ag6(YjUDl=*hIiH-Jbier)Sj9<C0m<0sw=JU6g*X_ znN$`L+bn)?mDC=Muxk&FZdk;o&wo1m!>5gJv`ha?+gs6|clm<GykmR+O||+H{CD{` z`wu-*8*bd{iFsxi{h7aJ=l^15vD&42HmUQEwb^$YJ(%^CVd{(LJG|9)e41*wWtGwU z_o^{^9~5?cnQFL3xc{4M#e~9(Q3p5O*!A%LpF8`UW%X)Od*a@GcAmZL*cWfn=WBHp zWNw*UeS9Z;+eY1xeL`FQ99Uj(W`q2~r61Mp&UUYS`6m3>o8b9=OQ&xw-LIwk{p^{U z?koP>Z#(vF5p&FyYkxN#?(el<=5Cjqd;f@syxaX;zxtW(vyNxJ_7pp)bbd)ou-?oE zOSZDCob#nom{pD?eC^xmIf?$WUN=stxcuekt!rg5f`=FL%FFKEzs+>-jsEVQ>Zhw7 zF}a*ypSyQc%}oZ|ecR@WC{8Q?e7AV>v&TZm*K~8{bJ?lQik_6W|K_cSEmQ0s-T#_> z+ftA(smpcx`nBy3ikABCzwY#ORricJ7jDKKb@^xfUS#eZtAp;Zk4$?h(m%)QaP{(c z59bDd|9EXBd&T1`&U?yU3C8XGGEGP>`gra#VVj~?BK&i$4l^%*_pl}S`^SST*?+va z;#^X6&E6^f^%}jrYo9-EK4Z6|&i7tlWS*?eqpImU{%k+AP-x8-zU~m+#QEN8H(pQD z{I(;d?QY+sz%@lHcvj1<YR}`ldOWdR>cIqO-ogtD-7FpiB)>VI+m}}Ov!to?sz++m zN4=RzbG*|evZrd#Uncc=>dv$&;g2(Kg>75ZakSz}`7^C4I!+?N`;Dg?l=5$!Ix9GD zsmsZ&;XZ-uCvr}2vnmze_&QrT>q^WKy`<;Y-9nD+y%KvwD%<auUQ#u09Lw#j4~uR@ zHH#mfCbh>VXWhZm$JN5Em+bE7T{lN-cgMxFEqN7!J*y6OC#CSl@hE3~_++q$O<(@- znUgcBL(2uF&n(pXk|S<-g=f?0O<TGpl8#-tqkh|U&33s3o4O;OPvX2*m&>zm)f|@z z)?79mc{9De9w~;Y+}ZL?xKzCGaF5!~{w>`*^3JubY`)<dW30R`WUWgK^O*&HJ3`6@ z!}ps#o8R{3mb-oS=XBxl`0R6+^cMARZ3aoLc{^L;rQV|Hl84jfGUcYPRo}U(d&iSW zKi$qpF;$8ef?CLXw*H+IdnBRQRX`|zR`IpZdT*7^MV+tS)@?C)byw_>%<T0(<$}x4 z2v~`p-rX^IXJmKmk)Yz+S|OF<g@>X{6_@Vrczmr`NoG>)5yR~HVns{+^-aVdT~WR1 zDzi0wqm1e&zl|4G3i}kk5}7>L>afc4cZrLFzZ)K0$u9B!igQo#E5T#CO7?Zn$dlbZ z)6d}S%Fi2)t~B;3d?lx5T`E6$PE|vtpY0LT;^~Sn1=r1*Ueg+P&$VYG|GH0lNn1)k zOi8{j<YpPtwC`Ht#8s+11t(el#u~ZWPd{UDDbT1(kZ)?@4(G21XBPgFcoo3RQ@BEr z&H4&QvrJY`<Ib}a-za^X9j>{`=pf^CpTY~O#xk2uO^rR0`1w@u&ucu(X7BDe<tux} zpj?pmq|%WMH}1Hatk~U=`%KTN;>7L_*QkFd4>LdA-LZGe!;q<`_&<HWvd%TEH1LDm zt<sg7X4%}BEV_PonXX~+%6pnGm)&g_lzVB-5UaaX+xzLI(v4Hr{i<?E_kQ{6%B9*f zaqSmkRxN$~WvLVgSAW%8w{w@)+vk6^-rj$sS@&YnsVi&u?JV{Ft&<Y7M)yEhe}|NK z=4|1k3pbtE_b+H~b=1d6->ZY)9=&E>!<|*AJR`na`skjhI}*>XJ$jbtr<-S&cKwl9 zLYQuzV%oJwVu{DDuPdJL&UCr;=T$jo@9QI*r4R0nx})*#+M{Cy%f-z3w`UiAE?h0P zoxwc2kh^fP*mjQfTYg#<d&@tpdOV5qUe@-gpq;zC=PlE9o$36`=cbPCmC%$XOUt6D z9Xs`AKIq*0=H|a&^TgECinblx_xcLwyNro@R;k}!J@3|HpEmA;9r<T|ZjIY{+3c5e zw&^C{Pb>1*6ffK4U6&r2)Z$+{+vbz>>&`Xr(%S16+x?zmrP6&t^0d*UEZ*MS=>Mm- z?-GCWTq(L^-3{&=clK?%J#S_1*`WSSp?Z%D-p-tGW$CBXe>ZROZuEJ+lYi^>!flGO zlk~e~Up~k`<7zgw(!=(|y)9R5Umo~#=jVQlzfa|(|K3wF-{yO+@Y)H93Bg@O3HR;& zeU*OKeN&pkd`jrou9PObZ*Bfhh2n0z2d(-Xd1Phqp5j+L@8|An=l8qoo*w>H<K6A& z8#bN!a6q-X;?<;Do8ps<aXX&~oR2MP5!bUk_V!O%rkHeV?X(A*Rtdi;eI-&o$Lg@2 zcT990AK&_|&#$ctvRqfY)NZQw%OtDHmA09YVN-XM32$}joVru#^DU3FC$~E8-h1Jx zbn(KgH7+@~uNwKAcbq;Klym#6QD{ibk(B$f)fRq*D}25`*V&l+D3o)5Ma<R1aXF@L z+6#kq^H}6{17|&S`?yTZyzSmrnJb4+M-_ekxI}C_Lw|N5_s11t+d24kH|Ac|?ai&W zd>z@DJG~~fS=#Y>)E$joS05d_uvg5S-!`l8^To|#+Zpy|7jj?RFSeb7S@+|@0`J4e z9rb1|=q_Vcn7Vr7Th`NWv}87YSABCcedF6dGM2h`T&DYcxFh6m8uDH?_v$*qv?8(F zdBv|-ZtGqQ3)R1Gr&XkQW98lZ9<fVqluh3|Eiz|!^zKfzlT%N=TccVcVt4gMS^r;` zu;kl&<7aNnb*^fBIz@Gx?^c~{wn_HlH<L=P#5rhgv(2-2yQw8!*!{O9H0gHww51_0 zY`*{fW_B*=HuKL-9l88j<|kEVx=(F?;~U+Z%m4iOx&KGGy>qlvY`57?3hpY(sW$QV zO}d@@O=(KAg4~lGDNS3!kv-3%v}w8D+($Em(<|OxvE5Vf$}Vo#D))`&RE^h^pOiD( zks_ZRQ`F$AXL-bJy3E67@4k-~`(tOh=KQ`SG&`)c>9gP5N4tX4E3%{~Jk@;Ks=7_r z`{|8uJ5{%>-P7EVKJCUf$KG7?h@|L;i;iu3y|PL(Zcl8W{yv_T<+rYW2zhujVQtyg zy;>i0Ci6$w{=Mci=id9cq?6`<Yd&p$e`&g|s;5uf`TfDm(+-|qzN1Bar_{mIF};sM z*B$#{75@2yTKMOWX5rig=Hc9h?z>-4%=DCxou)6Ob6#9?+OuvR?a!|Kt3SEQul{_L zLHpBDf#12g6Y2!=wtwT8z9e<-tIluF=2nOr{q+!(Tdf~h_GhQ;{p!CTlD%t_kN-L* zB)2oAsr^>LqfNi~LT%&f;vZaU&{Hiv@lWU86_Nj2JEpG*tC_!EXw9EE-l7!K>VsL8 z3;7RbP51v*boG*xd{gNC@MX*6D?RRBS-5m(?(u6UUuLLRJ)QSzcCOgmgx=ugH(p<n z{1*B<Ff`wX`8xYmah1}E4<2owdMniR?bVCbt#&Ns+_f6-M1C3ES+{SY`cIRUtX2WF z*Y(eLK1kRod~{)wdfVZf>i0vIe>r<YnQ!WYjD4s4&l<LS71+eD)qmLHta@X{db24T z&Mg#Lv;FI`H?9w&x80kvm$Sw=Ttt558F9{a&%5@ly8g+vY4uK}^)IH@OngvOI%{>; zRo!!Y-|O5Bt+xL;X{~p*zQ%q1FAHD9ROqz%-4AsVIr;oKUsk8t)d#K{cCzVr>6%s; z=4_q1H@4QY=#<&{R=XPJ*x2_f^Z)-{Z6W?WS5+{oecPq$pUdjPZ$`b0dm8?~{N}TZ zo17;d<hxxGaBcN{{)!3pB88Gq!*f>bd$z{<&!OK>oTvMI(AeLzzoY+k@3yt3qH_~^ zf|pCYz9M<dvXtL>&aVECezpf#mdhnA3hp;Jx$^joKYJHfYRc`NHGP`F(W$noGhSWM zTxMC?_R{aJv;XpUkN0l=!)RymRX~1jRg2%b$H!m1$%<=JU-u@GGdAX?anrhWZ)|x= zPujKZe8O-zwx~l;&+?GRbeZH3Q$Ld@Zvxll-H)EOxGeW}*IBt;_4zYvj`U1A)1S#_ zoAdU#_?kA8$>mr7E?U#QUgqnsdq(+F*GG1)nSJ4R^=Xzlar&>4t@A3~r@8E?oonT2 zfBWF-D$e3Nd@RLx`9wb7;p6#ymrqV?hir{b$+by~_6Mg+Jh)=ZQ}W7=&GIWl^W3VA zNI%;{Im_je)8d#@rRQdE+q&`9fyG;29TiyZ%qh-oQ?VxEfn(vW1I-Up8r$20S>^2V zc4&JS9({YD;O5&04=3F|c${^+bHnGnc7f-2_yo`Gl1-X(vN+@ZiDv72+G$TpXK!LY zs9Vk_vOV`>{HMEyl|_3ZZ|>M48od6-lJNcaOnaVnu{h@@m4#<{?DH_Vr?u?3%tU>m zo~1X{)+mL|e{eK=l4+-_sJLnT#2m|2Rwm)=XY5nCS(GyQbhvN)gf-o_YmPY1o1Ek1 zVN-W?f9u~XOaI^DbKm^_P)Pj^hnSMJe+mxfyt>4hW?9-b({FBKTWtR1zXb=SW|=Ko z**%|c#=Pk^e;+n&tvs1Ism3qv)0cMcYfn}6{}&v5wdVVZO+Vy<IahyjZJHW+eN%_3 zPRR-uZW*nkHSMPi^!EpdXn%5Td-`)xd+N_c{Y!r)HK_hf>e%@+spaU;q#oC@jeica zUjF2|ul9tv=CUW<Jd-o6BBGbPRH**B{m-4svW1S{F37$4KOuJa+8-T^^KD;D-m&Mu z+1zYi@t+_6m)~r)I_kZ9^`~#Qzr-zlxa3}V<>b5fG~<{3i~JwhrhBz9<)Wn7<@%hj z-<`y@mM`s7jrr%4Zu9Gh(%-~STG|VXPd11&uVk$BNKpG;c*%nA=&JnEW79vqFMXE0 z^`Uq|??I(CS0x^PeI0U1QhxXSRjewD8WwM55{f(U)vZm=E{j!VPlGhieg<h?vBb;U zU$Wb=p0?9Imb~-4?u-YQ(qGQiW}SZFZ?Uxci?pXAv1{in?4DZvBIl`{)y*!?j~|V> z(_SQh{$_B!t@g~uhYXu@XRyC8lSy30dwj;noh524&wTCfCa_$#o4(6+=2U~LEiA!4 z9)@>XcqaS2NL<8O>to>MVt6ls=P}Q-#5D(NdJH2PF0yeOo=T|U&)*=SJjLn%kI(zN zZzgZDxpI0!!X@#S?j5Qg292|(B=y)dPSHH-VjSM~@6!TZXa2uFFBY%obpG-)%-Tk9 z=C^GD{Cjz{(t5M+pWdszRQ1k=M7h=V`OgksI6P@{JEPdE<bADdGtw8<^lrN#alO|l zAg=9ZoBq?(8L0~yw?!9mY&^T5=X<NJn3qA+%uhj4hujulosqHCcbbjHGO5($B-715 zsv?pX+NnhsaTuI*O3pDT`cPr3Ch_%yi)|l-jQaH8@J)+(>TFwDKPl!etO<zDXxM&# zhRKm+?%u*18Z*zIzstw{wDh0T`Z@ZqYVNGqtpBR!&?NSw&WlCt)_<<3?Rs$Kum$&5 z!)s2=JsU3weAjjFz7RjZ%A&XMj7I)}=%n?npE^#QFn>^bJN09#MAj6Y2c^<!3+>t> zG8ztwNhS3^%@F)0S;}2;mrcUt$<`gISCpP?wMcj({V7UjO8|en&Xwc7-uoEyBupN? zy}dsrsOk0n7qMDGwz(6I&U`dWHMwoK*sF!J4HJ~&9;_3STF~9v_9ADYA)AzHcjZYd z$$f_UH{BPf80z0-=jOd|d{TbL_xl;zvpRCzU#wmdvBXU()}7~Uqg%N^_Iriex1x&g zHH^dC_7%N}Z?n5Mqm}E!tro?&nFhyP_AU!K!l(bWPa%WzvA39y!MRE7N4fW=u8erK zJfg<dX7i6<6<G@z=S5_6JQtHn-c)F^@xp%N8rRvqCN-M*oezIK(azvJ|Mg^e!n?Ke z6Sc(d-#+&7NKw^sC&_!SoM(4E{W9<9_2bOkydO?D{hX5XM0?uh&R-r2lN#qFE#&#G zV_8v=v(RoCC<+fdIiJ~bLE?N*&C#G8XI9LPm{lcZ*^#+zx11J7)S+tSWW(QlTT%`h zoN%(`*%q)56vzw3q>^T|9{Z6n#m8sGVcvMdg?$??Fx>6c(^+;-j;;5oNUDjy&aCGX z133&A_Zji2r(MYudzCn~urKMJjkm7J0VQtUjY0EQXv|M9e`&l(V(#m&m1dH2*7sU< zY_vQnF+1to+!g2dO$je=uGDEOzb}2^aLwiwc4adR4wwnI-N-s5k^kk9v7u`Ih2<%Z zKR+$@KglHAbLqULaPTLty*p>lm}LH{_r#GYdrmsl=4`vb5Upc!B<u7=rJig4%)-K7 z3l{H5l8jBhVtMnmufeN1I_r~lD+AV;dMQr3WGEKT@2q>}cnCM|hI5nhJD!S3B~O~z z{NiGCZ<ugw)#AYCOXlxWUS@n$?Qy}P<$2oz_@i~M953U3dOMn%cjINJWFA8;^=%7p z_nhjSTCg|JQcdgn@fX5Lnsbt6{B%-&_gsAV@o=s=pZc`zvcDebYPgy|E=an1JM&~y z;<`YNjjzsb3y|m5F*)GG&Aai2Q}P;PE%j}!uX`qSZY|gzxHIMd^_fuy{hhs5-D~gp zJ+4Uly!H4AOM%Ee=DQM3%>6EPZehW@BpH95x#qo(JI|i5Q||Ui`FPDD?#$fp(&si7 z)TI@sMI>$66tPe*BBNvTuRnUbJ~Gy-3he!{pwRBfnv&xyW?RVG_LK=MeY<+Sm6C$Z zVtuwG0U0Ci2M;%J*2)+rHY`?qVrt4SvT%Cj5{d0!ttyjF7hiYcJohp=`hw88$T^zl zUN1i&y!n&T-b7nNZU4;ya!FAd{D!(FM>0=eRI0hKyGQ-mD`U6Hqni6OLi8-3hkwm& zIKVPpw=y8c$V<`hgU{k4k9f{+3XtEdYjQw_o44?0(H4%qU*Emxyj*isWBm-<=dYA~ zF9=<eD)n2pb?x>ij)g}xT4#hjmsAy-{Hlig>Eu<dpFFQRIltK^qkBmBu}$~Nvekic zhF9Nh3uv;eTBDP}$=^H8BkjSxUrjGMwF|0yHg#$j1ou{TWL9o${S}e4ka1d6M#tW1 zi(M;{x3uhF`hH-=<{j6zoqzGMaYeDe?Khzr3#JFA7pCUz59BK3eb><X>qhEA#$8dL zcndE)l3qOfq4u`M(>-x>a+upCzjC<Rx<!9lV38u@|AueA)LXS_JJiKqJ>H<&&fu<N zawL$u_oG+*JeM1rW9HvGy2CbYiMVK8;uP;I<@;NMO0HjLGMv)?dUcz`$>y)#K}iby z1`GRz(>^h%`${~T!kPT#@@J97rLFhAPY!sld@;t=XLC@wuhXn~Rj#fso4v|?nP#oq zwYnol@0Gf1jO*Ry_kRe5dw-ubA#ow6?HseuUpAij!n5H<i{i8y2FEmQ{R>$iEU9}p znVaFcZ+O?zs%1($qSBoBPy4<|p3BL+#z67@uh|C2THi#bG2S-&zteYbh0)^L<J)9k zAGv#8ZvOJ~(Kk}+o{6RJXG^;*`71U5`|T9|+SsB7<D1!*W;4I1PM2AjUU#u`MU?(> zzYh<)zwET$9q07^{9Hbf?oH|U*6@k!-F$t)TC2nDZ_HKJTIJiN^VWPRe#Q99`m4a- zxlg-4yyBiNm)xLSzmZYmMaBV@De(_791LCemxwr=xu!3(++gO8^XdK4k+K@|toaXo zIJ2_4;?<Q}o5EL&a#mjjnq_o#4sAZ@XL~Hu{qIpFgCi5;Sn|d9oy~us(j)!K;^@gH zqx*$jt{LA?Tx3!@A^l8eVf#tHyT{Fz%O&g%=HGaDrTdMSSCZcpy-3Qv`}PXwHw!5X zPObA+et|-9=X5M(HPS5nCU&@dx9UFe;YJ~s>zQBoR{pMdeua5Y=_|!=mZ!xN_XqbE zo-_1t@!5QJVw}s#e3{JwLi+M0a}KK%$<479%`aat<C%r4(uuma8A^hA@<m|>4<{~v z_qZdNzu?Nsc8h0Mlx50a8Twh22zt*edBw6^CS75t@BUM79SrXlvbpqF-H&8yWA(dx z*lGE>=7{Cz9!D-e=N!FUE^%dW|Hd;5yS=+l%ks{MNtrWS-m~j;xbSCZ*FxFV{oZ^A z3e}gdskKLZ-09r6!DxS8h|8qUpI)owJqkW^^1Vz*%$Ml577j)0j}<mNae5H7o%!IK zKb~<G0cVQDCMMO?>3AId8TouoQ~OuHyT{p<%Oxxh=HGa7rMtw8y?t3%lXJ?Jh?`H@ zW)WqvvvbRZiw#OQ_Rf|+qt0*Cb9x_J?se}QA7)-|Oj^B}MI>2vc|eTr-4{9w+kO4+ z9#2~?m(U%|zwzoy_Z!cyB<K9sDHrKB-f>t}_)PhhzKnl!`xbX!yFbUizH@iy=TGVy zh0%q3R~N{Y?M{)ejwx!`t7my6Cj6pO&zbqwM|Drt{+!vJXRmHweDQVq^X*laUba7x zk12WSx$cgn+m4`WiFcD~&lIJ~B`oviGd!&7F7eE&tY_iRO*hK@&YzFTv0lD2W#9Yq zRJlddy`McS`o}3AeT@0%W0jj9a!<;uC+#;g-TxwK_f_NlIZ4|~4ff|G8Jnuw7jK+C zYtDVi$!kNV|7q^7y&w_(j^}XfruIa=o5usD%OrGo^A(=BswX&Y=MxFrPp@^q*}H!V z?tXjz!JjbSGW*@1tbI0Zx14Z4@yN9_hq|*z&!tUUT_BgXJ4L=Yrl?`3o~2WH`0k@K zrq7Rh6Z!1<cJED(Z_K~1_bBP(f<I+~;T^l5PWO$`j++p_ZN~MShv_ems|rQ#`Tf;d z_Il=QwKu_CQ}->rzVOYVrxR~xFK*M>XJc`0VNlPhdy`DBl;z%@w!!@Si5RsTk0)uC z?M`Wn)w_AvZ~B|$c5l87cU9YOEMqAX-TCR=7q#Vn8!oL3UQ_Uj=d}0B)3aBdJAKW= zRnhui!1O)K_N@8hy4pXs?&j?)-rcgN-}~xo{taGTe?~@o{p!#6Y(IFf7FhJUJWTPB z(oflaU)Gk{of5lka8T&y(IZ@Xi7TfHpV?B>^)&3<hC`=p*K8@0U!8MwNkr~pp6r|( zhohvwZ9Lb;Y_s{HKzWYEp+xDv!uQ56O|2U%C*L=hIQaJblIq~putUYdLVFApk9rFm z?J-)|eqHU$$733TN28k!_ZZJ;|IYTg@L&RCpQO%_!e&>C#0?VaPhW0xotamDs(8^4 zwbayC9?Nb&{?xMSMA9zXhXI;?&(h}lY`2avI#@Q{XX9yAW0~TUa(%l}<lSS68V>8t zWcR*l{cKgt%shUp(k5oVx%zkf<|fMf#;*wfdg{%LIX7;r)SBC0?<^O%qq|g|>BL8& zxevm=)lP_!@6u*<&%S(R-$q?A;qrsCkG40yo60q}VrJJ|jb~!=eff;tb8UKb%03x( zd8}S=R5O~jJUFv(^Uu`P5AG!$|D?4;{kNj%>}2QHZEe$prtLhJ^vHR`o(t{AnOg05 zw7F|-)@XhCxN+A7^#ilsoHhxYU-j|j)HlB^!upS?ttqzJqM5k&c4I>GW`W!vL0dN- zXims%WIy_hiN8&kRZec3SjCSU&(`T2E!)m~m~T5Xf7@L?yRx0KOmE7pTW&lq>)3Gp ztw8eR%L`|=YkpEKzTEi0@#NKOXZM8L=zLJ92zlW6amRt?hn|h??aNu^?B?y%_WpS1 z?ST)6-adFZ_4dKz+1s5P%=6j>_TGJN;$*+wx#!=xkC!if&~CHb{9~ygcg*IfUxxo5 z>dpVM`X6^JdsgOobN6+j-ZjR)HP8Q7Y!p7|di~QptEmMm+4P%En^pwItUcKMFp4)$ zZr$|<PanJS#xdqydvNr-gK359j`(Kr`--CT6}omGjl3wNcjbZWhW%{%UCgExhB9jo zo<6)#YEO;Kx`WyWCQ9vbl39CD`_M+IJxOo0?Hqa3yEZ4O&RKJ?`$5P@Mq3@NvQLG( zZfG8unY3IWy?d_G9PP5M!hI`vC&eDR9kfyW;O?Mb!D)Kyh3;K{<o02qn0Z(K)*Xf= zN~Sw1cLaIo+3mVADY{|$)+b_*l63O~^{zZRc0PmmdDo*D-kBdQ-{k1#v7~1eF1Cp6 zmOi{P>W<BvHAl}L?~J;`B(wUc?vbTYca&n*9o0SdQR<xN;j2<ck1yZc8g+-G?b?NM z$)gjZ?ntm*fAlOdKsV2h?b;);1=+fJiodQs5?ko6n`c;c)gZX)MWl0D9H(top|V6k zx3uf_s5=sFAT4#ed3MjPJ`zjt)6G*%yZ%TlF-$klFzs5wx|%a96pi<{yxh7&&}a40 z-lQzuJf7gJ!p{af#mwa=XBBcAY!urrAe>d$ZLm*lyTs!xi*Uwc*Cs>;9GVezhr{an zBe#ot#mw8RP0u`1%)9<T^kEuroMGM$y%TBsUCZ`fS#|ZluHB!QLr<oCiEr}Mt-t-s zUTuE!vzn6KU5*9|@7~|~%b)k{?NXi6oY+HuHe?CPbp6|Rwf@{1A-~gV@&8gMKU$OX zDYi4G%0hp(o!*JGhVD%#r$^s>a(Y$Hu^mF8r#%WIgeqSYg_?1$JE?ZG^k&Kq#o2Os zr<V0cZ<0*t&S{I#n=Kf2BCTg?kfdav$F%mvlb$}Fk-}=B7pdsy5!Nz!lIY`*mazKC zQC}Y^9o7;RSkw0}d-eXQ4xFb=oHm3ii`+Ijv>{emt}Nroalc-c8%rk&>^2oj>~~9g z6RPdF(XI4hdc}OVA8Ap_HILT_wiQc#yr3J_+5b+8^MbRoVpW!klYZ}!7qZ$@6Cze$ zJ9XGf^hd<{CI;ycfuzM;N;yG}J!gwVXCGzDD_9`Z<ap42%P;GhQ$8HKF5t+2x7)wV zD(S^CW&YUGBj&zVTo)qU6|G)s9CF_qV`%pyt5$<8>t)6NiuFq$%RZUgr*ZF9$HL|F zrng&KeKL%8XH0vkal}W~b;iQQ5|_7rK5XEiEcYw>h`W6+%Zt?$1!_%%7H)T|$dLY% zyn4UC=k57N*UL`7pngr#F}^wQi?M|B{~bp5SEm{{Y~o-$HudGkzVAB&H+dRFnQ0z7 zp>{ap<`)A$v)Vl?{PvXY$-g`CtU&qd;xt*;@RdF_!pf0yZ64G57fupQn32*dp%*FW z<`LF2@#@0(aQ(EW)mH<x7!Lk@rQz$qx!u(1Ly)q_dXqyRqLk(CWgIyk-OEz3e4@bn zt4u*Q0gQ*8MJp<nFv<6a3OwlKa<U0^Y)RMZ_!y%Xxp~IkWuetMP8`d^+5={OxZv-; z$NXhS(v&_4w^t_)bIAUbSf_kXvP9&OV`-e1z)_L4Z+Iq~K1!VAR<ZuFM+2u6r(vA3 z;IT}VV>cFDQh)9JBS4n<D~tSU<|XsC-@hAR#QE-|+R@sZDHRH{<>XE+>v!KI`CwyC zTZPhW!Mqb`J?U3>Y@W9$xU}Q?XUV@ipPWwrEWXL}W009-+{tC_*EhX<+?&Hzp*>si z-pRC<@0(7B%dhO=nY+|zlaQU)zMcnLa)RDW_HFyS>E*+QoL?3ivl;nLrga?NB>Au- z=a_}o)QisID|_NNkE_J@KiH8IG-rZu+vH6zA5O{nbwhhL<GB-Q9h-wRYtBsh72hy> zlGvkZDXu$oBMslR{Lf$ge^%7m=%~82`FH1?7qJlk_dV?Yg2a2T3wQ2Xq&{c&yz50C z^CoCMY~mG;*^=1h{jfg!YrM)GooCCs_c3zn&6x0L4`-#xtN+u()&|`;VIDQz`R1}6 zi*E4l(3-l?Ft(u3w@k?X)~XvK{!1rcis;`saf<sjr^l-{>$TT-cYM6`=faH!&cM`# z>+^FiPm!ru^yZVY+Q~F)pJ`{d)gPSl>Sn%1;;NL78C!1IAI;A^w|<$3{oI`nW}0HB z)DA1%{IVg!tX5~@SqAS^W0(4yJr^R)l1nC@@-U1Q`WbU2)Qof2Nwxk-sgoDB=TyDW zOg&@Zyve3#qK^D#73~8#C%FvWHz}Q&x<=yjqEiwY^H&R1z3f=9y-(xUi;jis`)s~+ z|C=ACu~@!7@Aksl+4kli?XGC9>Y3fs^|4}`mE7#xQ%@T$n3XE|@j!sPNOQ>%XH(ga z6~68@Kd%VJuUUO}`_J{gy7pUg|FNe3@tV9<`{9-p!8xC_)7QwppU9p%`9VWY(3|PL zZMB<TCa%x<bwhbJqu+_Nj_aEwA5P6VR-rj{;-e)hpX7cznQ_iLt#;J-W=e(DY`Jq) zlU_dVT=PjZ#?0Af(pe7vRO4f!D|>i$t4>_s_dRv8yW}R%!f-RmcPEy$-`@1{@wA*z zPhHHM?@Tz$aXr=enCZ$Mxjt{Dc-i%*)Z%%WPA=J@Fq`Lj>gB_hH@_6RnK93qdR8EM z)x}6_`QuBBSS_?775hBGS`JSVeLUg6ebnFWSF-LdbWZjTj&)9Ew`)%>{@}m%UtnO+ z!-Rm&owu)cpFQ~@OF)m|V8^SX2@OKq{(GvjSerO)3|8jZZSp8_yW5Y33my%7r8qbG zD+`)ssvK*y*POaQVr5tp|HS4jB|9&^%$7$R+$8!kjvNl`{jhoYM26$0LXTS9l;&LV z=n2kJm2g`*^+EEBEweiK7cZ4sIAf(?Tcp%SgJ@;DXBjF7VtQL<ET72n*;FXGF^ZFa z@l~%6j@tr!j~u_L)El64jpMLTZ^ev-g7T+Lgc5eTIjsrcev#~6x?9ho;p{4Q`88S{ z8$wwPx1DVFY&y(*l{eCf<6HRmp46a2X0n1lR|NT4Y<UgmT%6c`+3HiFmph~1tB!JC zSyzj7izSZRY_+SgUp#e6|Hj2pR(2=)UUc*u$r|Qdo!H)N^{LRoo$=f2j`QMHT^qvP z4Zmf4O}w%CYCHe-yHQql_sU*&_}5w`-B_s1f4lTa^6b7JZvO6y^ImEk3YI-uvFPgY z!*XlwYM$6$yYzA4cMo?F{?a4nf2}?h#Jk(Qd#Q0CR<?D=;;C1T=jE;eS25P6LW%8e zNjdylv*%CyCL5LfbiP*if(^H~oh`Fj{V$|5QF!5==}~{xEh}ZOX_VPs`?oSkJh8j? zlGV|rjIaNP+>GDav3$*>8gmUl`=rH5+YjH&S~UNsQ7m78e$`7S|0B9PKi98{vMKo$ zEalCyy2X3b$?p?4olNJynX*G`w%odtYWz_t#s^Ao@)U-fDc_lXR^s<kqo6wzUF?iy zPL)+2QnApOEyA8MIdOkZ)eZI8cHd5=HP~*FOq!hIc0+ZxVOg4ZR_6}Y@@TD%?a`B- zK3<l>x<fHiQO_f+rFfF)<D_jS1*_wZgbRl_Y_Q!OKBY-WFQetb9yg71nJo|3xY@{D z>*&8M#aZB`tmv1ea)`6{h{e3M6H}cw`j$RS|1rajqc5xFQHPsESmu$#Ouav5te?p6 z*+eL5otx5`iyl2Uvs8bqTFk^B9r7S~GZ)jFFvpJ5S{((Rtb5!~ux5&FJoqSw&4-h} zVN<4&@*U0FR_PtpFFTSJ_DP7nIB~c}_UDY{%5ooGY8-Kqb)B(xvBc(0-uE>h9liAG z@bBY3y0Y&Tj7wY$W8HZ!mpn;a)mP(lF|gsJ6_=r>`=0qPG>+-5wcF#n)%e)#5am6t zra}pQZcaH@iuyK$C>u`u@$xBG&|Xz@?cG<y5?PFS-9Qromsc0Z#d2O&=}yQhtrFS( z<l1SqgH|_}L}<_E$xgX^IP2z@jR9uNYo_?hwRkUGFhePlXR_+)!zw2~83t}*@|mzk zz*t55klV?wjSH<bj?P^sG;MW5LS*R_wFNB)BYoxzH91Nxlvp9y#whjig0HgOuS^w( z_}-Qm!Lep63nNxdn~~oU@*r^|SB*}PV}r34hoO(HSIa`J4nr4k4Qmxzo%8daxLQbi zz|1KZ+}&9Ym$(>)xbrxdJW1TpSHp8Du%Xe4%g{kvQ(j&wQDV6uf3oSL<Q_Mso@*W* zfl{3YLCS`1DdPDZJ5;almNLI0yyw(9e$Le`npZz~-kCI8XST@Xl*x&Ua;hZsX4@S* zoz_seNiu0oj+=z?Y(qEi)Fm%eJ8RtJRcEs(r%q04$uZiq+K=JUv^&B#zkG}^W44)e zR)9a%_z?5Wp2GcBmtIR&d9^>a-cdbC|Nh?CiN116PO0^?r%q;X*>qCb>E;u~g*k0I z^kxgLJDJwAI!My?%Y;)W%2Uf#<M%1Q)><tRn=*Of=A5b*DzokEPDQf*P?)WF&wJ^I zi?LSQ8Gm_)b-bJ;nm8-v=!{>>zXaI_=AE?dy&PR6^lYzO_UeTW+x}KbueMyW{mH!> z1_!gHmsN-^-@Q!4ynAP6Y=T46SG6TK5}ew4Z?a9Al7Dh<PwMPPtx4t&c80W_(%qT9 zNjN@vx$7q1!dR)7y<aPoMVAzLzsudW-FLx_%zcxCO&=tGyVGZIxi)S2o4EN2_KP;> zY&%@7*}Sd(VEY>;6T$6IcBPodcJ5HUzI9g6ok_3NXS4iGot)H=W5hGXci)eb%lda; zb?S&NDo-&!l6$kKz}qZ2W|EiB#~`7fF(uBBHgo9BlpRX5<@CHIjZ3D_YT^$)`RQWt zCZ;cw)(GrX)pn9U*>%x;O;6*SX?OO%dF9i_@$PlUqsBgoyjLd<FILz)$MH#YQGfHP z9TW3Uu3g!8{LQpGle4DX)ZMw<YEi{9<+kTmpFTLb+r_=sIB+=Y``SB`qGR_yy11?- zbdsxq`EGaBH9~PG)#fXvOn%gxW3*?gFaP_L%g3v4J~3XF)AmDSw&1+eX+7tze%&K` zl*{vp?I!OsZO<7sJ}1>&&2K)L>t|NEezNbqw<(jqTi<*l>u;vaGySZ@>7_<SI@3>` z2tO`5Nk3jT{FK^Jt(z$ky0hi3omketb(3Vm+?=)u{n>(MC(?RSgCuQrCW93Ff)tyd zRy!(o^NDrU%`Y2#&1!R|pJlL4F+SqAQpE0;S6D;cB+*6tQ(P+)zh05h-}_~n%*FhZ zx*spCo+zp+I<0EzjOaVQ4{mP=U}WJwe5z;ygPo0u(}pNzk!+Jg8^V<3u4NuM&eY3t zV}anl-m4xhzEYhVBiFJVRl3^BKT%go@y05_u3V|a8|wu5txX;!FLz^lbIGIQu2km+ zcV$DhRZ|lp*6jLVXcD2!;%sv0yQNg3#4<s-t=UJ8bM&%EtQ6e$_JT*tMX63hx3w+p zs;ju=Q<6=c4E&U9-Y*es<COYn;Gt}%mZ@?erMG3qBC!j{gG5;(mNf~S4i!jj<w{yJ zg+G{&Z}s$Kp+m}tUqwZzsm5FqJeVpQxaNxB;ZWJi9m|yEua_P%7qa3i40gAXxD?n^ z993Hpu-fvY;kK>2eoPZQs3;pKb4~EDplqeZDrNcOB_a=Y^f}GB5ZE%=s;h97)EBjm z<By|ikH{A2&W+es;$rCU&hxqSNn&GPjn0+823IStjS=pG(_UyC3tDR@XO{W(p>qDr zX?^^ApISZI*xD!Y?A3|GTCzVQ)-GnqE)hvu8x|x#d+R>Ygl@Nr9qT4?JU113+!TKE zkIMEZ%i5;82JBTe*IjdD!zJCFFK<5X;aq!|=jgiQXR;FJ-kmgi=?;^GvTrw1l9op= zwd}gwKV$Wcw+8EHt*G+O3*Kh!qjzQ6hRG+*4#rLMb4=acqImOA92<*ssDoh)E01!> zgN3VSt?bX!;<(_<s#vv3!AW2A$cwd8<|NI3bRfOgPD|m%YNoC_t%MirnfRGQ9waZ| zV&VyM>^P{^Vc^1Qm>0D33D57TvnpmRx>55p@C}3hlrM^Gseg?(D)WTAk=Scwy|d-` z^7%ToKc$q*Qdo6vtDXsBJm@1Da3+B9aEWNejAcyn!Jz^PE4Z9|&b^w+AeW<QcG&6Y zv*7tjzh|t!!T3zGtYc@FY+_&1@fooj>vO|jMI7p^pPJkBxXZWDXQO1F*0y%#NxF42 z9&bqcZ0fU7lTXX+Fyqm08$vf7%@=qRoMTreIy<R9$@ooJ4*zbI=$hF2<w>9G7TyrK zEjl}Kc2e~X{hN>2ujXl+9n?L#Y{$wQJmw;|50{=4lW*R?>;_A?=<G*bNyc+Ra`>mK zMAsbnyC_LGCLpKlbJy7dzm2wYrsjNn`N_D;w;*bxrk|$SVX33vHhhS`{M32pHw&xR zQ@`f^SE_p0q!XI-dB%hI%ZXF=XrJvi^k-!$4s|eeXXV)(`XF%{kIVhJE1Be?S2rZ> z;*wal^1$IMYo6F|$rEC|yW|FowCHU2rmnLa;x_)==fBBS^17DUL8YV1BG%sES*;S? zvwUjTS!S`LX%Xvg)J)dDne;~|G^a_m%XeeMM#*1)X6Jl%o1SA>m!@TQkmu+#-E}wi z92A|seS1pxM`PEGoNC%;M^%nK`)z&nn}Oq|qdz3q-`L}=VRkg)Xqv?08**;m+a&B4 zi_A_~k`&w%kkj_C>uut<{Y$Sq{kwDJ!bV-a)ah<5H=9ELPb+i(n7nk+@3kR}2f0K8 zbix@A8;Mp#{L0VVpyBYzd4acy(}oD;n#9W-@wZG4iJP}}yt9p0X8CO5WEif@Q*81m zagmeD@&6yzYbjQ&7wjsRN~~Bd$e%Bw)OIAhS76U2j-%ea274}av|DotHb0)}#xXCe z<x!`bgk1KK!^*84iJt<LS@=zy3geY|zMDLHxX$TFL*+U_IUnukPCT;|r4l992=X_J zD7Bq)=oR3(z~NfoYw+cANBcf5L3^2xfts6tRPMevi{Dkh*Wk;Qj&?aNLHkG7VwG9$ znmAnyRpyB`d9-k|jmA-jmrIzMPT%wjVG}w1Z#vTtw-8pjXMgoy8k~H;>Fjlm_)b%& zjRDF$-6oF`H#)ggPS0pbSn2j7WQky#sMJRTcg3WH{0kiM$)-*lJ(YQ8n?6eHb~<w4 z-YUVSk5UUImI&UPYWgU#!|BKYxmAKqiBb=v{dzyxE_bu(3F?rzvDnnfz)iX4>?MxF z4!s{ZLllz|&R^g-sM8y8<{HOguilD?^#X<)u4lF+^tx5VEEcqDOyl3P<j7&`){e%% zVahD|CWn@%^%~e*>1cn>CCL1HrrVDzYXt2~vs?VnN`3sGP~E4lX!F=zOW}nkfAqzf z>?<1HzX*M>aQ>YLAD%b+q!eE)7VNqwmH1+*Aiw+*E(602)f#q53HvYAXvAf=v@ea) z;?EXUib`7M#<48BC25wM#Imd-hecXD8f_z$Stgq}89FNSJT`fhxWwtmfp_Z!?e1r{ zoc}KM@bg}`A2lGCTW7XBSbS+!QUd?9>xOD<W#zpUFO~@yZrGjGvY^{d!z#OF;bb?P znh-Aywr}jcA7*$f+dR9(aab9|R7^_Pe~sgPsnkQ}Xyuwy7dYf^aCx$AbNg}N8i)K1 zu&CU<Q_VpoyEcAe(*1QuLNuT!jPbCB=m$P^^Ihs}-#B^&c&>9CHRv_qxylj0SY#4U zPOkvZC61#Gy#_oNI@<qn2{P}V@5b>ft7TEYn?zOi5oi6@4zqVF1)E-f>ip=UJ^vcV zVa?tOi<JU~8~ig`9_(<_=*w(*xWdgwCa^=|M!Ts~fd_cxt-wK9?whs?&zo8)#T%;y zyKJQrZ>$yM-z}oV_RX(X;LQb&qh-AYGFLj<+dnA?p8ey%%JMzbq0pa|r#$q)_dp{7 z-I{1tmhB-9g~6;m<{=Lr_VBnojtgcy7%CbN6UcZtRkUJ<3iHKv;-L-&j;tcjLk|@A zvC5r0<#1-|`==dFK2v8u&2&98B|7AQfitVzvC|GdlZ8VZ3<6n2CWjm_h-9rv{CE9} z+~hCCm8D5RvrnvHI>@ry!SJ5m2iMzr6%wZ}J-1I$+_}q8&0SGq>f(T&Gl3Ns<}I#} z$O}Dk#ahQ*@y6uE0dLL)N|Zg-klPk5YUrAOI*`NaxrUroq^O~8{gSfQ2hM#?AMM;9 zChiLr+<g4DOzR`xzC$0Z+&_M}w5o$Mb@J9_C$DK$ukr|%c=vqC$Aa^#IxL?#_Bnkp za9>z4W$_0IRrik{j;!k7e3{wj^g+jcVa2?~AAV@NKg`VyJ@V$Omb+rb+{FQR&IU@{ zd#14`JW|x~>fZB#74OdmYS=x~u*uu@ZNd{N|8I9@F8aV!vXPr5_AQgE`R)VXnad73 z^G9!-l<j0&EYkFOo0r=44!&m^Jncmy{OxPIR{WnR)A}Hz?@;nanbwCDeUBctgbH4M zcv7bIK}g@B$7f_(ANuqix}LXMY1xHhORj^BGOdrC`VJKsx<6Fx%-t*ETX0iPAZ8=C zNcdZ(!=}3*Jly3guyuc;I7i+s)~@KX#)sQtA0#gJ6<B>rdbfkYC9@evvW!pZ8T4%9 zmTSAovhfPf25y$WZ<$=}cOSTLU9aLrvha~#mv8F{@NDB2+5DF2u+HuWiOYNiywCIO zb}+cB7vQs*n<w~fQ@f#=;~J~rH%v!Ub{{Y}saG*0LwJ(FExiv3*YqkRo-g&cKKY(= zGOp7Wk)C1#iD+iv9CmeRjq%3GnZ)eQ+#xirF7H6*rj+318f_NQubYzSdhoVG&2 zsa*6(#kwmkNt+)zcvrZII(!IW74Z)_&@2ZT-sBGzc+kk@bSK=grCO__FkI8}aMIEz zYg(+mxZDb&S!)gjF&@?wt=O@UN&b4Mz=K&_PBEd5E!tWgg^`*&+k3rE?iJG0)I73% zTgZXwlA;E4!W-L*wLTPvu`-@pq2MCERQ&gi1veP4X_s}BcF88LO*$TN_>%O~`nBud z-w<=&WZvC!v+3&pZ`;@YOHNi^oBhXl{`=Y5Z2U&6*^aJWb?}3ssnl#KuN_+ZF2$R1 ztPADsdL7#QFzDuoNsoC}N!7Tml@f7Y&31Uh6_38n&z5&NZrGL;ptDAbr(0;Tu4mt6 z(~}B@9J|7KyX4+hXiw?w^X0J(*0sE}#H9O)hx2N-qZ?KoH29-l_vn<{@oz~h_f1-% z`*d-sUy%<_mtJV|!swzGM_%06*AlmKnoO0$EZ3qJjiJp+1y>Vh%#g7%v{E}iE9Uo0 z`|ZE>*Ja!dIdo~-r+Svtm;P%^TmJv!O`X5z51;yCFTeWIe<mHz|J)mwJ$)bbSN&i7 z@oTw1_x#$mGD~pJM4hhfla7Ay+hnt6x{kcOiuM8blUyG+b%uLHl%BOWziK{h!{WJ2 z9A&E-lBRP>l&wB+SWfiEjnz#Ize5BbIau#I6XN)D&${0y8$^!#zDS(T$vo%R%lxRn z?2Nak8SIb!W`D5h)c-x);s2i7?)bF7{pi&HwK^;RoS#19)PKckTYpav`~Ua+)8ogj z%uH1GAHKM8sqf|a*4r`<y{Z2<f9=1MTAy|@?*0GMeC@yXfTN~z#vh;6|I1zdU*BEq z<nORapJhE)K0g2U%9Gn#Cw(JT-p6~MYtBrQsjXJssoDL6S^H$Ag-`F|_p4OQQzzCq zsao=9)INS;tqoE;Kh*P_bI^H<UwvLh!D1)V!zO)RU}Vy_Z|kHxQ(Qm2ochE@ho@(8 z{z|acDAmd<6Y~#zU7QawDoeHU&cxj>-0w$t=ABadIi=puT1RmH<V!;NC#=>eb}gB_ zS-E@fwwsC1)7-b6Q2M#Vt7u=KS-<Uiu-TncpVUlFFT0UC^~o}wN&M?no(p%}One?b zY4#=$yM2@9<Zn5{w|fCtY2nl-HLFv~ZnT1x_ODfWK3jJ4VLgZ=N>nZHubUxTRtWa& zVy%-u{f@lZ;0+E5`AE-m=8|dcu~A?LcC0!4Nq0}-8_URcQ<sS)6=f+OLmF=;PEQ9r zZ-ZCSrHM0T%Ur<*Id5wEESIVhH&gVEfa|4&kwNPh-@G1{>d70ZB0E|2sddid6{|Nd zY15c=?!+WE*|T=r)V#Aglrrb*WZt;=CO#W1#dm6wo9x+rTlK(F`*kw!TznJ$8Y1;0 zUG5@hXwByrRj2=-zY%@hbCXQjJo&rpu9rn_s-Kk8cyv<g>FRsCyFAwH-h3~6#XHTt z@7C3YUS+nMvABH8zP*><8S)u}z-8&Qw6wH&y(bo(;W_aqd6{<I{dgBQHy1XQI_r;T zgBBF;Qj0$zw*OM&(IagC3fC-hDwur!f*0eqkdLh#=Ry}xN=o|tr)&C+KUqm4*H_OF zQ`Y&Q9oKU7DhJ!Tkd1*o8;-265EKhlp0Ok9Xqw?6o&AqoXT<g<Jd6^JKFU%2w@W-R z(X^@8`)Wh;=c^6f+*cjA#EWyjy=9%Xr|ADBag`n4RHLm!n>_D465f@yW5&d(HIrTl z@7=Q|>+5lq9nu|<tJ;1x&R9At;_!9m)QOKa*}Jpp{cKj#`_(L__oLY?um0!VBlE+W zmafX@&A6ww{ipfM2g~ed1@~;bDt}hf?e?SoXOH&T&x-EZc2fSVuG{Sw{nHNF)^7<h zyj2AzcU^ON#4jcNV^NyZPxXT?|70!dPM5d)==C06YwuOFe&dB%%jUkmb#=>@C9|!r zo0>ek^wVT^+LewqFS}#*+1BshKS}V+il3E_+#SR2&&ka^VUp6#d4A2qxtkJBh-|W) z#*udQgI{FV=07@DyUv%+NqGAF(%b2kLX*F+H5pbh9}U@i@Ic1igGZR&`UU7*E@JlH zdgq9f?)D8Hr*qF-C}O|7_0CZfUH`;S&z+c8o!@%x%Jt`yX6Tx&6H=bBI7Os*>X`#J zs>X)#Cv|iJjHH97o;j$bTD)<`v-VDVaf$q2-b<F)O+FIDsu&UWd3xvm2@_R*trj@Q zAi79mg%caw$_bqZwNes0l-`S+7Cmx+i`8&rkfY9;AOY#sqDKx&u@)KzIR?E@SHG!p zuTD*!CEsqcnE$k^muf|oUi{z56nH22s8K<9tIwS<Meg$=mCGyEDzL?Ac63hXN_yDZ zbfaRO0-u~_M|V5dq(jZ;>n8kI=Wt0^LTl~5m|FFoKQUrUouv+E)lL18DX_$P>E}{Y z-LIytvr6=)pG`dS{LqT9PZj-(`NiG03hD86DQDG9{IPM0c+t#b$4dBWvw!4RJjl?g znE3gnT8~h_z1!Y{Ra>|=PV{@T%uA!Q)yu6Q<fKNQ=FG;&UTKe7CPiATyv5qJQ7a{3 z4%bYH^-f}HD<<@IYCTDq#I<wA8YfL3!Dv?JKg|1U^rjzSD3thG*mRcH%5P)rY2KQ< z2d2j}>6@Jl-gHKE)y&^tX7&l^Ov>GJNON1}&c@hHXGFbbTE{9MSeCPG>$YX@x>e4t zP&^oEy2L_VE@f?LWnAQ+wZ7pdQy%QHSikm?idl!Oi>UCPONrMwUvFqMx5|06mM^;E z`_8KU8rw3y-8vA)Yr4_bSvTi;fV8#Am4kY`rG-J8-8J8Yh`CQ&Z@~7-;Gn|C1z#Sj zRApK^`s*%#vG6I|uI!zidQo``SLc1#V0*oxk$-;XhR%MgoQHe)ZcD7Xy{%c%>dm5l zzU3Ew7e73ZpL<<1eud_irx$(aWNkGwRsFf9rflwwX%eTFN?XhgR`2$(n5Et8;U)Oo zQ`u<Jk)2zZ1m}31zNDiz^N1j?YK6wav!;7$DxPn1nRMGo^+oXNWg>q~t~k{5ni?($ zTeDT^E8nqwlf2d@G}Q&2dF~t6$TLYT?hs3J>5QZfzRk>myW(Y*M`s!OcvfciUkYgI zl=Au*bm{BVm5tU?S&!DWMOCa=XeQSw+$8ig_)e6~RGtRccUPYnq=r_STE?_%zVoQ+ z<1+gY(e7(=F_GK9^u{sW!ezmScGfiNYF@j_W!r7;eRA9RtI4}r%1W|5H%&WwC-C>_ zBmRdrEZetis{gB>Ki{d8xkYl6UFCW`d4g)E<V3lqnG@x@A}7i*Z(h8~XQTP4q=fn@ zM~_U@=x$vcC?<V;kq)1jOJs~s_ogKVu{LXpWwUQfbp_wD-0GzLIis+}D`}0zy5AND zEp(Sl{NJh4ymc<uOP_tqG%w$nwC*^|$33YRCwW(k`TU8ASoX~7+STVb^lBpSbno2s z?3~QoO}<}eEN}3=xl7-`^$ml~iGHQB6=$Z4${jv>)^F9+CwqKuW;C`2G5-#ooxAe< z^8H7AB0qkJzxrsVs<zy})iE~3OO9S%r#AiM_0-w3vnS1veyA`*{^5cd;tvzp7g+q& zwZ5^y!poU6EL-EK7O&}s7{5xv%~CNcYaRR3CPl1S$l`4>C23`wR79AzuD;*wOK*Qx zznt!F!4c+S5pi;bY|fg5c7d?f#WPbs>zCe4<rK@-IJ*1%l`97(oL_SGTJ{fL)3=u+ zlwVig5LvD_dv$iA^M!)KKc5Sm1cT<~p4*bb_4UmI8O^hD`K#vi1s(aOk!_}ZG;+rA z&!&}fwOf>`+Gpusn$s_MzVeyXr_W_;+(Q+w&8$<K)2p>mWtq0*K_1n`GghXsrD@LW zyy=ye*f;6sjMXW8&opOt|MZ%6h$(d9#*eO|y=of+PI8E8N*)zaHU98!yH?tw*+z2F z|FvfJ>UlkTFuAB&&rU0nOTKQkh7q%H$ZX?D6-&J?DO9ZWx^%=Plr?h35+^gY)f1W* zYCTC>#kJJHw=AUS%DmnwUp@EyT-ztSXX?Ae+P1Ik!Kr&iqwBYrZrf)1^zsa)*J-b% zFUeYebj;W^CGFGNmoa*s-}i?GH_2_PTjQcxm9?TV?vYUQ#bth5=SF3Js+3tb^=*Ez z`n1Q+oBs7LytL=V0;cEP!i$+VWoEKoPmjLzLU--zWqvi6PK9s!o#Hwz&+B^XjT`ND z^F7T1mK|O1|7POTgZYX#bZ5^qU6x(6^=9ACWj@;1PA%(udnu<U;p(;T#;PhWmbZ!g zO-)V~tkM-^PWgK6%B?%=4$i)qH~H!9!%JV?)LJc<mZ5d*{-TciA$|KJx7|x>%dxv0 zl%e@#){OMYYno;(zrkd7%4`2k>4a|K+hRE@{>DAJo#@Sd?X=PLNxB<!uU|3S*d?o> zy?0e(!i0Mch7tJ&7h<m+-%^sdcI9!&n~c-XUK1;?Ua@BOiA}E6!4u0@PyMp~=ALlv z*wm@drdGr{Ckw@v%y=-lLT*WyrroOzjr?A3WY_#oU3>HJ8oB%0kE?cn_3MnBKIcfZ ztXjq5!y@ZTZyeD6xNU)up6R38Ud}H)Diq^(Xi7%C{ur~TE~+W#=WOi_M{hGmdTU!2 z-MnQo@9Lk>N9j_{;eXief6qRmZ@TQ$HNM(qUOnGeEw!yunwR%@nNY3HUDfxmBAk75 z^@7_EZ`!x=MaJ6Gk2}`H>p!xI|7s&}{`pUfgSEQLcc`DO`}yg??fv1wO}xBWUK?GV zdEaDA_!72uhQjOTQOtkuR7AR;TrXH*duT`0!(aA`b2b@;@o^kG!M5~T+0n_2i&OWe zb5`ZPT(Rbt7u&R(6F(ifdEm^g-yD(epB;R(k7xSlOSTc7GLyIVa;aOadn%&7<<0?5 zUGqY(YvtRwX`B9S+~l}^tL%J^kZ%vZqzB41)lQV_+B{K?`MHv<+Bxs<D|Rl6kgrWm zXFqi%n^%0v$IZT`JAD=kS9w2vp7lA0G476&er9nZpV{9d2APj|s$?DgUnT}F$+@<A zp~&8&rz3i*9)EVdd13Bq%?+K0lOlEcZWINyYKV1BU(t0!`+)bR+9y|bGp0MveUh+x z+P~DuxX8boW_*6Fa(v_U^Xbx`Ug}TYp(Gu-imhq&Cd1t|QQv1t3B`mvcS&#hr+j~9 zt8d{fBi$LQ+PZyvW%p@DR~4N~Iu~;Ei&s&}Tpj<OO?*<)smE*g1@E(Y=Cl5CVN>R& zBeyH0*S&99SvtvP`_sL*+m@eDE^;%Bytb_C^KaFpqo290ZBBY7>Gb`Wl(9(Wqjt4$ zNJqjRuE3sPMdswt6GtROH*E;h;qU7Te|4>sHFDRDsYik~CQgw!Xr#J0VqFSbn8wV` zsa|P`Q*X?=!FXEA`Q@s=S|1mjOPAW)^;C36kdB<0@9M|mZQ-j04t7~RWIM?8e~pjO zX_1zMohFv=_s!q^=+wRrj&~~sj@F7AeQ;>?xf7ts-5+w|Sh8r*2bb0uo6v<K^RAvc z-I=s^5ex5{KmlQI(Iba=ST`01Ph@7_E^_2(F01Fmz9}DOJ}hQctXQZZA}(^|04J+q zVUSF-?V;!1TP;-m__&UGpE<hOJ7<%~Lj?=rhY7+<IoM~bbp}tE)O_~kxzmfTZ!^7; zE+w^xKl?#NOY?)ImgYy3EZ%>Wd3v#I>y5=fEDlP2%n3WVd*4z2C!*)mV#TgYI&vSJ zs=<Bqsz!HX_NKkM(Glv?k9_4|KX{mf{pbp-y@wBY6j}w8RD=t=XJ{NO;9V-QY$01) z*WT*J_?ww0wxoP|yCH0jbmffr(nA7;EuRzisr4Uxbm4_z-BFHa72BQVNmiYWS}q0- z+bsDvwr;3cwDJhYJx$4@)vCrH98dbxgcxz_Pd(!%{?yLm#i|s&U7C?xcSBv>F2;-Y zs$FzG$x)>x>8h`4{9$X>^_88bj~;udrakPKbo0j26uv&qnca`QrX5oK=yycP_=5k| zYPQ!?Lp-I{SO=+QE?U2;N#{$TqV#-~<o)e3dx8|j*N1AfnqNBQxqNo??T5Q6Ud}fD zmv}eE{f@wUsl+Wt%kErDZ2E2G^KmPmbcLF3aa;83k0DZ9i}pV6+~T$8wQc{=-yyb7 z<{qkD;<2sd(UXNt)6W&y3cN4;n3x~C!_Um&mmb^Q;GBiMzHU}q9;{olN$Yx-URU`# zkyGK7MK7hc9f{U|edYA@%Dj_a%W7smUnaHbVwg>N%!?VBnbTLMCLcBZxNV-(@n^Gq zykn#F&ik9jUX|wf)$x4YU$(A$Rn3iYRn49As+wEns+xP>Z8FMOchdCJQeSgZl^3hp zL}IgAHg9b0)4idvZZ-GP*JkHa*D4ewRd1|FTm0sM`Zn{Ew^qDA^3DCDpM|{oj|ES2 zo=i1;bgJ{M`J>L<`@#CsM}x2LOOM-@wW4wEqt3TZ$HQ{O{BuNCrLT?e@?R^uXY)1v zCk+oSKR<NShwqPg%Erhtld0!()=!n?|7UzVI^}D)?dH9n^C~```4$%W^vAiJ{K@%q z$^-8)$=y!fTa;~`AGO1I-j4FcLg#;kWd=_7_s*aA%=+@JTW21)W$v@kIU2XV=j^wt zxob{VPT1-pGxgdGckY+hYM#m8*!09{N&ADECGC%5mK;BD)1$xB_)^x1C!2Hf{k^|$ zt6BGF%9?tPIokKt)-L<B^ONwUStmAK3w(8+|1~d5`xmyZ=BnmK=Bnn-znd2B$U3oQ z%W=C*%O_c%d2hzV&YFMizP9f1PnmyhrMxyoJ8SB7T>od`8{QRgI+8QXQrkf{{ps?j zYknN*UTpVn>bxH}QWusaCavcY)%ST5s2G-@b>vy+qp25^K1IDQi_BgdGb2Jf&RpO2 z$qUzeioJKP9SVQ$`Q}PMQ>@e}qqPg!%1-b4Q1k2bwk_YA4xZf<Gu`l@n(VS0D-N@n zz1YzC)hZ`(F5m4Nnya<nXYuam{xfaOq|dTOy1hFzeYY3weA;Gm_tVp9wvQs;DWw{& zUzt?(_Hkd%4UPD`o7%HEf9h?InI`MD@3ijp6yx2Wo=)q2Bz#k*YI=0v31u<;?Q_;Y zTrZ_{=uvv*^=-fX9!1x+epPt6pwpFGGiMH`W=;sFrkG#5i1c!&uI9;#s;zq&TlWjr z#VU0Fnc6LJL%<~P4yUW}2Bjr4W=IC<oZ(%Xob2aOXrQ@dM}?%9dh0yit6V3|%+sT- zuA7NnpS*R)y@=eosbRa!&Q8zem)<}BhaboGYu6tICU1Q^^{Y(w#hP=IjwJJ{RxDa5 z;%_=dcdqG`BcG3DZRQQ$XRhBemv@g+#tuGVp38|{3$1b<_%^f6mRQuCo4A4Rw#1Ud zd~9Z6)yswS_M1MR!zCyas@RpRmGWQ**G!9rPGWwm1gv#JPaJ%C>{ZaxrijJIB_$vD zch8y1+@#!lHCv-@!VzXx#TVE8{4{=PcQi6`B_%CrijcVCH!Jhn=ab)$9bmOIC=BG& ziJ8PKJ^kr{gQAuNg`s>wJJeWjs;Hf2Jm`^m^YiulHhB|-ukF>~IO@!5_`$=`rzHHz z0Vn&)Wtws(9*vFHixM79m5Hd(WH*aD(b)XF=)t3zGD|*eQM_~XdbR!@NuBJ{nws~@ zJ)B?fz0Paf!u@?;FYCWqJ3j?K+kPwbeeRSG=YBdx>$YVHEACk9+ae<T^7cBb(;Bm- zPT!PR*Txbpeb_lQS@pm2t(6klD<<W9zW#FR<9QQOZXfTyDYw+O<-roOiI?{E=ZU}5 zn=Q?K?+fd3DUpr`JGcVp1Sm4Ahn_g1DY~g3pfzVsm?Hc2kP}BEMZF#_d!K6PdgT7W z3jwDSgriL!FBX_u{C?*pe%`A~D+I1C-z)5v+|m|ewqbGl8WA!7n-Av}=oH<E>essz zvDDmt&-Anv9DC>fTw+*p`B!*v6!XFCy^W803u;7o|NJ=}o%rpj#L8)3WfB#h-_d@} zxan=xijZsXrBqoTOGZTQpMEGP^1SG-Ouop-`U%IhrhZk)Y^zDNjZW5>=W|V(!|o-o zh<<9SZ&&Kwt+5qycV6v%seC_bviIWIYa~TaD|agI`>x!oF#Ge#gq^~>*S4{Ux4N>m zSH7Q{aJO~d<}(~sCq6}`c&?dnHBeAUC)~MfX;wzUMyXj5i`vBYO}pC3u34q^{gQ*e zs`16>lR94ljie7xIdhOL)h>2T_$HBSD<yoB)=m;!6KK?R)hjJw>ZF+wn%~5an(t64 zjA-THTPbkVQPilwq1ES2xFYxOkQ2w^M2j}KM;x9uVLQj$MGIMCTU+P#s~Lv2b1r*n zaI{3$%)q(b_so?<?#(8@T`&4N^X~Z?`;RMF*w&;__sf+4>Afab9P@cgFNQk@&rn*t zG`eN-jkOC|q>t*Y@c8N36RUM%{qc7p&P}pe9vfqMd2@oDg-?h4ezf&@rIeRJytAfQ z#)`&PsjQ@l27Brv;~yQJJ#+gC-lNw)=ZH<K`x7IyzR6cflXqf!OKVDHjm@2az2Bay z>uf&zG(FhO#I2I!7kB8x>WZwT1~Y!mn;W&TP2}{|3kNQhJzTWvz_V?AIlF&<VQczZ z#e9@;@4*9(ip~47UwdDfkg!oINGHgd`E-j<XhPwB{+GOKu6<?eQm$%lT>SQj^{sBU zx@;l)gL{@OtU7n|GS8ZCbKAbDaQ9zUJbA2r!;=TW5k(sf=G1U`OEc~K;8%Q}>(-CR zw_g)a9+|NrC9x)AlcA-K<qV-|F+SYhnrBvc{n5VvXVJk~S)Ef=t~so(j=ZAL*Qy$J zE%D@$g&R^5L$|Kzp48l&IK7)WQM2`L=0Dk{t|RvEkEmUJ>5#X#zgk}0gt?#P>@jA? z;)3`KF?WQwh`ehvdK~)l>V%2cU$QzH7Y1L@xg%gAeVw&e_lfhY?`y*ym3Qc5u<3Em zYVB@HOJ2ReGD7sy5A$PTZCnQ*v>G@Y%!<&<Fq_6Xt9fbDv!u=iOE*|7`FMVxo`^{k z>q4GcHqG5E%13J)jSc-T=$sKXkuGLAdo;(<J26bdSnT|nZxbfg3zqdSki4Orkz=Zw zVOGXDt9fqIv_pLpj@ira_PxLn)^3#h*(YD5M<kl%?2$Cb%^NkBeAGXZ$B}#Fq4dnC zx5nN|N2?S!b(S`zC9hquGeS8-^A1<$pTiqAJKrvi^gJ(O!hV@mxAmf8Z@oj!q6LvN z6f*dZaYk_^H{Uh>zvJ7U3BBT1yEdFXuxmp~Qf@@i1}mMN5u($AA|&rD`L6r%DQ~0J z<0zretjb4?9E}ZhEsj*o7mio@q2nW7V<yIJC*IAX+sdlg8=oVmk|A=J^{k7z<K~N@ z7h*~{Gxyw|D|pKI|E6ytj>aDhZ+zKvzVp0Ljo3YIJL&f<XAep{7JrPo5L6+0=|{W8 ze6Cro+nb&xq-4}rez$SD5F{aYsUqBFckG6P6|sf-2R#fUF7SL2FcD^EIqOvKxcQ?0 z1(`3RCgQa$x~=yXhP^Aj8UCut?Oy8lmHgRkew=o~>8xiDRXT1ibi5!FBVr;xokh2G zap^&y>ez47CQK~9d7b6#0bfVsLhlPYcf?Gjzq6h_$n02L7<nP+hSa4W;z!N7rKGi- zte^9WwzDW7J#%5>k^S0saTk1iL`=AUw|tS25ik*Fcb-{TnKrMbr^ZK8Bunm(_2b1X zXAem@ZZ`D05aY9oKhNT*`5oPqC-c?n<xcVZ*ZQgLn5-@BSo|UILPUSKyoBtJC!5_P zlq#gVP8OS+<Sd>c5X1Q)?qh7MhmoPB%tYS#i6@WU*zjaQ{018yyPRI`Z%#4Zj}i@b zv&nC(;-1LcBW5Bzx#{fj2ZzJ-52i0(zQFQ@UWT3>XQk)ET93z<8_b*BK7?G*kmHuJ z=5f0H)cKx=WAfgW3oIoRGxYe{w;h~);7P#F55Ml|{owHttWh)Lvg5X9J$o$HvADqf zLd+e3Eh6jK`C|`9?<(Q!t7QA1@@Zy3ik;a!0Tc1NEju(zcr$A%ehX+h*zMUXZX*1i z<?OL&$K=O#9-AW`S14w%oNtb0yFO`$vV`V4&RLDwO=*vIE!gO~X2H!Jni+a|?M9Dz z1J66T*}2>mH{s@IJ$o?OvG}9Qg`f(lTN})4d^kdd<+YY^&gxv*l$O}Fz;cF4hMroR ziCIWO+zR7QZT)gh6O-mFkd)BQP&>vktMy`2TJn?yJ0&zTG<i5OHLq=};*xUIcTARD zu|V>La)#P3u34>hO=*kkKePzg|25Fi5#-@J`b2e?riICe<|+@1WsM6HPafH@AtkXQ zVpE~XoITyTiJGlv4<5@<i~MKKB{lm#i?qh|NfCMyH-jQB+*ss);l?We3p#5AO{7<| zo;|4LSiCXlLQsU@r5_AieJ*f3Yb!e!z2}@*kI3id#Z4#O*RnRHB`sXA(RJ~JdA*WN z&k~j`SZbiX<m1n?GLFhKgl-Auw-vh@1f3B!VgAf|_6U>X<_!TCa&&}D*t=QJ9u;!* zPR!J}eD7ePRmv0h<EoCv1%VfQVgyXK%@8z^e$JxXYOL5h|Dd&M28+7$%x}|rtyz?h zayl9p#9Z(>!)YqHFULmhhfI#}7Ljf3CU)1}iI_CSHo1M=3NCZhzH!WIUE7qFJb!`Z z4gCx~z7~_ZMejE(5R~B*-D0j1FV-V+ylJxh<n>bgoOZ(OtY?qKIeI_L@p#<5J+tYU zTfF0DLxUT4XaAWK_dP-Utn{@X6MEm@x|$$=cJ}Ef1wO);4^L`#f7qcX$D(>q=UtoX zwco7K^UN8o<zn7{Xe-i|xqRUCh9hEyi6;-e-f(1EL1L$_!J!~7<tFQs(I-wO?5kk5 zlwDK4zV-{R+OgGtV=R`n$|jyXwr#_c1W=`+t+RB4wZ_NGEX++aAEa}(wX1d|CZ0TS zU_;8IIEzYd{X>&_*FEgui))Y7i)&jdGLKbt`qO`b5lS;8a$ers@*(Sno1w)FZaYmG zp=mxcobFpT+Ur=}ke(LvhSOWKMmC4(USzxY!DEM)S2{N>pYT&BKO<t3fsW3`%FKwO z4=Qu^bf+h3wm!d_pOYHJ^(WrydO+~>cQLnDUOl#4|H>3uWv!^=?>&AjSjh5z|B5?X zgctoeq<`<F!NKUzTlbzHzMrw8bNQ+zl@nD8H5MOaQ8$`e?W=0hX&iO#7nAOCi=|K5 z{IYg-{*B6exM13Civ>^D?9ATTT^lv;kX-D?pqPuU%X9u*Eix0!wmcfBYhK`U+E?a! z5%={icaD|nmKQjmj=gi`lStmnuImR<V@s4fZ%6qRxS!U1m$kF8Ix6qczG=}F3!a*- z%i7u89`)|g#A(YvM1=2A(|fsSwx`X-qNe3hejj~K^V(c564u{x=a9GV_K#ktZw9Q> zmg5#Ly>X0HwyYqoJ$BCJM6>X7A##a=h0lML1p6*mndUZ|V_Hhx+#i)Koomk~@8C!~ zRuFim<Drp(ZQJQ1TO{<jgOhjU_;fxjGO%nreRPS$IuSLuaDk(bUM#ucVWimBolwIg zesqU~9`oj;9X>t14>xVFX*+$aOG1xbJ9$UUny!aliKQj1k`W?vMWnm09Vrl8)BA8y zg!tjqgj$~IoxFxIVrIt*Zbpb5K6<U(Fh<Ypc)`*Qs=Jzebb9={defqlcW`VwS`e7i z|IlcIa@*-6=OpyFcPH)0sgXVWG@-m?VN`@b?j}R~TTc?=wwyeACnK1v*l6nXUmiY@ z?>A1+;6Av#Z0hdgqSI93+^RU@T>QxmNL%oOP-6vFY$Re(0}9fA8*za_r?wwmHuv zUnXuoUnUsp_|Z^H((IFM=a&sLGnTZUbyK!wlXI)`;pthWXLhf*^UDnh*_VX|T9+Ot zr7Tfz6%2H5ZR8K!eeT$fhk>(`l<#ct;6Hl7qrXvcqMUHEvaJ}KYgLX-|DAGnW~Ix8 z7ws=icG|yUmBB|lE$bYU*V0=x&8B_r{1Wh`?~B9>(U*o7jV~oHbYF7Z?Yl>Rb6%ip z#>3c<`^k@UryM;vTcf-Cdf+sXxX^fafh{Jf(#EBCCi^Fy5TB^lbTD3{t$e;_XPjY7 zj>w<bE!~UKd$#a=>3C>#(YEch({>3x>Dc5QGBrIL`}ORO6fFJluY97Yi=l>9bdF24 z_+Ce|WqW%cE~*edoVvif<h7B3!L5`ehb<?a{%1_?t-GkkUc1;=@0ZK$pcj9S#;eyY zG%sD}`zTaK`rv7Ot@|r4-<TwELFBmm1^a3Ji{f?WH}2b5qc-ozEq?CWq^0SL!*%92 z&)Zm|S9Rpm(hGYv-L?kq>Davc4aZxt^v!Q1-b$T5?shZ6j(Pp#Eq2W3AK&n?=}z8! zLDlc(O$njn&k_n`W_LRl=4kTtBxWbA-gp1#hK;X&#g>?wY+Sr8UPs<KEBU8}UFxGB zJUks!<)WL8eA>7(UuS;r!HqRJHoaTrb6k3#CMRd9xor(B>7HzBx9-?Y9r?)QpEqtu zojyKIt1xPQ<GaEfwW=c<BVR}!KW6pB!i;_I;~O_Tq>oQ?x_>`Z?Wpfdw)4+FS51Ev z?-nVu=Tg+3?r-ZC>#*q8g+~UQ(7E^Y_w$KzP3gCME5$#}cZu||xtiCxUUHI!{7XfP z@VULKY&>Op%>-pS%>w6im`Pg5<Qf%f+)8<vu;t{j#*E3$e=n+uUti>_d8c!YXxHY6 zoEzg_<!$MF5VxiCQQel42bwb`ceY<t<L+PNYbNJDTjoxm+0GrJxn2*`Qr1dX2+y1_ z@knom@WHPc!bgv0Om19#QB62}k*}DZ`|O;UE;Gv=0=Y#SZEsCE)ORAvu+Yj#(YQ1B zqFU41i)vl57uA^K-Dmr}=`)MGA)LEuqxr2T3F#@3Q5K>vHx?RQdYlly<oMB#9{ya% zR|%W7w)=}tijX<&zTrjre$PKzx27LCQ{mPm`LRdj@?%L}pYC)ML(_Q|4^63Xlb-xo zQua(=ddWuBd4{cLH)c&pN?gNo^TiI$c@q<oZIq9;Rk$&~ek|#`raOJh2D5n=k4>s@ zW6yph8M~%0-6t`0jg-j-g|Ma^l~~F19vhVAO-!n^S=^ZVu}5v%G2<u++4C96E;fss z13&iYxg9fJW?&M=J8Oo#wxiiAzW#I-39<7YhHCRJ9=K8ACj9u3q;5}t`W8dQc^3~J ziFlfu7^gG8cjv|$zGp{1?VKTUditR?_e1Y#zKY&=y^3GS?(gqY*_O>`4!DaNee`S9 zDfzpW>E@2rT~8mha_y{G=cH-#yZU9H;6IIL$*Rf~OHxG2SFL}`YsjoW^`lQr(6P-1 zpSEiq`z5UEow%Xt<8s5_8j_Cd?}y!cD4v${AoLAO{jX1ZlGJ|$&v-7<V;@)<H&LyA z)2Ao*Pa8kBO|<mgDE`Ou^k=VQ)2h6ViOG1SJzOy9=8fekeDkzscBgwyJLJUrnBV$+ zm{C)1m(-VeAMUK|@z@x0^2Q$blRh~?M%=Tfo;fC_TD&3j<c&{3CvU6@I{2fxK6q-+ z*{`Cl&unEYyd)<k&6~9G<%CHycPvX0%hQ<I8}0S%LFc5M6)RFSWr7U;y?7L<s%)`5 zMMQkcnFFq>$+Zh7MMf-1G3(RZCHU{b<3iP9LywthT<Rhpe;7|(KBecumPNO<>|9s( zcoe#w<e3v{B>a5JnL}}|_fOB?v}Zxj^G7QtEiLdo_~Y`la1rbGdt)ANGg3Mls%l)| zd(tN++^9@Nb7t>suV;^@HGNDsd9NXPP)~Jn#QGFAGmV*@y<TaF^A{EU44yN4>c<<m zVowI$Se5XnR{r*so`m|Z-E4`|j>x6DbiMUTOK6`o^Tx^)F+L5hHv5QOev;=8UiW&I zw7u!$Uu&iLnV-T#--Id({|-5Es7`cKp+l>TOo*a*dx%Es;ZL%QYxHUYPjc)!{oC)o zZ@=x7GY3K|pH}48y;<3l{&15~9M@jak3Z&YTR&;$i{&X|zqDueGI~8rSTJeli)9Hl zS~e~xIoeLCYs^vo=n&{xqh;eWb4BArue78olOiS7rkEYmnAu%@s%BY1{J|e3-xp32 zw3*m%K1cOqoaDquZHr7lzI+#}YW&e_=Cao7UTKTF7bX0d@@=wF9GARE&-?p{Kf7jL zzwar!X@h%fj!d{B`|*&Dv!Wpyt;(PFe#?5k(MD?h9A>SQ2Q6G1clL4J+_Bh+Pi{p3 zSO1fp-@{n9KfU<l$p3v@Gb<K4iN&p&(ED8L$%A=ZJ9n&h(u|p=Y`1#9=Kb{dysFZn z7kOgB6}iJhPaG2!E!q&@8lw}qP(<u>@q6FB%TF)<IP-grsF7iKtInA~Md{6<Cl2a} z78!>1{rFPR#U(jojgy+%$_cHVS}Dm*T$U2+o%D{a4B#?;+Tp)^f0&}#>@dY`L96Pr z^*SP)LllL@LrxshwR|-B9`C1|50>w3e_A~Bt(?AcQQ(?jMds|#6Gx;(H*JV&%~=zq z$gUlF;wYD>SK`ln?f9D~JkLq17yja2+xXy&_*DMm-n^bMy#)d>-30+}x(hZ;6MAS+ zsC+2#VOq=KV{19un{Ny9iI*$MXx_OhZgn)WR>-8YT9B{lw;*2^yC5Gkzp{*)oU4V7 zO<%zc3(<!K8;lP<Ov-OjZngd8WM1Gmzh&Z)cO2{ouM6^Z#R~E<-&U4U+vaMa^QNQV z#to^53CUG0hmSULxO1_8ZOwbM$Bxsu(^-(O>98PQm$D!qv%9j4TA!<hj!b{S4GY1C z1qDIz31WILc+K=)@$%`t<ki!A&8r!+(r&)8@rRG<orV|Hnl4^_zIw_s6}6)Uf<Ao@ zlY%!(=rJoN?eOvGez*zL2s_pzp~r5Vyd&les4Z1i!W!7q<=55gR-Ckh;~A*2*8R}P zz`pJDktPW}ZtmnAIXt}&iwtzyP9Oasp|{PZYn=kK^5V%!%GW1J=rt`hj1f7Vw1Y>d z|Dn-FrMA<DPD$vQRrWnB+F;do`sgBwbt2bX!Y6dDyy&?xFfW%!{NOGLy{@l@F>Gc> z3TEDrIGmao%rm|BtYHk_HW%?#ts5_@Nk3lXo6{?kTV$wsYs#S``gIe2<XQh?JbWw0 zxA^(BXW#DK*J(5VYw@v`bH({B%O#ezskNE?HTmc)`0TRpODnsoR|c<lm(KVqW0jNS zayee*!9%NO8QBl)C*QcDw2DPKdf)UPWf8tfTYS_cR6U!Mnq5pc#4VZ?p&qEaX6g#F zFz*odY?W2r8-+|;4HZqpk9#MzxTs2K25KJj3}HU}H><6F?^OF=7A}i~c&4sc^U))O zxmk5pr=!r-Lk^u;$qg>07ve*TJ=aWF!J@6IbyTY}E1}cHbYsk-s0g*dT%Bnv_@?~| zU6vYE@zZbe3YK71t)ms4SqWV(riPJ=qGqTB=K4%o!Kc=trF~ylXllZ0m!&t<0&g}2 zEt+*hC-CN>z(u!iXa>r@$<i#3Ej`P6Z_VuDHO_0i)1#s$|JZeDJ?Dgk@Zg=RzZ}jH zy8W~vA^P%n;rpCS(;|fa&Pug%{GX<{@Lb%!vaaX{4GHd-{e|o~8n2a^{w&eEJK=~M zZ|c^IU+x~6AC?gt+xGU9r=#)?r3{hjtY;6bTqdvfZ_lcqzwY1Va@w%`rM_^zqH+%B zS|=8E(U*a5LIs$AiyS#p$GWk=!7)cBSb*JK^vF>`R?mkUT10-oHs^Bs5ay_HPqU-( zx^MlwAIA0%<rU>G=yb3!&(=Qf=j!vQqO@e~3Knq{t)s4;Sq~=7VD55E;=56y(52?r zeNlIgpsRGc>&1hXfhC1bBEc5&88y5+zfAx0_}<*;PiwM+6`Rg#xoq@oy)nnE;m4Vt zzt28zRoP{(E#PP_y6DAfC$?WKRr7?Bq-ROKSb56oV2{J`;ER(b^x0V+KWRu>BO@W9 zeAnz~$;!NhSt04!Vk`3!yF#`bMy|4+p>j3WXUZ#0pA|lyf2)FbEn8S@YrRkGwZTCp z)4XKc|4y=M5f{EJKJuOA&YhU+bB=hcD({e!c)_I8DV^m}5X`HYw|Ze?_NhMsdjgD@ z&rdyb#8`FnhmeywHi1U${Zr2z)mHU>xay6?yF0gzEX&^>((#~=EAURJBJ=l<6Gv)A zH+^tu&9MnlWbbcLJMn$`=eAou+|St6B<s$wPpXviG7R^-*<VsCw0G9kDGRo@1%0^^ zz-&9Ke%g=I45^<Fd##^y<dvGNdc@MFBHCN-9Pnz~zU}npos!Y>u76Cd@0=#AbG4`| zH7YM*=ZV>~E^P@~UfiO!z94Sp=F%BSVGG1H{49PxxqW*pf0oCGKweF|l?xm78V%P^ z?O(g~<~A|A+i}7fx9nUtgtcm1TPYBIk6kT7E{QShaD~2`)`mw9S7fK!U5?1mJ-@f? zv;QYn*QWKir>6Ub8g`x)nwl`#W$6u#z?(MCcO;JL1)6LK7V&)};L82l_2RLzz>*EV zBC$E5M@6=^6yJXn`+52bmh@Xw{jdGFqO$HpO4DhhnU5y<m=y$Wa_!nIboGE`XV#-V zE?Wy67u~W@4U~<UzCv%EXNcLqE!y((F{!!zjU~SO0*>?Vw$1X`5YA-1#M>}`h2m`9 zkS@K+XFs&Mshti#5<N@R=wRJUpN;-%(l?~16?<;;I(G7u$TfBIrv-i+g!AmRZ*->H zE8o!EK40w3p6JsLrzDu~_`4^;+~#-dvm)_>U#;#({g~CX!7_3wH@D!m%vA!fjYMAt z-U$(4-Y#<FNG|Kf0%yk@n@|Dva*-oPtyw)E?rjlq@9X6{ROQIJ@WvViwlvnPzKK4I zw@+;inwwDd^p1~%f6_b|fiu&WxflkolAfV>)z@d@E3svsrP9GmcQxM(x_eY+<-9|8 z92TFx;4|(2y0c=FDi%AjtY5lfLTdKRa4nY)n|_9O&JUaTeOc+hW7^wXvU0OeE&s`} zYwb>(*Bq4+DTkkZJ2l6zDVN)N*6F_y9nW7`Cob1AdjEdbz5|S@Pih#&Luck^uT81T zPW|!Srsn+Q2!$>dZ<mQl8#SaNL^3LmUivak8Z_m*Rwlq^GV`_{Trv)8lGC*2zf~zT zh>#7vv~wP>`-~+W9t9qP6GfE$LR^+utm*LR7F5?zlCoITks+e&#}~40`?hE94jWhY zhdVbFW_cKf@$&KnItwRXxo{|h^$Xv|z$_1gAYM(k6$=|5W@RMJkcyJH6=N58CUK+k zeT58`*Q~w12bq)CE&o`2B|FvVpwvvCjZtdS5uzC%=Ea=3<7KH3p~x<B`sslKMv3!z zba+i%*OjjGHBTvidG71XZ=Nz&6PuE)d<tXQdFKciy^7`Vd$dYMAZ8+S*Xg2!2SEu2 zA04LMkJ#$HYV*;)kmWaYukyZGDf1-G`LTUgH~XtMVwQ?C7AuGpiyk>p!)j>g?Wl7m zTeCmk@lZVPYA2T1(2hm3xg=k#b5gSlZM!M3>4NjL^7W5rtW;nz7HLV^)g%$IKtWAR ztE1I*lWkJV)Hr`pr58(`MD~WBaF7=@x)|Q7^JVoN$p>5A%X})sKfepBl9=yP;I9^Z zXZlGtxsY4cp?RlNKL)H4wwd%wq<`tIM)jEU8*b*;&RhTZ(iY=*pR14RlwTVis=6%B zy6wQ}bg9DiAE$TD*C>2mvr8~s>PC`D%E^Qf<=baBM0H>6T~oq()BAaza<*jRlZn>7 z_MU$fTxLoCWSVk*%{P-jN*|L&pK2$|JU;z&f^xUvq_?8)^CWLe=xOhpwB~5;!ZkmF zHU))kZ`t%IIp6;scdBbdWUuh4t`D1}^rwhU-&>N$RjIyo(=Np+q93PT&0Dyp=8E>} z$4-%gVPV25x3#BTJ#x(D5r5ZWkNq(()gAxenXmG1=6B=Hhg0vfC~NK4bPKDKmI(cs zn^f|2d#mBp`)0?&>Z~Qgf4)tsd0NgTd@6E*M8LF6Az|(Pk>$}peLsFU{VAVWUwgmr zo)v$#ec1Eq_OZ>U^4a~h_s80-{NwlV<MgFE5)u*jrc6Atc+*jZiqN0F7neUWys-Pz zZI|_@^0{rb_ve0D@u%#<-cPq(x1V}15;uKwLQ+7a*-eR%pS~Yf&S9PWDEaC3M*gYy z)$WDYMOOs>%zd2ubbE98Q{PC57`<xg+SB&3JevPY47dC-ZcW^oul8@k|0mzK`J4@7 zV!6EOzSo~X%?U@i;yV&{>bq<_BcAkGEB?e0q4*PrlHyMsQ;I)v&?)}JQKoo})}L2< zHXdBp712HGIk(`MudNzwzns<t)hdRq-6ya*BEBPZt^N_M>*7gQUvn?LuyvLpv+=5q zt(&jwUKMZ@mtQRLm|IffE%!``r`(YeFS&0@Jmj{Nc*ng{;u-hS3(IF23LCHP*t$<~ zpTNO{_>QCr`bYSr<4+u6h(F;}AK$UnIR1p={#VCzd)Bh$oLR>vb7m!5%$ikfK@l_m zRz7k+D6N`3dsFGXGsfrbPjCDs9mO22{DN0R`zzZvy`RVQZzwD>GWi(uL|KMqtsq~Q zzOoEk(SDylCQlxiM{N_mRiwK#)mibB(}i!VkDUK9P37Na5B`Jo;x8Bec&}{B_OIQe zzq4NQ<;D;F6Xm4;=Po&ZuwM9O;m6P=>aG8+R%vP6a#uaPYwLflOU@tS-KsSHb$j$T z{<pc5yr_7|aVN7&$%i|>SS*+*C$4g7vXjv&EuNANueQ=B|Lc~tKe*rhCEyQ8{r>JR zGk%mS+lu|GTyp%_eYYx^KWdjAKgw6K)%;_!O3SCD$E%Gu*1qqHz#olE&L5{wlw-E< z`7+~2<dWlu&MVuRy;8E}s}l@u5wmiQ%=zOy<><kBnbg9M^Ial?e)!kV{ju}Xq|ZK{ zYks<I=}vfS{?F=Tw???o?MF8_z8&8fDASQ_Twu_5_Q*mDGw$}sH*(%|Cl_xp?>l>R zp2aqivg0eXyGjj(k`Ld$UYNtO`_T=JvSS-1ZwMYwORAQc-TJgJ$L!eAjg}J9$Dbwn z$V783PQEg=@vEUw^5gp}EX<lF7Uqa3Kf1xg)1Pc?sMB}$&;<)KY3D~bWO(|Liw!mU zbX)fszB+ZJO`>xn<0gL@X~*{#W?gZGIc!zOHqLw@e*Bo&w89*-XU8^LN(d}2(aq_3 zxM`zi+v$VPB=p3ilXe8%_|^Mfb)Rt2$MvaygVd~lOjWr4kvp?qbuwq&-@ix7oi}~| zx_Z7xX;YM<<a_OKU89>U`;Xgbe2(>cRMjmaaOc5wWfg@^buOm@Z$}L|&5p))uB1ne zO%XfRE1130>}cN2_2^Mw(~^SdI17>Rr-3&-s(c+e%CtF-#<3c1@OSii6E48bE^_3U zBWq!ShhvOP*aVTj6WqrS_*ROTmB@w)G$nI6ZFG0!nG+x&tS)-wkS6QK!YD_XIe`M= z>7pvF#h(rtevFW7?G=44+VY^ODIg|9fO)#ekt2ny8$WDqy0K%80^hn5<yQ`QTW<L1 z#3y4jomu?+6NT30x_@>BGlay?oa)k_pJCuw-u6GJbMg=Sk{J)`uP1iZFFwrnFI?8_ z<Ng;LTL1q~m&#e(U3OmPPruchNAWKUxc*OG7NWW9<+ig{C;msabJndtEb>2U^W!!9 z-~8&Gzv6S+gZj$>!vE*DMg6EZy>jgT;)Q(w@_9=?)@NpL{a;=Xx5w+J=lhqBI*a!= zCfqXrvD9~~VrQfvU(-!NzAj5aKIX^DGHPsY7CL9T3vSGie^{_V;n2gR#1`dN*I!P{ zXRPvXl4b8!mSOQ$k`eJ%mSLOr(l{%j>s~_Arh5sAlkO!XFS_?2q3Pa(q_%q#4xP#W z<CB~)`GtIj<-zau>oh&;4t||o#e8u73to}>73LB@lJ_1wBL9+CY~O108$XKo9z1CN zg-!f_-@OTk>@Q9;Ir@DI=LY7-^I!3@)Gsg(_+z~H;DPvz&lw5}pQ`O!{8Vh;+NWj< zp7Q<kIUW0F<+SA=bRQ}$oBuiRv3*y8z@PR*mQN=rcb;FQ!!qAJQX^}T4%-=x?#}&v zn<7^9Z@S^(9w}qu5*cIS78#Tw9{5k>zoU!N8;_GNksNj6sSAH7ol06@skEIfNRUrB zujO!)@WTy>?iMl;f_&oj@((>8ZoltYqdj@;+nD*887E4f`77@`)vD5zUZ?qb=e%~+ zrt3T3tNq)emHLx+zduXwgUr75>%F4Vr)^A|xBuKrv68c&Z*FTTIVXNA>!R-e<(>A= zX54R{cI5FKeUA?s$KTJ_W{u?fIqR0%ziCtVxTw9D{rt3!TKz=7?aPXvzE!#C&c{*p z{3owJPs-#Mp+9{uY@V|^>EM?S>^FC5|4;99F?=C<;_w`Mp91yvBlABLWc%(-uMiO7 zc=!CD&Bye40r6*3r|(e^s##@md)2xAMIIjx?&j?2%xQkFy`S^m)b1(fGga>WYG%nl zRjDuX{b`(nPr>#GX36`pDt|7^C3l+&OyTtFGWu_ERCA_J!LEot$+}Gw>sKY_%(nM2 zI4Ay0{-KmShp^9w)}Q55udy9sIbECB{xP-D;NYdA8S}5E)C4K`7+e&8c7I>A5Qnf% z*5_5G!8Yel@^CjZ9ZcGPU~+_{2*<Ulm!CYhoB43E{u$+x{P+CAUp}=~Ui`+}!ujjx zp*=qKzb-vay><0?<;=Pdg>lRF*3PLvyxL#k4Br>C_5CNN$hCQutvfug>AL)nB_`X% z_WV1rJT>ap=h|cY4)qJ)=btdm>G!N<+f4H3{JuKjL4#C~Oo%gc`;`kv9y0A;AI8+( zo)_oKDVL>jRGHWGLxi)h&B@Q!Q){>ETNW@m^Wem$@)zM>eY|D++Oij{l<PlidLiWg ztW4j{azA4gr<Ca3Jt1FK9nTS>n;80AamI%4H{KpkIJ6{c>-?spK1`vvm-=!>t`2eT z%FD`Fuv==@i;H3!kF>t5`c}DtiD}A%ZEZnwt_CnaH@$M?Xu{P=MOVLNafj%BT(vDd zdIej=)qT9z9hPS0v#p*sW%akPgVHUhtA6}EeK@y~rM5p#F6(jms_rA(3ij!4SbK_j zI(w?s>BCbVxhO37Ew}j2{b#)wd8#kZOnH9GNAdoY%%ja--TI9GIF307rW~KGU{>2G z&02T6I$+Jw$zG13SAY3b+vv6w1zw5%xF)DcHQ@frrAI!!RI^!MqLg^%Ww+B#5#}k2 zr%!EO*RsiCI{(ud_M1DyTQ5nx3eWzytEgq#6aAYK3K=4`tY;nk9gQ!>UeKvI({3vL zp7rcOYscb`n=DqY%-UH}y@xYjW&Yux2`49e{q5(truDv=<5-8%(G16wr)F9a|K~+? zPdxbRdq~{>zlV2fT>pO~;qT;tzx@2y_VX88=|ucbJ$3Oy!r#yCMtbb8=Zn0q_t@~S zJ4=_1y?fG!U;9PZupfH;zh{Bu4($xJcbv0Ye>bH)Ub(<>hhB!>I+oY-zk9qjRG5<) z_Me%1=}f`>Mu*a!exC|ny2xR}_P4h?^QW=CPd;7k#C7mp!y$DKYiF*5eGP}yJ#Ra6 z9o*J%NZl*ind{)RhC}M!vz@sPs{Qx-@bk{G1r8ey${+uob8pTBCj;{ZA5zL%MVe+a zw!Z)QWrCA|@`4Y0cL@gku#4f>WVz17H9sSsSCb{2iR=HTvri{D6`WizagUwJnd@L{ z!=djbULJ}wWCDK7ljGE6dCtVO{_$qT)(1Wg50@5NE!n{8>+tYtvC<L&8GemDHGQoj zO^X>@_1&(ui!`lg=K8<yV&ep-0<8rfir!taTOm;LSzAs2xtwCFZUHlw{&Pmf)&=zr z51-cD-d{R-`scX~hfe>@^HAI&9#CO<pI?)OpNUKVdAnlkgFuIePybjf5wPLZ*fS@; zRir8XpYw$D=am5p6%WNL(&E@yo5JfF)1Q_GByeZ%KVbaHOk+dq&iDscZLKsm1fJ9X zaH>vTgd^<d_jSeV^g37mT;OD&yClGeUz06u%JfG|zlZl|=yQ9oUne2Q5zNEg!po!U zAH3H+`=>Y4BnhPqmS)!8McWrhN~mP0v2o36waZ-^cv0FzYq@&bG=Ga9sZEhjXO@S4 zJ2vrV(A<PRm*>Y%rL6Qb@L%*fIcZ;rdW6Eo%H|l2tDIt<CHHK^y-S-^h31-HTN*Ss zVW!J--r|ms^sSl~D~)Bfu5z|@UOm)Zyu(LLLUGxn-hSn!RtGgY&;4F;QE2Y<QxYrB z{Yo^n-t$>neKO~<ZX^GiCLgsK8mIO!sh><~YF>1vdQz;>%(Fr+GsExY&GgyeKBe;N z+o>m6et%lp*Dn9=>=|<d4FMjl?+W*%(<Z%;=Md)6@MqZ^ck1ZTo6|l$xubq9skpM} zUGUE*HNllda#yl9Zrr25*VGo3qjBEk^pbfAdlv+*5jJ7YW<7gE$#L_Bs0%r3giP4A zS<fEr`lLB|)3dM3dwpj*#ahR3iAQeJ>wWsv)i3gi@T=5^OK!GQWkzUk;B&m7A;vwc z(X=ToDPYGJZt$Kj?sx6p_nFr`ec)HY&XvRdj<tNj4zJzPIUGwvZ_Q0`Ua{s<9{c8? zYuOvEXG}Fp;C=Y|ft=tyfih01qkfLY8=Nor<cOPa-(~@=)kuD=;#gd$dqcoufnp5D zH0Ai;OAAe7`t~TsaH^k`I`nkIF{wkUj*E9_WU%#d&g$I!@RGiHi}1rs>rGn3AId)9 zeOOT$8dLKy{khY$w<XVc^7%HOR)5EGWOco(^!`pS$H?oO7u5I#JmB%uoqv(bA-~hs zYt}k*##c8irykj3R=2%t(&i)2y+5CowYh(J%1iOjw!yRB2i03`T3gb>axY-h{+e|P zADSjHKb?5?f1S`Z_V-g>)Pz`t?6G@xeRffehpi5CO^#iVoLbX+4NI-bk=k0}`_;`; zivOhgGoM;+y;>={xI@X+KG5XCcAaO&y1h>`U*GuFbmCZj;1UVtF1BM^_1~^j|Fvur z^V5djsv-x&2tFR3iOj;zPY)d0@NMbgQ_TOAWY#I<yj!9mqAYskz>056w>_Eo$<cOS zZRP@f?#-uoH>y-zFEL#BS6=m>XR!6QxctA5kJ#sCxofCxubR|b8(*jXajjZi;KnCu z+*)-jZ|ZM!72m(+jpx~$(|i<XzrNFSyXjh2^q(N(%SLW5eT<|{q+iXp+UPv{yS>n% zX%1XYhQ>Y~mRlpaoD8BJHJ*J)?3sM-Yw4N>FYD?#@=Fw_K5&^=>f&lzbGF9$-|SBN zF9B+uqE{IXp7IKkjH(lj7FzuyX7!4y@Qc?!T#Z!q*)VJVr1dSUuU`!R{LkS6=d~x^ z))AVzb)8M+PhwB)j?+DU>1y{*#h^WF4nD5oSn8%#`0V4wr5<|<8*|w||ExT>;8>R! zx3+5Zw9Ppo@d_(gm-TV0p5D~-a$$<6;Z4njEFII|q&Pl3>GvpIqdV(fb>ZhD3mgm- z6)vb8V`puWWZm{jk8@2<gi`p=s5{FAVz{+*W=bozK1f^YbNIW}noxnJ(y47ncJ+O| z%5d<L*PKT`VrDu#c3P@(s%5&ryxmuhRq>0qCp>Mvw)(^3Bi|2cMaNvZYLcidFV^(N z`^$<gi$BFIoHM<6A+N~mRnZ#yEzg&(PIy{bIgS6>(O~b&n<A&UA6EW6B5vurG2(H$ z*4LMuEX$dhR<8<nY<!Ti^w{R5QuWa*&n|NMptfQ|N?f~0Q}|Tn`}OBfq;-02Si0)h zJE@ymft=eyrJNKy-(F=n$d~`VGO^<H0hMhZZ%3?RI`~cId}?UI=ZO;zJyTL_eU#u~ zSfH_FhJ{R!jtr-!Sznt7`*EkP?#GI%t-=TAF1R7MWy2wR$si8DR*|mDiboI3OgO|= z#>OhVyQ!&leREUqUB`n5=Ph`Ulpo-cINy9y7)#yy58c-$xO~_%wY;-Vv$ML%tswk@ z#ygH#jklZ97QLRHc-G0>adTl*$Lj}+7wr6@kfFJU|Gm`F%^P~JKCpIFuF%d9$!9rx z;PnSJ9vfb7wRtV7+}jUL>UB>%dGPRtCyyK>ydLf|FV8xEjm6S#Zr1s(WVdsZgDj$_ zWKEuN=Kk)ZbsN2A9eMvF$-eS$f96wn!~bUwya+r0xHfCu)z1~J)?0O!7d-Gb6MT68 zLW1=3xw#*+#kOu;bK2r>Hmj1wVkeRG&=UuC#&1@g!t?Y}4%?yk!ZSaXSFs;F!@)kg z&DDbE%&*<?YITcBQugltRVSRNSRs(QaEE-VVZnQId*447ez$qfWdCINE#GuTLJ!~O zSJxBGp8t<FyTxX3Ui!bpwV&~)IS;b3F0@#tz^3<imToQUqo>Lzc_MyGtE$ggA6$Iu zXO*eB#r$v5*J7t%7clkB394J*9H3|+6{Nw}E@BqcDk9A8%q6|jiR<+>XRg=R|9a&{ z?-MR7OITbSxiUNa@})^>?Qirty*7j|+SKT?Na}`qpzoXa2dwsWMeW&J7`S|c+lGJ( z8e$x?8h<vWCCyt9IYaWoCf&E6S!LdwSoX|uZQuSGdzEKT3{81o=Gj&F@5fiuuU~hn zJS*kNX8Fw8`!xCjkB*3ma5oExnWOXa#p=UV^PVo1z4;&}@P<=fq(egY&Zmxz39bqg zd8DVgw~Lf@w2O3YR6KfM$AqM$8jp<zIy(xxraB%xuxdd<Qfa^j14WG+5^^FkJsezm zZfvb0ZmcZZBwpTER1o0#A}{CTkrd*gC?Ol9ajZpzIoY|ZvrzHqp&t*9X|l1hGB-Cj zbt*a@Jal0}LUKevfq{+24hcb#pcir{B==9wb2@NIv3KvkChzpu8;-vBNdJ7}PUH6j zstaSyrvGd|_cr^M)uzI)wd$6M#rynfc1)X~Qno^1_V3jKN0<BUF`l;YobSsvrgbY8 zNmVRyQrot2LhIVD+T`MJR>KY6jy^Rnj<ox5YCikdrnIQ_c2`H3;UiyH7wPRHM-KY2 z7JdwL4BDZtzSgtkC%dI$g^vE6uxo5)D+La^i7tBajsKF_g{VB{@X$bBn?OZj>5vnL zs*c75+k^-(pB6oG#JVzc+q7E;OkG{L`9%c1b06$dTGacS>rukyrX4R<tvD|-uk2Ir zuRnVHS(`6@u5}MI{ooiN`)seqk_QIwSv#{H?pg4O`@Fh}*3rVwtOpG)riR{&q9k-z z{5e!=-|2NRe38@(<v`yr(^iP>Y72dTZtF{-sY$b3ObtR8&6=SUsOuvZ@_waYr<Y;e zBB>b)fxbRdR*0!_YVE(6*yfTN%-5Nfu+7EP(0x(V4DA(v+&Yg6O-<@_G2IZdXjX(; zpe~O<NL{+Gc&FC|-$g+s(^s%nd4vets;+8{YdV$x?S+0Ir(J8m)3P9*DHXgtlUAs) zd53U2vrN6uXcDqWh-dN&k;AI18U=-}9`WeRN}RIb)9na8uaG8Zp{Z++sH|#K7P@*Q zurur7j0K<UUM+D^wNMSz^z#m3PFGpgxmoDyAxp=nw%1iVy$T{11-+TRf^C~eh_JTG zs@B;L%bwj;+@<yA>pP)X-9XK29wE%xs;fFng{~gD-gK&Z=Ut(x3H>fhZ)gYJj0#^g zOF}s?GM3}%f#3V2g{D5(<+5~#S|D%C)D>#$JVLmqvrhfqF<nLLplhdBq2nSc3;jS} zo5?H0?0#LnFPbH_ceCJa>l*uyvincT-|@MgJiEU3;MZq4waXL_pSZ?n@A+qePmQ{9 z_Fik9>)XSFg|khs9I{gVnz!P5K$EYO*TzU^-<+!f+_$Gp*}iza>6C=+Z9#7?1_=K) zxpJsZ^{MXb4Q)baE(dgZN}WnGmdZ+8HR+RK<+L`TGuHyTETys%*0pW2oY)pMWBrmE zp7<pTS-MT9oXs_va<<T9%GpwrD~F0ypC<ov<xSOg;9Xj=av|HitQDQ^QdtidPWohd zNSIf(V%b8G^QKo0*z%fwbaK|+6BJ~(ykh-Ami4An9<6ATvRJlItuK2;tFYIp=L+ej zQy$D|3z~C1U|Z6a0AY8ND~D7?zrJI(U%ZfIx#^TgQ`)2~RxVV#m${;KyVoiA)$CGU z1@X?BVVNr$r%GidwYNn@EL>8fGkfJi7GsksNxRylW-ME%rk1^;)!FNm`>t3iuMNS@ znqk>18sAD~C3Uw&-MH}Yc<1}m8t=`ntytK(H!I^|1J@_z-wUK>MJ#9&6Z`a=@$>{= z@$T^Gs^9C?r-n4u2~B;pScR2YUS(Bhp6oxJi5jbKGVOc!VeXObryj;w&DVR-`F~NU z-Q62IYq_s+M`=$h{o(j%cWQ6_lC{hBt?Rpg?B@E#A_6><n7a-ZB|O+z-xS02=hIj9 zm3|)rC#k=mwn%T1ZpC)7xf72kybiE5DDdL*nKOl%JN)T^W6YKX8$##BidR2TXniM} zs_OL7aTn*QYmJwtXdJvQTieYq?~$~zNx&yipz9=8Qo^LB4VsIaB4#XAFngxa(Y%xE z(W4nnOA2D*EJUoI95^6lX|OSpPe*1dv$Xq@0|yf=3kp5>f^O*Nin87F?w2=v;Z<sO z$E#F2f61<9_n^B+wO7t_sz1q>@-tUbSoN&=>HHHCFXNSdI|#*!wj}Iq3Rn{$VD><} zqcfB%DRFDljflkxeAl!(x=Xnx9SW*^7{D`unK|~!fg|d5(R(;_zDzy7;i5aA%$G^b z;<ir|TIVGOgkO%zIKA}Z_4;Ba_ODw#b6zNPut@(^PWDVG*6Q>!@Lm*jX4;B1lP9fE zd*&6w{rN~wV2+S0bFs@r-y<#;4~YbBF$@)v^$~UzzwBz*D(UpDxHLW?VaIBZ?H`Q& zUr4TF{x@x=+{1as@!^N>Z=HB#YeBZIU{hX~g^0LF;f)|4N1r*bAMAFER6Bd~mq~Q$ zN`Zr^qKkGcaboLRJ)u+BC}fWNS1$3Gc~94F*AV(5=vv0py{NJK;jc5BZAwJv`c~XM zSiZYoecNdhkq-eL3X_j`2dY#kcI9l;?_!(Rxu|n_(+uCFeHubK0<K-Ei!u^6YRrld z5##<Ud4^BibD>>#>%)V~*KXanY{IdS|FQ0WPU+1~@Dny^dil?#J4z_qbz;I?ji5E+ zuFTRd7mv6FZrR{@LhvY$qwxm+3lZ9)Cfw?*XOC$*78gWah?yg}MdV!D)@v%;IHV44 z`*7~#Zuz{Q+8Z^5?ufW{NiWKHuuEf>g?^XVx*y_3^E@`jo><yAw<#@Y`hv(E`b*Lu z&s$)*Lpww79Y++`cIzK`IT`cMiCR6soqF@X%;vP8$Li1fOKiSW`E<X&(iz`9R}#g~ zo6NZSEc)!R%By>>xtf2BX^-9W;>m25iXB_dZ~t3-SW98YWBGuZ2G@uF_dPid>as4Z zSmSZ_fH13JVerIRt<wK~hD;5(GxdFM<L*xqYVS@aZ`h{Jo)f9b&iC%b_J@xa+PHD` zFKIAsTV8a)D<UfDkHUT}UER7R@qq$e+q<st><JbSo-VpFvO@W8{WVsb>F;@8M?Nw1 z*)!qzh7Un}IeR8CvtNI5yWnG#oN}wVY;Ahst?daO3U|5|%I=@}<M1?-wZ7(?ej5FE zDK<Rt?BrF3*|Wo#+03Rhy`1(-WmkP9r$EdTek&1X5%txK%<54Se@sqVu=%@PpZ3v; zZQCEtJt|V!kPvR_@Uhf1A)#FJL2iY+CS(3#>(z{1kyjfUXT~k)thjCYK=lDD2VWSo zNc*PxSw9{pEikq|!Z&@!_NB2)Rrcuiu4B`1t3CPs6t~FtS4-TZyN*^~@;<^XFlQB; z#<@`DH5p!v`O&uCoE5isJ(;>dJR$gg)`0_&>n-*=q`yzqc;5A~>uBY|8A%&0^23-# zn!7qhx;MvzToMX$iS}wnv(go8JZHXsyTM)Z?Vjl81B-Vi{p9-=^o5D#@G8c#8x=c0 zFy-V%D&1?Vo+9+Y?9O(n1ux=xC0@K`wG#3Ewrct;afKaWya6%m*?4R;Sogd=qpA8J z_xZBv%=!+6YorVcj%Y{fU$|LadU0OTo}`m<`pY78ZYE!4IC?RwK{bf0Li%33*MZv~ zzGXEextb;<><r%&w_wLZ<M@9XANO9{<+H0e);ZbNYWlj<SFdjMzFs>q@8I$E5ywT7 zdS8mU+0}gge5AkTNVRt5y0u#`%*oHm%=Sw<V4uay!u*x(TE`bQX8r$5uO&Qsxc|@V zBl<OMF=nS_OK-;X{Y|W%d~S>X^~Zn1>u3Ma?p-_8m&-`E_mAkV_`kl7rZ3vOa9P0R zC;lJbhX1$um_2v>tS8btx2oP&TJr1H>iUU4d|S58`k-BZ_v$mFue~{fCuDRheqLQK zWUo7US59%UuXk;3^uC>Kx*H{*pKp46G`xQ5kH{0jn)fd;Ki={0Drii0_NJvG^J>3N zKEm&`!SZg*BLDqKr>_X;roQj2zx?&(x6+%_ob+zHWEahB%US2_+h?En@kV@lU9jTq zIV-)*H;LxotA1@EmpOOmm+84LAJsj67{1@{Pt2n=*|}ONvwm{>-spRLFnphzYU$SX znO=|TWTX%7wJ<aD>`pc=I9?y}D`(~}o#IWgd)At5n3T{K{V(dX<Sec|EqPj-0yDC5 z&n}fVlI?b_d%QYcrB3J8j}XP%2en^4{p2-Krs(p%q~e;3kvVQVb(U#W9CupSc6|OL z`+cio%8vN_do{gte#f<Ev-;MW&YiW%bj{?sBB_cqBvKd7Sg_^ECDX5KlqN~Yrz%Q_ zr!JJxzW=kR|Ka_ghM%TgoOE`3%I39a45IQfa>Fkl>QhORc=}#ub=$Ur-o8IwYmI96 z@n~Pa_+R202it$;Xg&6=Dt>?B1UUV=l#Xf!niNEd_|6e@<xa1cKPRZ|r#PMM80W0c zk4<TbO$%<$(8%CZ<DAv4`Cn_z(hHyOvskaLS-9-NG<{izuP>c;tvk@xBe;-x+Y2r8 ze_d<qUli!vs$up%deim_SJ%01s}{D`-&lEmb73p*(XB6Igr?W&tFufOZF$tyB(Y<a zg4#OGj@H*)Ny+=0EN*<PzAq6z|M}AR>3Z)k`I)A0^O&Y^^O>e_3z(X0eE!|u_s<3{ z>042+xMt>fTY6WQ&PbTg7o79|?{?w)YaWSho5rb`<M{dadzF1p!*9HL6W^}Vl$Y4K zC_5r4_{)ZrN5K}A-13Jf_3|g4JotOVlSi=;UJr9K>Tk@_Nm=B%htr#7{b8Y_t2d-P zNQ^KlG}ejSAv!JR4xcyQyB1aP^20$~-<^IR7MrR#`JkF|V&?<Lh($XDr}5Zud8^5_ zt8)7vn$){I@#MkH8=gF>jPQEsno;i~!#VSe%0J!Y_mXPIC#t3WYkz0CH)`h8$jahN zFW=4cn*Ve2&ATeUZ@hT-HgAG+rfQbu<_m=<6nDmCZcVmee!utoz3P0<_j|v$8L&7= z9BO89oYB4JRbEJHjo?-Lw2tSs`_FX!Ffw}7t#kSH<`an-Vmo<GEA>B&d}6HB&E%FA zcw&W4m&9CG!{kjGi5|=B8QqN?9s3F+pBUJ6huoatDkr@8M0$`ItIxSb6Eq`5E~<AY z?MexBy6#i&)R)?HNapUQ6AP=wc78db)IV?LX7`vA6K2edpE9XME?z|ULDtq)D{@vX zz8)_Yx=C|QUQP5>*Q>o-zh<@Hy`3Z*R~u*IotE48v_3MVILqqz;xFs>O6}G9wf5fA z&a10?*I)hpC*doj|602z?yLJN(!OTh+WM<yZG6O~*BcH^i<|n-aNFh8kB>*~J#Df2 zyF~t1JK;5U35%|_`)vBvaWYKa_|_}oWw$Q9-gIeN+}0nyo9D)@ZMnSmUTWLb>N8ua z=0}Ff8{B^7%obhik{?}V6DAt&5&3rWt!2#DZj_ven%x(Xe%bJJj@3i$({r9(x?Z$l z*DL9qTZxN(cjs)%>_2PvcJt9?%-e32oUobQHzVz`;pJSb#5UFCa({K$S8vK*=4*Xs zQ|9!WW^WCTFROiatK>k)Y`&TKmj$_VtkM?xs_Sfea%K7crwO6Ibhl-;tD3#tbY<Dq zLs^&Yw(A(TYf0zd+M@L_*XmK5@9~)JFI%$XXB0~r9Jr((T345Bxn0Y3{jDvhMQ4B8 zk#pH@dXCkD?Ad%fGcK>H*z$75XS2BlXC_^q&^T?*Dxr$`Pw%XfxtD)gk3Hws;|0FI zWj4KRIBX_cbYz)w->s4}akKp_@=j(RQSkO#ETgXTyidmO)|S(nv%gv7Ubaimv3jt^ zw|&m$mmT6}vY#(46OM~4k}|$A>9U3U^!=MM+Si%Ay>xb&?XKHf{P}0|Rph-aleu)d zY>U?C9IIvhzUp88Y8U$nAB!rQ_2fmmW%;8E_m{=WMemwhac5)Z{P#Il57zm%-`V`K z<F}dY=L5@x<>~^zys=n3d)4gMFCzb(i<g>EYrKBfs=j?Q&(@{ZCd>Zar%_cm`%v4h zF7sE?S1o_ne>^VxZ^adpu)Xo_>-TF^-QIm5`kv?N<Okxe=i|B81;>6pFSSa0s?3+8 z_uo5A^tms*KH1~hgg@0wOGRH=N0suNeX=yPY}M)4i8Ix<eJlAP?)rYB*1e63zMcJb ztZ${%#L%g^KP7*<W*&d_DpdIO{k3@^bLFOb20PdK2G_<`U76w|E%j>ltl)im#@kJ< zo2|$Z(Tnc;D)#zA=(5|YX2<zvm)*#`thYPoR`Pb=-)}a)Y*=b0Yjk~?^0S*IXKH5q zMI@YDd7AC?!7TCZ7naG2-Q03IY4*2>q|0{2IaUcXecN@mzw8h-lihr2nQ&NS(W{LY zi#FUkx^`P;yQ$gRBK^-A8(pg3{5rF!w(NGv0lC?HH?uDb{?4&V+wZF`^W)5%;Q7AO z)~txV<9K@KRlU6BzPn|%W%etZy)C}7jJfY-$%(?*eHMu)y$+<Ew&1y$v{5iy*DP)J zQMH`^i(c@iq*|{Gef}ifb@j%maIMvs`yXDp9=eR%^Y85ZO@Z7i{zVp-#)g)LUjCi+ za8>fv^#2PE-`C`PapS_0cjBC@f4dyg%kCDw_KkCK*Ro}=O1{Q@kG$9v`sJtc)-Mu0 zQDr=CzVp}~Z@iRn#lcLX)R5OL)}y)ehSuy~GJCu4o9i3#)$$x$y8d9r7h{R}d2GT4 z2NJG?T~D~uw)V$m^W0_0r<d<3;@MW!t{C>-DCC>3sjj@Tbl9K5mZblir!_o0Dj%rL zS+Q9;`v0O8FES^W)_ji)EWLW{bL!)1k2Y=eT{^#M#p7@3u1~XbeeYVYonM`m_2R~- z^D?u$vtukzBnE$cUe?uYWqN&oU|{&yIN#9Ew_mQlzH#FZVL8`@GdJ)al|QM)b^88W z-j~PA_i6nLNhmz>I<oO=+c9x}o;Ai>UcCK&>Dlw!Q|kKi);|1tseW7Cfdb#cZQV&y z+V>N-KKya))w5$=vvy6{pf&sWq2r-mmm(b2oY}VPz~)5lO_CWu@AxkNvbg)KFYnc> zp_a?0^A|;g*_6byhhDuF7GidNPxRSKIqckwFZX}4U9+;fTlMJtomH~I;eW$wi+x*H zJ@}k^e&deV!c`7A;d4$ODmz-KqcP9YYTs4E|JxU@di|>Ci^e?8?jC3V=E9e$26B7t zwQq?mQ;Svl_2R<9_vx;;w~Nh4p5Y)nuXa`N^meAN6)FMqk2CRBC|#KFo>l5&vqSPc zE|Z7H{kQV%{&IQuGBYmzFTyMS9`t7_t<($X7iaofsd=FxoQe0P(iWXXs~wVmahoJA zt82C0^>X2=uKB6~?KgjM*08-WNLaw<%=XeCX#-z!+Y5ul6>OK+FZtKXCj0EK>$a~i z`xn<=j$F<c&ibmzb%ES;)>p-z3mD_MO`a@rP}buxdA7$v_+05Vt2ajB?>9(oP5&yq zBCy(Rf!=b~SA|{+?ATeQHUuwF+|44jF?xYvHOs6G;qNybm)Uzb>&m;!{tMjR*8OF% z+x)dq*ucA8(YRE?(7D~PzjQ{y+RyfHK9qYusBWFlVfAIFh78~7Lr*(&Z2C?edOkyk zsqeJXlNKE%z7tB%rsxRy)yHjV^>qCe+G2ICCN4xz>_c^L=+~FmQ}{~G$$X4Ut~#>w zeD|tLOn&y8W8=eDd!6~*RC9jinvATP^?ls)#rZpyZNIdC#q?zNlLndr?ar$D_nfYt z`hB1E&4t)QdUsXcTns&A7pt=7S^dJ=ENT6Kt<~ZyZf)TXd3%+0)wUN*q1MHX$L5G+ zIGz6=XTvn>Ue<4}bEnU_&flpo)cSXo)>iF`K)olt^QLpJe6qYqG1TUDOh~F&Ye=a0 z)@R2*Ip%-ZQWKzRKZSqQqpIhNmMgnDUj6y}i_OcJkk8Xz{ZT(#d1vQ}XS%!@e@(3V zt;FAQb|*<$g_&Fp-E;WxIahxXubR?gTYetj6Sujx9^aX76VqC-zWAd0)9#$$(&FIU z+Twq|b+?+zX3yH0?cut7*PpOgyC0P-`@eQghQ_V=V*6aae|?_XETcAszi4KJ-HGI& zp9=F&&KG}s^3wlp)Bb1eu3x_Sq~#qmtLf2myUiYD$=|uMqG;{1^RrctZ}~87?v3q7 zudjS?>B;sjPuh-Jt$7n;l5Adb#=bYpvY_l^O57TmXCnS*j%v-l^nBWqrH^lmZaw>Q z+CH=EA;<SzD&A(fyzk`vDJzbhD!*zI|9APyS%J4amae*-J#p2`rIne%>%xz3Ip;ci z$)4cn!OXk+RxVxUnS1l|;(dJ+=TDjO%<olR_2;uyrDrzxcWX7Y^}P>W63@N&kLSfN zGwL=j|9YDJdFnzhlgJfXzGABaCbypVjAWTJp*4y>MX+$qkKJayFTZCM$y}XrII?$V z%+(pk&80r?T(+@cwiNfyr5ihLAHCn;yZ`OYUvpRYU2R=%6>KG*d$^lv);<6I-Zwv` zF8DG#ckch%_WInO?7x%xx#nE?X<zoE{Mdm-`YbDNbk6PG*Eh?rZY_Uv)@tpm_10nG z`(lHmZ^qoduyWhVS)50YdIT>wzan|LB>#`g0lwFEnzICM74*$jD!-vxG@V=C`%ZGd z#QV+RPZ)nMN!zt%%7)`yoWFIZ7aR!UY+p0A;7Azf@tny8hrT^}xwPSGNZ{18y8WJ( zGC!7NRA~lUiq+;6@p1Q_OKrSZdB)soex;kZ!S`fm@ff~YRnH4gEUDp}9dbZ)GS|$M zEVm;rle=an1aUsL*PFFrmbCV(S(n_KvgXOf?^z|<dFWsEoTFAfTO+0$ioG_xl~n5% znRCFQoA>3-of*Emo6f#B54pU$V)@NG5!WX?TmEjX)x3Y3UYONx-?a17-BW#{yp~ns z*9CL$EbKoK`>X5D;`t|Pe|6g=G@Nj*63M?3oxf+_QSYqRy4RmSUR3LG`>ffvA3Gy& z=bHH~(0k1K%FuCvoiMAE!O9;W6JPgUiOyepJWlr4o6Cs@>SWtwE+igtlsy)6arP90 zKbN<?=e@db^6v#7n`O5D-<A7SM`7w7b*bVXamjl2e@ZXDob%`9zMt`~iJC#}@BWrf zTPgcy{mT#Axz;RRrF-?*zpo~DHm=;c?9<%upMRWqeRS{6joU6fnOr|Pl~37pnO1r4 z%8!wUxN_|l7`8V{6<hu`Uwe0LmGWO>xmC~R{wZX$Jfr94J9TTXXwP4b=6eY~VxdM> z-K$>AcD>qjW|6t%MAv*no9=sQ5n@*jOuGNYx20UP=J~gxRAuRk%hH+Vm0wOT+EISB zO#S$RN6x`d3_dKrrn7C4%xcqT8@!TNIbE2c#c#4Ki@BFo=W@h}pk7y>>k(&?dR28! zm7Ps>Fw^<VGx3;=SjL&ueaCE`Wu8f2cZ}&-hEB@1V@he6I%&&}38kg%es<Ab`q|SR zs|?$}?muHRy;SOR#A?I&rc&GyYd1~^mFkXIxp9W5ly}5D*~NB$H{JbEtaDoKm9@^* z8K;GMD}64{IIq;ps&ggc1XHi;nd=c}Y<gACoGsH$2-%kH*k^gunc37n^GxcrV>W47 zXVTk_F)hp1N!fTzsV`gS*^FaCeQCSJHrd_YYH)nwn}rJxC-&}?xisVW!8hJ6Yfj%| zGtAzsk?6~|ccEDSnVt6vZp^Pd`Q>@{_RKShtB=KO%Q%y~`B;rvrcT1*V@_rnI!RNG zCH>0KSy;X;TkrR##H?$tmm0RulPZ3>(6IlV)aRGWHa4u2;(obkV@LSRiJ#hLeT~b~ zdFcAhY1Jv6YAe&%cTdZ$O4~c%<k=^mWI6k+GtXBZW2(ClapJpFcg3QOGmcAnS4??3 z>wNglbt@y^Cw>bseQkST#_8JLN}KC5&WF4;Wm=Y@ld|cUl3J!t+M;7ZYANg~2j1n( znDzBowom9ksbb01hW&<8pC#9BY}hBoExB@IhoDrq<hqS5i8t4^zB$#lGBSU~F`2fE zGpQSn*|4R?D<!3i9es0RQOjG&keSH|VwOB7_M|MG_0_LtMk=4W{TZ28m+Y&}LYJpc zJm3F6o^7S6e)YNu%8??4Cf!#KO?KrxZl7~^V#rmoZF2jwx-)Y$Y}760xbn4cI`K3? ztn$q{rTMXuA{&gmowlV19@(bT75Q!Twr%ESD}2SGyBvRY&O5vGK;q@~QT5!57>d@M z5@??)`Dl}pv(2>hj`P=eI4c5vJ<^l*Zam%=v|!nqAb}10L|WEpvkGQ=JD!;pG-1Oo zmMhOKzW$oE{J_I6?MHL{?KO)mon_Xgbez7*^YMq8bIrO`j{{#XiI-ajbJ?7m#x%cp zsltOAO%BTtE+xHbO*7VeJ04of|G%uAZ|#CzebbLdX0553FMX8pedy;$;cLrFOG7_P zzc&vtG5z=_d@cVRk<~T37p+^jYn$d@V>|nkPHSgw3gBL@bLEvL_tutEN>3*0DDA4% z>YA~1{<eMdgH<lsUp%;7{?xIj%MMoDF_M_C%O<?xP=ZsKl+O{Z=FZ5wWm|(6r?t7x z-)3jy>sWhtwrPH8)|wOc%CSE(U;kd`wK8W<Xmsq3U9WmfOLa1S>zXc&uFJ2lyZv~> zm+u?0?(3eJv-g&r$^3s8uiRnZD*t50jc*Anrds;^ieKw5b}ORqrd5f=YUk-YtwMfh z$o@KWJ@EjOY@5!N#3M?w$8@eG9uitRU2n4KGeg(pRZW*74w&?|`dp7V!qdC*?!IF} zX{iyveq^saCNnM7YI?oSk5}tWUtDnQFL}Ajxj)b9%gc3#8|18bUamac5ogu&a_!+3 zyD0tGY1wCzTaVR*W$7eLJ?8W)Q|D3T?c&Q}VxOIoIrY6Ky<Re1+x`Bec~2J33jDw8 z>%3~-VxIr;R;3b(0n;ZkeU(rR2>rullF;Me{EWlo(GrJbKTeZ}Tka)>%DuXf+`w1M z_R1h(0-rP6YlEZ?zT~!70aIT`&ba51Uh<;A?DqLTYfC~G@Ts%BD)L<*cb)ZBvGW4P z`&=eZmN_Wrahg2a<{<p;g>0GSa_9cfR$q25INZ=}#q%?&U2uAdL~&$0XSmnG&yH33 zwacB`cQ5^W=CDjW=as}}htN#zfPP=5uQzorH0Uz%-qZ@%DlU+5rmRuz%?0Z>MgHw_ z-%DS7c4*H!zUc4-Q>&1xJNc5Yy);Oy6<hZGT9&NUn^WJ6>+@yBRvZ>=+$AHr;<#bs zFB$QS19LCkwD5Oc#%YqY%ON?9!z3~7WmP~0d)D@Oto^oDUv92G+)!)9b94RS4rZ$! z%N2)PoR?nstFU)_+Eg>UR=&;Nzh|~vF5|kAxY8kZ8fVB`2i8}cofasrW|7($wIJ*F zit<CLeSEghUR`*8K;A^cAikd`a>3z_Rx6)~Ijt|(ZuD#Cd%ZdK)P1R1mRA?T7wG+E zd37;<fgLl8ltI7(#by>M!-xfj&MdPGLf&sU9d7mI#scU0%{RFx-;+B0<-`OztDYAt z56_6R@_7-<wU_@=^y1xovTf798S@wW2&_0P(zr`UaK&-g#$PqM7bcu$>fNDqVaDnz z^%%Do7m}Co)s{_-YZPJYxu)!V?1e$n8b0A;>4{a@F_#w}{+cuW)AnPvjaD`SD^5E% zR@n%xIG^0u_DADFM><pJ<#qQsr%PLX`4QG`w|nzRzxLm{wnk5y_>}iuPCT?X=tcd6 zzBjs7B{!mOEwwqb(Q^S`JIkvgp9ONqSzi@9Ef8GJDpl;3zwWe|Y*o(nh3Ds8+Oo_b zWxbr_Mt$zf6D$4N`JQj)J==fg?*3~l56d;~nj^5nYnsDVCe;fQRx<TQC|#KGa{o1} zhnHg8<!nn<WKGH8x;*iwcR$a|wTC<GtbAUCb2a}tF=wT7d*ssCQ-@`;Szc`jTcG!v z<<-Wx1$ObACP_E$zc5MraX;g1Y2=FU2a_lA)#^=KX4<NF`s;~~sgX1K&KTYd_jiA` zBggr(^5)eJvT{?eoveKIDPn<~J?E9@I~|nexJ;JKz85q7qt%xg3!LYB-%MG0ICq<z zq~UUrwx1KP#<ug>dR?B>ZuyPF<iQkhXXxRge@S+GOIExSmp%67{Gl&d@mCif-u346 z^Y!1P8dpU-FVOqUx+;&i@mG#O#sRlRw;Z92GkT3`Z?5cqw#lJguDkTbW|wwF?NW)2 zUhRgfy%VR%>)kF{G3|to&-&A%vQ{x#Z`0*geRW*G=g#`7$Yp_CJL{`rj|GCiS*14n z=dU|`-Rg@)RMp|77oN}Hb3XUF-F=RBYoXoR>dgjcAMh`gzAR!@6K~c2WZ~g6m+YRd zJRQ<l<#RFq^wZ9NQF7{D)>ED4PhM(pa(jKqOUubMzn@R_JEvJPBY0QOlZ9GySYB=N zUm)lH<?^mG*A~vw-|Xvq+x{c}(f)Op+087=w$|?3KGpJ#j%{)xS54Hd^CGLf7dcN2 zkgc-0w(z{U6;H*2!xO5lLY}VWOU`?3@G$JQdQ<em(|l{6%SD?!+Z>t9=xi$XDX6-) zLtLu6a^1$3bjfLb3uj9e|1i6}^N);?QSr`ChqGGvV%uI{NS?u0yX&PvLI<Q=n!uOL z_R1j9L2dHOj(zLC#^hcwd1mZsZI-a;n3GzDPST!ZNyoBu5=%T6-~A%nBJ+2%!QMD` z?=sfP7X?dF!UG!kcC)<N6udyr`pe~AGS?Qm|DRNC$6w3#XW^42Ki63M=+&;YDV?bj z(BHXqedrDsX7Bjb1-)!9FQgY}>e$?tT;x1|;nEEobmdk%&o5lMVT13@1<vz(mu?6! zf2T8({qpi+%Ux4?*8d51iJQ8Hr*c|ya;8<#3j?oqy~ial3O(Di<gO$h@Re=5b2afu zu<Ws%Yl(;6O)}RHeqrSQdgt*SlgyoipBcv`GYXrC8TnWDcDPD)N3Pu1vQ={0z3^(2 zXB+G<?>zS9l#bqBZEoe-F22~Xmlu+^@?HILapA1L-f0hQcqG>z?l@@GGjr+TmYp+K zPq>%DzGYtZoGUZVYxc6vxf<bde$r3fRKZ$PvCZ+xoW@>451$?vp1x0Ko&lG^@g0+H zEp=d4<2HE|<vBNO0jIf_(Uj$O+9eW3Uio2XX6X2|pSgZ>+q2_m4!?Q*T>k?<Q}2(! z1)TP&v!}*Qw6+TQzK$>X-Sk6WDl_`J<FhV2bjoD^WN`f3r7h1g4;VJK$%tkgacoq} z(K?&>@MgZaEL+Ld#1kg6$8xSF9@?hk^Zw`On=DJhKg5_Z{?y)~bo<XA(K`wkCWJ2y z-|+P0TY*Nko)d91zU(@8Ra)90zFm>KL}GJDJLhSy#D|q{zFyk5%|@v<Y7?{8g$8+6 zsZTx&4E0%Neb|(;@O1FjPt(*Ee0WkaiSO##wTC-0t$Jpzb2i<Yl4!+LmL_~*hT4OO zmrGAwZg|YZYpHl)#$_g7i^b*#7cb|l{q@3N!FoPrwif|c4_Ns~gm7`6w=JKdw%}`E zO6KR!CJCDzoVRh9r0sSPE_>noVaFQh`K?ww5laqtEVb&1Tz0tSY2=K)hIjkVo0!-$ z{rbm0m+gyihDUwlF&=@8LkIpZG(GtEMSSLk<?V8k7lPXb_m)Upj&A3y^-6sB^GWw5 z&kY}HK2^TlkWp!8xo3;N?s;{04wIB64$5wvA#dxxl&##mkdK+|wLuEFo;FB~5L>4F zV0jMHrO*eFW{f{WAH0iyY4Tv6gY!FXlShpX!gAC48V+4PYFL%byzjcQbKYx%XMKFa z`?OnI-TUl8A#~znW+u}ogX5PkJ$t?~N{VIGG`2>!KPnemo>%Rzk$Y+IU?ZRNycY(~ zrtt~aojCNT_&^TRCBYB1W{f`t4OWyeJkLB})YzsYo^gb$QEiRR-W#py^Yp(QW7~6O zp_XNd#AR3ebf@b<5fxUymTXzC=@Z^~Y1*^Q13`^#IU*Tn)Ed=tuFZb1(W~8Vb*aP# zw|2qoQi;ue?VPVS8^|*DZ|2PK5#FGE`_G@F^E59^C}irD)W6WeStV?<?Zt)k)qKp` zUK%9r=1VSnVUU=vsbdpg7c#3sWEG2#es0}7wle}5Cn6eG#jbD=PJ8Y9Va5vQP|jBY zp-omjGZr15vC_(C#<bR#96$7CH~is<n37vp$D$*aaYCr^SdKu(p)Z$yZ~b6W+jmcI ztN4Y)12(d5XRarnVUktT`6GYS`u(A_f@|@qGY`MH6t+y~IFGEA&eesdjby8It}nb* z^4cI_DW7xLOM|4Xe96~d7$mN}<~`j~>hp}%hVv_>f@d4`uC$$bOi3(DCvD;}A+hub zE2Ha~XA(V^7606txq(l%?Zt)E34FH4UR+3D!^eE=r9sLbK4rBR25F1<gw-<lmPoEL z><^UsEV<G!bZVAP%8p}7ZJ9n--$?~et>)CunXjIGCeh3D`6r#@nX*=ME-pMBDO)w? z^1}0#vTQLI5>L3wy2)HlJfkYB7IUrYrBP_I++~wz#!<<P@!2|0b{tdk%g}kY<d~3O zYQ(?2g}s(BXG`?z&*xlNc-T#LSI(t{$J1ng<y=fW5GLD}b9rIZ$<h^JMY5}=#=Ks7 z+Fa`Mj%9}PUrTZCSh#UQzNuJYaI)cUlW8A9IkjyHBGwzW-&LJ^db5ms$qR$vc0K?9 z%h_zrUS3Gw%*VX#g+a<{KILsM4bpb=377pjpQ9i9EyMQvjn#(pYo)kvtlv0+S*qJ& z#l{)VQr;GG5`U&A&NN&8{meR_=byfxNtCscxw!Cjplp@Q<%Q=9Wmn}+<#SGZZIIN* zm;CINLE^k?-qY_&eZH~SaDJ~8_l@NnC;XM_wpg%nMzfT+MaUtp{)}hYXA&JfpGV1E zSaW6JVJ+ERYpyLkE+zYG&DF#MR<dntt|uM|w+eCH$S3?QYi~*93d4R^sn3yXHa4hA zaYwG&*byn!9l35}i>TzZ`=%LZ9_B48{#pHuUDoQ(#f7K)t-e$&bDlrHMB+nCyP|%H z#K)j^!}TRIE-ZZ#yH8}-oy%443geUQ_)Ww<1SBi=n}~gkNH+90nf9TUQ`_dstEfxQ z4jHe_Q+@w@o6V(N0hb=zl<ZjHJbisBs9v#CFOev4ZC4C0mH61pm%Q$!!NXnGil?8J z`fRb%aDK29x5e6x6O5(0Emm)wQ7q+cF+cI8ZLxDQ-~BYJ>HE{yO??yl`NG3pe6e{i zE<BEw{dMO`;sIURwma7nkEqHXt66@yWw&be8<Aaiu2j7%^iH<hZYpLFm#jE_>-CNu zi#E<!E#>`VS&OI~^S#S64*#8W?rCJ-UaOKD%blnHwfbTKYS>!wSS&d_;jmSY#iGMA z7F+pPEc<%tY2z^)xvVqKCmv&x%hq|)c}!{F^@uZty{d0cZum0q=32w{cQemDeLs`i zDrECazN<OQocpb<zDNcY6+cTn5Fp#eb2;%yf$XuK3yFtLOfsD3G+j9A{MDRghNiPK zea@yy1#g~t><XN#y5?!~PSxCV_V-gtz<EMM*2?GV!qX<QRX*1jp68KeJ98z`qg>X_ zMtA3}N{P>l`Gn_X+LlW${(2{Q&9RzenK}s@Anl7q$CA`CbrOr(p5DKHdW+@W^m*x^ zFsw=|n!G=~>g9!{+xcvNy|}P^Js<P0mj+ArgDMb%v;};^Y?-#@l?%V#dA#&kO<bnV zg6^_?6V^#}zgV_$hWwV#s$YK0U%NjbF8KPKf9rRBJll23spZy_|JQP4_5N)8dcBQh z_TwGC)-szjx0-Lw?7wUFcJuXR%-?R5oY0%ycO&z%;qP3lhYhOB^`7gp@3!Q-wdJtl z>@v%o%W}VSZarV*%Wkvr<%HvAvL8<@OP0G;a){a6&-Pp--?ySW+cVp%&E6JWUuL^+ zbLM<&GueXM%bfFWmK^b&-S_j_GU2?4qF2S&iZ;xDyvx@*W^?BB{G3~lSNZ;q+4!>I zw3%$t$z{s>wxy^(=sDUpXWNaAU@__9OG(0hf3|(~Z-4o%dDW|1UEhm+bfg~}yxhLF zp5?T}<m9rS3hkCpn`53$<Nq`x-0nnr-OmLLtWTSr#HR6gMTFRCq=o%l&{CMXzU%ap zP3l3~hi`mx<V!xqzOzS9{)yqfnhED0eR5>9KE>Xeqc1PAacxb&nQNaMIipX-iS}!z z`Y*XQ?d;QL8MCSUMK?hs+|EB0=C41+&bmflUc_isO~8>|pBxRdPqVA8(X0-wSvJjf zV$|F)&^%A5-HFuHp9=k=Pn&C|P3Px|2(!~j$^5yXLp8Nn(qL_l&xtRa3<Zr(9XoPH zM|!fs!|iM9{{(fcJiGC!x!L=I2j*+*gZ#c&ZoADav+X8x%(h$1HrH-2*Ic{F%yjJ* zvs2h@W~H#3%t`w;v8&{4NV}D~|0_$@)>|3;0mX}K52S9kJ&?TD_Q3N6wh9ks*(yAd zu68&QTJ3N~wA$fNYWA|L^UhW=ZDoqx#BNcO%U`fFga5;h1pW_}`TQIf>HHj)+58<A z$^0Fbx%?g$sb{yGk9*B|WmVB7xd#P@<Q^2=k$X^hM(#oJ6*+-|BXR;oH{=8gPt020 zU>hdC>Gmt-vdG#qeyja&e4Jf>`(eC9ZKCc;!ws^5e9d*A-&_4TT@+~Pwq4yP^!{7U zV#(X{4EhV-a(<S)KW{?==UYzhnK$Nb=<s~Y*)4f>-iDUCw>!f0nr{~xZT<8m*MEld z{BJEgzvTJPaR2|U<)=@cf5d@P-&$JNWcWuM`Sh*js7|(j#Gy-NG1~o#Z!Km<?Vh$p z{Y+wE^`RKCt?Flz6RQu^h;32VNvNwn<W#j)T_-87`cTrdZR$FSe%9-zp1)8w$7gNb z=G(&03|8AF>KWe_erCAXHqq|#ZQ*6#tU+$OHE%<Q=Gzl_2R4+swDRP;haBlBb2-|R z;~sLT;eN!o<gM~o%CpWD{=EJ)Nk;GQtxb};N1j+j+nsn=@>5~@y8W8cPd;w>Gqa{n zM_%N^nwo$U{!g2g=1u4CstmN#c$j)~^`lRvH-%SD{S^9Bp<ORkcwN{@`BU>xE{^_b z{If(~UgW~!ngEaUpBx46PtC75bM=!W=lv;rwdBN4&+}N@dHoc-WsJW3lcL2n6OM0x z+FX-2jh|~rsGY`>vY!h&te-YtIk&4O;LzPo;YXi4-4tGV^?lq=h4$Z1n`7jr@PDd^ zusiWQ@aKYt<4>EN=1t-6`mrxZkNMp6UQWwMGqpz{H)qT+PE8g%_xD$P*$?4hpW0o= zY=89HI>lbS|7SJd|0`k>ABXJ}XwN_0x`XF$?o5GxyQfYyzov0>z6jS-SyI1qLI>kh zrzEy%+@2C&qO1SKzMFb-PSi=Z>6Nlu`*=0Q7k#UG>SWV4mHVS)oSsU8%gzZCCO&mi zikouU`^@4ZMb7@yJ@x&&Q~efQTciHeNoJqE_@Y%EMT)C7X^Ss<wW~;R)hccAMOh%m zB5iS@&l_SoRy{lQ<o@&BM(Tm9FRw0AT-B#-yx_{ID_dhaRy{n`x`Jz6OvkGGr&?FM zS{vixvfjwp{lHwKoxD5a)RZ2%&Fq*lJ5@<2@Bg!Y>py{Zi?&^>UA$=3s%_gA?%Fu< zef>Xvt3Pv<?!_GNue<kaI=|?;wJWQauiUw4XK--e@l6F4D%{iCH+`s3;GX~c=%R%i z_wC%bX`fl{)xAj<BVr?CKj)PuYrUJ+#kO^C&Km!t<-0P@bz2`@v~t(FRf|?`{N;by z{KKt(5-Hs){5G#V@-KetzpqDxCe|%`8}4f9<Li99>s0xT->Vl*F)z)^3Rt%8pY69P zE#eh_t?%gN1%94A)wI;~tY7fg`9<CWd0OZG?)kcT+R7EV8Ea~$%jVXX8mHQ?Ub$-R zv)l8x|I@s2Ygf5e_WhOX9v?gZ-?P0wz~O8D)sSV$OI|jcbM3dh^_Y2b@r$1a=a>9Q zzWG!lWg1`e*-NP%kySjV-t!aFBn|H9IJ?bAJ>s#sM>2I9=i=U*#V=D!<o5QSTi$<> z^^57Q33YDb7w^AFVw0E~rSos~n@j!C{f08r>)uqYQOx|=A0PjJz1z!z!#c71OuhSO zr@bsbt;3u*JN1O@=8)T!n|pR<Pve~4GqY4O{mh!<6^lRbOt(otkh++AXHtn^c(2|w z>BZhV)XMI8wukopPJ8*`!TxP^27%Kp=S8kL>@W8E)14&SxQI395AdHcOW1p~ttNG& zptO!z+Tx>XIYRF@?+MM`Xn9($|IDT58zb3l3{OvZf7a*``{tg?tZAI~vr?=CbInv0 z=XP$J@oD<}u5VFm4%>B?-pt=9$F6hj`GTWtGMjEpI4mYzcqA#gFDmDdVX;!|wpmM$ zn{EDbGjAHB^%<j-<&kc0j84zEn>KTU-?W$c&z@W4P2*F4^5<!4-%GLA28WYk)1uZK z*XjN`Gj}6nv5r~Fx}$DqHs6@RDK>k<fjJ)2C#StMysuNMHY@dj%4VLKInxA#&lshx zid6G4K3S3%l`)O4+vn%$^S-NfuO;>#-L+<GME~MXUemX)`nM$_v@vSUDo5S0(1l{F zUj=mgN@%QSXtkH#aAQttziQgc%~y1or_D?~QM9=yB5|6b_F1FEsXCYA6~e3aEIC`_ zedTqYuYY>|^6`i@tFG&YU0p1;S}Umg>&%3WjKaERDU*)6`E0u}LsM+F!PPk)TkTD~ zvEb7)?xn5#wp?xIIp51?E+y{LtpB<5<-dBv=+J}FYqY#|!>%qBTg?^R9XfU8(N&kO zB!%W?Z8S934GUfV|L6>l>5|WMs%=c=SAJWQhK^?z_)mMOFS)9BX5^~cnRE8<Idjoj zF1zyeh095?Rgr6sYjlUUt~|Qx)Rm;r%&d*8%(g~^&WXx7Bv*N0Nmy>;*~l>Kh|OOr zGp8}GKV!6{d#9sd>{+8_vm@0?%ukl&-O8Sp6`yu0zAn!yZ{w<_?Gd5VqShSO?EY$* zyOA+n$Lz_Pqi%CH-<a`PY<9uHIUZYEO})1^n|g0;H1*zEZ|c3Z*3^4zwdv^@xoIoE zt=r^NZ)kMfb#uv&sB`ggtC;R3g|g;vT&1%$B6NDx8Z95)u+U{<tG$A|duL>BTxGE} zB6RL7;g_2Z>0ITzrgN3;n$FdKS9Pv_yQ*{b-Bq3BXS0{y+C3}9%6zSv_f~!5r~3Bh zxf>(e*NVN~<bLn+)3wLT|DVmNeHWE;z_y!rXZ}V(ejT%C3y-SV{K`M(vPyT2<!QOs zXD+4hjby7you(*##wclV<gpy1(=BH=`P9!eKAvpJ`SZT$(@s!`e!P?<8yC68d%C@O zbpJZB*O$*GG4G1b@!;?7eUZ7*aIcQp!te8?g<pQ!Yk6AEe#X-C!JB!0T-0%%H#7B! z?B<@zylI^JK1OqA|C%vr!Z}}YA7v$b^SPTM+AoW}E;^QEdoF6tdCP8Ii~Nm>?7C); z79BlSle>{~`kBZw%amzy?Po7N?}%iRF+DvYIZd+gj!v@QjMPJ(k<0cs%zC^j(kf=+ z=jqce^P<<>;*VN$TIQ$S`RM+gVy}(QCow;Z&N;!<-5Zgy(Xd;`EOBE^^s;MDS6QBx zyFX*;dDG22JMyL}nx8Rx6ur4;XXZ4{?I1T!1G~}N7nGN>b+0APJ-Tbn_K5!VI@i*h zkFw=#xiP_4OnT$Zq~vW8IfufEm13vOTzb4{^OwlPX^h%ujZ(Hnx~(xfJ>zQH%nfeS zUh1EHCXqai?=Lu?KNNdya40F(Eeez>ze?tAWUSRSOIdT&t!ML%86U-F8yuYDG5ufK zOTz;?wQRFe4=8Nrk<6JU=zPW~ZAGLS4=A6{%9zGC8Jy2&Ki#U6FS~Dgd2Z$FPt8ZG zY_>+sm)A9WF!N~JpOlS)=XK4VwH{U5Gv)q%ueGOBil^Bwo3ZqK$Y!1yY10%hpEXKq zi#&G5<aA5qrXG8b=gY#2go0jPaFx@qoVj@Y(N&daldhi4+W0DOYeebps5QIdb;Is% z5L^8&qC0f^nxm_#!`7(>y}oo+$9C7urT*occ`B0jRVdn?b$9z>1j^|%FC167yz}L* zm5wj(ltk^5{v0IwL2R{FMR#cG%A>1xT}cW}%-YDfTqkVmGO^WJ!QG)pR~}vU>Iy~% zIz53qZDrZ|w3TM-p&7_BGWX1xOAq@%sq&)pzVOf25@#OWrL#SvUsUY%=1WP;VUal} zvbuXCk~bP=>zXCbtvSE!+EeS|X}0fXEj@3$nP*4-G)4Y1MvoRo9<wnz-EtgMBE9zY z^mx8(Jvdmcb*?2YKf3G9rilK#Vy`zJPh$QSm2<+byZ1)^MniTTv&31J6ZNFeTuNRX z`76ihbi>j#$xTOfl()@FJ!7`n=f=PLOV0N!pTFd^;h`5YZl~0JWBWYQUK-rgiCs2h z>G6=wUnKLUF<w4vl+qUIcE;rNjL5W^2DfL}P7ic@Zg}fO%`u7C0}+e4CDTg;ANT5| zHC<HoG1*ZfH7mJ<ub9{Kw7-+^|ILA`YUf9;+S`K%pQ$%XJ)b(yaI@U!-gD`V7g=>o zc1>_~6W@5_Mbb2h*h7&YFYD(0y4obO`RJJ^OApWauXFY$>&)bpM^{yyND8%0*|<t> zQ$#3#<eF9OI$>9x#a6#k><;}p;iwvqiTb6~b&*wPjJ@Y`rb%u%pyMnyEA@!d=AOu$ zX`J3ZMsqvj{;4l6srWjd=jUmE*IJ*OXV1IV>Rwwq|7g{h?Gf`C#iTzRy7X*<<FsUD zw&<KQ0^Pn6S?4x+i*Nq&B5Ru6-7`iDc1N~-F*w~ZFHQ3DX&vFJ(?+qMig=8@+aDJF z`($*yrn}T4d81ys?zP8rj{cgn{YC?~m~_#_B;|eEZp`o&n_X~oj>mMtw3mjLbZXsZ zrXI-H%p;jRO)&YaQQDkHwI1`6C3(A&rtyXQg7SB{&b5cu-K9ITH_Cn2x%PbDQ8t?m zHzu@;Nq;<%lq?sWb4a*YDR!TscYk);%i_B_%zm>|Pi#+<EWE6foHsM|P;TV1c-<2l zkL$=@JN@(YX_?!)*Am;0?s~H&qCZyb_2%12%-14wPQ-Qh-bmkQ_*>WPVT0vFz4)0+ zkF#z5ax-HZ<Lom=DVrnRatu$;SerI;!&y+CSrBO@V+1P9?@ra8vZr)L-bOuRoomU< zj{Z8c=|;m%F=?aYNy^Wna?aRv`_9l8U$C;&GUwc;<AR&NSY}OQJbuRL$&N_3IR>X^ zOir6w;5F@KICqiGgvk^BH?eX*1+_Io#a?f?l@xm|V$JcW?yr&Q8yR2gnmy6(?!A$) z(NJ2~EOD~sM7{1amy$O|{?ai#-H@3kx#^6K^0e8hXSg=|L}X5SdB^0Aj%*dE9W<LQ zYRzGV?o!E|jdIR9*V0!UW#id=W5PQzX~RQF$!<|Ohct?nVyk8?b=Tkg<z?<P#@aJR zOV&raeK9^g<6qiLg9rAW=NDZHH8`HJxL87YzDcR&vRO+H2W>9dnKDgo`q@j*yCT_Q zOixe9KV$T0R^+i5^V2QT$KLGhF}3P1bbD@m?*)^ZMC=Kd#oaSfN(?9W>Lqp^TzdJ! zcDLsRmnUrbqw?i~j;!3wrKgiOf2l~CW_N#f>H+>V$xl~wl<Q1R&qz<3`C+nQwchrg zbC0)O{CUT4SHt@ry(ig=yLY6Q7=G{7d)WBm(pBB##fytAbkua;Uy(bnBl~UE($jXE zzud^5X2*WU=)r=>HW{PS9cR-di;w6C_epJjzUk--n{85a&zmjgzL8g=Xx*ciwEW`H zH%7Z!?y^lkXL-Em+`}D;nLjO`H$_^-n0QZ@PD-3Vebp<$`zKF&hklu{bXDaUqm&(y ztGbTsgdR+uw(8zb{bg@<&M`>4_ISy~pL2|MHC%QRFFN)@>6}#T8Oz1q7W%usNKaXH zPVo1<|5BRCN0vODyQ=uirNmj0yL`;O`z6y}8ei67PMeu}B4=~YjO1yC#b=EY=NVS7 zI%jAV`dnh}s^T8MtCnudU&$=)mdGiA3ddMIKVxpy&f2!*>GL_u>(i$2+0R;f+IaJq zA18Hc=gm$%z`dELGIN^X`ZGaO8PjH7xH`jjx}Mwfj}OlKoO{0TBCCzjt_jE8#6KQ+ zk+jczSBt#M^g3fBck_+)Ki9Wkij}dfoSxheX~kpWJ>4<wrQu1P+HbQ{4=`@#vCNnz z*nY<7*@j3p8N;;mOWQA2elf9{ALk~1;r0usUlOq{@r%1(rk8O3<<*;8+$S~nu%Yv% zPsQgdH<#>4n5HLw_R{0*&0lt=Ok<pW*62xBq+5*X=^6QFj2_N1tkyf;d+u?|#h-Ib zb~OaMi5J~^p>$3n_Ke+PZwvKZU!?mj*GbPk%xjogIX$^G(rS%~_w>-Tmm6>C)LxsB zdLU{uPh|Qu!PjSv(iTRl<rt)$e;U2`^N&+6YQ!XC4<s$-j!Y^M^zPM5n|V=H$9zZ0 zxtkd!e6x8xPoKBkefCmff8?$=rr!OhlOiWhw_AM1C}B%vTaV%Cj*V%O#^-c|k9C(i zXvLaI8^7Lo^hM1!sn`Q%i@9&+l?Yn*=%p>csQN}I_LuK58Q~-Qp6@?*(gp0sX^~cE z%)F;troA-0u2cJLX6k{O%{-9_(*(KC8l_E)RMRm_JD)n~Vx^Cn)qG7iaf7QboR&$( z9w}MeEty%uY0RtlU$ka%aYU9!RNc8sMZ2jdz1yd!z5H}a$9C6@_{+;zN3xX|pPuk8 zP4ePlo#d)nsfWHldcGw1<)$+;BKzaqo_}usIET@{SMQ1Y;_iyH5<`2**h9q^m);LJ zb20jj^S|s_Uj!#lE4OT$we+yT<`T)gX>!VEE~U3cvdI{qp71zLvha{jvfr%KLz>Co zVtz@@b+=#q`DK0yBXf^l%7TloJVv`_9CVv*aOA-y%ja7zTFx<4(Y1dbW9Z$!I_>4B z-pHyLWAFK|(<BQH>p1T-J>4-oO|tm3j&Ppz=I2G%Uf7(IoO`}<G53yy5=HS|y+_%L zyLYCPaJsurulsCpTy}Bs4?mx%dru>>r}4c$b18Li<f_>A$X_`IryF|HBsZPZQ8t^M zdPZ!s&yB1z^G?Su{=6f-#O`*FUP9}|)-@)(IzrvVH{W_8bWI}0>bZ`&Rr_0TE@4i4 zS#UuomT%_L<B6NUSSC$lyn8hN{<BmM^UXakvZfivo-ukj^+$Pa(92DSXGHeTb$h<~ z^b4jk>DUu;i@R@Rml*!;(M$Y4|L<SEC$Yz8_4V3kuUWAw>rCO_=T@aVu6<Mg+?CPy zS*UD>X5#e3{TKY#hG{-zwOz+`<$0F_vmUp}lSvL&S#&SV=w|ZWF=1h-=vh%qz1nrb z?WgBYFSGP7zB6gV;atw$cP4H)UM}=)r*@(#qo>7^`7O%7ye-liT7=oSYRh*jvFx^F z^SqI`p(VD><3{p|mfBxl7706AoZUPul9se2yLnn9Zn;oy>erf}e_Vpox@YPJ?{LoQ zKR;$R)Xwv?c%aVNZZo~$2sh{PJySN!;<CT|``zc>3pw`g;+)ntqJD38mrPz{yUY8= z^7a<yDldyA(_56Qye*dXw+Od!UblTPOF-sHghFC_t58{_N@D*}p>L5&4;z*W@kOdV z?072FH&g#%%T%ZP&g-}jCiaC2l||?#PB#_$7NMOuzf_1XLjU1}mqL9K`d`!@EpkZS zCz^5S?D^>bt1=qHt{ZMC39Mefp^Hy&#jIa-ftS`XoxSLNh|hk?x<#ySE;(ClJ+07K z#UrxfJVPVf7x5KQW-L+{!xy|_6UuOU&*N)6bMbBm*<Gnd6PH`ook>yZzuKdeIGtJO z+YODxt%(y04ulKwMe0B7C>839)J_cDH2s=r<r*=@h3~UOV|C{^uU)iS<;|v`Lvq?G zZ#KsqVmz&~=A9O&yUxUdGfAB4IzqqRU37i1JIYm@>v_QH7fhVH&rIKN+=lb_nW+T_ zWH{T;Oe;8|!+HG7l!8M%3*XzVZ%NqNr8jlM;YiNib0%&$p2_)p&ZL3^o}BG-CKMbg z<UB4jx!_RX!uO2&tqEJZ<R)%7oXELacX^8~pVy7_$t}#^ye(4Zw_Kg(eaNs{WzL4^ z7u6@Y6(iOz+O6_tL-3(2d9B3$w?f};sy%F2E5vtG>0!rNr+lS(+$PV|8`W&Gf8V~t zx+?7AyrZWbi*Lt;EXdN2vWeUhv{iiShQpSeyXT1dt^Kx$Db#eI!&R^>XsMOxid)@W zA#Yt-SAAO~IV&wFlu!Qkm4(lbFO5BSS>}AbW<vXXl{cSU4#|lxE&eStt>AzlXS>YQ zf+L2U$7QA$9O7K~ewCF_(^ajiphJAiRo)au9g<5|c~cyAi1E3KOi|n+!SgL8t3$s` z5Sja7>xJ^I@gnCIhF$bNWO+raD)La4v3_DHzehwaqw1P6ccCz8c24&@6E<iaR9%x* zu<*UzT~Kh|Lu8IL&fhvyH>{ecBC{#xkf64T%;um&oU2>*v6qN8UDetZb%-xp<;|wB zLvpWG-fWILv?^;_!3j1__navOXVf^=bp(IOU2^3JTm3?e(>iDBhSO%8t3yK$*;%W| zYzRK2xLZYLWAvd_O#)VuA74$k>aEng&?3HHCn{$4=jbc7OS9cii+$bVaJ465SIoA& zKz^?q4;xxyzi}@wv(yae7iRiusdu3vnJIL2r-O1Ihsm=I4#Iq?8M98xq_e!b@KxVy z_nhUH^U{na9?#;}Pn`Z<^-ZDkA-jH6nGYU^6!}$UJ~|vS{H{8u!2iW=Z}ElivkLcW z&vDjWci%I@cazY!9lDA0qlNf(Xg-{fuPU?A@sMG+%A5@WFLoc-R*cYH$F1_lApVfv zXO%aG;fL&sRb&jJ4=HX|kueNDWN551#~}8_?(^Otg_l*{7{naX3s!k!7<tHUvWkpB z;2}j}6&b_0Lxzu4<`{&%s6N0AQkbmr#vtmD-eQ$EhCzqylvQL5LJuictIBM2KV-OD zb<PIw7u6?<K?<!^-)wL`q<35O%|_otcG0Rb8(a@5&Q_J#=y}Lcy44S~gkVNGlkbm@ z;;P-*yNYA~bnn0Xu>SPowpnejPTeYx`18{K^@sjj9*@r#P0bI#a@8dC%C$YA;ju^e z`2P=V-#`6guc`0*Co{4Q_=@dv-v)Gh&YqmStwlDi_SbRQe;$V|>wYXfBD+s~=jr5$ z)n|T2JhBURS;v+ay*BtrY?{~F?=ut==ii;rVUe&&MD~(@)ya;3LVc174_n^Jr>}D_ z%`Hv!4b3&ZZ1?ZD?7zB=nH9hPE_>GX%+J^7yxf(5_|@x@KL4)y+FgI+;d`;!hXgIt zYIL~TXKpH(IW_mg?yQo~!vB*mO?*D3Z%_67DQ3D?TPK!ZPq?Bq&vSRML}j_kn}T1P zF9`-5uv}KBSJ<wpRK8QOsQ<Up_ezCN4eh!4ETV<eRde%Q#S;5@gSMO(=43xJso;bU zr~8=+8=|^Y<`}&FeCBJ0bY;JtzHH^W*Au^6R0rHX5j|hB((m<&-~WmOSL_NE)AQfV ztJkwf-d<m}GVkSy>S>u#tGJclt2Y-`%&S!0ZtyA2<Hp0(G!~J9zV$BeHpD*3($*;I zU#s-}rqZW|vq8pJ<vb3_{Z@Um+5Zq@wW`b}_d{9BH6PB174o|=bFW;ka(-~ylb!3` z?;U&Nn5�oAzYqTIGEAw1%x5?VC3hSkA~TNPJTJJM!g;&tC5LzMS`(J?+(@6SLC{ z_=1fuH-}492CKX=urz)yTF@7$R4$=eG+j{X`wWGm`Jzhv5qhSVQzy09s(IZ=-_gQ+ z%-bSmPK)v}FN?IE7Gbya_3pP%M1KRB9M`{R>CNU_Zuf4TIq^Bl{obSVE)O?&i0K(` z0(qTv=EUdI`}X{txoqh!gHO*Sdk)_@qy4jWqPhDazPrZC3mS^&2<<$4U8#JhMo~Yv z(sxV!PYuOE#<5~vHy*dO)UNZkcrdHQdEdl>BcYtfV<r|Hdin+w>gmSH=j&}holD`* zlCI1)Qa+zATRGi`d5x>ZohhxS*XA^HE5G+kd&0U@`F-B9%$0gQd#c$U7ubLHa!h<; zJ7L4&!)G`&9?0Bwd1vr|yL0y!!JWsC?w_*beBng)Isd&E&eZE)a;@5C+J;r1Rb)Qw zZE>!fP;f+%^Z1(y1&6+Y?SKAnQ^^z7_3rmp_-vHh(!1yC%!%S#`t}IU?>LmWLno#! zmFv#}gHLT~WfF-mPe@M!M|*zH!4+4{K6@o5KJlHr;qVuKFLwvV=Mf4;?X61Xkt#*~ zN0q+6)cw>TK67rwyw$4CS$mKBaQ;3sso+2kXZx9n1xI2ykDr-baOe-%1?P>cZ-4lD z;<x7J?Yy_$?yWj=f;-y%UjIzpobG}OE$->^n<RC6_Pn>#ldTMVd7>KPg!dZ{TG*W0 z6BHs*$*=n6gTm*M#SO(@&h+#!mA_Cdn*L7d`wO+A`RkPUUrgG$q4Hf(eAeFMww%Ae zO!pJI;D1Q5R#oPr`yoU9rPF<0OqHw*ynUj2s$}KG*AvZE!HIt+NGxmi%v(_{TFog7 zUoFV7=Td&}bTZpq+;&6sNA8;zI{#f?f7grhtv%j$YgX4Tuh4VbZ0F__wkB>5ImG8( z7g+N%y0Gt{yw{z?2`6INy6z-5oVa>IV5gLnJlENhN~Nv$9$%WzeItEI$)pBBAwEgH zhaHJReUe%aTNLHf)`i~Q@cZR?<Lj#y{@eTN*s5ROUlo1%dEtpnRr<LfzY3%Dn+spe zw45JoqF0q7b??)PwYytnccrfLf4z9yQl;?69#8bTUEgi=cw)!xD!0KQYZ<6{Rx)wJ z`PF9%GAplq3p%8zuOjm?=8$3S(&;`C)AoktK2ECp7A4x-UFo*mtZ=6BzPcNx#}j1I z($75?>+O!5*|yz=Ls~bnU2^8@%fA$I_MDJC)_Es&%?aCM9e2`~onS6YS?6}TdBe+~ z*S5<%Z=|nkVP588k+P{pS<TZTZBdJ`TFUy++dFnln5lnfQDNb|7c%GmR=$*sx9_sb zHsDJ)dj7fV*wL3hY0+}Qb0=*$e9~Tjuie`Im3$p{68E2o{nmNsalx}++wGR!(muxi zX5y@uMNWt0j;p>Y_Bq7pt}0XHa!9aURi@bE5GVWQ>#K5Oa!$vsUR1d8?hBc+v~xdx zIbPIjF1#_<a(=vt-oDc&Hvgw6A2;T-{xf5z9qXLO`%cKd>%8-H-3i-$Vmr^vPGr9` zZPv?AA&2DbJ#IX&=47{-Sa2el)7@rL!5L>xb(<4$tG5*vYFDrAI(F1|aq$nc;$zqQ z3-cs;4u3uKvuRObq3-1me~de1?t9#LnDy+JNI_qo{q!Bq;S+b42<|+-`b<sW13P`! zb!N9_W?G$(UFWg(`wpGN`Q1W%5;wnjepiyY<b6ocR#oP*^C8Z=_49UytvA0l)6`x= zO59#@?%~a6PJQ{McsjQ^aQE9YKMyS`{OGK<S(iUy-}DWKC!hJ6S<6uD{v@kTaOdeQ zXLe-NG8l(Gu?u#QGq9ZeZ5q2l|I%yKHj_4-cjjcbnNV<|mec*t<bpG?oa!~x!71Pp zD8XgK_?TF=bIzRj?U&$*isrzp@y}`kFYb_-eKP0t<B5hsWfF6D+O6$(XY0I^xZp%A zTgRQn`%l#V>a<y~{)*4^K%s9hbQ9+b3h_zkJ)Dpz)F+|!aE79gpTr!H4U7E2X^ea3 z@84UCEoMkIgkHTWD}C>o!?R;=A};<2>$SRCH_`fy@Xpis`2WYX?~gqqtvze?&B>g3 zYihP{T(xWFUHNTwYgV;}n``cRefZk^hZ!qMS7%AB)}Fn3b=KwMMprG}YO<#ADW46B z&EEV)B6pfyvR&@qInyU?-FfVled_b}`t67R-)qZyb?@bs1AY9r=c!!X^@``J>H9GA zFmtm_e@o3jFN*v4{pL3-&8~lI-X6-+eab5vU9~Uf3E%Aa_zO|nR|W?=m&S(vwa%%k zRm@s_uVQs_?NqDdSNu(182Gejxqo~4nosLTUTJDyuj^brgLdAf`jd@J^=p?nwtLE_ z_Lu$ivR-_=s`uxb%Mk~ndRy0Aj5uQPwlw)zwomRyyR7OZF}JOluAGVZ9#$G!8*4h* zKI^Zx-}=(3huT)A*ROB<@woopLwW9P1x+%G*W63IaQxHFt5?^oOZxD7`CZj-duOeB zw!N5VV`lrpOFL)Jo@I3{bpQ0^8Aq*nwnt1C6nkxWDXEq%D(66kX1wmVySKECdBpnd z-KCk8E&2Q7{#PITqqolbHfxsjYHcgAWxJOB^6SmAl@9;%3p8(R`fA5@&ACA(TQ^@z zTy=C+)Up%T!mg~ebh!K8S9EJ@bV27)t2w`3eD$3-@6*~>R@*l%YP>A}cQ)VuJssvD zCT6RXgYRBhxp3jWz_0U-<@e5O;3^J!Iy--<`+QxyZ0~88G@s5nA$zX-&eNt7wt8K6 zo@Y;FkNNfDYs-xf-+ph+41T@iPvC9l|F@1E`V#rIthP8g*f`v7(c$}9p}uEJ-&Fi9 z*tadC&*taE2HAa@hkUPYef9Oz=}TJ|)rc0HzPewJyItDVHz#k+itJYrm+xFUlIp6P zRd;t$es|n1Uh6wkHk@AD|If9({!){*sfb&ybMn{OSs(RuF0Z!BoE>SsFFN#dp0CxC zu-6w7eGS*FTV1hu+pa#<`CFcDe7yVX+*v#SRxNw?BQJOto9Xk2_?iqxtD2?G(|PA_ z;d^$C`&-)bU8io9bBn+9yPcZ1DnxnjQr_8inRBayXYu{EGONGzYvaph-)Db1p7--f zeEE;`%1be?!%SB4h=y%Db?nuuS<g;wU#)%r;X(EP+YayFaC}AUhu!^A-3u?RD3RQM z`&#YOD?xwLQkz$W8GU&B<#(WT$%_pe*EX+D?2Bb8y`dYhm4WL@@_L8bZ=5Cx`yHJ5 zI7}Wba7gCkG<mq;UgC7$sui;zcR0xQab0;j!@;(X`^xhc2j+KMYcIYv<=v@rp+lId z*HZmLOZWaOR&O>&<~J>W#{QRO-eKm(U3bJ+9KX)=b*I9GhR;mAmf9COPBZoH)Vk1; z|Ap^&&PD4t&vz`Ff1rGaQo!`xOkXQB0_IO<;<Zq{FyS&&uZ8l38ShzU6}Z1II1sk? zuw&otUz|+s(M+W~H3RzdA^Uu)S)`0sZ}wA8<2Fg_a}a)3cFjs=lkfY28OihNnl2>n zbBGn=xRSi^Uy|VMuaPQg?R>&zbys#>);-WCx;6ird09y6*+u8pcIO>5`KNQ&VQ*yg zf4OdJaoH;u%(kwMtoQurxZc<5<^BJmua$1ZL@a;z_tpP5AM&{u?0d)@Y8<*EeC7K5 zu>bLq_ixPDmvZ{mvDNzjliTan+xM+Bd$aZE#@M?5|4V-GKTW!PX{}o><Es~0eCt=Q zzkKfA+wXqu|5wXCTp$17>Gc2Z?e(EGE~&N0`$S7a^GmW`MO<9Mr?ck5mmh!kzyGkl zb;qn-U!KgBnzcG>)~80>`ukC9Q<z>ypS$w<`~OXc=W{U1uD0Ih^5xvVu+Xo&r+zIx z+xs<ZZQhzd<p+Daj%{&z@%i`v-G}#^vzlEmU$b&e-Rh0IR=(`LRTV1vMOS()o73`F zPRn0@|NZ~M!}}78v_osdE^myw>b2_nm;beCOFAaL54-YA;=;Xv_Qtoop_5-vf6McA z$M@9N(;WHNu3Qy<an<YZZymL~wO$;YKJ#>h$dXeDq8~q;zUK75)WtWnk9+^*9k&wx ztlN4(@1bSc=|hR@bz;7qJe0g&r-tv8(t`;%qNcA_3J>0MqV`;8OsJj`zopuz4q2uC zo0^|mV)tj?4cYQ(*{+hK6AI3-aH{)=Y>1Lq^SqHbt0i`s_l@LZ?~Rx}&#GtLs@lD4 z(W+e=xBb)I$}_by!28nssO{g{I%FQ7YPFEj_-vImQCoPS*d8N6JMB|0EMLyui<;Rn zVPon!LBrKPE@z$?335KJzrM{gtJ@>}(yLWg-~O!o8hIu=eEG7rL&ayTwsF7ATbCOk z?DLQ{r1YQf&IujePo0wVrgD4kh*5J9eII_R)gne){87=~n2zJUMT&OYPqnh#(GwRc zS{~zZ<ZqFpA^)jX6&X$8MP*#>r&}%7=!-AfcJ!%}joCErk2gc~R1%7JPMDzk)JbXE zzja>?J-j^vS58Sz{dO;Fb7{`+*k%7t+KcF|S^IKx*R*=8n14pWvSRFIS?lVZr-uG) z4|#d=^^Qqvg}V+JFAU$GQ{A<wHEdb2L$lVkA8OGyV(u$ft=p$3e|oF_S=m+pW>)Q8 z^=j3!@2|Bivojywe!n#K)ON$QyYeF9tpBEG+x~hxeP-t>jddG8@#aOHyBu-%PDEhv zbfLW3o7+}v94W0?om?EcSGeeQg3>z)pEG&Qo;Q@G&5y@57v4xW(EH7M>~X`v6+tHw zn93x5P9!#WS|k}5y7Q_%oRM?Irq-s~^m6_3>&u@CzT#Y6Zuv9y*|y;F;NZnlXR=J@ zU+T*(e7SM%EQ`6Z)41(w#kW4)`EI6>AYc2woZ9Y1x{Ee`y4$4|8hqU<Yxj3{&+z5J z&a1-zIc6u$F57Dr_D9k9>65)y<*rYyOLhJ`zrH<F*X;j>U5hsDT(++-wf=<3UJtQ4 zo~+E79PO&9N-<*7J3mB(s2xhLn%U97nyTdV?7!k>Pu;GJy8R1hRo0*AnOv?tTl@an zHxU;nB(J+@dB)Ic`c1dzhNoZDq)Ejd;91Na`J?^JG`HR<t4f&7wk{FKua>Xy68rzZ zb?)`avaZwG!v&=`Zk~1X=KVK*XAZx+Z(uxiW~%&BGoRxoch}z5jhOeVQ&iaSQRaPt zAa2Pgdk=E8d~iF_V8g-5&&2tJx2R#y_Y?0lFK^GAXLa*)#Xf!Q*sE(#1;>W|b@09{ zz(2oFaKj<>WU0@+b#D^qo)Ep(6_eb1f;X)D&T*xQ>=j{86z2(5wzMksZ*+LV`ON$B zf{z<R=J;Lv?6S_lb=9$i%`LS#lMHkNRAiDCw<xcfRM5jK<hLR2#gBkn>x<t!+PdzK zbe8-LcHh3_HPWH`XHS1za(44JF41c}tL~exapn(aT~*+^Kx#T`R)OaNyK|f-2X1B^ zHwg=0cyN{07sIVw%swj*30SW(IJ8ZxXX9or;h5D2{P>HHhbSz4ko?2KI`iK*?vUj9 z4!qyEt{j(ZWV;djw&~a-Et86kT(x@wS{b*6Bz7>%T$?3-i0^erZst45Ujf%Ayvti7 zbG5=y)o=B#YukReU2l65Zu7d0^X0?XvoGZvruS#<@!7Whb)HqOSa^oc<dnkNW4o_) z-Ji7OL6p_1y3aee#D2fLR5Cx}q{FID(wAlD@;@rQm*k%v{HvnzRi0$q`!(KGnx$IX zBR@|%^-V8|@4?i--qovrUw*GGE+2f)%FT?+zBlttP4mZs6H7B<U#;+e?B4#d@JeEm zOyLX`dyd!>^PVog7rFV~0+F(vQ;y|LH@fjS$nM*&kcL`4(ML<XU3a_;GR)f<(sY~8 z_4IRVy`=@$mzuoWImPk*W4@=!YrI)24lQ-+DPGC(I9BTz%XBY;iwkS`_gfr$s_j#h zyVUUJQl4cyL}Ha=v<{d|=Q6yzROw8?N(tjwt%Ex4TsCLQG+Qn8mKt7P%JXdJlz!>H znfy#K+r>n7ddag%#{T>$K7a9Di(NtC|J~EVt;{a{xRA(HqcCGjw%j%Ao<mj(xo3X- z(B!SDQ>5AZJoeNB%jt_$H+Z{N99t@6^HyTov8dy2B~f!9261u6dL=h{OP(p$l>Z!~ zbs%Osm*MfHN@vPeN^FkNI_PuUMsiJI&Xj+X^`{3#JPeXL9eXNaruWZ^>r0t*O26Da zo^{-<WL?Gaje!lJeZ4P2K5k21Eb!jir{%kh+OgSulOG(tIQ6p2ua#%FyP4mc?klgb z{$qc(-{!E))QNv=J6>L!xpG71<dQSHryTN`erd+jAhyl1r;f`^UzB`rsneO_l^mbf z9xYfXWhbGWJG1_F!ot$k*5Plg!~8jeqxLPb2wSnc;^Bv1$$U!-4lgySE7WYBt@rft zG;h`&Z-W%y?Febv-5<Jq%fr|H-<Rlq)_lA9+V7QG_t!?)+<Gc<ufVGJ`r7AuTKYN_ zJHFj$U=^7sVVc0$TgWVKAYos?C@;L`%Hoel+pn()?)rM^(CWp~pJ%N5_4(KO2=(@3 z%RSYjR>uBxc{zEp)cddBcg*8Is`C1HP-R%grc&XB%dZ7y^9k*-+2!QS@6F=%a;Jl- z8ON0eyBum`gfkjeGw~L>E)dk?HaVu+sJ0_w>BM$!rcevDfZk#zQw!|?`E(Yk2U{GR z=LluAOlImWbXvgK7oPd%;T{K3wdn2kMXL-w7qIzrT{-U3$Tmaag1}=|sl+)B$u^1? zSj<-~_OSU9dRmR^d&?%Na@MQ@_XT!&+$INf8{2khUf|fzVRDRrvGn_*lr4L0_eM@$ zH+9?Yr3b&~y?kuFooB;YUFV$A(;WAArS|0CF*+!=d`U{_<Y=v$r_-e3cP%|Ap7-)` z@phgMGo#ynT+vmoc{I(?uIx0+?75SKZmho>^e}z<l^-W{dFx8N<=yWZ9T42kQ+PvH zS*GZ;1p8g1gM7=EbYEoL9&+RTG^yWrE+xEwaqMaQ{x|DPug;SHv>}~g^@iF1A5~vc z{}*N#x0LHWXUOB)MyWMI8mZPyyc^sWC|=_-Ib_v%EJFVRi}b1~LC^VFR()9LU^<QC zN<yPUt&T`W!*Uj>N12Uo6>bX*=ZR)CJzq8D(%t8*t2THnkkaS4^1!w6SA@<527OMG zBQ=d~6_E?Jw1{Lhg|D9CcVInd$iuJ4rT;5UyeOf)%HZIq<2U_REdQ_W(tb+X&(r<P z?7Wp9rbTD%c{`2m{f?#mOY<Zj#BS%=ct%$_r{uJR{La)SUq8>|chk0q{D_$Hlaph9 zME|UmO}pD$4(h$0^4&i7?P2R#R@PQl?cW2!%lp>7e;DPS9W0!xDmQJqzV#R9R?(-` zf~7AK_d1B0g=k-hh-_thAG)wVe3ik0Ra!h7cX27NS$Rkzd$qyAU0i>-=U!hm!7n>> z#m0?XymqUc<Y%ukIPgr1=VPJhC8x*rzwc|ENpKf`yX2?nGK2H?EK=o;g(*HgF?Fr! zv#=Ql=Wu;C+<l1Y%&H9n#@afEdbqj^-zT0E`tq@8OY*VM8Hf1x>As!zR@9CA1=r%z z;?|2rI}c?{jY-^MQMcp&YEDV-@)PDV7xk~*GrBDst>u%bem~@Kb|25aM<<R?mtp<U zv{+z%vB*Q?zNDH{fldAri{@_VR*w2ruw-Mqa#T#=lVo{!#&5+Uj~Ltq>oz>!uzTs< z!yA9i-u~C;VMS}^oFCQBr8iICwD?hQ*mI3piN{<0Tw6Il&X9*298CK-t~^-bQ2R&Y z0>gDF|0S%vAD=0QN<Ccb{_1_j($xX{`L`V&&UOD4Z+Wh<J+$A1$68fxThWpYy~@4s zEf&cOyW4Fm7D-@tS1c<MNfdWCEGwRpz%Si@C@ZX^?T4y6*KdnUH_lHy$k<o&=RjaX zvrMbSk-(P2GDj^A1vV|tv60G-P>j<1dt%}tf0@ibXC@vr?5mM^u5o~~&*_fD!GM<Q z?l){rE1JLFJ#OX3v?g8U2%DQy%>j=deo4;_7wtBfPP^rrG0iT?B~e&eYPO`|j~RmU z^9_U^1iLxa9P?;7FWLE#Rhe_%Hul61>ta>@ewI?^;+DJ^aiHU1ja$u`WR(LkZcb+s zRSv1RC7nr9Ip|X@U^nfitH#C|2RjaxxS1?7Ofr}%C?71rX)tr5z-GyXOZo-9en>1# zIWOnZ@U(1VgOR<P#_Qx3BRe;Z+sQ3Pwr(1ylU0rgxoPZ9ZZWcU(^$QkT`;LNR%MAu zzo6H9iHi}ZI}U2P)yzp#IUwogG$&Q%kfmGFoOG3gtknX7VYghB_{AjycO2<Bmg>f{ z&fw8wE#;E6sVYZG-IVSms2uZj6S}j7UGTB(ZjUz)#gw_WOJ3Y@xZ_}~Tg{zh6^Hxx zbA*y~lm+J{s2sC!6Y5!CU#q6fd2jn{FB_i?=3XLdMvFeGD6>tL__%TURhb9cZca64 zJz8{oj{Inw$a3E<=)ZHMSJ#}IhozNO)_r)^@k{w>@14V5ks5o{xqH8-CT{Q&vx}ST z+F-hgV`G?@;I)%V$EqS#ZYZ4VI2Qeg?PacAWPq0rw^w(n<I0UX8n)9|m!8<Pz$8*^ ziq`3-OVW`lKYZp0Hg7-5`yy#?fEX8d%0k0<F}BYs50lsFFrAsm8Y`G8m^e)*$!Cgd zljNhG9~JI*8rJ=}oVw7!S4=86HPOIT%uemJ(gBsomWm)T!L(CK$AUL4P@Q9;%s8z} z`hw9T$w&<wZSLOZDTyEU=+x*;a%~V#5q#tt=@OySEunu>>7Y=do6{ccJcsN_(^D6I z=+ZHXo7#FXYvWsv(=Kw!J5LC&kqF$M9`)hh{;zXNBwB-&rQR*O`l)(N#J7y(S@$l5 zWM{3sT5!v2Zj@DY;jvpYPHnNf(X&}BC->E=tzRCTI&sWr&EnOc*YB{}^e~NW;TO)n z?68edr~k^Gi?ozcZ2cVOzH6nj_!_g!oU?a*cbrJH+Tz{2A^pXNYl{38J7QY7!dC@6 z&JvZ1S?wf$ef5N*5N@-r_sZkl`QP=X7|iXAcQXO42C@BOo5k3=vES^B)3@t8HNX1L z7dvuTyH|LYQfs*7rHWqV=IfT9KC-zp-g}~PBwEI`V)kN*_XSfDUV2p9a0TD2$mn># z>FtUuMtvsJic>zYxZCNO-?Q`%Y|xfz-Ek_gC0pj`j&p%c(qGR%dD=0_)l4p`_s^ki zi%U=V_L<}rrWDLpmM^#9Dww`l;JZcFh2v@W60EGZ)tpICIpE{wbS6pVP>$ORmNOnr zZ@)FJEj;;-@9ZVMYUNo==JsT~Njj2Xu59<sKqx_9S@D~pP@=uEA)mpd2kg}XY>(e4 zZ8>9gqT`UFn+czBQbCKL{C#7g2kvf8e~x&x%$Mve?3u`N{H@~;iG1;eQ!jG5iTD{U zD(n($zTES};;=`<=AIUd;~p)adyecl;L&vUn*x*1hVBbVX{yRocnzI01(c`!H+Yn6 zsyyYRfzwJp<tdL1oKEs8Pq})dnZxj+p401oeu;%FZGxv_Bo<C-6+9Ix!MUMH@RXm# z!YwU=r`#nLx*U;nX;FWxG_n1>WZ;h`!Crky!yjFO^6|z(3Eawx`w~?SZSOg9<CI5J z?l*-bpH1CI<n|@IJX}%B)@}OC$Z4gJvfVRdp#(-{MKL3xhnj9lHfKGW(ogljSgLX` zW%udM_Vl;CLaomwE>_GFY<BJWQPDV&LElIy$xvDFnSoF;r!r@p+|3QI*4n#2NL+J5 zRPXBl@C8S0ePlJcPQ6Q6Xc#NTW}Nacd6^E=nMtfqcW>(WFi9sVXS!>X?4zEH9%1tZ zuV4E`YP^}$dMqze;?2a?{=J(xHu#Gvemkvnh%xfW4@WUhzAob=gSa~lde_=h78XRT zIeDCABKr)DBCltza*2~pB>RX}Hfdh%duDm(?6DkUVOO@2loaQpaGTJ_53hA-h3?P| z=(T1t-I0CKB-3Yq^3T0rt^Fn+lVAHyGc#vhY1zYhQzb=LKJmY{$kv;^{+Y+a8CP9q z@yTsBTBRgwb1>0LQvGdib(Te_`r+NtS-x-UkG`y$%zDux_`WpjqBm26Z05+`oSz;& z=h?$Kp`PDVFYo*1d+%8Lj2A6xMXl;`FYhlFTRuO(HayxXv0cYx+v!6Osw02=@DpRS zo9^1NcGH5>>vWQ8l)G7?UzyviIlkAxZ;qhV!dl&HF6vv>r#P<WiIk{O<d(mk;%M2b zqp@`YYpTSi1uo8FQ}R2EozzVV-7dXxUZd&jl;XIvLq|h(Vr&26O$%6D#ilGj(R4{P zGT@pLw^!T|$%Vq3e`GHb-hATa5uHyQ)kl6MzY(i!ioL13{aYDV)k~KPx1+KpuUVI5 ztZ(m~my^Nz-aty;qURu+e(m<vS(}9Ye?7YO$(}#LA@S$|R+DMGF$W&(wO=k@RwVMm z=X|F1e~SXnsH{KDLQ>ZM963+@_&>w$(!G0e*TdwO{XLm0x{NQubQw<quiAf2TMcQQ z($lZszBuL@Dyk(a&ayDLFit>C^m)jyUc=}w&tw(eChj$#5FTN^;Ch7h0`rK+f1f|N zf9;l4`?q`dZ(h81Ir8<}0~-zJls<fJ_}}!$_anD_@}rBre@|%Nb8p+VXJt`IXI@LI zXt(~<Tlz7?b>%;<`DL1|=`mi9Z|-y4!r&R2UmP5r@2|hsEF<xw_peW)i@D4%>(6+y zW$jke=DoSHwtHV*pK<(8+K2rqtIi(oGzzeYT))cq{&c@||McnY!N~>{1%8=PwtMcG zuMpJObIrWNPqp+ybdBc4!!N%y8;Y(gSXRI4b@8-yoSE&3Nwq(omMmMhmic_)(pS;F z>sS3-JMX>pm)|+zle6CMS--aP)}cjP4)5Qr=xZ4FM*2(pKTeH`^w$A<0*>0YEdRV} zrE|oA)nS!aiz{WdR#*9nE)PBbPWsF4gZs}Fn$LY@b0*0pahdO>d<}y|8w^zUYkE{| zTk!Rh#Ow=EH`PKe%DRcXTQ>jH$rJI>QM;nDj&5`jZ{5tdxL{pfMroBrJR8^X*T45~ zp5bqGW7ciCjH9cc)_hrAGHcn=_J8|tS4b=i<6b`5d};oLdj5aR$M?RS>+5{0u#ji{ zigXFJ>Bs&3Liaka|6um%uUTig$5Pp<O@CUyUEbpS{Zjs`!l*CLg<ki2{We~BXX4zS z@9Jj?wyK&f-1|*PnXCIZ+h6yM%GTX??faCY<{7($_CEDkxLzhYym;qt{p<dcs-ZiA zE`fG8GKKC4vp0GXRKAx-ByWjhdAHoHqWSH*bH6$~d~I|gBA$&cUd++keRKQX*sl(W z^^zHH^q5b{eo{Dd>!-?k1zr(5J?7rCsR<iaa%?Iy<6q6rHT#sq<GDr#71rFhLa&{3 zk7SK>SpD1R!j2d=w&`68+0Sn-YFab*`6-9TKaC6`rm$|^^Qt?NHF81WLLQ!T<^Re) z?0@E{AKQLjRBv^SU!ZH)&oxE{6}b{@|E>6|ta;Wx-j=@2`o4GiCa+Bn4@)F7=4dfD zPj;Qq`zpiiZ{&i4B|Ij4QyLE*S@Tfm#oG@n9@bVK{+VYz@%oJS+a9Mb*tndBr%tbF z&BOjKu1#&`(;AOmGBSvmYd(jq^02z`?*zL?%8|X13kr7dnD9+)Ja|y)gw(!iz1rL& z>!vg6ik?1@;B~D)><MrEeDgW|KOEwc?H-k;F4(x9hv%D^<FyaouB?%VPoH*3c9oQ< znQ9)>_v65Zdg(u_*=NnFlFwPaH6i=fN%ro?6{S)2avEzinWJVbJsvK1VPezDqhXQm z^E_vtW^P@6x?!4cYQl%aTN7<wybZWA@x4*B%&qXv*Seg4M@dS=oS(H${?>Nx>85*^ ztWe6X3X;t5(PwVXjJ*7_@ZQ=NZ?@`gJ{q@w_SJ|tU#(LYY*dg=+j#xCxZ|}CdyN)c z&J2vc_M!EX#G12Gc{g5Xe_B*`?ZcNn8B!WP+RVKtQxgib-%pxv`X@Kg@!E&ksS7@a zNlNIPllEhtWg_+QTH=1GKj-h(|K8vJ(f>bxfHyOX2m=EH2LoHYPt?_<uFeAt3=B4m z3=EtMoD4bnCHZ=pdC5hgA*>9{K6Pu-bNvr?9dh8=`#${1K8uuPWv{BXcEqh&QLVS| znA9A<L>bXPRnc3k3qN}>^?yHf+E40Z>CE6IMfci@UamgC``aV$-L2bGo5N-r7CcjU z^29GN%6@LpioT-M3z7;q)*UQ)EPS&1wf(V(i2SZU<=djgv@e`eNeJ+F%W&Tn{y*P; z0Y^}``u5V0xeB57Qg1ChH*?l2f3svexTy5^bPiFzuOdqe`a(Xl+b`hH6ezO0aP(+t zdGq0Cj~1KUU3_YvOqxx_J~^0w8NmLX@M5xo1}_5x2y?*vTa=Or_pZiP{l5v9%)VwY zWVJ^~2R!IImTPdr;5cVr;k*?OPRvt2CXwV5r?kegPk0XxV@=fBEdfPq7kd3JVi)^x zNZ{jv^AfZ97nrA9m7Cbn@<C>a?a3m;$SXm2Ke?>9Hf_auhlB-;^BHF{CiD95IQ{jW z?akh5x3%I2J5HQ-(@ryO;`KNcE3Xtb@6`jbm#r+5LU!+CS#{*s-8DDYeJ`+>-NWUV z(PF$w^W}smt#SH}Y~`~H<{X;up0@3^`;&H|iBeUy9O<)HRqu&q**e`RY1?c2CFgz# zva2wzY_n0CH{nXg_30B#Oqh6ed#BXs{GGS$ZF#gshh^uLZLcG1Zr3e*Tl3l~YvI*H zhYQ1<mR|5+6*?UIzO~0}k$*?9r<04&;@JI8%d~8szrLDtxVE~(deY`Nl~%5cU0g|h zhbJnVzLtMbB4^KQrC|Q9s^2Bh=~aoD`rMEEl#MR0lV7+eaJHH5k}nq?<-eKkB)FSZ z<WY%}-L#9(e&^2G`hh!ZN-N{Z!t2FP+Py-Zl+Qg2cAXpaH^)swr>a`)$is|}X4{|e zPyOZLwO;*X$kHd8j)@!Z)=k@|yQjON`i_(KoN1ZAHf!!VRK&D#Y4@#74SOQ)H#`yF zvS9W`_N#}5_kComY52JNk{XZA?7%A@T7JGw5O^fm|NlVA^~%H9f*Wdr&HV$v^UV*{ z`@Jm0s@iOB;N4rnx!dPHWPSh5NwCi}&g<Ny`%fP~nZH*$^wbZ2qtjY9*<QZY-X;Cm z@x=PHKW(B0xk6oQOt^S#X8TI+Z*27Ny__g8;pV<MR!W)*mS<h>t+oFDZr#s!!Dnq{ zwjOUhk`c9Rp?H32gRhT=Px(omPls})&ia*KpWwQB8t10hCbN8YS9G`b9scN?w5j*f z+eh3PhTa})PiJWC{UN+%+l=5ep$l5>*1gL*746b4I%Q8k`NHk+?d%gy+1-*(S$Z*T zH}&dH?POf1TEL^-ekG~ugwJgQ*=LU&pQIbFQVME6%x=K!rX-pB_GjMq=-D}ZAAfZ7 z8{ErP|C^qmd@}R8yTaDjku$dB?2dEU{yIEi&G!$xt&cC?9e5&d_rJ!CbyL&7RoK7% zc;Ovi<CPt+T?J0~?T)+haog?G#nVr-_ATg{{>gde1gY1qpPUml-1E0Dc(?fOiQL_G zuE#^Aly<(Y5|wGY^sBT^^JGuiUzSZJnz?hs`QLN*Eo3!19GidXW{cY^jU_BvoP{sG z>iFDreY|hiT&9%|*KJ=e(7dDkjtAES4>$XeV^u;oU*=eyIV{E<(Y7*x(a!3v)^r9H z{!l49=OFJ-wYxbinmnZR*Qalu@li@>L)YK3vo7y97fA4=&elj;o+9j(b~#3GN{{l2 zh>WvJ9qB86vQDYwD%`PlRUH5FcPF<gSbW-5$~I-^*L&Mnm6)wGpRIFTJ~c}Jg!H37 zjdJbV6DG)fo}A+xy<O}*-^Xb@5#nFNI^%fWuD<l>*z^f<$LI6rGq5!<7@gkK^H@vr zt-{W7PYL~`Hx;G-EqAT>?|SC2y6q+X{fqbPtPy;)SIcFI-?921Id1AXk3LA<kA2Ah zUi`{OiB<J8j$XU_PP@%V`Q>l3`>vIDn`D|<_AuJy2b~jpB0Ep{$<H_4fiiZFzg=u8 ze=i+!T*q$qM6c&Lfqx#ezsLwUw|IH>g{RkESM+>e>w5pLxelXy!14YGQ;JU7y}D2p z_HFT{nbj5Fxv%x@cRJuUImjZ{x^R8E<W|Xi)AajcOU}%+4_SJKYhSnThH}@q-F%u6 z361mIvy1juI_DJGcRg)<vOL#(4{H;P!#$CA_wN39{XxoTi{jG9O*{IP+7G9nI5X+y zw>{4%-Vaor*kcgK{F<R)#UB>cqCZ{pOzvnNk>8Z_c;APxkFP%{TkXo+#WOQ>YyRy@ zQTrbCz{=Rjg4gdJzR%k@t7E~jXyt^t+kSme++cq(Q@fYl@e#j;C1d1Fj*lvve2)lU z_*l&P>7%N_tz+dAelRatW_{9V)x#q#R|3v<uz##L^O*a=558V@#s7y7SGQCMDa~sT zo?yR`sZv;Ib8SuM>`h8_3^QNq%?jjIU$M_L<H-5G`JakjZt<>*_Mdue!LJpb($k)V zGwggWo^<udzZRR;TeG#f&+Rkq3bEd<s8H9kMovzdEl1dSbF#pdIX8ETW&Y_BbDg!M z(!V_AoaQ54@eOa9a`(&f=dWx?HSwLYXz`S?>Csb8m`r*$@AKoeVg?hJ7wh^><nT0k zey4()HRry;mdHC%>F4K6e!Ny>Lyq&CgB7n?)&$Q|npA(9<;^9{#$_E(9<3GHFmtWv zbCEksi*7a?oVYpl;73^rzRj6Cc-&2SPX=o7-WSZO*|qrRUCus*{^NTJ%jLQ%D*7j$ ze6M*$hX4J<(Ch!jUj=n`Y+hKnUFtzj;qiqh?kM&1H*HyAlygRN>71E@f)iDI+n9wo z1y~+s?BZFqn^CCYq6Js8MBiZvwnqYsW)!ywH1%|Jbey<g(aXsHk0IgFA6BOYM`~9X zwoKWb&$N|u-S&R3OUt(9Nju(1&1N%L%W-f2TSmd@T<a3V{MVhGyzcJo{;NL~(<a#% z+5aqfov(G-G5)K+SMQ@~yG1kS@mli*7dYMC@TRhVZECcT$mWB_VYN-#uIVf%+g6y_ z$Ms&i)cLA%?$tvJvTfCp-?H&#lr^gbm(5wS+p~gy-AzTyyZq+U7#mx|_Af}gc6W7` z%gTI@a&7j>f^G({bFO5}`|5dM-wgLgr|i~q@~l4$UQ34OarrzxFl+C>g2QGE>y|W4 zXD(jx>b!P`*JDwOz#iA&|2ZdwzWiMF$$!qCi%vDFzP;^@E-F>G(#@RS@d<MZcCFLS z?R>Bz^<q|#Y{%!B9q%m;UHrG_!0XhR2aDGgY)P4}W*oec^+vMjc5_CC7caO{-#!gk zyL4U^7sE>t$!Ra{$TVMH^!D)5XIG|LgnI?s?yIzVTXk7$qkp3B{kHoyOS$s@NlfTe zf3>C8OV-q0`0?-aD`$y*bznM_)3P{BT6Vu*lvK`Scax8*YknBK<NU~&B)6eiN7i$C z<m9X^&m3HQbY@gU-C5YMX>pmX-H)9jnU8WUT%BL>&*u!f@U7=0SK<ELyvW)2f@K)1 z?3Tu+m)H0=@p^`Sjj=vsX?19lxQC<kQ3Kbr%G^e~)<<~wo;;8eT=nvD{4Q3#E9nKC zJ<Zwv%jYe1Z1w&ezU--c_<;-6uFUFFg59}=x9^gD_qe3RXjQA;ryYzgS{`SAtWoC? z-nq2K*81C)m>KJ?2xT3PT;$AYH?4Q_g3cYB|6blo)Oxg6Z0p-clVz&A1C2G;$ZdVp z7}&${_4lT|dfEMd>kqWdIw*V9$XkA{R$fiy`+wJ(PTcCdx#Gz62<08@w`WK%@31YJ z^?2zf%O9TFhi+sZjaptXHL`~>?79BS<y=h+P6cuv$@hB~-`J+@6w>|Wy~y8laR-=6 z-yJ^kpzP@stqWZ*i;gZlanW$$HtqN|JQrtZEIB-3^8?RT&-1ma>ThP0$L2C9`{pM{ zT`B*6P47`$`#tAR0o%D(t^Jqw{KnarpMpQ}9;(?kg>zB=_nY5&+at^mKU!zc=k|8* zdLP&QAD_toTgvix%|q#~FUcxuvuZS-3eDAc{6=kQ(3uhoLCwoskF$#NS>Mr`y<)4L z@3)ILHpl3)uIjtQx9Lpkku2^L6KnZdIDYFyv3Z{}D0w89w(x<+U-{qNg3|;{&ChQ5 zY+u@T!8JnKGyco+$_z=pk}nzOH#&2$@c&+sdO@4jMe-N#)*sFug?^9TOn211S}XHm z-O0@?3=ZBBd_~rZYd*Z&uJ3-s=`gF%q2?PoZei<hTum?At5<Sm-Lj_kxecx}iZoas ztj|(@q_xJb__BJ5>h0J3*A!mlY_9%wdSaM3f46r1jO84BFJIX<Y&PC2DN`7C;<4^- z^PbRfd5IZ<+Z7U5CYo#97fNpUe@!-??a<pB+xeN*RQKwLn97#ux>slBzbXALXS(!? z*7wy%mUlLH+>(A<bv*d#qQw>-lLBos-xqxNqoQFGF|TE(6KC?2=rbPAP6>+1ZRju; z+Wq3#=S%kz%pPVIAKX{4r`2%wH2>WkYz>cCeoc6>_4MN16YKx_F1jk&Kl82f#NGPU ztap=Ng}ut2BWH1iV`64;;nW>Jv@fPjK3(2@Nb0S2c<@GpTnSm70FKp5g*LPD#$-PI z8{HkJ);sg3)D1zUgQnB@PflOTGF?Z#>1*HaXMdVsG;6xe63<GJ4p9u-!^d>>cU1H2 zU!O%k1#LD?PId2jvB*SGWUu(1xe-F?zK!dmRf3AMUqx(qZrj7ZcG~$%?;ky0y{Fyn zkW|!#RLzu1SN*iGnq)6w)7YQJEAwp6@?{DOht_|uI2V<qwsM=)zS+FzmGAe+1xC*+ z?zz3w)2-`HVo_R3fPRCZ!^C^xMZ11EdQEvfqw~mfKG*5qVwdFY!lqj4A6h5BaO?S< zcQ~E~I~IT4e0kq@C5JDqqD?A4L!Q1iDKXSAT64vOzpqFzi*JoCcSk_-j_HAH%`304 zx_7#5+&ra8a)rR-J)UdKFMMC}=gQwl4~}fsX<k;mT<qi#Wi#mz!)r>WT|Rm}NfE#F zn)R-7!MatDZsdBM9iF?G7#KWw7#MgNAl=CLqLf6vtm6DUc;D*nlvw}U1|n_me~P+K zRcmbde6io5`nX1q=Tg~RcXdUPog2OPT9&0*H*$TvpT2xS+oqr;u?2=j7yI{|udL14 z|Lrf|jpb%bW`?ls>zWy&Y39=v#s1EF?Unx2Z2@o6^4`3@D$jAdU2qlqSF1k>b2@j| zzMfD~aKw^Fc0r<tX(+3z+?rJ}jjOW`32JWe&}b~4rokB-wV~xzcudys)$@)8742B! zrN4V^>?S5*&erE^_6n5cx(62X+dc0Q&R}H^+%0?XNN<~XLNy=1b?*w{Ef4otm-(8m zeixgwqPX;r=3J+YpBpZBS$%6yjSl_h^QtH@O=c#KuV~jIwG}ZOYIzD-i!_Zt)Xw6b z-27Ij;Oy69i&q`q=o75dVI250>_|&inR1ejL43wCM!{ttr(B+q(3~D~?^uZDN`}AF zw65*c4e#a(S(j4N9eR`b*UF^~^?JdpYGd*RP8GhJKfk1(z4rdLGfO9S%$j4M!z1+d zSje-Jci!_JYyNb2^W=+m-o|q6`_jMPiq|vC+R7)*zQQVhzDnfX^0tjiH;S3<qpuZ3 z<;~-(T(@J|+@k3+4-7cYoVJpfc|6zhtY!QG^S<1wEY)utmdroFZP{|MC{m|>>!~8n z-i`lQYv)Xht5Q7kr|_V5^0WsE#qEyPJc3rqO-+9fS(?v1H+6#AHA&waJ%L5MD`p7G z<uAO({=&#r_%K&g-^O)(xfu~&<-uxS<}o*wCS8($YnS!<QpIKy%i9{g=H7?97w_Nu z@6c0`;_3srm#Vfbkoon3rT0RLv`yNax+>mxmalU6X_u^io$>D7uI)F&Zmiw+*Jf@I zYY|)8It{g_eP+{NmYhFa_tZ;Qd*0E2H2>vwcXtRKDt)PJxBkHY&>Ew1@qO71QE}Eh z*S~soG3j%}UCTdr+I@=IhUnV=re2?0`|kg?`sHz1YS-1TcQ2Lf{rrI)HMQsTeLJYj z&%jWn#>fC4L?};5LnQRryO$PQ@a?_7oKxb>gzYc=oUYz`6LL1>?dSD<JT3Ch4gAqf z_ujsp$I?@(GC%qBu?he8{r~s;e*NF)6aSu$3cqFkSM%-XgYw$xIjQlfZ@H%5KC|!T z?yaV^bvMt5maN<M{?p66k|j%mR<EzTF^6mN!S<8#+ok{7uPt?sFZvZc^HJg)7N%@& zr$D<ia}`e9C^x?$-f6$)`G!1|HP%{D9F=jE&+Jp8{=W1IpW&nN?q1bD14&1L&G`xP z$6DWrZ-_s2Q@`~w|GU#4*5_@V-udO{xuE`hKFRzSGYXHYy>9Q@QT;~V_Sw6G1#edD z7CxBx_+8v@_uf6#Z{*Ftz1vv#<i+me|0X_uU-#R+_rPyK8B@0R?gdvg_Q)R$eEgw~ z#l81PwSbJZ*n0;9x!#qNb}#lmJ*(?pfMl<zYPf5ySm-yyqRsxR#2%lR*L_O;Z?y0< z@ePqNpO<{fPZ8cH)_-B9`#+@z8X=4K-nz_x;{fNUZ=Pp=oc^O#U#xh;FlFTyhlH2; zo?rKuRA;P6)UtDtY^n_sWM=!Y-2PDfsufOG!@qnhmK0SljGKD&%Ce=!SJs_atM^pW zFQ8O4^_@n?y_-Mtrc?#QIOtsvtXcH`qU!Wd^KM^FP*5!2p%nk5DelpM*F|skM$d6m zWP0;X{IlNTu9(Atmo-1#vfIB$dYjfnO&i^RfA5OznX#T#VY)}`#n)jgcx*qq?mcT6 z>;CAU`wwr+zw@82UpTMxK#^#D%W*Nw_uYKY*L||vZu!Xc@6wOY{Q~Y^|8y#@W{TrA zhdE~g&p!QRzvEE1eaz3vTI%_4KPSbB?F&E1b2Pni_g`muRtvj0<{3OHi(hHUC?AgI z%Kvn{v3thEfY#^VSX%GQ?fx^RQd+_7>+(ll>mKzt@|S$PS$V9>(NOQv3XZ-zi4UI4 zW4!kHjjdGtugO=Z@*YsCns|}XVM){TZ!Ow-6>Q(qcI*f}a>{ah{Js^=Qh}3xX@6R6 zwbOlv_KWvyirmY8PL{o6Zn?djMc{KnJJ)St_3s+aOL;_!<G)Cn{H~p{|Eluxu6Jj3 zll_kA_Wh_kxMOnvqC5GYb*8OeJ0o|;8+GG-ya|shkGbBT9we}@U|#L7iz}9CI^F%= zUY&c#cF+9Sz-iUo)r~P6tp@IUy-r_HwcEaX?(P0>cK1&1eX+?*@7fxv4V_%J+YbMj z^*QT2>#ukD_u|}Br&Zg1TkfW~ZeO&f{oe9}Wj4FR*F}dvowk|p-c;XvErAW^=iGK@ z(A~(sXvv`!X7=0dV?L%YeKc#Q$UE-OcME>KelN7W+A)!(_51szn+#U-+W9s-e0=h1 z>4m+Act0rpVp?{UFZ*eT>*mih{B9kLnIv=2!eQ#doPf+9Zu12NeE<EdOFFmu{0gxT zE2@o>xOeo<vzT*~ZQCThl0D`(e#v$`o3{V#*&Tn{W_)_&?POw?Aa@}-&2)8jR#Fbj z-|I(=`0}zX4TLXQ8uVMSNp8O)+*b1FahmN1yVH&<s`}@zaGrKCyzbhg9XqcZ-q_K! z;(JElLC$@aZ}vZZ=R8-*<~yIv+Wv#Ci?s~<-4$|YJ&-)YE+4vNt*^G6ZlvV3=O-l| z&Od(lb$4^H<Svf#&HavTTfOgHKW`kNl`v<Y-TC*~PGWC2^f55(>EC`jR!HI5Vvn;c z>N-UDtE^@xrz+P!w0!;T=dFiN&t@s_d|sF6^R2Hpr-gg!iO+)KZ!S8w%t~E!{DXns zvlR+!UHIJ}J6ojl%<gPtZ9LYeuz*p(Q-^Q;`2$+Msedm|&<eQN>TBon|C!kCz8=$K zA|}!kk6jD9q{aJuXZhD)jd{kYcdJFOG5nD9>hDQ@cHLw5*C6#nKPzpF_RK%7eD-f; ziq#MM{`G4;R<gDESC?oBbl+j<=Mv>nUgFJuID>V{gqd7c0Zkj$W_faJZv4i-SV`vC z9N8OfGcVi?$qG2R;*qpgW@^o0@i2G4<fF0D&v#h4l*B3Dl}-qA_^EhL@R;AtX{&db z&d;iQ7Vf4mEx?tT&g@hun|olDTd=gje7AW2eK#WB6bYX=eeFTevSoEgwyatqZ~OL@ zq|t=cF=4(|XRb-zVLrY}*<N35@kC87NmrjjkI(g88b`{S&0n7U+@>9HBxk>y?%6kj zT!v}=ixtnmDNJEHbI!+eZ-r*p>a`A>n_n7FJ)rRT*zM)N#dq|*RlDo;#w$ljDx%Xj zLR>p=)rC`DYjT%*|2ld3?%L?qSr^N=PNjc4(#pHsae27&pRm>Ex!SC9ZYgcAIKQ|v z>Co=X-4o_%EX(@+z4DCNh1=@;&9`$`W-}FTH?FNew7ZPk&LX3?Tq0=Utp`Ty=KOe6 zyV&W;@-uh$Iv0F5Ikn+@v(1W|lYa_a*x<(hW)FMJq{{eJ61~@y!_WO;eX>09UlYH2 zqMcs0x&0>lntdn#pKl1aJgr{gfA}qD(b}GWo6?sgZZ2DL>bcOYZ-#GOWFO@JlJ&FR zxZO>l+S+(=z{{gsB0A-cZhg;qea-pIjf`cvT~8y{ojO(OT5J1GxaX_!iDuhpCu=rb z{ujKMo!ioK`ju0^S?`>x+~4rWWKaBuhbnuHry3jYG+q#T?jz^D%Ldg=G1~LnZ%&W? z^H$_rAn*3=3jgb`Noa_Oe&?*3Ci!IftK_tr3%73f*hEPD-?=30p8kWdbH7=iEPwd7 ziC_KUUlr>IpQCQtIj)}bSa{F!#BVG+qwK!xHFVZ(yZR<M_s5(MD>unM2%RopcThUz zi{=D&3Eht@XZdY1co&Km@Te{?XPso*yY0zI4%@Cp6As_r@S)1-w9X+}#wl7e{43a{ z><<2}Q?YW@zB#dQLH?^_o3HMRG<#*xCh{iqz;6H3lF9nd=Wg5fI!tv&Zm)j6U6A?y zpog_H+!lV?%RMzOYR&z!nO%3!9<M6@xQ)B_qWG7~e(qnoD=K3}<H8&3n|^K4=Ksq) z_Yk*aA!pq8AJ39Z)6a$ox_#|ezc95ceN)(@Ys+SB-O)Vjg5mS1myQh|cg=H+dFh@l zkjs>Nn8nL&zVkQp9kv!tXZ6qRF3X>>pXaat@^c&$?=~oZx^;$4<>Z&mjKL9tYR7_? zNC&KaT>j=nWsKSJBiBE7{ChO__Q@Hx?Fy>PpBtRmP|4RT(*OK}Msh)$xhuzqX>YqW zT&>nQ9r(VsVW#E1#>pbBGV+><59jYVQr{L?&6T}zr}?(&eTB)V6X%I~=A7QR`qSL{ z;>(u{Z$7mC&0Ewf#d<+Z_1W32vTxkFKe_eGE4(falipVH=U%_znie0)Q~9$_E(yKt zCcJ;)#kGoo3wd@uKPCOwrBeHlqC~Y<QiGHso9I%pT!-jK5tTDpcU1J*K22|tRbhW{ z`N&xVr@3c%l0%Q44y>1dw8Spw?;2<MT?*o&k9a-=<dw{Ey&2ZM<Ceoa#o3h?u3Nu9 zCcD1Adv!YBOt!aY5-%^EV>w0fuFH+wFUmc?LY>7W6&7C(F}%+kws5AVo^IDnrOV5w zYr5rT%~ZOwR`m4rYOz}^-%GwFURp3wQ!Dn@j7Qv=%I0T}xH9hBX5|vJ&}deytL>Ic zyCf8Y7Iqa*DalNdF^uh!IPSMw?!v5y!UgN+aIc!t*;1%^(T!oIRV#}@TZz)t&{n}! z*S+3-2)-3{Z5La`l#Iplin+61xN9$HowGxA+ceW>V&Sb4uP!%ApXtyEKjx9%=T;x@ zXmUl$#I)a_rsP{J>+(+r*uH8`y?i#AS3XzbJloHXGTz_m_UU~que=XG!nO6c=;o}u zb*}lQ+26FQ?pAI6TeH(d?(Ywdu6+k*ezTAky_U=H{EG*}PPV^n6a4yFHoU%6$ng1# z#{q8X3!5#Mv)$0R<+^lJb-&-r3)%kz^<=f)xO>dr_Uj^-hFeMcvl-DbqDd<P*qhxs zL|-KQ@L0?y3oW@bFCPR;&In__aqBogYZOn=yNhdn?znKOx@YQ&_urB~|FLc=+4HYY z^+>Yv6{GtR*~Nd#vU{F0rf=Bz!LG*lhEv=pBcHEv-o;nk6%L%U`21E?<bK7>4d%5M z<(u1CD%BeHF1T3UvG(wUIjbE-n%3|>;{0fS?C*)vj4vN%=v69773uIPdYpYIz-GzT ze!=6Td5bH5_3VugpBgSndv~KM>ssI2(}ra|Do3ta_?y)^FX~%e?zqfsTWiIwD!sFp z%(xi)!aRRn;S~O1q|0;kt`=w6r&uGwv`xnktx0*$+~Z?;JS%FRzUR4PAFZS&FLdE# zmrym3vg$o*e$H)CQHk-a6KC%Bs7uZ_SLWVm!*tyIah7(_i5e%*w>N7-5B_6NDr99b z&<t{9n9Hl7ct|>{EHPU<ctu;2$ZW%P{-KkiW;_rKKg2RoN!DjWq^{Nsh3wUjl6RRj z<n%_KpE~1(<!?QgM^+pOK}%W~tW2Xg60)_Od8V#pe4l`}}cgMv_?z0?K*L6w8 zbWJgA4(PQ?`><71D}+OK$$E3qT@nd`;fD>3s!R-ef*O7bhFvyal(qWe@p7x)$xHkn zn4Ea!VrhR=`Rs2^CEGjmlQuK{^ZxQDI3+if%e2AVFKxw<g;uP#^&As-@G;F0(Nt_W zmvzyJAv$`dlj$8!=SE?_FlJf4!e{kLi`w7Mf02<`Io~|SwJL9MrPi#x1(`pVTh3i* z$q}&ibMgJ8?;76&r>#w%dtl$j;v4Y}7Z-3urgT1-`1sXo_r#THTrqX0bL+I{)!e!v zvHyYik<<G>r?FMv?$X#lcXv*0?v{C}k!sneZ{7d<_PAR2$LjF-HS2qQL$9pd@U!gm z^wNo)8(#nI{<?Ld=P9ZFybJ%2{Cs*K^>tUW@rmt|kGB<PZ`~;QBr$N_)n7@msW}O@ z?ROLBPhO)hcOzbU;k!jl)m=X}ZV#zg{qn@rHEXSIXFr}&b4O}Tm1_3nbKh?5PzjZ| zS2>k`^RtwRFLT!S_7t4s*|R-yP0|`m{o8h)YyR}bsSB+>_w80h>2CXe_m2P5D%7Md zKidA3Rf((3mGR7)kI@#UVUjO`w&XGTx<qEra8}B>|B-9c!S$Kfu6$M5awogldalkv z-Z~9u7UsE!PA-2aFShi(RzXMd1wqcA77w=DX-BIbUFa<;)3`)qp2>m-o}pH%#~Jfl zn~v*d)#r8Zwwbhq<Ja2+12>ll%Nd(>W&bw*T{0~&;nKTJf&v@vJ(r97F!55^23DcX z4j!MU+_<l^zt^(z%F3g$jPrw|N;ln%KW8J}S$@8FreNHW*MWHxw`@Hw=r3K|zWj_! z(!I~tYwtGQx><eZrnl4SNuO6tKe~8J#pIP@Q&rE(RBe<$v-p1f)&4amsbQh6^ZxP& zcr!AIFe8>E94<-dw_svmkY{ILU}xZE00Bk@28M=45Gy6QK(8V<Cp3f;vOEE_L;>9d zzMv~Ny%-r7GMN|{xKT_<V`2a=afmN2DNWKV!)r>LT)<;bMh1q(ObiTsD5gAOMlmHL z1;2AvtSp)-%gDeW!H6&j<dufT1Xid)1&Kw8xdi=n?a~pa2+-0EMg|5EsEZ&5ZDNBO zlo^mwS?pg>qF0n!kds)6*Mk$bbRXF&!N73Ni-Ca)#W+`Xh;e$w8Hoj{cn!IJ?4jy% z76t}WUC@#e<k-?yfErSfl8nO$$eIkZNU0;!wHO#cm;=QfjH*y03R04A7y%i7tkSY` zx#Y^gaKaUlW*{MR+#hO0MIK%g?03$$oMz6zFwq?052y)Kp(dn)MtHC$JBUAK2nBNX z=rb^YFseU#&O(hSE=k1U3WyP}ydQkGWME(bVbmyb{|hxDC9Q%$U`T{6R2F1lVBlv! zkJpVbLy~i{aT)?~$@L&EH{R0>3?Pi^na?moiU=6t^e)xvq67m22%~yN|0E+g8|vld z<>VFP4Usi+QpSqB3=AO5iIO-BA3+VNNWz)GAgLq!Ti@TE-i!=yE7;HydeeKT87Zk{ zI2{5p1a+kpazf9sW`_6%vTh1b3Ymbi`UqkMB$36~K+OQJMZ#(X#2Kg~?GPg%rQ>E# zh!IHR?s&{W9m7O6XQ2<o96j)eCW;ZPY#`T)GKey)WMyFZ8_mq1$KYyGZjkR09AJ@} oAK;N@Ss58-?w)BLoM-G_5>Q!GSnOEpVww|NnVAz<oET{f0QA{)qyPW_ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_placed.dcp b/LOTO/LOTO.runs/impl_1/loto_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..5d155fda00ef825c1bab5d23e83aaddc8cda70e9 GIT binary patch literal 221357 zcmWIWW@Zs#U|`^2V2$^Q`a3D)h!qn9gFHI}13LpJLrQXiUPW$BXb39<vv=K^^u1Gk zix)Wvw4Hywe#WCM)f|pKCB7>)6AUIjUCm%$w8%{&-0Vbv!LDEL#UDo$-Z^#c$tHHa zyYGLO_<#2?thke3wBc~*+=)pVTT@-DWVW&$lTu%=dXkGP?fINlUI$Kc1@Wr7N^RZ8 z^JQh~;Tv<Uc1bdb=Y9y-6<&3>Zol?jxzCSR<{r;in#TLw;eJ{r*9@KsOlJzYWJ(xT zaoxHvZ*`Y_DZh*aV`$)eKMDH}53Y1bBu{%A;C0~LjOn)@WPg8`HuGY>PnXuIlHW_( zZ*@6M{*~^&cX#IIX$FgKbG84_spdc8*7wTF+t2BGjEny=>GNk4uH>kN`R(kOqBB9* z%g=De)r^_Rx!#>mU*5jDYNpitPus3UOD<VZQLlH-h|fza>9Op&$T_Cgjdgu5x<aJm z?9UbPEOM@#(<T|Q?Yl_(#gOTGv%0c(#h%)omZ;LZ$vkcS<@a~KSMB|*qNgC7QSTD5 ze5b^b>r7`OEzFK<1h$$L6(9a5uWV2oUHy<_=6<^?ah^}PrdwKd6pCHg(Q@LqiJ)<t z@L6-q?7eQPV#fM0`!W>Mj2D_d>#>OKwETP0o8zm*EaTG#$>GIko~czZ);EZ}@A?(I zCykx$yvF6F5{V1r{p&QXs={nOEEA01Bcv<mCbi$H>qyUY%RX_#E9(?qX6Z-GPvp66 zT=$39>(h1rrkLV;H4}4NFS?)B?TRVcIW3!Ij=A<EuQO5(|1?6iEN(o%Q2bcC{LYT2 z@uq?`vc)It`|kg*kZHdCJ^ucRtG}30(-~jTm789S3=Ek}3=G^1oD4bnCHe8iC8bGv zW$?6CJHgTWu!BJB`=6r4AuDpDmV{PoeY?~gv8qEsHK%O($xCPbZp^*%?EdcAVIBf| zRIKx#SHD-C%xM#R*D7`j&*kIoKkw?yJ^LV7I`_QtjR?!OsfHW6x#h}UT$~%wJK0md z$A{&8;!?juULhYAEme+q?CJgGzohH(??KfERy`Bb3hH(IaV^Hlx6g5*$sx{bDGwJM zo+{Go&cAPK%p!gT;h8^Ja`|64IOMh1IQgYpOlUs4Eqk}AhK-Z1jWSD7!kXLztThWR zw{d;AV$nH$#{-2auR8L?<XU<s^(`^D{<N?tH?QMm+T^Fa#y3AajLh?pd+azX+06H{ z*1WmT1yvZ<-#nBYxbW%LZ(9Z5u2A2*(D7to;fhDA3p&1)Nm}H-Zd+U_ZXA#l6aS<4 zZMLFa-<5(n`=9y0{O3RU-=U-p1uw7ee$U1CY~4#E$JKiKRev&~hJBk{z++EF28P8< z3=DjzVV{wL$m+Ed9J3EWgMZD178jT3Vj*7DgpDhv+?#ebO-lUw-3_}pKD%G8lo7gR zd*l1PmHpyv2BxLisaroz*t3u4aNV8vho^@G$<I@~uGG{SwBZd4-?SGO-fiq@`f%w+ zrPX5wwWss48&&ssC-R;t&}ZHCUrsYJA}{*HW*zV5V-G)eg}s)Xt$**6ruU?W3bW2U z&lFhj?b7xIJ?09r3?CBLw5u=RR!Db!y!WC3+scP;{QUzYH`X3#xwT29ubh8F=|8>5 z4HFM%xvx#TbhT^y5~JS#lQ*8v*%ha=@}Yp&=fx|_c=kqr<`V7Fd)QcBay&cpk@R8C zP_d2D54nV1%d+eAzrJ{K?F|qAgT1Z=`!o;K%%A@BUbJViP^PKx@uSm3pE<;HCyNx# zjq^A+<LaK2t#1y=I>yGXS|=ZP&9Im!+;$0bzO+v>llIEpyD~p;qlW&9l|?gU85tNP z7?DE1Ah9Sh7p0(Olwf@RFCwQR%+SKsNdW{56B9v{f}w#ygQv>2quUPu@bo%xcBQyY z^&HM^E=)55WVZSzw>4Ec-nN=mwfsI)|1a$o*WUL2=rMoEeng^T+O-+w{G1^YZPUX_ zxn0vLZ*1D~JLFF447DSsuD@4Br>GnFEz3*vocZUK*M^`KCmt8gW7Oqnn9jcB{qoe^ z?H|gyHd(y3db{#oIoph)LnhUg_My$&P9%tO2z_Uhz24Aj_tkao!p-NB%Vt|KGCkfP zc{y`&%#y|2qB9n(Ui^6HT9=cnx*of9rJ21w?Px#miMoQys*WEHSyxO-pMS0_*mmoX z`=r{nd$vb@d=Pf?U$fKWDRZ1ZE?)P~JJKs~)6u8;CHZEe+a|gGux0Zv5-33p(QB8E zI7NVJFh&Lj5m<W849KV~_Ae;WD@rZMNvwnyDv!AyZ{j$u<Lz@YT*KJN!04iJh{vjs z6&_k=&v*u&I3LX6?;H5RcTI?aQINrw4Mt|0jW&dQDCG=fSSdP*;pDERYMK&Esl01_ z4sLRg3}$81do?RN!y&mCEsIUq(tTvB1Ovl4F9rrKsFU=HGZG6@;SQM_Q(1HS>csE< z+ny|+uloC^y7f#I6%EDqlbI*`9v85rME3dSoQ^2+U{IEES5fnubAwO7kD2k%-7OcU zt!|kj7r=3W`CCI>(7L<2;r+S)GycDPm;Q3=-Dz@)t$F6wpYQ#CS*{Ri^s~X#`2Fv+ zdxr1N?g^YzdvMbx`<m-FHl(JMq?|W>c<;^ChgZ%m+<UX&_k|}Hwk_;@bE=>w=Y8YH ziL%z}hc6!UD7G-Ok`jC4Qek5~QFg!9?%J<^K5g2xN%cqlO)dR@9Wndnyx6qq>-_vl zE^qE~t6W_9^Tx^h`-?WcGATRtXR)N|+l?hUm+#%*YI$*Xrgsj{CZogm<zJuVc`qY% z^YF|x7B&`Z6GNLVw$dqbhwsmOHTkURnma3Ad2LJGHR)~VyBpWC!V+IJiRbWsUiB<w z(SF;Bs}k)NYiVY_xg?e})y=nW`h3M>JyNdGM*CZX=PNE#_M2r|$hO*O)zVCF5r>JK zul@4gbY0)HK+q)6Nhs;+G`*$w6HMK<28$%!F^jpGwjruzrgN|ov#Zm_&Q+<3$}3!g zjHX|e-27-`h|RTm8R4g=X0O_AI@4KPUeS!3{g(J)xm;%1w<~*Jp3`MCyPe>)&9Ik8 zg2&$2Q>4J`ILC@<=eXHD46bu%L@8w5w29#|t-5k!D$l0NJodRWA7tIE$q9EB5IQHe zz_0y5iE@H_$mR_TpD()~c35l@|B6VJ+pBIW<!sxSuF)@O%d>69w$-}Dh3T7i$1Z5l zHGGwl9q!(~R`JNRsCiAxs((H1l-lM~HRloUwF#d&RJk@9UzN)9iJ#M<_V{H)d55lf zmQL6j-wA6MOjSsT&x)0e&Me5D^Y&uO?Q8pP=1dhVs+ct8e9~&(!;J4<IDC}Zc5FrY zVb{|+*D_kx%uMyEwC$GI>bEmM-t{XVt7ME+v+2W_>cUxvtRKDn_bzhHO@mt-&uXqq z%s#61H6<mQH(RjA>*JiYhkea%PiD?M7uD*(6!0MZHLpGwn^oH8%{>BwFMa%Vruu!+ zmfd{gW}D*EmG5pmYZ1D>srbU;DWA<IC12ZAtn2CGWhUYH=S)C(<LcDsmZ}p4tml`l zF&2K`^YxqBF5?~kiej73Etgtx@K#Z~q4~@mGklh<)t>w6U6;B=wmFlUvtQ(KA-40J zA=|UAHTK=Ux;v6bDfYCPm3mYAp39bkQ%Wvw*nUgBs4=)n_@;~S&8+z+WUl32xt(fh zl5Xp^VDnw8xT|ZfUkHv4wmlj5D)Q{4wtF+Xwk9u5_}!7)Kl4qins=RS(%+O_>X+xg z=qS$@njqk9nes`_^YYfldj<l+kGVH6?kPI4zc7YzTcnZ638^x{s!3A1dXBuelR^|z z{T6iPnriKyG*h>Bu7r-B*_VHO*=wc?WcPjzn!METMb3o}3zZ5pW1q$R?2DRKxX#8) zZ+Ypv4eS3*2yW8ee^&CZ(B8LoW;qqR*)Pe;)@_Ro*lRl{wYOn`(aMtga2fT|)<Dtz zuiv|QU%mReS+=d*dz1aXtLMw4?#*k|m0eqBrnIuOu59U&YvG$>-6~h@-`&+KHTB~= zMl0PpZ^ce&9@$o^p8V2l+i{KK4AKe7Y|fcWQoU6~6RL}O*8Q`a+?jNG$4L`q<3yvi zCw#b;X=;hQId8b)f!1V2*6`UpnmdlAL<L(}C;VW!ESzv%%kmZ9hSw~YFX@QH&rc5c z$g3uN^qqOvJKeLkp>Na{2TfXgHOEVs(@o`8*WcAQ&zgyq{}nswcKy<x)i>9giAD2! zmF2dmiKcAN%+S~T&35zcB;km2?=JLcRW?*7eXQfjX*c;4eDdl>Ys0S-*D2hUI;mAQ zJ?+PnM57ybXFUy`TcUe5^?OD|{p!uv`@@}-Ccis!zIX0{cUM1%nIs368|~{{RJAEr zY`4F0)>6sY6^y&%jh`v(;nuC+G<|-po3Ylfz<|?y$xPSUg3oERU%Z)adiZwRO~?F> zT0i4e$t&0H{rK;Q+suhSZ!+K5s()|liZuRHM-Sc2@QpQTuZ=T)HL3QcPq==R&tlb* z-5$#pH*eN|5OgxOf6JEd+plfb&tmH@RW+);J9C~-j(0d$_>OtDDW1X0rfhECXq<Iw zMbFZCwu=Inl;{OC*shYC%Di`W)nxInRSuswl*wDye0e%KR4z<1HQ4{p&k6nKAF`YN z+u~;bf7wTNlRDY|+m7vD_VMSR)ZY9Dj{hus_pkU^yx`1nKG}bIrQZy~j-6hh6Qjnd z8xyv$`qZa?A2w{#u&$fpqx)pPyX_xyDfwsqnS18W_g%K_^L3Ltmp|W&dvo@!yy-J_ z_A-;n4v*zB@2pOiTGu(>C41JAsV-9cz8{IM+c)vQ-(%-L_9k^skL@r2sI2L6|Cw+4 zZ+_CT?OAuu%U}9o|I{?+Y@kqF>W&BgCVM8y{{P6HQ6aAPpvYu<BmW1kI!WK_=asiC zQv7Ge`?7ZT$*xQvuS4Q`pI?f8SvTVyGy8=z%jPXny?=WD`j2}5_@(}L9gDyEqu)g4 zcwp~;ugCsbe|UTACx$&1S-US+p*x28W6Ra7Cv1;QzaRGgt;pGU(Pu+iQ>Q?J63YyZ z#*Z@+`Z?8{8o>NzcUY#+28%PQIVEWM6tu#nj%7FPRhz-V2v#aFpYz+y1f?xUe<?-% zdih_$L)+PW?l<?9v+gYN|EI~>a&FTs+4?zip6d3Ne>gumKkk@yrEz_%^6|_k?=$wy z|NY`b+`-A0WdsY&whEn3Q?~vWY^7AevfSif*RlB*Pkb}vUEis0vac=v{N_KirRGg@ zjPtbOzGrvuWxo8LA2n~({r5Y?ivPZGW%YZx?>}!i-*<|&nqBqmh2o`kij}YS_3wSM z-)>Gb`zc#qsj|6ipYz+vt-D&dF~?%UFE;<h*P_byEf;pT{5tFKfwSdvzj!k5uXyKp zxgoucEzOc=&pU$;YfPK-|37ZG%Un>?#+GKyv*)3~hnjN-^y|KBO*-Uol!v$B@PXNU z%;is}y*RP>{4C=SiyFIvcmgsUnTw@P<+A-dn)tvnn@zqZN9x1b3kPD_8|zCYemp+! zKV$ZvrQiFtn5P<>7@SXha9@V)-xTA5BeNK1FKoOl!?y3eK|ysM|FV7MH%fy4^YET2 zm#8?M_<%W^?fsu8EwdW!oSC_0*zT1{{3t$mV9Hb1P-ByVU55`?^D(Qh@vSpAZ$7}o z+ak+$uT<j41)~oY=enDWO*ULed|=z&xIe=C%hKd$p6li<Y;@&gwl9#d$UOU?-_m^T z%!Q4{GHi7(3_gUP?v^`$w&DDR14-?T@|HX`DUut^c9ylVO|#(nb0hIVa(3sM;)F97 z4g|F~)|X5Cm~G0O&F^Gvvf)hP19d*;@~G=upDrskHo5S?;6reGqkI26BVL<nx1aoy zl(M*-_<&!3e?X>W#lQ5V&OIf3Pg0*xouyqGSt~H>$ZrnQP?L-mE1fcbO?JL~U0yKT zan?P%qONO6R=!jDeCx|Heti;7y0qlw^)1bpvy=FI>kBh>eSLf94WE$ZUbX$sm)-e% z_3dWNzFX|#w|?cVg)j5@e3$=wy<+9d9baa?G%0Lf_P;cvYU(a;-}R!`LtbC_^5)_D z8zy_d737uKTWF-WKdE-9VyS$k8MC*@@!zx!qD_DDmG(CMk)3GgxX&YHslxwu54G#_ zJ@zZq`!CTual2!U&=dI%9*$4_E^Mqn^>zkrRhX}y*{e|hS&Xlp`_pxmwNu+NQ+XZ# zbuCfkRLGgDFkel4t@x924r}iT^_3h;H?vkYc?djdcR45cWO}^yv&Y4(l~YbsUXs$Z z_MM<FXc<2tf5Jo7q6seZ^iHf7P|)F>T(WRyu;bmh6O(_tt`EJl{^^eRsXPDv`f)CN znZ2Tiox>{IJoO3lWo18kEl^_6$}h57;aoYdx#!8<CyYxq<KG6<omyyfzP9<vWS42n zYFeFtF5Lb0)MptEm3Z|{CQozuo_@EQ^4xN}$G*T_pFYc;vVR;f?|GHuCsify;JS6r zKNH(O*=$#sRR8zI;h?GOw@+Do=Xl?~f1ggSD*Cp@?fR3s>a}0CsQmosUMTOI_Nuvk z?X;E0b99ft-*x|(%*OAQDcLiNGL(-WWM6-tC*jcg6k*Gtf6E^4-*bG~qfYl6=fAu6 zKJ|?5{!qWtkIkacCPjE|JCkpTO6p{uv`0rysaY*zot2TDGMOhP>3NE9ZfN7Oe47mE zcja%+Y%Y6NX<dBg+@6H=eVOU|QqxapKNUzZ5b@KVeZrgD<gYBhm*3I<Hzlo{HcjT~ zeAIGM%_@j>){BtSYLfqsES&CJa*Er;_S@$EHGltZnrm5h!R)B|TU`-0+a3+B{vfxH z7jzdNcrh)orR20m$gWfo<GV&&^YSEJ1Go1aS{dzDcvW{X!|Q2*OG-~`JlT~hQhnEm z>rkGgYx4G<Lz|-AKHk(_?C^G4;E}S^8YR0^MGoIJ;(C@R=~}wI=g{tGx59h6iyPig z3w%<3T7$LVw1#-WX${SS(;C(Vr!{;FPHV&$oL&*6o*Hs+vXRzJ%ajv43_}_w8)=>4 zoVntNWlF`om>HU@R(sxjZ7C^wL&k_-yF7VCj9O}l+vIO6@}5nojA-RnUX>8^ZJDO< zQ-k(1hp+4?mI%Bv$w;fpvpPh%=!DIglfP5WdYxJ^P4#!+$5V=*4VGHB3og~rTr4zI zW_har$rs*I>TV%3mh@=(2e~a(Yd>@NPacnG-;y3KuS;@!=g1oIUyhXs<ey};O4xJe z)_Gpf5<~NNrdBTLIb~lIyF%o_gvy8mt%6sjH5aeyd*l8-x&6%HCcPewQy!9{*-Ls> z8S~9-58Z7Tl0DIA)j^NyFAvI3*sM9$DyS-=x%g7ogwCig=Vys`S_P*HYA){Te3QPm zds1b@T5e@7xgfVz0ZYH^|5MH!<`H+hVz{K|mGq@~ruM3zH%#DGJ|!IFmdb6q|Bju; z%=W804HmspNDb+o@OQ-@kc;+mD_^S6+&pQsxXZm|OmAWz35A~d{U|M%$5uZjTzhGi zdy6dVj_dPy<SGweD*mHceY&`;xc_!Su)Ay1GKnfJ&*Ct>Z~G!rFE`2lZJGOXs%+W% z9fcysszzo<?_{RuZ8R>wEqUtDQ-?KQ-tk#KFtaY6KG!lOT~6fna)~N)kELN@-*(h) zoxd@?QvR;La{$lY^ptRI#^3WMXfo_wrgGra)_Z3dU)Zbd%S!se_w(uPN2{0pDk}5o zG8K$Db#&@`QSrj1JLLX8y0l6t+%rJtgH++0tfPq>oZ@TwPb}fnI+V&A<)BvjrFVLQ zM*rgXEuDgq_90qrLbVA;uAI`y3@J<9*4xMXo-5eBs<1(Rwk_jN14h}IpBlbctZ-VU zq;OwJAi&@ti>h**S-@I}sxF7#ri-W9c4RHw%((SL1@ooUg9{9GCz$UKoPK7_>=W;9 z%)M)T)6QsPdC7|ds%M)M&rV>xm0<DY@8rqJ)kkJ%-fx_6MRk(qieR3n_X7HR^c9Np zXDpRCwKvg>H?5H;@28L3#gw&slzUF4q#ds?>lS-{`u1&(NZFv97vFq%qB_yhP|oWf z;}peDVlx&WbKYrvCY0Ojrq!9nk?c$44`y<?#j$@Db-u^KE#hp>HfP<n2}K4RtHr!7 zB`6wAWvslE7#Q(R^e$fkn~{!!zF5SXUl%kRRl64U$WGI03-XOvCUGixq2tPB!My2O zS4$=&?o4{|kxlzh(XB5r%~KOCgRQn~@H+Uy;&9f|S$w){0(DE=9h8m!HQ3x12sGHG ztl)KqN%GO>x7ThtZZu!?b8_`%r6|{!Q<qo$63fV)^4n{=rpe0ICF~X^%8S{btmVtT zn(*>b%d`!5ly}J`&dpjlU4P3e(M4}quPFzMPS6yevsB{L;s-fL12!=|*Jv|hvIsYv z(szLEXh0w1bImp(28(z@k*kW!B)G0#SSHc6Um%=^H8jIKv4Y*H$!)L0GPj@CW`~tD zo^knXdU?^+lSgh`*ll!p@ouAu$Bx}iQhhnAoo{9Ql8&DuCY*njlFwfEY0urq^QUrK z&fGf}nAR?_QkcJQgQ1SU!rr8XlaGl^I3M$Z%X|9rS$r`9({8)<v)yR%QBW`re-YO0 zmTC1xqpgVdMJSJ`hQdmbfDMiNHk8O{1srHitn^u-#`w2uVHeX95$C1c9@=fIL=6^5 ztO`4jb~IoslU@*ys8fP>#4g3DdI_tu7FKYGw?FlHnaD9+qb;tbU}e$^O^)WSh2^F( z%Ot97oYi!~+l$zD#B2?SXjMFVVYb9J%{I9_gEbOWXAhSh4VcNeDRHO57qb@a5bmWe z8=MWlD7DS)T9|IqqtSM+uVASZpQvQQ^sI%in0mC@PH}CBKDeTcp*n<Hlq12%Fod;X zZ`Z;_vTwLg&s`kF(6d@>)x3i)5$iNW&m<V>$ac<KyCMGIiYm5nO$pm;53@{}s&o&V za=D#Pu~;CXwff-JRs5;71#`0&o??z!FLCPRgSHKO^fc9*rLQ_@Zf~AFA<;3ivstru zR+G{6*4dq_xlYya<|&?&p7K6p$J8AT0sD#`E;xBHS}4!>_eF29Jn>vpBXP4mC(l%r zS<6~|bURu6LSxps>H1wZYenv5KdHX)p)B>7y4vAc%LOm5yw0C^&BgUv{(O#ktKF}x ze}1IBt$bIVvihwV-_46&*`}tZetxNM^7F=R{=Fqi8burH?S4M$Ot9P?dV9h8*)o#y zw<ex-xz>|j-s9twbl#`rth%1eNAszM0V!_Ze0<W}jC}Vn)tOv=r@QT4+>eLL-|w6D z`+=?Qs`Ynlx7GfC5yAaU|AKm!aU^H8*UKc|O*4&mZ1Rj(-EA`6Xp@KV_rp&ujW2)6 z+;-Nj-8=egMxJilH~+r3a<f!6DPNsD^TfN`{d?Wp+>BTL^U;#^RyWu;!7z^1-1Up- z&m7O=la_I;X*<rmVQq_?V06Diir0%o-IA8@!>Qa~E5rC@N^5fd&pY{MM?g=9l!TsR z`ea}A_Jd4TAD_HEp!q54hT&n?kY>XN$JlBwew(=3O>NWf<Hq4V>E9F8U3hQvxJ0p4 zazuGEnDKtHirDRze}XsFWVh|+@2~D2dg>yjyDa_eTDg4-*It_b?C9gIkDSgorEi(~ zYm!Zd)X94%dAQWh>^$4H+>7DzGewC9tB)Q`nsm|8j(wgspICIqtmlWWdof&|=<vbj zM^)hl2{yg2nFVWH3Q{JVZ-4I1V$T1G^~0KJ#g@DDbMn^o-G8}Z`wnN9i{^(5&Uw{l z7B0B3OJLfW3lp#Y(`}Nzc7Da;%93*j3?dR%J-eja=K1>+Z~xnS)}Fy9b?=6*n7$#K z{io26o^3wy5h=S?lxWV0tof_7qEK>;_P#p7tvtL1ZWkBMvaV3O^YlZ<pM=sG(+=NN zZMdotcA!?Z;p)!_&hOJ1!k#CK-U*h;nb&{y!_7wtf}aCEOYPWhaie6GTg3(!1G!}! zGJ1kNd4jF%Tl^oMxUrdY^KPcZLw7!=-H2mI)QaQ|U-13e=6Pp&R&mcU*?M5B_t(qZ z4_`cTYps!8Hqo2wpqkq8W1F2KwPhmK=xa>SUNNO*eLFW-a|p|`bVvWh30y1x<h;=1 z33|z6&%W#2h3C)v&o0|qoVY;2?Wt@Hb4-Z9Vk6!<xg5O?L-ty`CEQ6NR!5U3XmuD) z-^lxmJL$~M;|~ut%_!x%%vZbARoF(Cvtr>9=7x=1neD#6ocuU#pI}|Up}*bDuUgtf ze@$9s@o+(`fS&5wtwr0m{$V=NlsDt5*GKMIMGws!7j5`H)A}awY_4XX>#rK0<m~+> zoGJRgb?x()Yv;%0#ypw$w)MTB<xLI$L&`^mS&LJDJ;==6x^K+}!&8sSOlD1g)B2%R z=z*Z{J%0Yj-s!H1JKlB$FH~oZ*E;BQWy^#%{b>yOMh}@k1aN*x6W%8&r_H$UT1z}j z|1_J}t^cAQc$|z4QMu36KmFFx9xn02fooe*QtvA4XSp_=AxHMf^h1%V4A=QTIa=)g zQF)C0RO*IZKPvO4dQWI{O@Gz>sa=&({^sW94}Xew)VgK2e!nhY6L>1>;i|mmWseSM zq%|BC(B8)~BO|AM>g7jggy*%Us!AMVdBtUszw3%b{zcgh`**D3=*v~#GKJyDSLw!Q zk6z}5ENu=ybSX1EC-0PAM4U{!uw1L?(YYQs@9}bXzdmyJHuLJP#~)tw9GvyY!p5?C z;l?QmDOV#F)yVURhWDoD$|x-j>0DzW{#4jt+G-)+%SXdhyFPi|2)!d-(EYQ{Vt>Q` znbU8EZdku#yUPiyruA({Rc{=fT**5pnn~dAD~22m69>`$b*&$nI4+y7Z|;0_OsV49 zy7mm`jdx@={$bm(w&0rX<YK9ty#;@lS7bbB$ZyhI`{S#p+A@<JdDG$^uX^%fw&{&c zYc4Q6-Q4i3u$ygr)`IJ+@>k|sigZ~&ds%v|+<V)$W~rQ*AcJzF;CHu8UL2j+S+sfW z=eJTNY$emAW}aEb|K@B_erwz@TaAhTPkAeyI{nw`Z?(3sZ;bQCKU0N8!e8w2|D()q zdnbBvcyC`$ce=ZKYafGI=l<LG&S=k!yLeH~zhJ$>FQGg79sgL;JuX;OFm%3aENOW? zsiUZ0W!4UVp|g8pgtB+4b&AC`viMF2|7#zBvRL5yv4^V5Sr`~hbwSGqAd|Ry6)DN^ zIgY(ivH6eOL~7st>zeo?nNzNQqU)>XWb54;2{8$mS6$3{vbCLs)nJpaw8+!_*UfT7 z4kW*hTjmnTvGJkY{o-db_Z2nI->~YuZgX;5iqW>4x%Ce=?JKudTK_O---Es9g7-Yh zR1etNq2J`2{=(|piPtHwzbT!2WOm-{qD@5V+o`Mmc9=g5R`)&BcRYUE%5!awvpzm~ zrOM?QwD0EC(Dd)IwU=yO1y0(tW^(9;Cwt>->)(7ie(3W36V5w4h4!6_ICA;t<5$0z ze|h}$iGI@Ot2%1yZ<d{{uD3MPbPU)uV|^F<zfFCU*IfVpCqH-++y4)L*K_hOx_4vi zoGz}l*L*irsqGie`s4QJXO~F8=jDF|r2}4V)J(0E&YdRzZ|RR&E&lfYJ7-pzaV+d| zst7o^{f+hO?$dwvxyNKpYCrxc<KH~Zmz(8h>vZ?WUu^KSmJhBsnHe=NxmVU`$M*Kc zoy+F-d%HhmnraZBdXIJS%jHcMYt2H-n@;k}bEkb$H+A6f6>(aaQ1iEAx~q)c;f$B_ z`d38i6+~Ruh*%&rKTtJFH%WZDbJy~NOd%7sPE4|Aje3}|D&FGO29Xyl7vF5QUZrt( zef{BrM{~k8g1>w4KYTRv>4s?ro&lVBw>3glOy<07`+2bExhc2p*3Vv_EtEob28pz8 z@n*Z68|4)Be9iX%XYZGase6CgWqnkmZr{W*0oNeCM@)Ra=eJ~3WnI)d8{Tkij=fsX zJgXA9mwY@6Q@Zz^7yrv>={bwBa%YR{;lS7f3pLLzax}eCZ2L20qMM$_)4yd87fZ3P zbM`fBW1Nz6to)e!Gk2Ybf<2XA|9?;9(VD}0IO~DTCbj)nAN>oe_J7wOrFryHYJ37m z;Xf^r)7P6DQ;x_9pX)!L-=uOdK>BIZ!Pd>85xjFex9$3=%DVZc(SPO6XD_P+a}rAn zk3~MtT&4cW<TjJz<$^lxkQWaeIM*v*^Zl88(IWl&cJ`;grF|r3u^i47SR*pSLVDf9 z2yIKfyd_$0vA@~c_|lI%&9c39!Jg}#{JAsdmq_vnKYw9rGF$cR_vG)}CZ*rto1u2_ zF8{R82k&~iGKUs07uwaUoiCViVuirxoDN-SGoj2KCW6H^8?r+$+VI}8iFI0Wz_RV| z5mj&A<4521HzbR*@lIEB>U%$H*7D}r>WYC6WDX}jN?O{M9J{A4Kk-6Apwg3y6=o*q z8O;5iW`VW3dhs4#Rv~+s%|TXN*{P46ojJkvvroZil_i{Wk_~*A#WgobUHaS*SAFz( zXsFXB8&)>IBBcr?Ro@>??UFSNMtV{l8B;(CrqA~bEA~lzkfQFyeEh-7<2y1G76f{o zZ%&HdV8yTF-<mjChU0MCvqpCL$BQZ(Tr(d%TF{oXN_2I__k(X=O5{~a@yP3WwU|^d zvaGr9@Zn9eSBJ2{*@7uMd{!=u)DGX25PbGiiG)qejE+dLy9eL@W}jE*!`aA`CfO%6 zUCUJGa#FDGl#>VQ1rN1$&PcwPcY0s-gO|srOwdT^d#F*kG3a=qui9*doY<Hd9GY?+ zX2-vuW#+e!<;-MSCebG(u30J~9<6(or#$gOfu&MP#f<|6;&-e$J(<!#vg-5yp)E~0 zx9D#2C07Q96RwaYP@ttLdKG!_LXCml;m3ZYnR!Da0|W5PyoqU&f$^g+$(Ng2HqU7} z8huGQm`&q`dDIHt<k|jF$(Nb*Z+$l0bn=G=%e!p$D~#P-T+g>2y}lzn*>^(6j$^X@ zn&!UjHwy~opCxm?e9pW{;&oTivR4gKI$q1nN>rqNYaW~}U;k>;r*|APa%AMLsQ7K! zmk@81yD#`fox+E_O9`y6Yus1d50S1rvFAqKqb1YL#q?)vY1Ypayv4HU?TXC9PnFM| zj*#i?dt!Ec+T(kdk|j6whCXUIr)2!%V2_Q-@zkpmr02a8Kau#yfAY!KzjMD7JI|5q z`g4armD#Lu;r>_o+{?FJKbNvsRqu|2+D}#Aeo=j{W`(ueHb~z!nznG;WChRsP&R|6 z;uEDpe2fa`-{f!8RG<6d?V*~N4@6eS&ir*|Q!D4{fXSWy6JBrlar<u6qtE91kDp1N z;?uQ!g<kq3scHw&v`6`_KknPFk)C??Xq=PW?hCegVe_m$B!}#<6k6YSym@X%oUgS` zjr()kI*t!_w+NMXtL!qbs25dS+WgDuGsBjBHb=V7XFW9LX-`os6`xl2z*5+H@eOaI zcfaM{EM4~QSYVjLuSrp?$GP;ZOJ&jmRLX2ubHoJ8t^X!{ZNc+tlR2E_{!F<Sr*^V~ zah`2(U1Lry!=;?$osaf1z4|?~b)D&v6P7!lT^4NUU~BVtJKAk3<~@gHKeyi0O3O>4 zk9)K~6xRg2>wUlA+(&KGKPK7Qf9LdZrDz?E&FfQHJ4=AM-XP}7jOP7eOQ#tmf9;&( zqGQy*y35d6O+1Hp`#k~sSCv<<?+V|TvLWXapHt&A^G}9l*;)}xQj_Z2->tpX<#+5t z>w#b2)-8O_Y_e-cgVd>w%8pm8^9pR$ZO(~H7hT@k`1^l_<F3Vf?|%-}{jhQltMwU` zG=-<y2Dg5ElSpEiw6ouGR#f#u%kuP-GpwbJ4mG`DI+rWH@7%Pex3ZsJG5B6T_(+z! zKPYRN{FO5Clzyqct%uaDL}jnfo>BiUXoptxE#^AjXFLH`=N_NfU8UYO|L-?rj&o7z zGZwsV%5j^r(eL*!!?#-eU(PlqMC>jXk(%?_g3VjvYUYo5L18~#cF%ox?egyKOI7g( zZ|9y=T<<LzA9;_hPyE8dU$6J?vs-@p&8y3^wlvw>)FuV#om-{)=%N%$gsj(>m#c1E zxb9xupm}wva`fdF%4|sjr{9<Tn|AE*pPoX+S5LO(Dd&Fwa_i*z+t(Q49k#8BsBt&) z{I;Q>{k~%7cdq?wc~s1WlCSg>9O8GqcW3#WJ5DX>ttV!+v`>kU@XBM2uR5i%=l$(o z;oHKkhwo_%IS4J8b)x*&j!AE}=k1<SnkEt>clGF2wS)jh#Zyv`C$3j#?R-A5f5jzF zy}is2Pw_+qTyxRoH=f69UuyEpS)@ODS(yL*Ez=FYe^8Ws_g->=$j9~Vm6Hn;7}%s& zeLr|<{YvG`6OWV1qrYk`{ME-~lXRw1Y|=FbL1`Y74X5_|>;8Yx$((P+R=!G8*QoKK z+Of8!KCP9}KX&B25^Ic{lyO|AJ?Xsos*br%w)0KrWW2okW!jJR&5JKFF0T@@P?o<K z9`RUFMe6UzO$sjD1rr~fY5!mO@K2?v4EtA)_-Dt~#AJBs1>c_k>}uWdXCE!}i!F4w zTABV0JZ<+>r;WWhF{|ZVx~Yfr-uyYP6$J*HCRKFbm9u(Wd(`dO{Z?B}Q^S+XPkv08 z_D=SO?1GAv5T#SMr@pxHBtSnlO#7SjBjY8BMxJp}=OrgEIVg6~wzsowgUgItQU#p5 zS(h(d$Q*VfHaO@^^c}tlR?b`Ys4~1sKfGe!84fl7Q-$)1N`ItgzZK@^UN>V|%<<zp z_*wM5w@mh8yklziWW$!5+k$6*`e(|2lC`#d!nzyIRv!vD=Xn0Sv(^82H}l?<%{Ln3 z&N4~Mey@Ff;naWQIkPvG)js!{Wqqa3_G0<Yx}=xCr)xfB`nQg`bf?X4zmOiotjmG( zwOco(i0I!EFOZ7V%D9xf;eEqPfvw?tzRdBv@G7x5AX5H_!X8bzq>%j5_g}uxej}Rl zbEl%O%*D7$_PmRnMH#6gx|@El-5c=XYwn)eoCjR}!$mLi&3f>IlYg_rzP-$9kxyRw zI&>QymuKE{ak+8GXQzTpW?QFy7oA>cW+~?%))ju0z}T_kS^cxwtgrv5tm#nfIlCoj z8o%D&M#W-_f|TTz+Qc(vd~f596fudH{`utiBK5z$Sg}O$zbQG}Ckg)P=btq9?k1nN z4mFhr*Ymu4^7KMf+?%R%io9?4@u;txmshE~`iTm6>a<-tx2;*0)Jv&&319Pcn%%u= zUnQ%L4*PWFOnDEX`(j55MYeoYIJh=rl1J&@X!!`+`fF{=X04iS<I<^SBd&8Qqselu zh1aV?NB?f><jCUPVJ^B)a9W99*xrNo;cfrb^{v-D7T5l5JpaSFqnz(=u`PW4*;#U) zW@l_g@V!*K<?eT+n%h1-Z2mt}-&g*${`dUO-iG~Vmp;_}cFWH{duP{?*@+Q60$U2V z=^s;{QdfI>Q;n?Go!Zka=Y_6uPhGz!Vy2O7@l}nP;%~CwH8eeXZ+)0iak`X;n98S* z{}*qui=4syfBuFHlgueW?@}0^$LN{uR*rCC*vj#^!px#vA)#XT{qr@Qm-nx)-!CK3 zxYF_k<8Bw<2b=9aJ#qF|uLxV;mTNP&cekRetY={VeT6o&C2dc4$?kdkl2h^4B#E5L zDf^cf`Bbm$FEELjKfT*@7K7Kl81uCgR6T6lw|8CH|F-;z=WdUyN50K%wt4hHBKTdg zTX&4&Rb?wji(c+&%YQC0<kvpluC?{>y?OOpRHme4&M1)ZYB=CpS=HcjWkL84$0skR zTK;DX?NXb^)GIN^c*=oV2Yyygp#_%@%+UC=q{Fo8$UOepaZ|b<q;7dKrARj5zRJ=` z&t_R0Ios`h?qDcse^vj$N~6TON57*)?;mHJ$J5%=E&0Fp*P1UfXFHZC?BlwTX411d zxyMA|WbeBVY?%>`*|*p1@b%T~dHS)@+n1&Evx-VT+pPcjzXH#iNJVyqGXGFgN!eO2 z<a_GV6fL`xN#CCJ-eCWGA@<-}o;TZW%#K*}@vLUC;*ryS%}lj>D%S5aN}DXtu|LIN zd7)gelxtyXd%Wa^WhWn>QsDXOuiF1FDm`g?$=7@KfhC{s+OImbqtlT+I<T^*@k?Y( zj<NdA^}H|tM`l0z!Rj$5!0EL8!E1Hq{)sueV|~8O)Bn3krG}ZQ(Cysce9c(%*zbIc z)*ERrSz!=&srpmx9Iln;@1A_r_&sxrIrHq@Wo>^}yIk#?Z(wmd-NyKiDxYP`HT(Fv zCd+OqT`zm#edzt$^>37$W*+=9RU-288JSNOb?je-WVQbscxM|oZ^ql1QhnwBo#o=4 z9S!eg{1@D+A9n1Y#o}TPF^;WUzD_T{o%Kwsjjbs*Xr;ZUPh``7uiedmuVs|puANrK z)wji<B~O&InLXs~#ex?n4sH$Pu5>tP=dk$V?~-ndz{5<;C-Z(dKgcYOns?$zlI_fA z2|@3deznODVthO=sOApChLgpQC7d^F-l|@|t-`RUVZrkkRcpT5oSORM<jus@wdTsf z7t$_Y^bYm=Dbc|*-9uy157wF+Det`3F<i~hX@7G*;*?<bJcIcP-V3sS-FcOsldP?G z*ORS1Ua8g~bd}Aj{a%_!b=AC|&QVoedStCq_=yXJ`Y|bwg7lO{?oH2Ia^i)aA5&CL zt$gp)U30Te2maq-^RdxPbZcnOm-t0-3IBhX-MBVy=D~BdiW?_KFzpYVe$Ds>!^L!d zwne{G&u`E==XSBJZO@NKj;D7jX_-GepK-W=RY&mjp<-2@E|>0IA6Gi<Z_PJ4+bPS; zuN`vpd4xmu3B$kzQF7u}<-7zv<J%l9tu83cJG$XjhkjtTmBgt<R?}v@F?>ArSQzuA zqt8s|=f+IFx>V_>fd0OItH!4F=3U#5Wgj`iD^|%B(R6wTZyj%^%Igc_|L@$MUc5GY zg_`M{N^t?6ji*^JTyd4SlX8nI^f_-#*|~<xSDLR+n|dyO+p4JFe-{YdPuW{>u5CVl zwkazo*TuyJ>lYnZG?zP|iF=lNT8jJRhsGzG+EeTA)a(t{lz8tXa$>F6nfssrT{>Ny zso1`W>Bm!+)k#P8bR>E5XPw+xY4)$VY@vEWnTdd){uC>wBWFeBUL5&;BL9_-<%ycr ztNsKi1<v~XB`JJ8Yw{EqPWH6jExJ3N6b4rBtkhci^R0>M^5tqGMh#Op3e284|IE$@ z&pp2}UrWqY(+G0gQRHi(@?%TVg=?QfmWVBj%RkirZ>{py0`bJV3k1bm*01Kb=e14k z`XUh_8>|q#;WE?t!`t~U)_E}e&|=EDpn2|VT=0+1X9vw!J1d@@bL)ETzat+-%hso+ zFmtVYxmcWa|6=VkNzXpbs(zCgJMW2V`Q5LI7nfIRtM9(|_vpP(hd-H0r@pEV%gp>f z|2n&v66f&<t659A0^7Rx^&ETmW6p(3@)P$h$XNQx^^I+wKm^zPn_mJ`mpS<Q++8X> zW8sYT6CW?_Jr}>m`@a33>F1+775EjaIDW}i_@y5%I<Z{l#@<CXIyYEYZ2CDk{_I>F z_KsEP^^cdyWm1K~?8z6NE_YtmZ56ng`&ZLUiHuJTQLj9%RxVC=&Fj}nin!Qz;$h^@ zo4ODCQl<Y^e4VPCT@=yG<rB?pdm?<jf7qTE{gb5+bG&?Lc;2Ho&U|;W5WkAz2ZdRo zE@`Zn8p~VeY~AcR^KRHQhQdy36Q`#N8mD>7-x-u~D4*T?`+aqI%JP8k*#`=4XKnhU z!Jw(Rte;(P>5SwOxzqYetFL_L$^LPpbNN=$2T^LjjdsiODc+fJGgE5*yGrr%vxJgw zyCmFLaiFYZb;YqC?Q4_|YlIaocAEC&v+7I17fc8D&e7h;BXXcRsq0=-laI+a7pL-V zY_k{FE;`jHbo~C-`A?QBcd<XK*na6evz5jr`30-qoN;8VGEQga^AeVrk+#XJ(>e3x zHqM|x$8CvCO8c}#dz`ct_DgS>oBv+Nd%fYCK)#*E-xUnG3WOHc7RY6HZj9L-dTnVz zo0Vaf+&`nW^WIu4Q?I}NSSfDL?uUV{C6(7VKYZur=Dck84K{yvqt`_dX<{n59#7p9 zT@-Jg=bApF{94<S(8iW&Qx1Mo6majAaxn?W@nX1h<Y1@R5jHihiYK>JOL#Z3*83Z} zPhYrhYlz8*g?#p<&%S({d$H)){C$7&&sWa+)Emntkj&iM@#lHyaUC1B55*l<H}ju9 zB>8!ldRFvA{p;E{BTMubty;2as%!h*dDF`U%qB04YCXL*YWl{vEx*k&JPw>~F^zRt zRwpE+z$#Z#9&h2X;@SF&d#|nhuc>Rbe|cl~a66ZwP@lKITi~Px(r3i(ZtcGuc=V;h z*J*$K7s;*Qv^sJ!^p5<6Y7IVXy9Bn^>ueU*&FB;4+~L5y^pAwkyqzs6jNgyVFA?bc zyYtn??QdBhueZHYP<p)ben_~L)R7-&rxl+PZVNiL<haR+f_`s)=VxM;EJh+K9A{-j zwr(jj-PUBhau&CP>|{3n??$D!4+uSFxNEoMcfiZAn-}(&7pk?&GjhfXRC?sw?B~A9 z?WSicFsY71evbS0a77-r=^yeINdBr^KH-W&N%zB*Tx%U{mip)PGp!N}n;gVz9rR^S z*2G}{i03Iq+hV<3p7bBuZvU%PM*OXNy|$j`=KqgW15I!L{FB1IImOdcH0OGi!`+@F zBYo~G&x8K<wLa56XcuAGy==<ztXa`TH}+mnS^9nYcjrx+XXbrc=T^2t<w~x*@wQ); zGqe`2Nt>qMIFYHV=Z92Z{c)*TR*!VLyg%%47v}4IblUD^P(|j%z~s5h`!<~`xwvkv ze6EW~TYmLKLG8OI)n5Gk+oH6?x1jAsX+)d)mq77{$_vgYwTr3Oo|`c*+d%n{=gbw) z=LD7&{GWTX_}kyCd5L#3eyIBVoYq?No}qST*UG@pcYnvYuZrC!8FTw=ir51^2QQ^d zR!wpY7lT6&>r8ok{r!s%0V{TGVp>0Ci{0eElS{Q&8_#5&7R$B#pS5?I#m3`*idS?+ zMmV~Z-}YQ#5NYk$$?L$`mDw=)?(L{wFUq7ZJdD+0_mgiBTsoyF;n~95x4-D$@hH=2 z2@E>T8xvA_<i@kyvbYy7c&2D)cX6*|`LDFfllLGeTRF4VV$S5VmAgZ-n3v=}f3U;D z|A>3lXKk;99IZy4Q<vfo3+J4=sj~6hg64RB1|h|bUaBHn<_T<Ht32!U|Lqa8GFVQ0 z-0?Pj`{Vh-aZ+*pmkhq8OpyE;ShUh$e)Vz-i(?ZnZ=55RFU6I>YipDBO*wJ9?SXin ze8pL2ztxWN-IecaKb~lFcWtGi!fS^0ewTP1HMjNj&j_BG*H|aN%uM=IP=wKy-0H^2 z$Dt4Q8vneQS-;GOH_i7^zh|Q2CU>>u&3nW)KkmHUvrw*a<G+yBJUdAqpGO(-uK(t0 zs^0j}{k&|(!V|2yAz~Tf+6*(UJZ5N%d~jq%tN6l4j*`ENmle+2b1mwiPh(c@zHR$E zW?oxWmg_t#>ZW)3XP<WmJ0|e%<M3iyn!>frb7f}>|E#v_{tEW|%-$RtUhMBb%-^!; zsOr0#!nPgeTXXlc=6^dfw_?fm<>fc?W|%)qclP<}D_)tBRp@K1m157eojd2|tDd}@ zYB9P!-&oxeUzk7GRba{T>v6)fs+c*F$Di&0@PKQPX4IRcI+^>urfxeFvy|#hzliGO z<ZOPE(H1i2>ca#EjVWr%leg_!@M3xG!~@I^KYn8nb^4VjzoBe)eBp@<=F&Q*gE8xr z7<Ky}>I%Jhd&~Eeq4*J(`~F(rd%ksN9Mh}(A!~E>OzMTAX#XVd$gXY2*>t9ybx>M$ zELwJ5{qCIT<akkCGpp-$3CZ#zU*66SoB!k>L(I0m9);<_mp0EjF*WFGmZHibQ6(F; z=-r|j>3NfM-CA{=zP@YUG5`1cEPlsM_N-?+FWf5m@p;`mv-c*|n-g=HJQ`X1KAh|M zA}zFZhF;-*JCnrA2EN>3IYI74{)`hht@`C%T%kF8arNhCw!imX=wFb(<db--(}fkO z(XL{Sd(X3qH}vS~CvlydvhA_eL;Dy00Z~2{1vOizd_5txAzW<bh17V7gyrHXGC$ux zpImWeT88Ax^d75cQF4-{^ZX@@{hqy;mRD#zx!?8kBkTH>n@O+KeZQA|J2BJSX4TSl z)1IGwvS^isnU2)!XPO+sJ~uCWT)2_3XwKxB@6!ZUM1EiV)kf~q7Ju#;xewonKiswX z!S64hLT64r6v2_OX~*e8dxJWIt=jD~j^8x4S;f$`_3ZhZe3=t={J7Rv|L4tyi+_K& zm@kl7eWp|7b=2OYB3*GaIqY^;f0n3Uu3N6_DW_LGZ;I&2wP!>OOs>}X#jyX}xjXor zZ1o?p_#pYq9B%7sa%HAAPoMuMWkHqeL-E2%7Y$s6TVE|T-zGi%QsLszY5z{2`d4v2 ze)fjYzJ@Pl=_01w=3gsIqCHhVHBDJsJ8^@}KgW_oHjf_NN$uZWUn*(u!v4dg^yPhj z)mK4JGuY4YlpfhybFHWG<N8X&@bC7zGfu~U7ur18W%Bm5`=xAe=kS@{EMjk2qWIj> zM&o9b{k+Dfk9SICtdlBt6rFK7Xj#aW$4kR*$4qSh6J)-0fnZoh!>#vXno8juR#$&c zYIiD*_uaOA*X~C94;pTZcRh;V`mx+HX}A8i>(vV?4g~wkM_qjI)VR@jo5$7wi}IA{ z$?h{^z7}0e)|mM=IPTZFsS^u+Ej;;L^iciEf_Zn8&d#+y^yS5rM>eg6qWc7TER%1V zA8b9jM*QXFQl5#YXZ~-}5k9Q4QR719hxajWr9b@In*Yb<^PMDtt0$i29rK=cO1^)C z*&#Pat>leo7a#rbXF03dvs=BIW_Nq)S0}Aqe)y=M$3s~Sv&H7mXHJ>GTlIH#-W$K> zoLMvCIK}%VqGC6&%oll@c4$iDi@jcluY~k9P5Nr`SV{i0?~-*F<XA)|n`gYgA9J?; zN~w;$>4Hg*6l}Le9WGpcyv=yif@3fLhTS{rwrH!+?b+rJ?6sq3-()Ia;*W?@d@xUP zTe!EY!Lif+4vq{jy5%`Ie#A&WZEgI2aki`FeB-Fy@d^_k-M;s~`Hk`1AJe`$q~_`_ zob+FO!L~_z*&o=ZDk&GQJ+<YJ$I83wU;Eyh^1=1X2?nL^jmcuW<0D+pbg`X}7Fx7l zc5-IL5fy_rX5G2(Ul&S=Tjw|^o?UjXW@T5w)m2K)e_lPkl++OwU^+pX=|bv^nzJ+5 z*IbFzR*GJnly-EA@I8SUOacGj3Y1m}pE&VVGilB4Kc8jhv&PnLw{2a>*17j?|Ev7l zlged2OfNqym3}Bue%bBM=l?x$ls=fm$u!NaF<|ze?fX@y7~Tk#xpJiE;|`93V87FO z8=4whuX+0gh+gmb{;f=uGjQFck5yNWKYF;kzW2lLuXBH26Zl$pIhybCdZvVB=N9hq z{JS?oZu&NZ=mlYOraGU{DtzA<xn}XR3rgoMT7H|PYhT{~t*QOPosYkpHifMHelj;z zhS%l7^41`=>oeCno$gCnEc<*`S*+O0-#a&cnB&j$#$BWQn059H|1E81hu@}8;cYu! zyTRe{&yaHyBtBkWbwpM#T49dg)FPAoIln_S-Yca3P-%bTu*6PcY3~XDJ+F2|g)0TC z9I=`Zv)Y*J@kXA-sd4E}5k1%b<awOXY|fXd=G8EW-o83!>-WwQjhsj4fB%e2SHJz% zMQpDp=i2NQPhWg1J^6jXkDJWBFXnJA*LNx2P#w{=;QP~8--Tzp$HsOWi2JVkdh|sE zd-(O=S8fQgC-B%yZ`-u8He{2ylBebMSGDFoYx8&PGP~uNG3|(ZWX*0y#exSKi(h8$ zsQocd{AzGt_eK7O5}xLz47qZS^BSU`SwEk2>@^Q}T>Shy3?I}T>W>#rT0JM!>_p2t z*T+|tcxUtcl)XQF(UL~tjhy?{elmLBn|8kAy!SNkw>*#1C%%4pQ+9E})(vN?j&hZx zom`s!Algma$7{}mk6p(ikCbmIVR2fzfXPhv+qB6Gw0{dfJJ%D*^NP(@<mFY(L+kB6 z-Yol+&7t#(?N`wO-Kb!er}y7n*5`9s8XO{3laT7cq7lMn`^;YDT6jdOlq+j|zVEcc zv;vzo*De)1O=;ezl$+Mh^P|%KNYD1xh+V%NCu;YWpIOuTOF3$(MZI>G`%>ZOrNUFh zzO}e{o|t`2um0LA@5fx6ll3283E6sh|C#H@w=gcVSDZC#-TrCUH)t;vOE3CzbZ(pS zK?gUd<1=;4HycfksGr&MXz#{DYhPqd_P?4@7R6EWesh!lMxP6;m(n-N-8ncTu2@t4 zn9ZhOE8_*#O*+Aj2L<xjS@kNv)trcY%Pty!{`R@WOXI{I?X2ZiZHxZ1t@4Y5!lNb0 zk0h989DZ)Oaz+8GqSetGVJheQ^i*cw^$d-fJo!22;>p?n)ZEr49OSlLzy7uRqWBy6 zQ6Bn2CxgZRicNUkSkn8T;OFUWj59vWn!Mld{<-#=_NQMqOWK$^xm;Z9FyHG}GV9Z< z<sV$iAK9zK1-9`VlM=JN=$3hK;f<Nkw_RXn{hqn_GlzY0QqS#-vpnI~Y=8XKUbxBR zVy@AY>pgl^i*k=kXzkwh$oRk!<@$4L)ebDMovD4RG0j<U`<AW;wfF3V9yBuQh`A^z zA6xT)x7Xm_j>3l<SN_|yMpAW+fB(Nl+NZKZw)!bL%e%xK-)<Ph>lxQQ`+VcQk0z$7 zr=#Rnc3#cw4DNin=fQ*9b54D&w~5bsq9ED*qs4611&{WINxmwV4@}j1ZW+*`|KN!J z6z#ij(+Zbd*mm&?hs>(U6%5H?&)mz^Qjb++YhIsy=JjH|*zWE9QyzGHxz13KQXQYA zwLw>%C8_9#soO#YHf_EONz)|r-)XHoeW~|*zi!Yg-bK^)MxX7NvE#MGvDf=FCooPw zxrbXoWS5(i=`Hbvv-l%pJpIbA7zAAU<uBlrVYq=`XXfEc$yY4{emB)U{^C&FRWZMC z_0O=0)*Jf!cvgN+o1^q`odm;E{SAIHJHj>uT>Sj!w9$b-`z=GAm3v#g&TxLXz3JPT z1p5_?T-R4x9xV!0Gp%Y}y1I4NlKs`DXH=!Odeu&OrqsD^PvNE`JDXjqoFa1{Zt8qh z@^a&|{3Bo1Z!l%oO}{4hn{&k_r9GUl+w<(VPP(JJGI`zsv44BC8=9qVPo2$nsr8Ze z-LiDQKmDnb)R(^9;r&-}v6F-LXM=jS7jGUv+xfw|>5A6#u+y6FCeB^fS#qAmLbCY9 zhd!$S#)DFs{i{O0{O$Lac-5g|TmSTK)l??4EuT}%{A^ld_FtOllfWBu&F!e(+y1kw zcBOpH$!AQT67|-6+I=4@?jRY*3X_i?<YoGNe06JkBwuz&_oeYUmNzgR;ZaEm(q@0L zQEJ(@$NNqT&E@$1@~A*~-}lu|_M4TrO5EG^uH*6nxrpZiTdumQ^ZQ4YwI;v%d)_2w zkBd!xpux-6wyq0jU2@Gx3ehiBcoyk@%e|mfEk5vQ@+uwM>!!TE{hKy?QL>zLM{Uya z3+~Yw8|P?zs$)wt6KH<1n8lxEx92|zn|;siJu)}iEP5fQbig&XNG<)ba=nxJd6wnj zhaXIC_bV!QJC*XXvFNz_SJCxhbJ=2Yn19c1%u3#;llQ_@?%~!<F`a*R&+uGM-Lmul z+I8s;*Y<{IXIA<0uxX#YnKo~|(uI|u_c%YzPG0NxvEC<8(mC4p)a3QjUYh5>-0T(> zXwZ8TetuF&`QFc8rv^52P1Wzq;%eLP+%nT*Ut(3=t@I-+jn+KaDAlr=+sM}T(w?6- z%JY=BM}|FZ&0%$0&he~mON;!IU<H9a=V$K-lRPKexwTUD&F#ht7V(W7%@<zE%N-L9 zklcNC+u|tajcOY+s;6IFZ&jMRWzw{btw*jlRySSMTetSG%9_;wYHQn<N`<PMXZU{N zWz8@U|0v!5C+SJO!s9Ptm%<M6N!4&IW&g>R%JR=b`<+F2@0Abs&7~$cCFakTEZ0?e z9lzkjF)izuB43|3^TY15?5&?~vLfjAU!Gb+Rv~w*#HE{b)LuF|D&_m_-2Ke7WeP9j z@|^JYHRjVQbc>qmZ6{s1c4NbwqI(wd|Nk;EEZUZ~v19SP9Sc4dd=dJ_<^OX2ma8$_ zS1ik4_x)x!zs9zxxbF|L*DIuM_LzBrqxP%*+w~u3aEbfuc6%(s9=yFopZV@}e~x)a z!wZ_#`t!MGTl++5G@SZfdiv_~rqJITs$TFfSju|he*1aT6SG={?=l>Ecpz@`jmsVX z-1q0tdC>YzZt?e1ff>Pxb-t=+e3hCv*#F(P<iz!skE>Un|6vi=dHnIFBN=KPE4%00 zExda4Vcy1gQUApgrPhhLRfuFIxX%0E@^ZC_oS52q|L3!F3fewT<O_SrePi#7Lc4&2 zw|Xb+kj_>1T^BZG(+sXJ>{V;8&gv{u6IK-Q6c+t^gGWxp-t=f-z=3($T`wNlH{S8> zGus)z)^c94=ASOTuG~X+{<J7O$ou19eRfh3$FF74pOoTL&m9ToS#JFQ6?bS+Z>RM= z`6tspHTXS#GC@A>Ym}D{cktrX+H6cuW_=2+cqenr^H#)8rJ0w0+Gh7TE3d2KzZAa2 za*j!WYuk1CsM{=TnWeM3%VKj5G-dt$%K3g?nZEqIYbjz6tc>SvX;>b3`{AjRpX*=e z@2OLm#IIDfBG>15>7P2g$ugxLZ-c{UJ@|O+@07ctn$H7&-a9$-gU`coJ^M!i%*v8% z4r=|MxbNNa{b(yKIEUl=o0ngbd|#}WsWQ77%{u?~qV$d#fqH?nY;4ba^~tvVTJN~v z=!v!JN2WY_v224{Sj%GL)xYc`Ry?|vyuo4e`ii%%%s)4C+U8#Tyi8wVHT$9d-ZNiR zjy&ID|6NOA)r?;^zqrP|I~~33g!FVF!>_T0GcM|F4D@z#{p-JD)yx;g$7{pNR_mqg zZp(~1@?^?mxq@}?9B;bIJUa3!@zeE3hd3KuuDm>KYpy3@oV#<$?ZdY?gQu5X<TW^d zR4P=PPkgeY;pP38k0$N<Vg1qa#r%%%KX2~WP%Pz{#VwO!TJwI{n{C#%6CKQquCi`E zc|dCEm7N#*!teYEIP-h@l*rPb(JAa7`fa{#ITU$ghU|$?b3@E$=?c|OxX59Bbbik^ z*&2pT^SncTo~vNLnoxhS|6O69)VJ_JovAh{EWyf|($Nu1ywv>|51MS)U&3`T*mdrg z559|X7rsrJHGf~yzT2zbwzcVRIS_PU=_RQH29IC3r~TvbI^iI^s<t-s!y4b3((>|# z3HmWpgM&B89#x1v@yXA^WB2?rwPx8`HMItz6LDtBQ!ZyFsP!jm?w+kW(f^TO*K?z3 zt|4!lt0rnHM)BLlD+`7jr|xiOSg^9P)NS_@A=|ZQ52Uiy%=QQ>$lO0CEm1y`S<mL` zs^~HndC|2KLZ@(so6fSej!k=ZT{nlR&`34%Zq?sO$78JO*F9cPFaCK`=}&>8W$PL@ zu{7v@)Y_2wM@+~%=lA{}Pisw#S3auBufHvNt@l%sK$TWIoBOZG|GT(CuJC%TQ>@W= z=pZ`j=hQF;D{pJh*W3#u*B)|fowQ#mwcv@;z0mb0%nmC~Z2qb7N@oK1L(d6KUEjL8 zcc!%lblmvm&u1r9bMKu-&v~6)Ar+y&10T-t-C-M1P!}<mv(D?YPl<WgI>WvHpBjF3 z%-px=%Y<2%(>ziheX)9~^LXmd)U;I#lNQeZ^?pm6;@>-h*5ZbhQ8yNMMICN_cJ1+* zO_>Kn%8i|T{xY2p<5B+E$FWCrhJ(+Fn9FZBAKAX5kWaTKY=)OboXfw*FOIO8c<xc> z6Y0DdQ+|T8>8HG9pZ=r;UmefLR_At}bUmfax@5v_f6Xt}!kmZma@IZR?Kxp3I{WPx zxx#D5{eAe#ZfHo}y}aT`W*V!@RsLhsvcs69{ESakztQ8dYAN4xIARrdPDk4JdCwl` z2-mmx+0Bo?JmsM7lNSqT@SVNex0&th#uhL36z%B(ZAmEt87v(t+b%xN)aGrvvg^f? zLq)%15;w0jXc1hw#kD>|dHOj|{^Es7PMLq$cbUzTNxID6B)KQ7&O41wiF@VIW5>+b z{_xZg%&dRPVsF{>ugv5-qu9rTi|1-P&f&PPUuMEQ$*cC=O)Im+uT687-CebgbFXyQ zx_d5sTDfr=omO3Iq*e-?z4T#4#mm$Kb}!A+zvQ3T#&P^m)$!Ct+YT+dw5iQXe1)EG zn9I?#k%sQ8H1pSrSNKRyYblOgJNupQ%iJ&5p2<i)UR-o8S=+X@bm5*^`maM&ykZMJ z_|1}5a5CR}(9f8`Wl`!JmIZw0>yO^)c_|mfpm<z)(fb)GI~df@)!kY8<*A56%lqc6 zi*`ocC9Bu=H6MJo|LW6eG849Xgnz8ud-zpf{OqK*{CC`@Ya~@obZ6~WS@wS8%iB(s z(#sdUKec9)-y%D&Dyaxbw#%1KO<CPL@8{&UEvzot%)IM+{(ApawGo@gU8Zb0ulb<> zm(4`ieOgL8M9(jhRJGS!Rd=Sc<b$8)cdg6!<0_bPnnNOktU?1BELmTgw<}7wK4>-Y z)MA=qU9y*TlcvBInYGUqHY+<@8lS8Sjyb}zw50Zd`0nVt3po5snZ2Y8WsB@41w1#6 z{v@V&@rvy<C9z9>k}A851(Yiet^A%N^>*Hqibrjir-pFv4R1YZ(wiH*RekFwr8&-L zF4W1Dlxru&J#IaBor|l(JIMRRUKQ0jA}3^G3=cXLg}F}`xa1P#Qt5AJ*6H70Z*@u~ zVb#OkE*u~JtYnJYs&XJCxI=YHdSGn$-GfSfrY);qE#p6!Z^s>Jb0gk||Nd#MY^Tc0 zZ}k*Q#jd9@c>Pk&E-%TH&VC~K?}X6#HsiMDC3jwTzA88zTDRqn);|eHyNy!jCoA8V zZ2oEW*Zi@y;t3bAHJ@3YtvwfE@o4Y;8Pik4ZoT&FJX{?Yx$gZlho~i5EW-CAO)owx z>Mp&^{V>7nfG6MnigRD81%f)nwBx5S-(ho1SDq^=z0N7*JL3jN{sz4Ufv}`M9U?hz zQ;a4aSO2u~8-Hzz-)?!1$(#5$l)sW;_$+uuZD&WK>*3oM-)6S_{AfM>`H8h>)^FOF z$Dg(A#Es9HzstJ1e^(iO30<+1xk%BAQM7hn{)E~M>P1DTa(}G-zrowGX3MR|hhE>^ zbB!fCI7xN)=32+<FbyW_c~kaXV+~{b=DuT(@~KY?($qM<rhWW9$4%#lf2V`ReO{T& z6}27h)7P17ygwyX&Z(Sf$A9PKpQ=fpN<?;bWs1Drzg50J%5F=#$(m`i^*`>M6=cyV zr=hfLSHLF)$-0++gg-m}>-qQM$@hFw{Tmk+c<kCdkHenp$o35z!nYShy{rg+7%D60 z$oji4Ue!PL@S4!_5~(xuma(47o|F4i<h)6o)ap%n9NtfD@2|<VXkNN|+h2o9*HxS3 zHcPzDeDH7LO=kP;fd^EkdoiR>-u9zfZ;#a^#_#uhMbu{48$=53uosV95fL_j<)NvQ z7QGamc3otliTH=)_SIosd-xtVhMfHRZdYE+XXR5Z&v*Q_O0)OqfBov=GMV(V48~&X zRpo2?%nuyiq8;Kp;h*Q8(=mzJ9?oXf_QnhM&u^`%5XrmwCena`F`R=(v2#URX!AG8 zk4BR$1X4M#Ug2<lzdiHAqoVe->Z6s1Q<qQt&HCx%vKK3ltUfSL_u(JMgdUT#3_n^m zZ@svgF7)-pmWvf3L2Dk|-d1A0??jz_R{Z0m)3ypEtnU(BXku_WRnc|EG&LPN<1aer zcn{|Fv2I<p&CJx_&t>z375ch&`}@uJZ@f2uk*xp9Ulw7t%epI^HOeFvKR<9@_a!7j zcS+Q(B}a>=#F{6mPA%He*swKhqm5T^O1S)L^*t4T?-!&7SbAH0;<@pgPvl2@VBha! zS{0Y~*Bw`QsO+-bXm#aDu5$m_H{!<sW2e8mz^K}1!<lNGT0Kkt+giDh;&!7Ow-;E2 z?_)b{wajPYmdkFEx77UKU)->6?)rIl5iNCb%GG?1Y>Neb1j~<|U;FCCgZ=quS^myn z7$xxjaQUH@6Vum={JU8;U3gFSghN{wa*JK){uI44howDuMc?Q2m8`QLayUg_-Z=YN zRr;;t>;5duSHJPOBQ(lkR=1jW^r`tp`j%=tKi$5O8@0Z5-7M=l`mSp(te@0gz<7ye zW09=0#1^4-tK;PUuKR9%iN_-3_9VTW(~DDgO;A2~jmhCFV?)Z<z2`Dps$E~n>&!IH z`Qa}vdp(=udXvfGpMM{4|NpVp{g|cGS^by7>+EhlKT;uls_H;*{)}B+`a8pfQv5Vm zs6>{VanuDyEWR(SxX`e1fB(0M_Y0G@-;KHd_D`pRz`t~<yoy^&iG^2gRyDgF`WMg; z%<dEQDxf%`&-4Cn#SOMMcd>1ecRsJ;WOx61n!4>K=QIPeD>LupZjDd#-kUFxt8#Lt zaNGax-pk*or7U?B@38CADqTkYwwzTPtp4`-o;qG^B6)OWhV_=`X9Xsn?ePelxUz2U zSC+75lkHhrf=_zeriVA|xRzhN^mxnnZ|fib6>2m$Pl|NPGhlp_^Iub@r}@UdZ;KcA zw<Ip9vu9T?(-x_Dbllp#Xyxx0JmC#J9y>Q)DcXIm;9<3Zk9Jk7Y$wmvr5CE_&GlaK zf#IFovAgVBm&@+{nsumL>_hE(p}dW5A7k_`@LpkiW_3!C?_BY!Jomg0Yr7ebt&mrK zl=}65k(9*ymBto<%UIXnX+M`#VDi84(TjPSm$o!ZefW3WZhs!5ThjhFldtJM-S?!V zPxR9cj-*{#u2MxFzj}MVbW3l3%y9D1I>sL+t9N9+d&fEVnvX{C#}$W+7c$i{FFa`0 zDe}u=LhjZN5h3~kQjce>cpvzy)^&UQJ0lHFj|YtDhIWTeDC_o=+E#sf`-(kv>be|f zzU|W%UU<4DX3?sK{)6+14mX_)^0tflyv@9S>XC!Ovy>+^be=11zZzdG@?)v*-{2`< zvi==u@cOa+<w~(Hhn4C#)%m<QEGoyc?9M!%t(&*&E>?|G>dxVJGTtijaVj_a!Irp{ z`zB92S+OTL<*$)Z{;gHgv1vAkO!@OJ9lDgLI@2ipZu}p~E%&&pw#|DYyMR@!{kiwf z4;*R_%=BH~w#~nvaU<gHA@k7BJgkojx1QX&PDpja^d-WRZrm*T8E3}0^2PomY>RE} zl5(F#X`h)@-*RfpDUE<5FBd;qe!ruo^t0Ljo#Ed!*5zN@nJp_3y5&}S){E==%58;j zIR?i6`EuyyvHNDi1>Fnvt+}&2zbiJrmAv^cJo;Gi-=f}YpBgidN_wARDtcbDoZZ^S z_E3_`{fKUs*>il-CNF*W<0sR#MmZDVkAEIdYdE^0S3IM1MTnqov#agzISzvN{(rBU z%Xc;7!KuP5&oj1a!7pxo{@<#e^Yg(c(I;Q5D<&Pk@#^gQ!pi>BXZx4i3lwPgcnRH- z3;UZfea@pdq20^NGq&Fpaj(mg6xwQg%4}yt+N<z6)lF4SR`d9i+a>Hp8<sqbUA(7c zyWWn6@5;Vfrk~t!kVDpA;rEVnmK+NXnQyshxS+9Wafy@GhZ9$i*D@$ITv+%cJpbE8 z(ep14xOO}^P*ZmM52utu=BiDOVHJxiF03#6-E8}i{knn7pQwy0S1tcd&A2jqd1=Ip zRbn%hrta=ciML;9bp6cdNzaS~D)x0Ty$!8-Dz75AuJXHz^`j+sb$j{eSM~ju(f(I_ z@~3sXQ?vHJwEY6b))S6gZkQm+V-eKZQQoAwB~XPSyFkYNy~^`zcYIGYt_=1lsWPez z)_<3KuCBF;O^{pSm%%xaWwvqe<<%A(Yq}a*zt>HOSN*Ay!DZEQ?W0>ZPCs?kujIaC z{B#wmmai7VXZ;PgUS4^(V;ZNW?&3eoq${~9ZoJ=}sd8uTTb5n2#&H5K_q2KW+Du_y zA@R_#-ILqAsa)xjfQq-?mm8Ni)n3us9udIURhn2XCBUzEKK<+Y#I>wvE4<HIt}&k$ z{bu6pc{yqP&%~O_gpH>2v)Ad(<Jl87E$6|c6|Sk`6YG!dH8#6@Q1G8>`cj4X`BgFr z9J`A@Ox6upvF35xdNsR<()29%IiKb^Px-uvFXdQZ^k%ue_SyT61xrL+Dto8HYGwX4 zTVit8l@)wv6GBr`0;8XpYc|U`9GD*Xep*fa-bGEB+dl|0Z|i@gZT0od>h9n6bAA*D z{B-$HArj<v%yhz=>6@gcp5<nllHIfNj!huTbph3{VK?jC+~3c+yHo4_2ZhCV_i$IP z{@vLB;GoL4lU_4qYE{`9&NAIm{MMAHGGAlr=iuZ=bK<_%MK7tm$-x(PbCOWe!CyOM z=T7h}{m=fH`>o99XJ)DVAL~*?<M)OY`n;NCEw1csV`#CeBeh&Nc=0QljO;_}T34~l zB&gjJSy{x(?{d4FbxRR*WQ*3FGr^O1bbZ&}>#&>kRLAer{1+aEi{-UUYdEZLiTPbW zm*By<lWm>+xlN5ehu)|0&7ZY@#k2d1XUcloFmFuXve51Cd)eButOe&bzV;9No)jK) zJYGQ{BmA7fsnrENx2|(<GSHU#V%IA&{iWEAl|h1X|1`5oPWX!+ei!JozT@KLH^0st znJ>;|=~83av;KI|;j8KTqMP@2T~Ik((>d4TdoSyv@Yd$drJ|;%7|O2H@*P@xv#R9r zxky{}^w~MD)Frb8@0G9p_02s$=h)o%-!%@IhdN&s6kV#Yaz54?^xZ8zeS`6>jz?dA z-CUo+Rkg_Lth3<l4}3Fg7B1Z$_QTf1>*o3Ns|~VpI{Km)gA`P^RJ8bhxa_*a^XT!H z?e|lH4X0`y{B*Behe^fyFQ0qFm3#fB6Q{C#pL*)rmY>B9yFXS<Iw8MbD`@rX6XJ@F zS#7)t=jLeXe*X4ll1Jm(XU$(VZ0^7LT&I7>&}yNw{R@Bhw~twupE)GoIM41^U9wtH zm;GOBhyPpLn4KlvH{WaBcsFa+Uk|JIA8rSHkITEU-b`|}<_yQJ?X$f;_Lms)d46lE z(>{6OP0T{iO?RTZeI6f=3`)Kow&hFw!>+LVdNRCsj?7$>Rd_$Q-}S{;*Rl|qbxQIt z&gL>GY?|9&@r~g_ji1D%t0KSk?Yhgf)Nfw-B^mfv%4(*C^6T;-qbbt+rqr_@_>v`P z_pI>4KG%;Y3v#pmPc=5ldzXDDHO#vIq5Y%ahkTD;z3yrAlW&QA^EIU@hq-9>U+c}D zk9r&4n*?vLnU!giXHc9iaOeA+e4BHJ15|s1ZT#vJKA-TIw%FQ~`&R4TNrk)Wv^QC` zn;r45+V_a5tmxd$ZA|kRL+-_`TC~E#p?mqqHA440^JO3UxvpYfeC_|c(`?e~gAT3s zHDlhk;*6hD%a`!?Eprls8!z51?a*8IMPeok*NlFS55A5*|5$l0u5FRapLc3q{(-hD z89coe4u66sZ#=W&<aQeYo6XHCdv8vkne{+EeYgMSPLVBZgO=(a(h3Tmxk{?2UFt@B zpVHL{c6%ys*qP^P?=n{k?vLNoZ6@<JLM?FJ<nK%iq)Ow|qW)OrnOs)Bn`-fSnMY>B z<Nb9km9v)@2*}tUEsA<pW-MM1w0fxw+k+LY0RfSd9E>I{U&(h|{^XXV^+^_sy?R|3 z6<!M;x-QuEYyX9ITlPnOTR(i%pIf(%KiS6h<@OqZep&9n@w45R|9NEN`1=sc3g+2{ z41%t!*BdZKF>vXy-acH@@8!Pl>+**?KjrpUa+e<YTXW>&%FowQFP3f4E9*DEzWeXZ zuDrYPdO9EDN*=73<$1JA{6+N(pIH$rXMbke!?<O62jA4|eLQS-SJzjuB)@#k@v6x` zFzvlX@VQ#8dp;LVf1B`fw}0}i)sKHXJj`|C$|R4UdhAsTyH5L*uDTS%cD84J>E1Vb zJFQokER`v|sV1E7;3p)+v21O%^{2C|&P-VLF*i$PBd_#@z19s2{Dhn&<$8TR7C7Zf zc?g!aocefEN^rWOy%9^q<<+Hu?>k%gTk5s<JU^*4Q9S6}YR{&>Q{-Q5$bBTd%D>0C zyX!%=+O&0fe0)N8FPl^aFWJ7uNlKb0|CCO}m3cC+#rFT+9wl<uyl>Vfw>OVl=Las? zE@Qs*!9o_%=zvAP+|w_MzWiB}n7v!zmtE$$Z~JSqKA5%@TsA%05*{JalKS+d#@9aY z3HQacE(F=fPMYoTh4Iiomu+kP{?*>eyr;B&wf=r5#dhh<9!}CL1=TC^TR8a3WR?f^ z$NH9;zY1USf{(TFeZUE|=U#zZ%MA`ssuOtI@x7wt&yQK_Z8>NDJX6>rl``wjgnPgL zZ)w}P=7q1=@37;SPP~*_A@+PpTD#e@$DFse%yW4d^Lyo-GwV-jNV%HT$NHRi{I{=J zb^Gp~Z!=!($hyY7LTXF?{kwfnR!^F=G$*J|pzNjhJDULR@6V6hU!1~wyIDC#)^q2w zh^7}uL#$h~W>q>XUR}7`C}~lD(`iSB%pHtraq1g(=ABy*B($vh@gwbm*LAD@ssEgA zdZEZ9&C%AON{eB``^P?!!fZQje;g0Ez%--Xbh48A+2pP_DF&6fZv@`%m~nCD=V+ns zJ$WfHTf4apdGhpEeY|(>sgtzS^71d!=dO31qjbR4I{)3xz21-10$wh2IU{}S%#2H_ zmwn<S=02`U65*)5_eWd4ENDUXE~N^ws<K9d^tpR^wpE{*{={WT<+PP%ovgAAtV<70 zz18jIxpA2xpHcm*HvwAaA8zGwHC(BhZ|D=kTlr2z?Q6Hhtf>wTj}rfSed<=>bDQ>U zot}3uzZR2(<@|LbNxy#Gc1mcQf7?Q}d7e&eNw)v-wadN*{3|(sM|2)fnX3JbtrJhI zJ^6jnr2{S#<%9p1CS95OLY|xBH`i_6ivr3KcALai3%{ql*5tVDCvZRYeW@hBrRvo! z;ifkYlICbPvsAMG%QgSKX3eP^*Vl3e>x8AcnH?2AcIMdA%oif-OKyiuF1uOm^Vef- zwN`?OxT4RI-K>wk{pmKGcG_5K+qBfFAJre`UXI(>yI0>Y{NEWtvHB@Iua_(^Z0(tL zO6}Lpl(`oQH#13`ad`c?z&*q(d6KoHbJ>1dqpa<J7c7)YWH|U|isz30AK`@;T%R57 zVR~1oC6ykS@n@z{rmd~&gxh}`L*70;^n8Qu+@i4hrK{a;RmLlK^!%&)k@Tp1#Xc6E zsjX4Z<4@gM{!K|yY;IE-OQiiZzfJb}zte9>PyX}X=DgE_&sTTt>Sidup>gBy6l3#O zIcYOBD;62f=@&T1VsiBtOY519vPoPUexz+wJ$>C-zR=~xrPlpHM|aIqwaD;a_vhK{ zPkoG@oSR*B?!7P57tKjL>i*N?aE<ZLG{Yy+%Q^3drhGg)yDduo*80WE8jqYW=UVzC zIQ7jB{R^*(^1dI|xomx;<+1DT+}c%-U*0k?Y4cy|&v;27zG$1tA+ctq2G&iFvduOy z>{U{}-!o_Tbf?u(_5lSubpypEGp}$R5{SAqg|lb*Hr=jgFPZw9d7Af`^xN%TE%9#k zC9}td*-yE?>`48iw{oxA?@t$b_djb`aN^%GQ;zVsJVDJ5b*f>Gt*1JS?54#%6ur)K zxFm){AZPa>FB6l0iUN1prU}Med6djiEYdV<?cNWYA55uM+AH;CUrTP)2W=MLDZIuT zcN|Q=;ra0S!B>A)Y0j3fWm?*KW6I^*d3J9n^uD<w!u)$%!{;ZH#4Nhwf=(Z-Z|~px zHnsTN(?33af!u08mcG(`;rG*JXT96^h}n7}Eb_10ID7vcb-z#_Z{r)aF;&-1^yVCe znd*7>C7fTjEkA2ky5Dxr&P8+AW=-OoJ2gq~%oek%CnBC~^KU<Is|pl*V(ok?jr)G} zzSg55ENMQ)e=ad^3)JzO)jYxflKZLmLbckrqZ{K+{XBSPPl$@O+}!=AD?a$QRH=UR zU%P$hg5_!AG0sN<*ZnW<;uVwM8l!zz@t;}Tl3<3Uow{#JQW({8{N|;+W&FE1xOwWN zbywFOtWWIS(eS_J!2T(x7CN(6`nPO*uzQEpn!sQ^xhJZd1%sx~nG)i4Wrpeu=3@%Z z{{D~Fn?0B`;qU{MNv>g6QcKk2o?1#f@^c3tm09b0?ewk)PyG+=^Nrao-9*biPBb{5 z(axu~Q<;(XM?Y85f}-mMtB#(#7qm3~z#i_qFN3mw@3QPZH>>8y{GfGP`X1}s1|N3R zxfwV6xo4yF*?l3~WvV59mffxW_-=vh)SHzvlV+~@wT11xYT46o`TN5)9{pT0L9O)l z|Ltqzs&`MGYQi)-uzO?fjkJYs9WE2BFR27&)?PjNU6UbOWb?V7xveHAkN;iEv^;gX z@QTp)*CdZUaBB>#-TXo7<Wl2nQV~^Z(Onl-9Zg*{*YxL&c;`hjaolCkH2z!*+qR!= zL)O;&YgU|_F4)1x;a;J7SjsCZR_(Jy{>kdL9M^)pofltpoY0UC)m7SltXR=|Tjlyx zY0aDJwu|gf7qL9dDSMq+-1wj0_h)tY9HD*b5sVQTch{faplX`plDO(m+NWolj8h`4 zU#S0O)#$zJxbJ<Agm9Niv7%VTn$LmnyuI(X-TJlkiIh|yL$9d`n={){m(IZJFMC$_ zZxt`ojNNT~L#&hMlxWyR#k=daZHhH$KJZ*}R_8Vri$fjHt{%+&@%%QovaMqyhqK=G zw7QD{jCHC%+0U^qy14C<_3>q~v({N12w$QqByioe@l3F-sBQn@YxbqR6?^yGKe5L* z_NU0+cmLuZK1{uN-^8%neEaTO0y1kv`kr`cGH2CoHdOf^pyZ_(oa`5?xpAwz2_uXB znViysCh7T)E`AibUX)mU@$cbkrB7-tTprW%6z*I+^Voy`_~k7}KIhgr-rBrii?!kw zlgvMQZ?`|0{(gn<={HFU>SDfk<wf2H2xzZ8nW-_|XTH4q5!Rz3cU<H4EzI^7TzW0B zDEZKu6E4A99sG(tQjGs`UF+>>3*-tFdeL-F@L8AK+|8!@{|1&{mDbU9-*&OyUv3qj zQG41g%l%)YKFr)$9+@3|X7TpLJ2hWU&+fZ=TD7iR=lSt>J9%O*ypamouMl3Xx_RC^ z&2O&Fd(9244lccao>B2i8e4eqt=`pfSE{yiO)Ir^s9iBVwfdTgKyuM@^P6mu426@O zF5g*KDzp9M`TC2A7H|DEbe&jcPBV05?wzEn`%duQ$!_ysi#AEjo*uMnnuhM7QkFu| zrEe^FXWl4D^Z&!<vNlBaZgYvL!4kvlwB%C)M)$Y9p1`{NF@xEW87Yr?>=rP$u5?(p zRjQ@%es8U<3$KxHy^4WR=E={OH!aNnxR5RL!#&MT#)GVOzpQlXmYKhqTqBS?@oelz zofVRW5&af#uLd7Dw3>JN4i?F)4J@G?>wlfzQK(gaGAI5*?TYF-CcRrbV$#ZE_^yAs zK9wo-?bk)86^hK9CDr2cR+t%XjOJXUHtVd}QhwW*@I&E(cWjC#%`RY?8FGnt_bTyu zdZ$cp*YDnR_Is0}O#GBDpCoJrd(<{gvuH3>(dGTO-tbAm3(aD7KI3k!2nEivyJ385 zTBgo>^lh2ppW6`;$A8S@l#`fW<ZpG;UuMd&O?o1Io!k>Av+Ei0CES&oBbAX95OZs; z*|WQ!>qV9Qe3>WTom7~1_1?{MckU_O{J-YdguK|p&J)7EUl%!W@?qBE4$G6b^E~d% z=NEQ#jp>W}+~*^-RpXtbVhC%$Y5TK}H-eYX&Ak*aD)u=_{8{NNq1ik!OEbN_YQMhK zN;11>J?p?cYqM8vAK13@w6fonZTRz5$<U$5VP}K2?dJ&lNoNo4Yhqz3h>2m4Z?>3u zS~vOBkqt}t-EWfrpxw}ML;u{9WoKM?eBN`Zznqd+8dD$86z9;<e*XJMiO+SL``&&( zzBN>0I+x?j51#+|w(gNA*RVTTy65MFe=^GzuZx+!)_Qx}Vs>M&=z^>wuQ~H$=la<t zzN|fc;u(8ALx`FG#OdoeXT0yf{kipG#|_cI$1&&UEX{A=(iC;_?@?9E{u{|JlCh=m znL5|&L#=YLZ=(<U983$AmizSf{S3$7Eq+aL=Wk!U{q^(WtC^M}*22m`*4KWDMNj{8 z^uz7hTNvKA<?s0TLT|zq&DE`HuQ|+4wH>{(-r;wi!o9PnS%O^IrrtWRx$ANJ9>*fN z8yn}`%=SE*@%6%Nnfpgt`1i94aEA0BobckxX^Az{)lNhjew1REaEn=B-Vx^sCs!4> ziyYejK<l8$_d|`o)#W8z-hp<1Uu<kWa!SNz$Fi$0wDq|UI)1yBu}7-TCH&}VMS+|H zX^}nOr=_fwSR}YDZbQbLFZVN#acS)9Q2n}rS(rubhUxA1s_XI&)(Ka5nC{V2_ISHF zi|N#c_r7}DCePe)@(cIF*5jUCYqd)j3E!P+a?jKJn82c%jk+TDCl~Fy!@v8{5f3%? z?(oJ7>wipOaQgeCcHQ%>+&Ot^4{mAAyJw-;k#^}pMP}$9W}Z3n8+;aTJ^bv&uA`Se zv8Ku7U9u24@$HV@|D>O9KTOuFQ;|RY^wrnO=OL4Nj2;*-WeM?HT%msKiYi<1exqN1 z4cMmX&aGKqme&1^w<*tB@?FSAYwoR6wxm>WcJ7E>=lDuW{tL%zwQmt;6Sa;X?Yv~2 z_~Sy?ys3+yuCz?}cP=%<wrHMrvO{B;x7@K~e_y?x_md&K=C<>sOHQZP%u9Q8anq68 z*LP%BC$D8<ob}~Z^`5r5oZ03QOa2MJ-n$_2S<p8#{noAdE7UiY^E|%(=yj99EyeDG zma300S>7u7W`FC1?F^+I*J=s`%3nmj@7Q|p_WlmT8y&*&I{Ys}7yfISewD{ewZm&Y zm+OS>ye=mn-8VV^I$GoOyMxDf)UDnTAie3w$^z4iyX(|{ScgoLyT0h{EdOMY8Sh&^ zxW)WeaN=j)s3y{txWwVl!|Ri+4iu}HcXK>>W^-7IEs#a}=S<6MB9^Vc7WT0u<lFiS zY*%0mdM?WG`*MMY8B@W#E*1Zmaqnd`R=Bp#j$bYR{C1vXb<m+3XS}Yq9Se;9cW~i# zz8uXjOCzn$D|_$LwvzjOv{&}&3gJ~>_3n!G&I|IGHmlg-a$8RO*WJ2*&-ds*3y_ij zWHnjKqH^iS$6F6h&{UbZEL~gXA1D7yv0sq}-yclNI{wJX=OTB}(p5rxyIxj)KXp>1 z<l9y6n?lUzrp*5lSlhzUQ?HOr#aI(Yrj-F8xE(t6iP8U9%Q^Y65{>km)-v18tQ zr~cONn|~)5yvgG_SaR*K?Z&UGMDOp~7=3R02~WL?Q=gn(edwjU&ZP!@NyXJQ+!_J~ z6Rfvt?O^;FxKMRsUSHFSFxBF?6YLv|8$NYRT^kb<VcUXws#U$~k-%x@3=9*^kxsQr zO-X|v0K+)ZJnuwAPDPlpxhv>u79#@#0|NyG1qCA`GXssS`hOWB_6RZFI`~K4;6T=? z70gFA7_jBZOZF=Lw)p9<()gNJD#${@py9>2YfGjWEp=G2|5V~lGuOhvKnA92raO!$ z7(-lJ|DJa`X7Vq=BZg)1+)Wy<G}fFln|s>H(#A$kM0DE16&rk(Z3+mHXgRfOh0biR zV>cuEj-50M-L_Mwk6CO92Rp~&KzB<Av8GS=HmrK2C&6i;qjDf4Vryf8<*jDH#*{>( zK%usiOj8*SJ245wtYNj7+o@HYs9<tIDJ3GcFj1`B<=RdO-PbX^3F0iLo-iIhve2=a zt<yQ>lJ6e5&u$#--26S?3_1;NsW2HP&d^XWlzKYx?ZF8<Sb7$C^teroTDmev>&!)^ z5Q8<3JXmF96oQT<Ix2bc3TYb29u?pd6LXOeGnuf2eG2PjX4bZoEQcJKIoL(KTO-BY z7jNO&ts}EGbQ%|HSgQ2ti&94pr!YDlnozjLCoEU0<<zc%E$t?S6AV1WwwzLOGP4Yr zvBhWECX1-sF=1)BI$c^#p~ADy9lNL$5;HTqd1J`T#apil6|Cac-fSY4<`^mN)7=od zfiqk2;9|>wL=ll|D=n_ImNtI7=&)gdUTE6lC2PdBgLf|2;5RigL(jWNOXqQfsAyZH zYjNt_Q=d<j=uKa?YDGfWGxo<#J0%{RTGzwGeJrqHW63(sKW+^<!5j7Ft`PzWGl<P( zV(Su_Xb^B=Wy&h<eTy1`Gxd6y+*aR+h&WcbWwMUXvTqKmt4;b?_}v~)+Gr)rZxYqQ z>84ztFCfUKyQ}3W%Za!7f?7PcmO3rCXcm6jD9pX_;wImv7h|TeA7*~?p2s~oX8Nht zuMHPXMAtkzr1NImtv6-c<1gQz-MVa&ZHSb1@s?=`!KpJ_9SgRw%<&65Y0<~h-{b09 zd{B==a21=;RoAT_c9gx%Esm5~vunWyy{U`0vV@nVO0|}*lwq7+KI2&6|KDy)q&CFN z<jiW??fzEp-~W8&e-+!V|J)OtlaVnY=l7Zyoa^>2e0cFfLC1^#+wW@dyJb&Gsrl!& zJ~!G*(`i?YT<gr2!GDfCpRD376g}z9AKhgWw+nC95{p!KP8AVvXJl?^W@Xms==>z0 zXxqWHzo|u8BY1|}zZq_FhYm7TU9|Q+-;%i|IMm3N-*OqFrdI2fxwRq3Zn~^U(Xj|S z@-LTdYUC=PuM={$d|i)LYIrd(Hno|s?1*QO_lJzg=evBjt|`vEwsX_Dsik^u*^$q? z7Nje`i1ghf&nM97y@SIon{R@9Ad4c4<9D0>^6#r=&6w$T=8Ut;|Nr%W>i27O@i<<X z|2*<eZ2B`n!T<B$w#^Q3&s9-cvDoZ~&Hb+{=P7bny-+yweCNBWQ2oz*pDRD@+WGVD z-P$toTX!?c^YcHRFTPW{%1Zcs<-6E;(@CBaFHLb(e7b_S^h(>WDj~=7ZWlJss_=g8 zX`SFWX$d>;zyJLU(oH{ZJF>5x<8Tved3pNnfB&tg{61jTX|iV4g%BzBFSmOa9n#Qx z6O_BAo6)`6fJ?zasp;a1(-yN&J23|2$^^1F3VgBIy=vzFk3ar@|NFnLUx}k?-rd-% zK1Y6U&z-$VBUr3-d-{Es!=j>M+eC%y<=@}_=ij9E_o8r;)axz$3txnnrYZfK{>^Ir zqC*;9ESDQTq~8zxHa}oqXsEHVpy2=e+cf(xTZb-x_P6+1VDQ?`jvqcQfBt6rn*6&K zyl2_kSrzlu;)L@(O@%*Bui157p*(c{Y?UJ>Mdrdxkv_?DFD6L|EK^aubs<$tJR~h~ zp^O}lWK{2@PolN<7k}QbZ|?tLeS5jxEjzDALVA@RDt5Q{<xB!J>~HP=zrFT;t<dkX z9o~EFF9;v9)imVg&==kI)8!T0`2Z1)#p&8wJXSu;PN(wK3oND{z4XxHVNl{X)sP!g z3*QOpg&tcK5HK^e@j;7kXrkzq)Zjo#^@h)?38LJ-4EwxS+=!5TuBg-*pu)y^^g&`# zK){aEb5t(3WIoA@`nqOTnD1WK7KddodopE8|2Nl^Pd4$jOP1PMEyTfbg!$<Qhg7Yw zZ7Z$#LS(uQ9^SHZ(;8z(-v#bUl@6&IFBjU*x*sy-OT%`RyS2d|kE!QnZTZphZTF>* z|KI<=e)9XuWB1)}ySduj>l54mztY(BWBHbh_*e3Zcik%Ie|h|Wj^B)9R&Oucy3cT{ z+qK*C(P5J!^Dc$2Rs6fGIWAoZ(a7&I=q+8O;u$of<M{pUwX-VbXFaN2+4+5;+P!k$ zJ?Cdtc(0n-vA?%_#`5JL#@{!KPkUeWIdcD7vaL<XS(iV#wdX4KuTE3?SN6rF+784p z`y$U``&-9_snJ0}h|^`$Zf?hau}M<ySFiRiVqc_n(4=qHPajREKkq)jS(R4xQ9*#i zW!ADx@$;`^GmDo_6;Lea;@YngyI#oCXysL{yDGky9Mw)t_J1XEF>{vMRn1L1SFW=1 zy|iRiSNZhH%tI#EIy*TVJw)c5J3M>YOCv)=p}+&NE-o+l3_lya|FnO%{H$fpmrKlV zep5|}?^3E+_T~OxgWfw|e=gEkekOC)vN=Y@r&<;~zZu#aqq1mb$K@^7zQ1pa-*ru& zpS$(m-PEij|Nn3A&-lMB)KGbnQ>dHsl`Aei2b`bV&N+L=#pO@^ZJ~6>hb2a0^&Tls zZU#}SS8O=4Tv@u?>J*c(VE@nGbNA<3f8593x&O%TMeL`1j@aMYqUe4$L|y4$_3b&{ zQy<2x;+Yy5VZh7r{ouRQg?oZEzW<m1e?Rl~l=%-FBjx}9zkhMR;QKwB`Rkv5t>b?a zv~WrL?|=6hd$z5(@;*w^#aLO1fq{jAp;??EK|(=b!t=suZJVS;7??!Ggfxug!lXo$ z1cbPR#CX{FWCUd7M7ms@3KIl`cw7_}AEpGYvQe0w^f9ZQhc$YC=*%e_c6^vNDdl#2 ziL~ch<11eoXJuXaWPVh#A}V{z?*;5<w=NUjx}ERZ*4*%^r%uVVML8R&{=4{odEr7Y znV`>4yx8_0>uCx6lI2xvS=XvK@!i!ayUONsYU;h*6@D=^Q&RX)r~WE~lQ9<(b<e9` zSB<^ZA+qY}f|itw+l_Yqi(lx?dDs@%=f6Oj&ub4$u4TYymz4I0ZL@oWbXxDdTj(OR z<!$RM;W@TG>KF2~jt8yS#;-eR!CLk&EgTp3zG0WzR%Ftc_3MHY=cyv+`9e~*ZPND) zPJJ{fIqk^ly+D^eODf6jiP|AIZ9TUWruqC8DtrASHgLr*TVS=0QE6-Pt=`jTcuzgr zQRcZ*CG&hpU)su_yY@HddnGSlzA<un*Zie&b(8olnkxEYcfO04D7n7&(OZ7zx(<g) zt^R^cA9X+O?wRy~x5s;eD8r@R^x$xBvB`hsWlqcs(BJfYVNYsgnNjn`$DEV>{yklE zk#nQR%k-NUvJ(^Ld|fy3>~4O>Wc!I9JA{hAIXitmYrT7y?UPa|1BNyFJD%QlnWB>Y z_M#wjPwagSvycR_*&L$9E#5*qOW*b;JvbR)$;K4j*`Ilw>tc52m)e`%vu`E4*f>v* zeJlL**0x0bc~duSzx;4Hzt_J-Pgl6^4{P73p`cSITIguaCHj(im4e6_gQOFa3ib9U zv3)&$ZeoHy!@;Tb%|BQ7GZvq_@2FXBBe&H%iEF_d+3zQt&ILCw{d9M6iKS=Y<0%?i zQF~6ZB-X5P=vMuAe1?bM3xlOc{?6DCH=lFC?UUDS>=wAat@$GHzjng^GmbslN&nZ@ zep@aia4BWV?<0+EYd$m|I{Tt3^J&4wx$G<2rNsX;oHOqKX=udp%g{(*y>uA=iY>dQ zI@`{earwllms2%U?}z3!I(VEuYQ1FQy#?o8J8U{DPZ-|5{N}mQE!U4Lq^Cukc;#df zlpHc4IrPQ6((OG95_*59Cpbw}a~y2<zisdQ^3ciH-K^jLGt3Hq^|v{2^Mdn&Px>7y zj$X+;aoVD()PG@QYE4gct7x-EyTXd68tYD;UTfHOS1l@RVL;?}v*(k}J-z-SMu*RD zgTJhp?a7iWLKem5+UB#peyCZ@v6-sMG(qCiEv82cH(V7y(`B{gvqVt9Lz4~dcI=9# z7lgc5+wJ>vc?Hwn^}E>;d))dyDus7A?^^Y-T=FwZPu@&UVTID&lDzv*thOu)y*MlA zQsC^9QffL3FBYGkpm)n;m*wfzDpLQq8t5K*a$wex1!gWxIzP3!)k3Wcw%p+Sm2_$8 zWbH8aNeh-L^&Q!l@X4*@X8NK6qg<AXgraBv4=!7)An@iE7t_IIxm{I%*DZZucc{mE zACHG?Hp5MpuDSzx)8uu#Zm%thxzUmsYVEl3v=ZNvRK~ONHg6X&ZGCdsY~iVu(eF0= zzH`Qg@xbk;`(`h5aa35bh2^`k=GyFvw{u^;$bWt^VuM1=#Yg-x2ierVU+kM#-s8P% z*`7sSi+bdR89pxx;M%sh%dPH2vE{Xu57_jy@}DofsgWNPbKA}Fv)SadqWIo(H$ODo zX6X8$`>D0}!STe|4i9Ss6d#D>Ei&XwzI5r0O4!%*_E4kyf92-Q{k-J3_Z3T)ic9@U zaf+*MFImhXy7K;-=!;n&&qn6CK6mr#<j)cK75=#OkcGS1FUNOjI`Pf-4xD%)IrFnn z)p34f^QXIKSVq+xV)2~6ai-h%>7H!QMZ!)>{`<Xh=K|(s5rVU(nA{R6+g)T7W1N1^ z!$jC=nss5(sXMzbPvG43=Kk(QcS>fc_{!gX`C#tMPbXKogs-*RdHv4Z%a4w7oaLHZ z;(j%M_p5W^w=KEPDVtmhj4hn5Y_;lbOzy$+0<8Lz1rAKnnO0KdbdrzJ>(}m#obJ%5 z?SEEhx)}=d9KGKiA<w?yPu4=;JsrQEW_&yU!9bZ!w~C=NZ)eZ%yNP<7<r-cF*J`7? z6I{ho;#`@MKl4xAbuIK-jk(jgaC0rKrZ@b0M~$bxY3A#d6yNSx!Pv0%d%ecV0An>V zjzq4l<u8wW)a~H^Zhd1*(|^7<(<CI09PH+B|F5a}{FqYZM6;!Ad)xB(Gg8I$Rb8s~ zGPx>mId}h)?j^PGZyS}q82nu@QLpNOTy8U?ti;qvfo+Gx)AT<IPPka#?%A}w$A$N; z;02CAi?}_1lNS7Y5GOEG+dJmZ^q%W84@`G>+E(A)HSM3_{KF5pZ!A@*U|Y^CYrkma z=0!>OSwh|}bhiE5=C%0dQWK&2WxUq|^h^&|9{3*kdGoC`yVUh2bd)>y&*a(uBU2{m zY+r<0#yykhmCwJNP)<mZG4Lw9Iq`HNzh%$~{;m&v->RbG>Z5M3rzJHX`_`=&VB)#t zxnGZ6Po35jwx>ajGp*L0Zu`wHzPX;Kx^3FU2UBedDwgl!ocesfL*B;R@8UZ0)7AfK z$6m;@)4%>_Ld$;s%JQ9p*UUt{m^iK<y;76Rx;&+`_esK(44LZPK2|r_dj(HkxZpF3 zL%H_WLK7FpGLJcm`#y&z1e{!1`FxT6@+SsQ9Xz<7m%f|*)YY!w!Rhxu<tknjY;j~h z(V(gO=huOT)UNw}ODpt0sjm3G_U;>Tt0gB+vj*zg8&oTM-ToDM@XCgbdm~CS?AEE8 zZaJj-vg&lyEY`C=S*gv}RQFiF&<aYl2|JqQZt+e^s%Js-tgYvw`)Z|oGCfZ&@pyDE zDdUHfyTzd=AG>z=mR&K^;rw4-e#*3y=ibF7cQPJHYe@guyFtz-p_iR!b?Sz7`7xUM zSL{kJ9mrgJ+U)bR*$1T#h`2a5d;LwzUby;HXWBDChFPal<yy*RjD=QlKHMg?bN81h zm;D=KxP97P>}ySy8P8vM#QOKs=riTcqONOG>O6N!J#nwSyx(}8;GNs*)<zS~o%cOf zes;5M{L+Ox)r&7r-sc^0XD@&JG_LPU^H?K4T~hn=URB)r-bdBkuLrgq7La`JXfZ?V zPIAWn^OwKBR&Wvw;a<Vud2;KznbUq|uGk+kNv}|h<Kh(e!1LxJhXM<vo^apt;4vs! z(BOW&Ux#s~$DBo{Dts=6Z8p2IR7E|@dEE`>*J6!M=HF8#Pw%eUBOv{~Nz?A}s*2)| zOErWgSM;o4NYeYPxbyF2u5&ee)tmmkDBt*Z$(18}<?cJP&MJHmZ6s7Ic~VU8Y}@lb z@&ECPMIo%ka~V~quzG~_BrN4FlKTGPpYO+~T4h&vU9ooieo|=N?adoLYcKHMUtYR7 z^J>k;=4ot6?*10(#*xJ`myPP5eQ9US{~heo#Cx4(lj^ct%}MbrHop9MAM_UX_3)mo z+M>JlguR`zsOpM{;LjO+rwr$P3vlp#ydpsLO6vcj*U_~$M^&RLDr)x0hbiB^sTuQo z<${SZhmT!<y?0~E6aRmmDS~<b!sQfAwKb&FHk|qF6g+WV)Li{@^>#ttZ&PoD3aanu z-)n5{?CvQ1Vb1ODU5T%f*9q=XQFrm^y7};r?@!AM*&<?hFP=5LdUnswWBVsqWPK1e zSJ@=9;KSth&a~RU`<ACYX)xG7ImKRb&!WPUVzaLMEL~#Ut+v;-A~R`jmE=^t3)XwL zb-5<za`l{X(%Zuu*pj2E%x)K>dv)c^g5|ffJho=6e7R!zM7B+h8q5C6zW$`VcID$I zCcR3`{Lk4|)Xfr9a{m_iN%yK1fB2NL&g7q*3$Oj@n{bwkH9CH?%+Cdx|L?#4_C{;B z*43jHe_!|P%Jl2?s`C7}fAdGXX7P#FUOYZ2RQs~>VA)sguiQb|bx{p3+^2l+YtjjO zCi~=`Xu_#Z+p1paKdyNP56yZdn^n5?DQCv=_{MH~uKV(@+4d$}xRCTI(x&sOoKMx~ z#NFSDKDM9fPG8;c_5bB`^|umoS7i@t7aUCS<CP6CjQgqbBtmRL+x9z)t_buroj$j- z*!{uNx$_QoyjN6hvs;lp#X+Zq_rr|9vpOF7E&`!ehO6~6_MdWTy`B=VEYQRKPSoca zvS))l_;>LqDSevyasK<jBWKLE6i-(abmCTeQ2fZ({7mYe-DPV{)fxC&Rxdf}(O1dC z(VSUk8aO}fHzUi`@H@9U=U6T`y}%}PgVEyQ@#rk=X$ty__P?m#utWUr-b=TZ?fw09 zhW~HRdu11W*7kAoXgMF<@mTA$-J!|bB7ZhF++9=owzzHHmFG=o1J0gQus;;&Fo$Q= zEVI{(F0YKsw%V}%@UkClRtfXJ<jp^L$<lKw&)S8et*tp3w@*9&JuC3?<b?P8l0U9G zb=7F*?g=Vo!Y=<0=eP%OZ+U4w;mPt{%lse5)z7i&f0O$8%9S|l&(}8vW~N^&e7RZp z<^79)GrYK;G1ktOnv<ci^(y}koBe76&MPcFTn(IlJ2L*%YTFlq0`*h5|9=(?ne_kl zjESyi>|(wgJkj*Q^(Sk9Ztt_cQ@jh`O@Cg`?#mz``qgmGmY`kpPpy6S>DiK$PfXKd zS6upTA6)-TLhHQC`fm3Sz50w>6JOu_sb-&BZ0~j8`&1p_eN*nL+^{-!jnn7r&I>0b zclO4%Og|#A$MNO4Lg9IzZ`fbqe_wp|>b`~BW)|(*aQkhcq>Cpb&%KQ)@)DkBd)3Mx zGwk`-DfiR){72D4>(>c9`7vc7&zxIK7F(t&T@`y|U%IDw?LL=76D9O~molXKOE+&% z)1S77W191xd!}51d~V7%ETJ_^du*0!uX8Y8Zek(4c-buTEoDw$5+}BLOs|dH`}%|U zQ>pH6Zl69iTx4XMCl&Ee)zU+IO4-Ha-w9R+ms~4&kR{U19i{iYr|?_!JkQ>DFMC7d zCjO4QkmGIkYU7o&4)6B_XWu*d<JIkHwfE%Sbrm0w+Se}RUapkw_1<~XGMCiHG7`Im zD^|%K7VeQgw|`^gyjs2!bF;m)J^p|8In>;`v2e52-{iFKiWkm2sSH1v+&^v3E{&KA zvrHTqDm1?xnYH({{IXYG-0M~c`c)h=t#ntMxG6@^rMP&K`=dXCii`&)$*egm{;BEu z?To)%Up8LZ6vSZTarKFg<HzYrg4a0?`kwh~#QprKnQU9eC8qy(H_Xk6Ho4w(zV~qP z#i(aYhbH<Syf9BLs><X`(bj{vXZ(>naQvmhkKNz*OwYB}J#;I2D(ja?1}|sZL}mJ2 zer)FUP3?D%hOtm`<adjg70=DQrtIskS9rUqe-d|;$0~i%IFCh)2enETetX*>`#fXy z;u+k63i)@I1a1sBzt5&=sJ>>y`tw2EdxG8hZ#Dg&GjGkh?Mgnm8jWj;&#yO4`>1oo z?dx=LuIX=eAMVq(=Q*at8Jg-;_{ZSIR!6=cUfg$##F%!PMc!~t*uIG)ZcWPi)YdOO z4|PKmyAFsL&b#=-b*-S?`JA^~#r8b+G+}UQ$XMbPQoN*j=2w+NVz$~J_nTe&`PXnu z6i2-F>3?y*_D`Ov-S<5rTkosWogechV#39iE;{(x?eX+UJ6dkNXLnxxf9FI#_hk~< z7ezl+UHRn4G~<uQ^XZ`;nRaV?{_mP5{XJ`e{C4?k>m=+B-<##bm?sosn$)p<!<mA$ ziq0Za=G{+hef00DxxzywJ1)j!;{P5^-@V>IUb+3tA-R^IwM%DLez+MZvUsvlL`F-F z<2}h$Pygtx>8V+uSi?D^BYKw7n~F<4fg9czxgTaRE0(f&dCKsk+=;B~Z=Roh7{5<e zmBq~c$(_4@)9R1(N61?W6$jW(D>`>{>E=({*O(@KQeC5RR@Jt_^3d}OZK2<pjPFSI z#}t0!^}F;o#$D|G=3uKh@t(w5H7zTPpLQ4aJFeWp@Qm&CB>mGrCa~80mX^w&9Wmqc zLAi=f_h1nZgOEMT`Fyx-BP);o{O)Gi61_e;J<F$o-T3GYLx+}6nXi?3=G7h9{3Kv% zR_)w`=_Q^A=IFg$)XjK@*TLaRv1!>Ywnbf2)XlXT?ri8V;WfIpj$_V^T_@*#oY!^1 zbyfeb+C|Ij?X=p$YNv}ZZ|c_fyce#2x9>|>%0jM3)7HdqyKo~+v}4tpB@bKoKW7(x zxoYbKb=ja>&P?nIJIXxsGeub9gVN@*I<8j8%WQmVXJqBAIJNM=?^V7k1^*4F%sQsQ zBsnuH=How~7d$dg^K}_5v>w<#G2gxD><yD#CB3XIJr57>`<{JHy(MOKzGq0Pgf0{3 zlv)4(MepX3{-1h^wf4zDC&m4d%_X-pWO}Zin3t1yM(n7Npj#bJ?=QO-Q$ltH{n)i? zlenSQtTh)uxULseEIoKidClUrGoP8V`(1uiVDZ}Ee#Xuq{#q`d>^r?p)64frJ&jTh z?BVnAS9Xbgp!y{Dyv+B^fYR$uyd4Yrxl4{P^u2shlheOZ+^d{(g~XRLO1&aH7xo?e zDavD}t@TIt6{~m9OzsMmY=hA4vMtvcR_O2i-mP$<fn)7^NrmExbI(2bZD`hYQhAE! zbfFm>(Hh%Y1Lhj1OrL*rinT<ucAV>#D|cB8zLj43_AZ-IXxi&9jEpV}&jX(qG#CV@ zG;N;B@xtDchkqZdp1H;INS8U1pB!hVW%-;H-dk$pzuc|uT=vN?Wz5T}A}?D^@IK0W ziCOl(#9T?`KELl@Hye7*dY<!$u~Xr)VB1|0EvN4qy;Wz~*#g-FmlkiymtJ=2+Li9p zSNbi*%(DVYADw#oXu(~zb<rPE{;qdgv}u3;-7ATa`73t_H(X!AuX0&!Ior=8N6-H> zT=4wE`5F8k-kX0_WPF-E<ATtRYyalo{P}ps(~KR<B4y^9rp(^C?ZwZY%*L}u9X!15 z>v}eCiBNK$X7J=`PZKNmBIb{W6smvt9NZOB+04UJAnC7f^(gM)E#cfba}<<jOKdeg z?(|#G^rQjz+RuNNwLN4yJ6BvgMCA_GA*<QbPA+~DXSmYXXYZ+?&lOB9X_Z$>->&Xg zcJSADy;Vb9?4YEk>yd=GqG#7_mA)x`O=g(FWjCul{A*mDdhad`!D}kFGBj(%-|sBB zl<ytIl>PKmOU4f64^z*Ttk|p5#M&5hdE-*=yo;L-&$_w0CH=h6eq-s^_xVbgr}ZRW zj_rO|62s>scPmO(<i)b}d|V-ycNVB#c`2QDS-hmzTU2S%hiy~Y4_>}@>E|r<Gwa$G zbN_Asqii_&;JFV{Gqs+qJ2w01kIMnuBdV-!m@>@lTjV!4lJ|I$ZRErGrC)Qu8E~Yp z+cMRDzg)oUs#)!KW}f&N^TT?D`PD<(7t+?S2(FS->(4qmFZK3zK9-wdhu$4ncG&W? z<?ZM7JO6!~P@DJ0LTo;-t$E4Y`4@`Qj=Kr!Tvb*3%lrQNwL5jYCttGOcsS;t`ds;h z4KF9Leb@HYUis&<Y}34zw?hBlN{LlIduiRoSkc`J?#F#wQ4wAKPERsE)~9r$XZ}-{ z%O^6<TDBBwE|~Xn!lHQztVs)7uXaq`cSz^#qd9kG?t2^+oOC_x43npy(!u^i_c#Q$ z=Rg0jnANQ##M$iGf&a7il_}L56?urqvwc|e%OD_Q@~yC1zd8d8o}0(+JwJ1825TYP zcfrTX$G@`VY}~%^z{DM={a)STj`jNCo;Q2fPCcuMuJ`i4^Io}f$uC9l<@VofdFt-! zlRQ4$`m2`lIA!^#jEa)~m;TE1FdcoqWyhVC7?Yn<+uZ{0$ePc*a%Zmj%nN(0^Nkkn zw9YrOv<;ZXdoz5u(4!Q-y(@nNA5q_3qH@>b`YiXPO%~-l%cmZDFXJgAtLXIJFP`Dc zx|jpHosS+&%=Ul3;6L9+<?kQYpV=np{`C%{K!I_Yig$gBy{53-sRW<1S7%K<8~w*` zig3=ufK%1{AI|z7lBkhd#uia4Iy3Ufy!>fNLhFkDI&ME0&79l&w&-6K<AG1UVc)KY zq#t=0k>P*xn&^omw^rX{Ub1MKgKy-o9k~Y!SI2Juw#V5;L^`{1W7zrfHEV@W9O_ZH z8TwtbI$A^Nb$Rs0ho;W`5gEsq1+Sb|Rb2n|$fciMJX|u@M2*xZ9qygB{=?fz4E&1Q z_c|>3rZZ(bo3nRX&F=Sw2a@01Syo%}Wv<Mz&f{*}yz`y=6#_5o?3(Cy_~Aw6jw>dU z`)Xz!=wiRNQ0hpQ%u4}BE_SOq39S|-{oU8TwisS9{kiMq*SK31?_!m>69ww^?<+@( z++h=tSh2hy)$n)XnSOS=j|U#;&+9z<z-Np43C?nsi9SE?9P@l^J85IBv^wW0fq+di z9U^Wwg_PLU`X77EJhVw}gIK?G;DNr@t&;jvzOzKuG@Xx>sHkOM`7KCMZsDWF&u3Zq z_^~Q~QeUBvAQ!k^{MG!%CCs*^=4}ZKqLJq#tF!e*E_r*pzcmiJXq|OMIs9|SCOP$l zYLkQQd8?ch*2ymm`!8YZ5ESGuDR?zx@9ab0;+hje);8{GU8J@vZ^eb}J}XaOtdB@= zWseXFzUltyN^a;Dg}1AcH@`X*9{qg7Wu8mxf3b$wO|4y*H)$28RqBk?8{t3qx@}!m z;jzOc^lr)d+J6tsZ01?>S8U;8uU6I<7Qb-H)I*p-OKMWmkG}?c&z{}hEjDMS{uA-o z>sLCbK8yZ)NvO#2GaKKzhCNJ-ao5dv+*WjU{1N5CnkoIo_~~=WjUsI&+G~RTP32Qq zApWwF+2{7br^`-nXPbWC?$LA6%dflU+*y1@lwoVK)_Ko{DurT?#xssw{4Z|g#QU7^ z;|%||AYs)%c}EAfniUN*(iGTldpMf;H2mm)HFN!8YxfC_M=pyOe!n^KxB2Zo+&0}3 z*KZ4Nl=5ZwG|^zJ-YoxM=32oy3tBgOGMwYxcUk4jS^XX9=3P%W1-)2ba{AOFpL<E~ z&K|w}sxX;pw?b>O=mD`u!d7p}-`_j)iMNqaKZmbFK(8e|^?T^5)7wt;*^6auO%U?d zXjaUs<hXDs+G*kbA5k~IzliOf&70f9`e?fFi#Ip!yY0^A)DYtdUCLNcT^#iBT42V_ zixU~OjaUQXZwY(OQL3&!zS<-2>n+K{8)xk_dAr#8b8fFgchK&VPuu7JHwylKgeU6z zeY2PMS*s3m^1Kc2^8Qn(_PZxw)4uz?+_H+^w%7iu+?oGlx#=23&lJZQ>(6}=)1URY zG1lR*E&rC=$F23${yO!|+CD>mrsA*c4{ffBzg}|b9@?PmZd_G7QGSB&%eU-E>rM=f z&CL@*>rM<!4Gh07XUGb@!L9mXgNE#*)qnYJuV8HH3Aw&&&h<xE)z|;ZJv}AJ>ycDI zl#H0@#OYHQCNivK*s?puUw(UU|DU~{)4yv?kLq&adpT!S_}!&{=j|?h+_gAqXU_EZ zt93o!JfEmN{ZCieYAq}4<*QCj-1Pi*-p#VRtLA2ee$ASCciWO(|2F;FTYG+f#lIhO zszR^6-<NWF&QGoFORlEe-WIzp_qNQ<gEHOEU)<aryXkIOuJ<gJSO0ID1$tkZbYDeq zl7~{Ci{E+8uv+_*+hv*FY|LGsdwbpMUDH<R21YNMrWPLTZF_Z_isz&!zpr|1ODzx0 zs+^qM`^0C`lS}{p{|`6wzO^lP^R|n(w&iMn+~_$;rPjN`Bk%S$+ZCC1pY^uCt*?Kd zy4_8;e|zqh;Jao@N=m=}|GuFc>^=RCxm77gYK)P<s#SlNzujhf^1UJV5(S0|n|DR! zmcL^AYNju~_q3yY=kjxhJ!CcazM8ft%c#)rYX0_=tNGiXq`iD_LdM`hgToUCg}yVa zi%i8#^vtZxO!zMDznOA-(l@s&5B}FXE4YN-_P>>zJ-J@@Qo^iXCsn0=4hOorx^9fT zniaZwRqpTl+qvG8xD-~U7i&$N(|g6abY@wO;^u{o%Q9!>g)gsf`8{X%mi*h+^OXPn z|NsC0%aUJz|F55Bw)4dQ|NnDuZ+p8x=lANn3vzzld7`vcmQR4agJH9zjJT}JW(#p? zD<d%pjj8S4Z9eV|?8hE0+PG0s@xWuor9l@>guPvy8x=kXIBpDxP|#wX#UjSZ*2~g* zfQenuw`Hl3qT|X89RY5+89iJw(>WO#Y^*HwM2xf)DiR{(q@<>weC*WtXrtrD9We=d zGr5ns9%58-bmD$2BI~0uBS64M>U4_}ue6j5@3Q8@Op6Z+xGrFveZfcV-!J<ISIShh zCtR{j4?X&S>)UPWk<|h$2Oc|yZvDUI?l!fbxqR@buyzRB%655;;HQGERWq$7FP>zu z$yv-qPfJ)&(tByvG`|QjA1wtg4;zt=iUl(^gh;S-@~ud@P}|0$(4eBgxLKSbK|w)4 zWJTnhDFW{pMHm?PdAPK^49uh?WP~Jy1bBG3WK_hsgt*w7A5L(P5b1YOy!11q=o0g# z`nuXD-=1yU?s{T|j%#(J$HVKrH~;?)_$zkp&9<gT54{tQ-8{W`hSv2ZQU~s&cTLcC zn)CH_u#mt<mYn$;Jg+ye-PTd=JL}HoD{q_^obl9KSWwikJ5lZA_OIv9xs<VM-Fm$H z|Mg<yiYSB{4K@aHW)`TDYSEWcvL4D<HjmmL0?T@3Gp<!a7;ixepRz${alx+Bc2 z$116>D(#5kbIqoEzkOrf|DT&}RU^83LRM_Q{1V~)itQ4wZrqy6ytRJ9dbz~=Q$ht} zk1C&ibY<D%#nD0{%ik;c7*>9*p7_XdJ=?5nkHyn(6<T~Ov*2*B)XQp(E#Nj$e(gEY z`h>}y#>2v2RC1ZOwIs?*z6~?sKe~r=X^+U63s0@=3|cJLcX@yOwLQDbR#3NGy4jmA zBye`)MD2|K-DX09CptGxV)|g5tD2OcekzO0!GFue9*6T=F3L2{%UFC?Ou_bUq;%Qr zU7=_98AN<noF%`n&Fl42*0?jtS>9^<?=H+;%UQGk*1sE*=C5zMt+<oTMYUGJv1i(T zfiAx@r5^>>_SAkn74~dj#c2?cs+}CaC#Cw0ebX<cey_%(Eo%DjW-a*7C0Ju0z`6G? zd+OVZ&b#>-7ag9R@O{ti#6w9Il3S$>)T|4Z_Y|mZpPaH;DsrmTo6^XL9W$<GcP*KF z{RG#_+hN=PFg)sLkJAfF_qcUR$>EfcNr2VuYnRj39=>pFzsuZhwmbIkS(2EQ8>6L` z^OntMstWsy-I{_A&mNaj6SP^C<)yD9=dftg?WKGYyqYhA<lgdjKF!mc*COYtnEvvc zlaqz{DkV-!Nj(GC!|nD39+mwk>)BU@$nLZYVU{X8S#3GRuW$p0HbaQQ$z2);_@z{x zRdYH!$`4<w7yi?cb1z{-W3t}6ww(6&L9=JP=h&O*pLc+%N3H%(bHBikXp`pGkC*YP z%vM+C=v<<3Fj_01S<3oD``S;OYLZuWB|e_Bs^UW1TJb8!1ESIIgJUeS`8RjIXD(oE z*}rX$sLULJf7$0gZSGjmTKUPcuXb|vu>}tE9#naHJX!O)>8qdFoU>P^guM5@XZo|u zDw)e!?!cVf%u}BtZ8F>9Z+LINl;BV&A?_#oY?pHLl#aHX|G(4b*o7O0zNxfSy^$^` z<?bQPBz||#pQj-cc#cG^*f!g-Z8~%K%f^s%?++$Lgx=1#Ow22g3Cd0Da6K&hVxD|j z9mA?Kq5)S{{N9)GZ;shpE4JX&hz~NtU7hWgBI~cbezC{(Xj$IEiRx!sy6*F_o^EDo zzt!yS^X86A-U{~c)c4)W4Cg=IZm$1ZbS>jv=TXrK3ELPIuI!2A2om~zBenn9ygR`= zci-Sp-=M*?(w%WvfaZ*RrnNk=>D~u4r!CGgUH!=O;jwF~TXK^$T=UuYTwzLh+497* zo?|=jHs^+x_2xd^i|#4CEm1$ES*!48<GzFLPD^@n&g}di)gi$$@lE{Bf0Z+1LIYYZ zDYnT?bUM<?a471G{Z_F!$GP^u1Pdk}HH~5CO1|y<`G}Iyhk7m!?Jcvm`ls*OE6!dh zE%D^hmo=*HtF^vw7r4|j$*NJ`Zo8$Ga{1k$Qw0p~Pc-@#%d^hkVsgJMFzD#LDQ$bF zJ52l5AaKin-^mS<x%$k@F3R41yYu1%zlZG$cPg5#^_a3EM&kIks|r`P9=7e&I&`2- zbKcoq7H^9`ayq@^e5LgF;>SDZH=I1NqrvjMrTcuBS<COGvYno{!lXoVOUcSDUo^Lr z1ZBQ-E6o&K^}nla(W|}J3*4DqKAiLx$?HB*cRDcMr&p>tWcBjs+vS0;*|t6Nixy5+ z){9k%kLFyh{V$8V{Ce($o?R}=pIbIvJZ{ocbK&YQ{{<zor;qR~U%O7VXa(nyi%v(s z+};1@g^ZD)gT%Tw%#%4~S6shwZ^x0D*Vvx=`dB)y_Lx%|8<_RBGsE;?Yv0c0QJ1`8 znN2q>*wZf6`ta*k^&gh=oBVq>8nf1V2;JVT9(PVO;uE9Ix~<Px9{dheRefP^<E*1? zui!M>=<=zjC-f%NiJmm63%gkV`fYq}M~=#q?}wv1Kj+PC{usBb*@ENTvTd<F&Eb7^ z1xfB3HcXvvlJmagKkuxhdvC03oZWty^9L*aJsj@RQvcaP_0s+t{)<X}JkA9r)9<<8 z)|j_kIN{-^-I@7WiT0=Om>u^&mbRTCsC3uc@WaQ|Bg%A~{5t;3mOjX?C$ZsWos!rd z&AsJ!*SZ~5^)(WVh>UkGac65`J7wTgDqU~#^p1?a;?t^}7c#3{I?RQna`Ub~T`n`b zXhrCPlv8sJ?}g7^@a}ctBlSlzH4WL%vc7b6-un4!o-}voiie+z3}oNUU9)7KZgkX( zPZh3Hr|s-JdWqrkt@;f!;~aj!oxGt&qOdEoPi6w6OVRr3GX*imOKLChmb08U_PM`* zg6)&p9iN-BHw1_(XF0rVcdzh|h~vCu-K!CHXs6zc8S&@!qci4Rn`Tz}G-6k#N&!RV z&UyJ8&hFa(qGDsl;mj8`Y13wf)Xfbqf0uIo<t@pyy7GfNPcY4GYnuJtS3OatiM6BQ za(HO&+PzA3NAftu4qw`FmWOxM!fZaXH8qU$6>phED_lEzvGVTDv#XZ>VZ3=Za^+%P z-c`vx>U&b&Z|>=tpniGfqMTD@=?9HdJlb|HTGcq`KvtCJ1BDlRn10qgSsZ)p!8hgJ z%Li+BZklwlc~zg>6XS2n=K2Qzrm5U4yce<C@7SX^EVm6r9W0)?zE`(&(@<Q_wm2$! z)}5Ydod<s%{AO~CC7!ugCP<}u|0Rcv1ABi>Ty!nM<(I~eRjs8-+on9`vVYk4aoR=3 zhHI8a-=1c3U0WTYIK!<`y7wMS*=vcmSFP`VNxYQ(_oe&Igb2wCTVhu$?Fvecedv5A z(D`Vrp3K!hrxs+ooO_ybE<R(^N?xz$rZQ`6w!C>zZxr{--J#Kyc~j`|*)M;(-a4CN z>cty=v2#hdq5lM(r_bAdJu05tbUJMIh5PxLE{7z77~}pf_Gqkcpa13iI==lvPM?bW zx0#&V+pKe*F>Wr;q$rVJzb|cQzkemQQL{9%I%rSjmkSrRi8c0hEaA;{ne9`3x%%1U z6g}1WuN$ZEUQoX%W@x<loBvdiZ#%zzn<~CgM)~r$V_VkqhhJ;6HV!vpEOd|zDh>%L zk=uJcsp>+1pVUF&id=TJ+=IvbcHEq@@nuX)k7ZhXl6SQ2Hcx5Api2z<-9E*Lor#*7 zyO87e-$D+RRextnwuG;$D~k-=@6WJP%k}=-tQ-;X_GzhJm!|J(kv=1z*Dr7L!a>cO z-`B{dq@pxfbu!<M!u4!Z1zyjv&etsHmJ4j3=ElkJs@dY@?9erfU+tI@e5EIDrP;wn z#~y@jowRdi%*VDZjn*+weYVf(-LX$*$?nK$TAVJ|O>|%1`8<2!@rHQ|8{Cv5!sGLk zWEVZ2D91bR)fZn8*}aF)gf10aVU-cP`^@3v68{?SNC@#Qb)VDJvD>!Kr?k5zfw@#@ z$-j=YdF89iCf<;#Nh)y2OPV`nJ<mn0525N6-V(o#eyXf|9i~~gLiFNnwWD_zyl6e~ zNRv^#;g{Qwk3#jkmq%Irn{!=l>U<V1n*v2MgU0WYYBf8=Dm{8PUVP;tp~!r<rh(mY zF_%<@!$E)RjnhS&+nMCP{h3$xePY}M7EN~}_vJlDS1Q&VK2%h<C2!T^rkCehL^^pR zq}z6HeR!q(WBIpQ?uXs#->!ZzeP0^W$7n3z!WF+^$3*GXW(=#V`l{wWpCY<2!^yCC z@9t8*?x@yOp49gYlT3O&ERw}KKg`O`+^~It1()lTWqN<^t$W_pH2VV2838Ts;MCl3 zrQ4BO<w0H#1v;M<Kgf{$byh;5Na^#`^mhOC4-DF(Qsr~pbmp(+PxoRsxTT`CROhis zMnYMzJ43W@+<`4K8ds|r3*TK7uJCAoGwbsy0*bprTxNXxrxWz}y}OysyIUoFZgt8> z*FTY%Xx!x<r8glwz4u6BQlWg~2d(PcZM@Mk4;JaYa4_GxmibCp^s6-0wmE@EdYG-F zk3_kKSv2n0AGtxN`)`!HSoprGkKe!9YV*WDDoi+3S}Xg6?POey4aeeBzLNsCKAa&X z$nsESsZZx>PZN$~!IOA{Lp3_s9xh_qRm!d<)%nE!1#_$AO{MlezRdg{xqD6fTj%g> z_Q`Z~$dvQm8u6j;W%#@u&z0sF>)HA}I`Y7UiN7G^Z|slYmIjf%llRpK^6rbcdP?}R zQPoxd9d{DuPTj<ta`J)Cv&Avfgs(1GaXPpy>i&_!Y1fXXTmSeQ<^NWuHM9QX8i$uj zhWA-guWr?topo2Ky+f~UHA|0V__B{C;qMs&7KvS5u_F4~zoVb_7d|#V%_(JjCXYF~ zJZ?_R)~!d9S0C5wo93S9=Kk|R*PTg^mIs_}7g@Nd<chj;z<s+H*)A-Ll6ETdXT|ZB zt`WTuUg_lEq0m)7o71E4Z58LPFGijTbCV`mJ`Gu^_MojKskrlyrT*sDA4R5zq<7Wx zPT!Grao4T?A^YAJT@u|jXN!)z&+NnNjnwjvSXvp1*u3cqNt<B1A?M=F(;T^+ws!F^ z6Msge#VJo|od5me^m%d@{)D)l{j_(>(bJDS|Fp>YTs38z^KR4m8*5WHcZE%MTRrFJ zO6yP+t>(1Xn>HK~2<Uk;ebr%^B_dY}8Urh&?lLsSa{QQIJ|XC$v}@b~#@7oU9eUeU zxmaV`ag$c7E9Pvi_AZBHSwzmCJ9^Y;>BHlPegDmuzECEuFE!KjqTU>p1J6IGca|qU zTk`VYoaT3D<IgIeE1Jvsqa<Q(bV5h!x6~wi2ZxWmT!nd=i^D$X3r4!gDb3Tn=v0y< z);-z6WS*>Q&VovhARe>JE8=!V=&y-b9^B0`<=KUGulYS{b*wd0{zmnQ=4RE;o_&0; z(TWM#9|c2n8vVVEFQ1s~5jy4c)<4&tKU{l$A4@S)R@SxWhPl&hpKI#wKhoJ@sbo`e zaBc*Tbef2OVchPA&i!&_Z`gPPk`8nTFtPK$@pyQw@ll(snoGsTcT=yq-p^snHki}@ z$Ka-WwtY$Yl6Co-+IB~Df1k25e>l^^@x`>PZLj|CwynCJ-4bMe^z&}Xm^$y!%RjGI z^-Poq-NeC=93>>BqT91!(}gwNCr{4EU&Af>)b0Nw{nOEZ*9tcVyZ79jGt<xh{8c3n z-Tg|Hw`BgOC~x?=tkw4n+tLc#wI}SR=eE92`q5J){%f7Vj+0)ohyUfTC{kl?Y4GGP zK7TM;+@ww;QM2rnyiVZMm)${MD_`?E%u}x2tDbs4?}wGhr)^O+{l7AAN@i=69shRd z(}7889$T5DkDL%IFwYi#rSbfy?{T9Y$J7%4p4rBI`NFA`&pk4w6UDL=ZclA%isO{} z$7i^1Nuj|)R;HN`m(&T=x^32|;GX&2$MGwdxWU!m|K^-Ydi=}nsmDP+)dGuazQ2F> z=uLHAmZn~RQ(A&oZprWE-Bz-{w$$hSZ^^RUz4G#+^c7ZYYi*7A7tVRPSE}yTo0=z= z@&eb0d>0K2`yLp0VZEnZp4(#I3GK`Oom{)U;e!99rrV3{*jgX6XI;qX<(#0ll5wit zubGM-D}r*CdTgG*SH|M^2Vd{3i>{20!r`;+#MT;~h?}EstrYGm)qjK2<d;Tmx=OLe zf?Vy?+IROtf(#~g%3WBS`c#c6tWo^5@ubG*A!R|ba<K*T1<RLQ<&&(m`FG#M@Zv7* z@AEe(K4HJab5qvI`fI@RTQ<xdx48nVCnlW|aOk@_Z{pgGO<c?Ge0Z|#?es)rJqw<L zySUeW&g@P*rWqo>%c?Lvhi&Pm^>105PFi)`dzrvFPu@);;_{T`Gu8zy`Z4cihO}Dz z%mYsnXWW|6y|nf5t}ii44#{n=lU7H>#Q*=k&&jaUS3GHQYhrx)%d^Guh1Y8;=dG+T z=W>o`Hkw@iV=tR~W^HHt8sGFe+dIv^RDXE*Rw>mm(;%Vg{4o*Tkn=k7Qk!EKHg+z) zJ4xzLQXSs`K37$l*E3vCh#js|Kj7iCn<0qh`hmT&vw#1avMKuB-|8TJ2V2$*-WkW5 zo~Fw8Cp+AD^l{6n4*9mKmjMaJU6PBQHnyiJtn`%i+<1RU%K!G1PgjD!rtSZhdti@w z#<5@BVVT9Q((hlq)+)=*JYY5T+&3i$zo&DB*M&5`6bxQ8WqJJW<BYIPd<+b%@jg*z zky1ydYcVi@Fb4xCLr#84zFt8}5_}UMXrJDK2`o$uF}{pkB3tdl6u1JtWLa4=qOX?5 zUN2o+cx`i!-@KHjh~jsyN5Z!_N4QDul`QY#J+ph-o6>IQhQ_^<`{wl9oO7GCXPMw# z<}{Vu_kTkf@?%9FXR-C<KQ`MG?r}R$lXWfgY35hVrlP%!Oe^Y_E#F+XjOWw-OBeSW zvv+>}((8Nna{T4b|Nrm*Z!i4b*9!!kz`zO&oTMZ{;O$@IfU^A@YO_+6loTg!oZ4vI z``f8vg$DCo{zFdddFEthZ2Py9zoogu!NI{OfJf!eHP%aiT1-B)?*0E91l(`rn9V-> z?B4%V=geEWm+juYd$)C)s%oZ5-(7pomnTmAy&HRS^Ww>89DjX^)qA{j50BpWf8Sn4 zrak1+iCL(YmzmhsIkESss30#ZXX?Sdp=(#&xwT@^GP8_~rYO5uj!Bzrjix<ctfI15 zX_4YW)2$}2{%JN}_?PovujR**o15jo|Nnm|yzZg4cOu6k1C{^@re*49p0v!&vS8*- zpYf2#uqW}b#J%ZLJ~eqQ4O$tZR#^c8MKxeB!4?dv>v`9hh_yP{?Ed@MTV~hWb6{}o zA_(04{a^f#?Q)wx5fMhSeV-Z4^ii99at;W{SWY`UCs0AOX2Pj?a;id|E=m(UWI$k! z#k9kV+*53<J!NI*rtk?pub5n8FV}zk@yBN%koNw&<+Q^K@-Huc`R?7jd5=BH%gf8% zckSNa3kLNeQqNpwMNZn@oEmH-Ab;#zzbN-@dl>`%__)~L$BS~`#s_L=|1a2J6%!d+ zxZsw-Qb#SWS0`6;GA-kgm^Nie;ER8<^Uuw?xBs!uaZe9-H&+*DCr1bIIvtalzrzo< zhyL5WTfBVcWGTI}0IjKBOM_nC)9-a#d@;kMim}gWVSt7RSF7Fp^YTA_*4XJD@LzuU zWr@|?bGz2fE{MHuD!eXp*0Ri5aa_;2KD=Q*Z~I|QOM2w9#>eICepQJovJ#q{@=p)A zyLsGLv7)0RBg4akgPqUnkfS-@mhbUDHeT4WvN3U)VPwlp1D?YhE@qfW^&U;y7*TWo zdu_bZL=P3d_QMYgIF=;V=YL8x2hsaP)a3KN*X=pGPt#;#16x@^<iX|cCpRx%?0RQY zQ&Ri>`okLHugdE_-1c((TXu*2-vjfR%||Tn-MDff;{G*9^$E*nMpjA&|1G;C{_E0Y ze*W+O{u+zLKe~{+-GF0BqNzh7`+bpFF%D_$wg2n>Py79S*@Yvn(`5g=o&SFR?*FVS zO?aZ3eU~m+v1Uhywcj5pWA}_-sa0EYYhS+GFQ;On)oH0ETbk#&eNEWhX<KE^pWbC^ zx^1Vh(xU~_+(N823#65%1)jEiH8avQJCmtz?J2fxDap?|etSRtEuIGf`Wx3mK<<l8 z8zJEB-``Um*k^f5{A0}d7Mb&nb;C_|r8)*P>yV9~9gnadNNd-w2)nYvLrYs{s!z(M zXMg|K=7Pcd_N!MQpy%ioD46a6O7B`ztx7J=$uO1bHCuc#!%*thJ^q$`>FZ{dD+S${ zD^U1IuT{27s4ObduWZh=#<>a`mrYB1q{`RoXm7pv{IkuQH*XeDd?8TWA@Hu<K<sSR z=9@ZZlh4jc+cNW}w~jUhWWTJ4@?U;gv!vN5NA|U<M~S2N8eh+n-WA4|A~V8HE=$b} z-DG5tbhFSa>}&n^^8fskCW1gEcM_XpGs8o>4zq}QhPnka?KjBRy{ng4ef-77N*}rI z<8zXell(SD^@z<rnzAv%M@NURxb13U$qgB`_Ey$~Q6*<;D<@?C&YJyML48rSuWs&| zoGO*oO-rZx_8&FRwZ3pLPC=sgcfwkBemNV7?)dolFW3L5)*p?J*T1;>+oHW``Zu+| z6;)O8-d(qDSN6L}yC3Q8T79}P;PutVHx?8Y<mKn29@mT%J(Jse%k9{<Wz)*SYBq1_ zaIP=^|38&=zMSg$KEF#lQ`XJs&VKf&Y5TT@clnatQJcfoEDg=J+?t`gcKVE|uIVQu z3$M)yO;6>G)lm=Ybxl4SVHDQWy7fErV(}OU87cX<=jY5?u_8!W^sP?IY~IEd6Z}~W zSrS$D{ocL%_wN73AIsYLWUWeGOxUvv1aAGleIskzqJ?WCv{$dvN)YuGeO)(o<B3LQ zc0T=y%N8xlddho<_bntD+}pp{^dr06=lR}TX5SKh-pIdMeVX}tSn$cspPybmy0vuA z#+8k|_9g%S+rP+N<9KZW<FrYWCUtdnEq=CQ#q#CLmrsB3#Kqdu((<gIU+!HK^OL^k zmhI@w6Eb`I*Kvi3Pn2*vOJddJ%fi1`7d^gk?cl}*>*g)ViI9Dy&F{p#>qGLw%Cyj{ zUs{qwj~-n4a%ol8tq<@2y?giX-})))R}zyzz$@*<1V!gIo~R>h#g1J#Br$<c-*Wo2 z7fUNW<GQ9#>v2_$^3)6~(mLz4Y0@R{IoU4*tx6U{in4PVvb}DLFD7p(UHFGfVV$Iz zs50}xb4J!vRal=&Ets=pp54iKtyeFuU%9j*<D^Xas>-6QqWb#s@89o(%Qmmv)Q!@0 zc|T@8F0q>Hr+(@7jTIdk9vm9W*S${iJzXetX=0$@#R&ld0e%zME}6W$?3Qnz&Ufzk z%p3o7<e%=f?|W>~S9|<ix!<avJ(mK~-~a#r@Be?FJ&Hmq9upKCCpFs?JvlK^*}d$y zBtxcALc9E#14kK{c?=BBePI<B(cN&MY5KIsTv`f24yO%Sow(Z8*&Jl~$nu5dAZOX{ zyb04Bm?D`tTZD2FFLVehyY)!ie9Qm(`@<V)zC~WmlcqFr-dR4yC#5Lz@hP*&&;Q=N zd*@>_OUboML^G&`Lr}@3L%@+w(kKNSun@kYQ;Wde%vaw}dYK$-%Tb&eU}ZLCNrl-9 zCWFqp0+obAN58k<RGKdK=kEXicmL1rROf+sqk(~uiG^c=ynWrDA0H35^UK@S{Aj5D z^5WuR_kKCso_C)f9BgLimvfSP_v|SMaDFcP{V_W<abmQWl3%cX%+Es}6P1;m4Qtwd zOyRrCzSBl)=7}epj`8eF(YpWt?%%uRZ*FW%KHj$koVYJ+yj`9Qs@fG7$XL4bS-JPw z{*f_0`+>KCnVnC@qTs;+$32CQkM&BM=iQOmWtMYeL*n5!Ug_LkDbuVgD>mN#fB${! zuIzOI8|$5J=+0TOB-GcR@75}|W339aYZYd{75w!udGm)L4UQA{L7@Kf0>@@HUa6Ck z#%X6}7%IEB@qmKtMsAOUVbYO~jkntq4>T|`v++n6^c)q}kK0r6@zK%l=^gD5@O&3I zbp*&RQJ$eZLAgWO!fnItzcux84wF;2ZYo%H$6|}CZ|((#rzOow+()N{^KVraKA*gK zeps2x>Up6jmmOc6ohsSAerDLT{rmU-|GhgX?)9NoZgIVsH{W9aF&#Ku&m<9jMK|h< z?hCQPZn539;vR?j`t2L;?%D{lS0KRej8mH9<{6P!bh>oPtZnu&l)biUHU(F7KNxSU z6*D{~YsXObT6fA1A32{*hB6!0m3wzb)^<(5x96<V>eI5b=N{}Sos{4E>Bs)}??51E ziN{1G*Dg`*ur(1Iom#m>wL(_x@ZK2h{?H|G@q)lJ8Yv=%Tq0#q@U~M}-EWS?M&B6* ziHBM`_tv|2^4~TnymBCMnWd-g)QL-9-gxu;#vAE`&Gu$G1v%G#m>2ZrKhDm%7Ev?* zSX%wDw29u5vrFsi|9}7fKm3&NG>yPTE}f7x*K`Du<TrjlTnYilcPYjCJYTZ<WyY?V ze#;hDcNTw~Q@n28;^)tvJ$sU5xjaVK{q)(QO?LwG-v6Kf;rpKh>;~Vu-~N)A?dxQ# zYs*vB5^%9Ku~lvJPyI>q2h;8!P20~fyLPrp%;FlRoNtv`Ws^?jZ;br^|G%yiIQOVL zegpzV55V9a7<~JewKZyO*y^i`qBRyY9OB`fT>tKoZr{_D(O+}Cp6)V}*<k&!MY!zu z`J2oMr6t9|S_^)!3n<*qxcv9`>0M1#hm3Yv@AqY{c^G~m&;AupxAg^=#pirCHoQB% zgi%e{$3XEJ1KWcYS1h+`PP<_0WvrmPutg{%hSy+$(;vlFew7IhO~$PJPt1At%(X0T zn-<q_YR)4jO*e@|mJHUe3rS)E#>vNeOsp8iOJi6cxd=G6q_f<cQ!cWDTd_qT<t6hr zzZs#f7dE=e#y-8n^2~vOu_a>*<An+zreyh=4~%;mmdc1V8t~hESWq|b!|bOD3gOFq zW=2LaF=y;xKTvwXk^O>kLKb_$0Y>(%S9pZenNLhmWUg&H@c3kdVe&B-dj<y)9ww`> za<{cXJ6}Cmk)IV}^+M$Jv8R>g<@fiCYQ6h*zLv2}{oDJycklka@$l6vu5Fu|)_(h^ z#TO#|EpNGrhpS^^=+5(XlQ`;`=Czm@-T1=Uy-IiTv*tr=(m5Zdbf0gW+A6(MUab9o z;eYcMdB*c`9fy-Pth^c~eq{>V`sLHl^go*^c&6in%9Mnr;9z#;IR(dhn0z!ETa0CY zaHPex{9gdh&w|2gKA^M;&C>DvYJPrtdOC0adQ@=3^=hLQkJgUlH^t%13)y!6-M4RF zf^8d9s)d5_v1Wb;4n`jK<Wmzk8C&>mG&V;X$QC%H^*~C8OG~|{>&5OWcnHqN(c5xv zZc62r#{%XDd$S#?xwycehsn&NVVZtzqKU@=HVy${H|`nwQeQGJE^_S_(~aDea&nR? zx0p`EhJ=GfPftzN4qx}?Ef!$A)*^0G!p=k6TfL`ePt%UrRdDl=c*)gCtfwJ)Iccfa zRISieAqydydYR8mqtsJVUVp9H8@K*CGPO|jO7IewMyI7+Q}?O{E%52wl)jW}s;2k4 zphXU#qCrG62$V@xy+kwu7C1CAJv}*D-G83VMsU7>W)BEu>UHLGQqxP-%ttC(oi$(l z&3<1yYnA!Q$L#lM)!DUs&;Ij2nKpUP{{R2~@3+4IDM>OfE%BVJ1}RQBg`Z4P^`52^ zx#{-x%P62ZIXSSdbF=qr%K$aIIN4$^wnxnpSEq%Fvaej8{*!;hP4`Wa&*X2uN#AgD z|HhxTY_tD<FE9W9zq~wsD!7E1q~ZxeDckd%^Jgqi;SDOe!lP=R=xBM-*O<j9cuwOn z1NM_4fd?K<;(E2+c+1Mh<oKou$9H6u#r)vkaKFCO`FiuYQ|G=1-pP<sl+DbD3Yzq- z;0O1t{DW!n&ku!fxM}WX<y7=vdC3xUFQ+1v#fw$=C(c}v^6XcV8AAgL3zJ8%Gy{Wc zpu|xLowY~VMH;w*LsS~f41^{!Cw)4p!q+ZfIBAIj69WT78w2AJji*zJ{q8ZyKcD2V z!Hanpe>#ggBQO6Ao89^rj&Ck*S!nipI?vH#%HN7*6O1&nKCcL|2)JaL<MFiO$|t{Q z2OF*Lj~h>a>HPlZ4^zx#*AEiR4Gkw5xA1e?z44eQ%O0!y>Slii^Ve0vbKjmwU-Mr4 zsfByZcj+7^`xPCJSXM1kj&2tJ)w!EB_>WYF*1hCs6Hb`7-2HCGS#{~$B@2PjJ;!7| z{0j-=bq+jQ`((lkmqkmD^{(v_vEkDai@Z9eV^zWFZ2rA!+p_jt44T+<%<;mbX;bAm z1PnkL=SZD9WwYS*o9PWN6rvvX6`IIQ-*920;OZu}V~jHw>CE&B(6ZRMwehr&n2}jh z=!FA6SguGHPPwKK^suk+QAZ1FhDXv|?S|J&_Z{$WYC6K1ao_=i*QC=YIO{CfW%ryG z`mp=A*qZiU_xDlvJiX=xeT$kCyU%TX>yxs_?<XDH`#8Grb%p(jOg-!5{B`xbyK-eS zx|d(nowmc(K}?BnQFP@S%k2|G87$}g$X0Dq5wVr}ZzXutAjq)qk<b;Vuvz*lmYR3Y zO9vQspL&{dHM`_%#^&`YLh(zIV`|^+Fk%q9@8@?ouzqT?PwUDlcH-Zj7GE$ke$5xd zr26dLT=&-%vy93;XYTd%ICRB%<pQ(kXM(#U7cXA=t1|V~TLZ48Dse`V=?M}^=IPph zG%e$pz0xPna=mT)tt>*VV#S(CZ`S-$yD{tPx=gkZr^HQm=Y+PNw-ie&;M>2bx-nl; z^@rB!CpF%^)i-LrE>7Jc(ErPx{V`|d3cunFkAE2OrJR&6I8wT2m(02gm4?C|^O(*H zo>~4$J=Nl9>zd87sY#4spElMp1d7G15cPF@$o>2wr`)AUOY$QZ_U>OCrp9i%uJqxw zJx}}2+I@R|`R<9xBjqf2g}fe!s~!G2Rfyl^m}phd|7QmGCM<tt@}2p|^9O~$IQL)R zc-pwzmYK2nV8)r=OwRl!(}NDFQ%~eHt-kqWj{o+>*`4<}OT1Pr(2o#%9v)@WA*udk z;lHzoE1c!F7GIKoF#quJFWNN=HK(xWy<=hGVOv~ieo6J-hk_mXza^CAI~Pse(XQn` z=l}LQ8#f={_w$Rj-RmFg9)x#s{X3On`ES+Y#WM<>&%b&vGf(_gpzZU-JqFc$;)V`C zl0GY>wKIJDSo3Rc{^JMBP1n>2MXzp(Uu$B%<MY+`714#|lJA8|KWNW>_-l1vztZv6 z>X83G4c=eaTs5!#^MQAkaa|2B#LqhROx*2hE`P6~dwJ+=d)K{Rf<-J>?l>fCWA<l~ zh6Lk74pWzDP4Uy>)ekI>4ZAHjiF4zXa{?P~mkX>pHj9<{pkGnLg+{-boK3SAtX<}i zyu$Hl&biZv7QFu{68+8oi>2Fi`)&Q28)j5kZ{7It#6;<(84orzwqBHqQ2nTR`-`K# zh^VNnOBvH#p@o~`-sbj7Mg3U$qJ!V@Zqjt`6}eZ7xlEs~&A8^NwPot<6R$6|Hk>^1 zXyu7RD?7G#d@0fh*L!@D)v@^259KSjV-C#~i@UeJP%!k7%j?(>C+SyiOyXz%H5T77 zdgH2b|6NYeS<kYJFk>fq;T4G!UqtU>a4~wiP~FR&eU6a&qG~ny)C}9{7VAtmr?cF< z-7B{w<^6*9x+UuKs}Gm{efiShbhU2R)a~0BhyC8jru>>$<IBZuTf9VWRVuyC^G?~t zKgC(WL;cNJhP$EfuiboLaHn#G`fjIdUu4<$>y_WXu|}-wQ?)N&;X~i$EI0JqRNSvt z+qNHh@>}w?liKz$Ia8jUcLKj?a86=++T8E-p|dGc(69YzIkUo>;@#WsEC~ATp1pCt zV95^2q*K}D7k|0maugTeDY4k6$VOXih6?vRQRBN8-8z|BtPZFPi$?3IufON3A0U6> zv3PMnW1oCoR^yC+D$;UkJ<rWEW-L3fY|X3mKkk+cvin)*C@U9ldKVwK|NGoCJGC!O za4Raj8vC_R!e^@>W8D4UyH}O7$9+FK-6!Bl|H30#0b%z|cTU;%VWIUOgBox4)zZ)I zO`mwJ?pd+KQR}-2QdT^Vld?FgqBpEv&3@rtV#M`}%F2~T^}e4dc)9l6iTK2Gt8>~4 zm#>vP^j7xn-Cs&wtx0EQT57F-6O@r~sCdmMQ?>wIsUo4>t2W!eTrq(=;m1Gy7Mo2X zNBSj2BE({>)e<MNm%2=oxVLfZ+3aAI2S3*)^1bJoFmHi#jBeqV&5YJzGPMV`u*}E} zZCUU<&av1#V{!Mn?YWKDJ5;93;4WCi^0x5X>Wig+b*%O=UlL1d-?S+{w)xT{jkQw> zeQvw+F8->jlelD7Nl@*a7gG<4*<0*4iz%6Z*YoAf*&Cjjo6fFEd%En31wZ?FSwEKJ z<#qGxm6InwEw0yFqu8tAl29rrA^f87aLu=C&od0Ib_8%v)zUh=KPlz7>(ZGW&v$=+ z^>C4P-X-Jt3Gs`*<XUf4vdXb2SR_=uSLoyNcjCqBE(aq1w6acW%zlxd#lG`~(|=CK z*8OJ-4PWkIpXPf0;#<B0U1ghg<no?Wx4C2|aKO6g!ud-!)|+pLS2OSioLeMyo<Y3R zmuK&Vo4PNbJ2Bj?3Q8~1KJ`C=W!WW{Gh7E#U&egUKa!HN>uK<Y|3~UV=hg`vW{<sF z^`OA!MT_C*2_hccTT-3`Bv>k)T5q?Rt!bLFTeoo2n-@`!Af<<x@#9~syZW`$(ipDZ z4caCATF|`LJ7vFM>bj(Gw(Hi9^$z{LAA4qxx$li{g&VZgj2vu@-zgn)X}iDl=V6`B zj-$zLvEsX}FY0mRFKgqik0{+TZHB&_&BSR8{k+1)&o9*_R4id<pRvRthP5W_?2L8> zL$mK2-ESPez@YSATtm^z)m(t*-`34fr#n0_sJwgbNcE4Y?FDt&fozGVX0bL#t>kj^ zR(Z4N>kKW8wgu-uf95>?|FD|<_a~<k<0YFJ*eXTlZaaG=ZJFY<g<&_uURf-6l${$j z@6`!sZuyQC&)+*#S$yNV&N6$_$?}Uw1DsNYrmsA|as7&%qswe|Ds0qdPxRh<#w<YX z(`vmNUA^*A`3&w^Ozv*pR+E&L7ZoS$HqzBHUC1Ksv8eT+utDJG{te%c3KXSQ&ykS$ z9y#6ez@bgeZ7C}A&OCo|dg+NLJ!XkvZO8Oyddf?8NPV_E^Tzb!ySY<76({&C?R38$ z=^t$u+I!}sVJXM!9er0Oh;g*ZI`(|O;IPIcE%t6a>uk=p&yt&NvE{{Qyzu|YArQJK zL*DP%z1qV@eOAqnj-=gLq7pPii}~0p2a}XZeY~ZIHzpS>zpYyvW0o?jcdqF)38uM1 zlXxx_H(3Apt*EtN+aK8{b8hc9Zc2~mWPUEKGui*frs*H~ygGmL9MSzg<95QyYy1;6 zcF74IztK6jQziDMkok)xA6M|yY6(WV7cJ|W+$*oXC^=KwJ1X}?&-PNo)uOLk9oBUE z#@ZeI$E~Ac<8f{0r;m+}4}Ld@ZEEzaUGrkLzS=sE9>sn63tJ!8+_zgGzGJ(6(t`5E zM<nblHb!!JOi^L^y|S%H{?vORdGWv83p`8A9vyez!Y&|p<?Ge}o+OQ~$&PE?*^g#$ zaGja%B3AqM@^amX@Esnyb!U$nx}Kb2XlTb4mZBJNX{jr(Y?VjA?(HFm7WQnJ{$Nk? zI`8}DbKF+iyDK~EKCp9^%#`9;9&l9j`8L5v8|$8N@o!_e=zQDzt;KpRwzn&dlbJpC znD=NM<<a^&=~AqhK!ulkx~|!!<Tq7Cym1$`n^xWn&srB5CF8c{n)L?03EuHN5wp%3 z7CW@(?`+zYslT+jcUK>4$(otI$*muE9N5#oe#)g!6Xq3{ZPNHM-OEv<W}+gqr|jWx zFHTO`<>-C;p20s4dpniN=$}f48@q1EC|+=7NoiQo%gFvwcxSMAN#KT~Rm?5HnRcgL zv_D$zdU)vHr0%w<%eced=-F*L5j>q+lEJBiXO}Yb)t)`ZsnVAennTnM8+g2UsoN*K z^}zZibD}d2y*vKy$2Ea@E~%n7f8VM}{Ae@lCOiMlCeP^}6Z5jVx0F5h-dj`9Vs(5b zuc1OL_t7BbOMBOIcRyBk78cX-TU;qV%i_j?xiR1Er_40Yyv(TUv7G&;g1+e<w<_^| z>vsy<y{;sCEHbO<U)n0Ne<648rkO|htZO`vtrc9o^-)88ok#hWFPT4|RpwPFNBoJ} z{aM)hvT~|XME<epkQ?&NVjtTW*?Qad@0lXLM&0-s`;T8&em>xLT+hfLe0ukpl{wmF z|L3$%51-R7-@*Cy>edG~A+{WIcx?CmjQsmtEvr)Uw*Jy@kDu!5G4e*3$!{!_S7`nl zWifwtYtXIk7ZPXpoSMxXkj{MJXp@VDj*j-dB_)N9hmz-UIK^=*UFTY|zc_~7ly!lO zxx<1ROGRZqbGHh#>9(YDI^KWq?)-a~ndSn@k!;2%9(yF+|7Sh<ca{B|kjI`1)_Z5o z|9@ivJ9p@(87{AG)vUhoi(>`vEaAWTK_a1j6K*YdTxU@I^6ax|9{0+3&+SaIdoe$* zXX`tkxhJ@m2)@x?_GAA38>=}||D;(=kNNu{>ERWZj}Kqm7uS!AmwCPL%@o^%3x2rF zpP&+X^sA9|b6}gyZPi1^J666`boPB0E;2dn`0{7*d$?4lOzZE+S<bpm?DU0vU+<sG zi{~c2tel!Nh0SQ|%u~kUpGB*)kKGZO8U4@4S+rTK&`|SIe}v!moQhJugD3yrE1F(; zXyvKc9T%^6d;L7XYL;&2yFPHImV{25sAArQZOg6uF7gB|S=z#AIrF_r=^}Qn3E$4H zxh%IRop;uaUx6YqlVzIfp9WWi>UEyqeQb%_%Zw=v`)5sYN;%1*EHQnif(z%Wp8jtu zXUS|>^ZeB*_2!P%wLu3;R=D&A^f@>0%lanxz-uOJ-0Nez+HXexRR7Eys`21z;Ms<X zneuk;4jW%_*EoG<+n@Ett(RArMn_M6^#8oW7d^$QuWiZ?^i;Rr{^6#3e%f`nC`D!$ zlke7-rR<gq_6t=UH@nC+Sy#x-QDWu##61cZ<Wt?)cKl7VzF1SMnwoWZhpBPWg|M!( z#t$XlzSVjcb$!;EySjmsgd=&M#`DKCh5pNFjYv80DCt7RmD>%WK{`^MGgtP_d-t*= z?TNX^*&olC8vZw}(wJMS-Qr-?aA<|&FDca@H(M81t!m7kD#Utdj!?_#;2Z6gu5;a$ zS(nXa6p!PL;NiM(!%?BkXMuV{_;<V4Q@38STa&u(uinY7g&$0ErZ&BAb2zbmbEDdu z7h<P5ylkS^^|dXyEu*<@F2B0Jc9>Iz($gb+o`$-2U$Iv_U4QRVi9r7oC&MLg*v*f5 z_11C-O<LY08E|is<ix!t5;X=I8pjjjG|xo2IP3ha-(|he{_z#fll+ZWH;GG?&$Uwe z_xX)@tcP~V{dkRoe*{`zx|_e)mp@U&)aA~c_pdT0eoViWwVB6aQSGW-uLQk<MU>BU zJxhIlG_$a2!J%U>B}^{7_pg1%w?%X-YuAL#viNJ~XHC+5>7cvaXvWz>#mUot#f$IP zQai5wPtbmM$+pdLC2U_}pFfb9!vE$XcU3a?>~Du3FA<*;pMSh~&zeQwQZu4oGlwlY zRB|I$h{@OMY}kI`t$&1OHDq0z#<zz>u;@`+tmKqi=c~7G$(rb-EV4{jr2G5b(|(3L z9#;An9j=Hv`KK37FHqR9xcyrko6c#2o})9){E1MrnOV){bg+KacJrEBA-klS`%5j4 z2Q1ib`15_-0-pLgi``zX)OJ#o-|)9FRjMppWZ|BKd7m;Li_8%$e%`_(w^;s=gyxhi zS+nWa*S$UTysy7Ib@lwYUrKJ61r<D8@^hQdWf_T6XE~mnZT)PzOVPL~>GXbg0mE~X zq~#9EyFPYQI5M@@L7JzUeJ8hR>f=u@Caowei4-?FJmpL2WA6hSPZ<1GmR{TwUT`4L zK*#D@@#S@X`iw>wdrszFWaE6P^6PNkj~QhLmT9L?Z3*47{ijHqx?<=B=?G0Bj}S#$ zp_4s{R$VRI5~Xu)p8eh_-*dpwb7JhtB_0dDNN=>RR7n4u+`4%I<AmicF1M3)D$j-1 z#mBij_&s}U{7P9UQ#K^pX~LnGMbU4biT*m&yofcg)^+yd()%$jCuY_>+<v{^f2E}4 z`xG<#$8Td_TX=oeyd}~VyG=i3Gei60#?>-w^#9+VcT=+GMfH|9n-osw`^9+_npSrg zFAlSxEp9jIk=l`c@)k+64J}Xf%StO2UA3OfvEb$g<-aZ3x(`CXZk;Us=V7bHbdDpx zmd~9~XO~v9t~B|OQFZt|VIk(QV;6((7F=GQl<GFs?Z~{;$=OA<KZLTmCs=L}t%=a( zWMh_=yV2e~>q&Y2zjt#P+fJ}cGqVK=xqs`7{uO^?@|INxR@d>=*0l(+$?%?Iu--9O z^8fsE%HO85SK9yo8g{?K)gxNkprG&9>o0{a_u_llX9)7t)`fqw=oIamv{r2c%LUPO zdbh7W>TJE}wY1~N+82hsO5v<qtE784mbLAQN!lJ-V)|b)bLU5)vzPBqVp2$`NK`3k zX>0PR$=rQWk|}jVc!R6P<-J~Vt0brWSpV5*yZz$8a#3fYztLLn%Fbv1i92)m&W!M% z9Hy<y?f+fM+p=|cMb1m61#^ErOI6BAt_Zkpc6ZtO<Hl*ym$$X8b??@eew256>nV)` z<^e~mbuP%er|I2x|I}C%;<wG~xOg$|$0+T?X{)c!KiruRFQwK~r@dPEZ>8hQQ2y&e zYo~rxKA2G{U@rgiU9K`qljXmLUCu&50ee-XnnM{UaviR@pV|DQ;C{FwV_N!y=W@3D zw9YEnS6b@CuQ=E+J#?8W*PTzXHmwbM8V>r!Cl;-F6zpCobVx1z)j!)wiS7p5wg~-R zwP%J>#g1bej+^LTyQi}7{KOMmZ&e9h&Nfl1QCR&_%)0*5=7`@`^NU(uvR>z?XueQ$ z&AcH~NaN5V|J#q&_6B)<seSX^V{$H6;rqQ;i+e*jl$Qj^`$(VUKE>O0mE))LjWnZ1 zu{QI~u`B*Fe4anuz-Z&m$yX|-X;)Wk>6L^<^c_gn58HC=Lny~m{TEwh<$o``d*bf- zrY!l*_cn9v&g)ATl&Zc{A*!vm<dJ>ClFgU*&wBeTQ7|Ly@`bFsK{H;TI@2`QCSN{- zEzLRa_r27QN!zZ7G)nHAX6jxf&&jZ9>zbSHV!STb=jzOuB(4(}$FtD2(`or7=L4^f z&r*~WzU#N^%xiuZYn^Knp}~fGcjm<^I5B=nUOW5av!;hPYt$3<|5-{;eCa3p%`@-# z?RT+1u1~qp)U)?=Zq((Ori?)kJO1T7nxmN05PIOdLd8e#%4J4#^K^>$sKsk=%$3}J z@o})_gFUzU1B8EN<ZhU|hBfS7=Uks#CYfI1%xBLi+?IEma5R8JdogPOv&hF6{<l9W zvOQS-XA|rFQ`;Q32X2Vj*d;67ud$rv-&^LwPp>rIEpux(%+P$LF1CQdjzRQU=*%gy z?=)_IOsnpz-}8v$ll}Zv@0U8qF8qJPp!@CSn+u$yD{lp)=1wToKAfv@-&fc?p<dfO zt#(%<!>*5eRX=qZE&27o^zbPs<;Nzw4yHf+sJBCUS;2>oY#)#PbyK=4P*wGLhsi>7 zlVce?$9y)wkZ72&=g#`7Dt+b3jlzM?g4pNS-|97pa1M=@dSo@r^R|qT=QU3Yo<rtx z8o_7T`ck~Ps_i1utvzOj^B%jl(evglzh|YN(wRMjKAhY7mfw1{t>Qs0C$4|}+fBuP z%qp|ZoUHYm>reByh%8IfIk9Os+;_0=`&w<dpU?9Z^KtR6OW#-a=PaGMRgE*s#&PnN z#0>5?(jHO_-i7?j4@}Q7-^3auYpr?lrQ777-z)kro%k0dYO(lOUuBWH^0$98w;m|( z;uhKYJH@Dl`I>4#X0P_t;LRpenO<+vUi-ao%gnH~e;%!BykPv?Ag-hN@s-o_6)tZ2 zvCZncwaQx6ER`i|!e2bku4CHPCFXMG8GFbl+cRdDW^k`FXclX@#g+Rs?ro=$RQ$0; zwsjxvr=)t$F}&F=EY<)2Pe^csi`RLLn*~22GfMLgi^TZ7m}j-u?pOM}$y=s>_hTuR zF7el6ynBRmVzADu6D#`v%`8{C_H@6o;~BQQlf5lU+RYY*zmq8a$-`S;>JU6rCwhkO z?kn6~-<R;ThMeD4ywtd=`PO9L$ri$A`7R~a&fd9CBj5tpbtS70Yh1Z!rEGdI>0Zvp zoe7srQ?E}ifB9)sxW1x~RQ!Rcqwl%9_CI6()~PV#SHd+BHM2D#97zIdW?$tUXKrMl z^kBx-wnu&`mnKbhcK@}-Lu$pF?MIs=Um3OtiN&(bUviquZ=&MHY1d?P;>`+Y%@!7t z`ByhDcG=@;)0;N!_Wc?scm2}ir&Vqa4gHD7567J2XI%Pa@rO+UZ&t3}s~`Snzy0&L zPkqPoqrYVSh)KARE%D{c)6?0?l3zV0?fetsDVKQi@s=kuVvIudf11tEiSbc$o;gLQ zL~KQCZejta)Pz(Cc54N;^G_6>b{;gV7SLn+_+de<-HCM;7U#I_toe*;4z8cnY<<RY zQ}GAmU*64UokH4UOWv<icl-X?{>Q}q`=uApo<6x-IN_H{^yZA!C;#93^XcK_$N&HR z6a9ate9H7I(M^~1a!W<G+~as0bgq8xlxM7O?d(z*HERDp_u0zTp_g~)$ML(O!WUYy z!|(WtU3R$I|L$qRFRRI?q(d&~-ur+4{rh+C-@SYP{QdLy<&VxT5B$V*?xw0P$Ctx% zW%8bv=&4(YPmlf<;w|r8nzE#^X7TSE2V(V>w6KP33!Jj<^{jQKzA>-cFLhoFxVF0f zH){a1#<!Q-_vv?*{0ml#%v3)lKXqw9*U}KKr6G2w)L;2uddYvi?$4HdA;&p&Z`l|` z*g0Z<#qx8pd&Vc_<R@qEVq!9gG&C}Q{`~oG0WJ>D_@vU3l6*FfySulGig5Ax`}n(i zI$J0(YA_lwn3$WHn;2V~d72xEa`E~b8W>rMa`Ac^8(CO*8b%r#nHiW`8u}YXT9{i{ zGI24malE_Bz{JI1q;RoK#c5HJ1mBq!%Lkn7PgfskS=#EbwO3K_L9*jM)<-QjFQxUw zdcI8%H0pfAbt&S+fmYF6r7y0^XCst8Ol0f(+a&W@`$bk|TY;PV0j49CCcijSI=FML zbQ{b~3Q{+}WD&67x}=lCGp+z{W)=|!5CE-WW#6b<-=ojK0K)K9ti>gXp&_gc%-(ft z(m|_O6T<RDYZz~dH_wVt;5#(AWNGorw!8XQzwHb;tjB))#xb*PM`tWedg5TQ!ocd| zlga*eKc7r4Kh%2at!<psm!hwpLH~c<zmwhGdT~R$s{HwsvUvZWzK5qj$v*BDrL6LH zujj2LtleB2IL>lTd9^IqeCn>uE4~Zo&6zbr@8zT*p-UH4O|_Jygmx`)G-uuQ^1N^D zyPHRCckXyD_WjDEdA2*!#l9bTG%x(l<*w?mLVvAymPc(X3;Z?TNglObxZ}C-_mW5R ztamKudw27Q?aUp|g}#S8S{8gK{uHyO-hPv=(>p}EPD@U;EA*_~@siK{>ceH0cSPD} zuiLqD*6d|FHD}G9w{zvp+0%Av&Ya!1bLEWLZ96q*%&yzHa{BDDoto2U=j~iMZFbsD z&1tjacCMT{J8Y-s)Y*M^L*6V4&eM9jEHIDj>Az{$`gPWv{=MT>VrXgQs^7uim%ekq z+rI06$^Dmgmur{(_WnNgo%&t(UG*jRU({W!UG&@ed+R&)yX?FE7vF!rEcVWn?%8>T z!Mb_3nrEjK2J7Ti9raziBU99T=_9ti4JC!SvG-OixTgB!G27-mf!A!ccOG2j{kFq# zwe*@@j;o~S>~dTw-LuPag>=m>$K}#FyBwEE#{_vfS?yx!?Q&2m;1Jox((It{f&I(N zN1q-iaqCu1IQq2x=-=4F`mj6kqTk(*{`D=ax7lH@`A)y<ck`ov^LE&)zsqO;zP$N& z_M?9@cI;Pu=im9;o2gT|N294jv4w-F<MexW`Kl9){L}CASv_euJnOXo*}qG7+M9eY zfA;Upo%or*m5b|>-}#^U+q%=<;QRNd|MuL8pZ@!CQGN6~|I>d{ciQWOuL)UL^s~3q zccReKPtBi>cuwBn&3C2$q>yTYr=Gpa_wpzIdhWze{QY~Ez0!B{C;wXR#83GBd6&Jy z_v@wgG2geB)<=E+{`lXfcl@va)x><=uxi(z70Z?^TA;UIeYx7QrKkNhIbWW5@kx8v ze))?Ro_-hJ)$^M*_TCEl3s1in*V}#Hz2p4Rzq;?T3-_~s-@K#$=wI!3(S`fFeuvzd z|HzL2op+&q*Y5zEhe!BI3Vy21h;Zsu(d$1V=qBQL<Y~Kr5{E+Fjy?R}t9Q(A{%v#T z{=<EI--~zjAO36l{pub6V)?UwjlZA0qhCD#%wNOrNAK`6KkJ^ZH=S$6^G&YaVmj0J zCoScgy76@P-T6iFr~YbuUwEg!=>EySYTpmPGv2xX$-YUy6TVNr<6iXtM6L4o?mPc? z?N>K?@~K*JdZGN2PjTNH@4Vl2zSR4{$79Oe{el%S4+WX}`71&m8n(KN$oW^499YcR zuWECvV$Da7#}l6yJ`a3u_<SQ{KTk!>fyGR6={Bb-R2~X`w>XsCbDYIS>A^>aekB{J z2ZGNxGW4@l$Q)SAAeU%!PcC2XyJ5@mb^CUhH!l#6h-?&9-;l)OAv<GLgNWdbq6R@L z2M>?t$w%{6+SzoUXg%!oJhWQ-OafbMko(rfk6-n@*kfgCnxPopd_&YJ;H*~$>sc-d zPxDp&T~E0lc8JHBnZ}9T6RLf&=>TKXWy$5oUMDz~G2Lbi@;Jz1oyBpG!{-2#Xi-tf z2Z!2+OlCn_*9G*bu{FP#R+_xkUXf+5!Y0qkWVY^vyAnLC2@7BE(kqL-Ui!5~w5F!0 zpr%0dxJ$a(^0IVB?yD>p*yr5bI<@<krLEAxWOp9n1Az>jrcVkO`<TieEMV;8vak+2 zpxh?@;EV#B_=AF!1ZG}?2O105qzgh0Tx?v-CTq4tft_C}uYi#&Zo@*RLe`m_n`9*Y z+LUjvE9-Cc6^|{scr=|`^o!MuVulr|(YqLm)Ff()RT~`d&*9bEw^J&jCbPyfhQU%w zUf|=|kAFU#t=(|gJly##w~o9+-?1C{MK;#*Q|>=0E3;GEfAZy<8jrHLA3qq1EUiQK zpL|*4e}4|M-O~F{e13G*P1b(MT*Y{leZuC8NAinIC;ag3J0`II<i{T$l+LhExc%_c z>^bMA-hWb56175n^WCTw|93I$*=MbLrMxzJ#dE8Q$7~#XN)47jZq|DB^7P>?lb0ly z%<=Q@lViT47hqo^bDs58qu%0UV(N+QY+~vQ+0L_SEluib-Z@u&pQWtYKKC~q3ojow zPj6q(oU_1^)7r|6PvMzS#+3&}LS+@}=CI70tEryo-p(@B$&%ANui=2d-E7A8K2?j7 z8wZlvg_PJEKvqc>yqHlb%V7NA!a`T)es0BUxyBDJ1b!8_vbC&ATcOC_FO|1Jk=0i3 z%A$WxOwtP)7TTSDt7Gftcl?E6k)_EC!;-Qs6+CiUCG#qHWS3e^t>}sARjQl3nDIz{ zk&VqCwe6da`OJ`t%INv%z-jH|%wzcC;m0PCfUA;E%t20yoBy<IOWowlJAe7=rDq-Y zOjrBs=FZM1XL={$aPK_vgdYc9n46{v@ak1;xG~e|dFSntsu??S)!kQnsmMJ(#w+ln z<9v}x97iG3lZ-DPqWjd_?-yBT)SZ6W^Ybh>uZ~T!bzsSyKg%v}c4m_?`SPK{k*g}M zfw5)7{gSGpFANNC3_$dayu0C3-Rv4-conxl%_z7#?eW1~y4!<Gqy5-lZHw{iU3$C7 zv?uTM#R-nvpH}SHp}W2LXs+d4&Aii3FH}6*7Q?HSclx5mqir(%0^6UKRqfDSKKXF& zP8m6q?N76McIvtxKAmehPiOnnvK4oxUG6N3)=O7^vn{4qW&6{Nn!D2;f83?JeQ{~D z-}6`7V*1Wq$=xaCxBY2`%H3&?6?f@wfBg5(w96MCZHw{aOgpe}v3fe2j8#<($J!ld zSv2$(vj|Sx!6WSw<Hjp(7sJLYZ5hMX-)lU5qcYQs(;AAW({Bj;?ve7fYi65t{(|5q zNlW$%zj$P&!=@i(E|s+QoW3ylu=DglCh_Tshr3QMU_6@o!K6^q%A{upkCc53n?K+5 zg@==$8I|pOldzLVcCP01jlyM;pk(1<Q6$O7@kv7MPeqT=D!IdLmy@qpRPjg&zA!A~ zSa3A?!h%Q2A1po!mQDJ>VdYfQA~ScMn2i7<|A7minRskXj!bBF=e5XdVC<8%m1;<2 zV%<@iu=Vjn<u_m699Y<VyidmX!3D$JTNjiiusbe4&T3TQ*w15;@gq-n#og<x{rY(< zGddL6LSHMs`0`>0BYVI2jstBX0UtXKvYLI^p~&{(TGK7fzsz&xWQ>n2P-gGHpR$#8 z{mU;m6b|N=Rb||8ILvEw<3ZDVwbkM~dj0r$3SI;__V-B_yg4D*EMs)zPRiES+j(~w z8uK@4HP)LatT2yEeW;&uVBzB9tg~mYSyvFvYf<oG#=_&R{CS&xHM9pfahdt8NMz!b zwlOIyD=DgDkkdTi$jm3fRxmk~?GJ;QNPsgNuZ(|>EX#|I0+uI5UIvZ)8y-0E^Cm5L z$ZB2W(csQ&5o5sIC#(8pheN~cHIHN%ZOUG}*w}E^H;l7Dx?k4x3C987FxC&!tZE+^ z#bPE*UVQm7lT^@!<i^>v*W8P1kji;*@G+anmk5TI*=ycSKi(%*^u^}^vrfc<<*b?; z8bLA-nrE-sSHWRp#l2zTW(MJsqOyXDGKmGvn;FG*9yChJPiWxQFL=<#)EsH}giG+s zp%p(u9y;*cKGYq-n%B|DCS&yB&5;7Jlm&{%c{OGnv}ru%caE=*U1b5I4EvKg3C+)0 zgi8v_$_g?Bn%N3c4hS+azHw0x;CNUf;gGDJp5B$v%wu>&ft}--ulsWrX;Z%o7Z2XN z7<s_&98=btCvO-SSZ4a9372^beqi8H??_;l6mXolIxc(h1TMFAJGO50kP+%UvOYqE zsWZ;dLquqIQh#sE*X|t=s;Qx_TMM~XX>z^FTzpwb=<=jX$5a+CR9+mhW#Wn^LGk1s zXA8lM$Np2dzwF96zT}+7mb;Uz9$zf;de!4|yYZ^z&TYm)>E_$!POy^PAR9h)?v=8L z(z4*&p;vEm<}MFOf1bR1iPi44ZzsHZ)09&lk}kgOZopjGt7UejZZYbqT{mtBYXr_} z$Q3tp&EfHyqUN=YTUa_<Xj{r|4c|jEa?I6IyKc;wtRAq)#BfvWjp-AV;$5~$KRPOU zg!@EUY*a*al>N5TyH<TFy!!RVxm8W>9=CO`s^_wY9_)BMZOXRAtNM57d1ZC2I;FN; zeTCQFIUTQOXuX|MaQ8x)_t)+ndMi$Kysl{pD|6I-$?wO$<*xtRJmH1c3NvMUuNh|C ztzB!qzI6H4ck8ajUij78D|I6ydH<`kORrA0&(1$_>vdFhWcK;2w}02vro2_mE?+x$ zi`m6n_OB~aWS3vNm?=B?+Qbam`fGP5lwRNZZqv27S9kr6EKX2nGrJTKxp(H)c_FX0 za^16MUx-?FbKR+q>VvEjaW4)OEwFoJQqahop}^eT_)Da$wMw+C^_QsJ2Hh*}39KAz zSYP$*;0)1!q!F;UW3|IqrE^SIlOMLRM6I}dx^oA|m6uOTyex#vT7S9D>3!uY<Inlp zHKxZx`gNtH;PXn$V^<@jz8W59u$X&yr9I>JhE#{S=YyJOZ{%8`o|t;2f5Q%zoE~N! zCao7wB@$d$BruAlGk7ydXgAE>+qxn9wm8ELhMEluH*$ElhyB+v-Es5MtNpAm_x)p; zb0_lo$|D7F>;Hu$?Z``h%NM3MYsR!GdV5twLf*f)lDK{5ow%atlersid*&(ctbMX= z;%(Qw`nzgH)hBWlw>RJUwu|>k+4bCYx1+aj&HKM&ZmIO^ZT+{yw^!fUwkx*ue1LDM z<oY`oPsy&nGx3z{@pl?!$2H5R6dl(nZz(#iUS3jkT&<jExAJ6by`76ESvTD^JSjWz z&c_q7y>~XAklp`I`ijR9wos-*tHyUfi~Z-%W(zGVwCugJ`GoEMcb-q?Ilt3<lIJ+r zan6lKzF9k#_~<xJUEmp@qW4nJ-1N~h*E>@>X7d#WE9OZZ@on7klHdI6!)3O2Hg$Rx ze|Ty8%h0Z@f7@{e8>R<>EywxgUN1hI{MN8$iS0SLgU8>0?Eg||_iWXk?^9i$Ojl8A zkMUA8>i9CrA?5ngCzGOsy#GyzoG#@3YeM*@_AR@ngiiAE^VF0q)m->wa>*p$NiT!+ zJXL3^OnfrYWRmM74?fR@-jXVfPx`;Sd~^xg#aY^ZR5UEa@#s=>R{kX&OkLjZIn~P+ zG^^>^s!a<};#ASw3+c}2*(x?MJYtvE+bdUe;4q(6OqJz>4Q{@(y!p>c8mFF!@mf%o ze58f(^V3V8FSVW))14kC2I{=*{Ia5_(|2OgOV>tF=fzvU2h??$9w(&Y-XpT}%f1dC zL7^v?J~Lf9y0!FW=HC;CJ{7)<{kmb*qFrA=-IRHH=^^KhclEp$jjeL|e*JTc-u}1? zsWJR7F8$uQf8P10*JESq&K^yT$uG>*eYd{4;Ag4W^!VgL$?M@Ux4LeJ-dXnOo5=Rc zg6OW>fp^*-eG}e3cgNo5+g3a79?4b9(=UwfyzP0%?a?>E?Y%o<kK`)l@fSvS+;+Uf z_UIe`_SzkH55JYU^X%a_zU`$u<{r+K$y>j3?X$93w=?qQ@63HxHuH8$UjI(rXJs>P zC*;-deEYO)`t6v!{GDr`mQA}Ikr%%+_i5SG+aY=Vcg1$wKHWCuc3__K&c9E#O|Et; zo$T#@ciJx5Qt4OUF6S=4?Yn(up8nmiU2{vMUw*royZE;2_Rc*1yJEX!OQc_XJD)q> zx~$M$@7<20vRii~^S_&MRCeQz$D-w19`%LZnb>9RSLiNxC$P)ft<YWL-H#)(b9X%E zd$*$5+N{uB?cI(evQu{?3zfS(>T|zyvBO%d&|T@B#Sz)o9mxXaERXu^?_6xR{#I~Y z=FY@+>vsk2vhQXbmMz@zc$W35oyoJT7wvpJ(|Xp<<eAo!c0QhA-L*4$hIP}<$J4E= zb|z1^F53BcnswIB<Z0Dzy%BnkCs=dsOrBs}byx6&?Cy6bN{_F#&bs^Yxa{V49i{H_ z<$HD|_ghEZ-T106q<qe<#aCn(zvC!9zTDdC?#7pWKIJjH7GIK`{H~+q_+o3RyBlBh zxs=Q7T6{sa`5i~e@%h%j?!=0hA3u6Gx3E0wPAvaBz3$zIAH7?*!&>{@x~|=eAH7?) z!&>gnT#<6|qjwz(%l+@n6)xZ2y!-OOyQYQZK6ma4l`lVXSGBO*<IY{d^7JEjMGMPa z?%Wk9S3h!>wXodb&RzcU=ZEipEhx9#VJ(+e*&^sFV0h$dk5=oCgYDioo%t$mIJSGw zWai7hvSjC!jB_(Iou38u?c5*aGvoAnmSV~9x}8(v@@_tz7L&L0>9nZ4nNO!h<W)YM z7M2(JbXrKBWtsQJXuq9Hr$oE$RGkuSw{z*_XtSNEH*{y-DLSb;^^VY+X`XqVPo}x& zWj>h~=df$XHofK7`gL^Vr+;469x8hE>6~55uh=er$60!Qd9~Hu%`fNqe2>|+{F3eD zcbz5Y7gtN&-TY#n%XgVw%L6Vfee!5p^qrvY=x-0EMcxtWj*ctz*3OGOs=IQ>Q<3dY z4(cx5kt(vi<<T_%JC{16-#wUSwIfw{d&;9}-gkmJqtyz%RVFz+;!xI07j)rKbP<>? z+#z4{LXmy?<aXJT6O8Srce?pXnWXD2pZVMR^n{&%&KXWGTt4Hp<g<AR?|wd=7x(Vw z(|IxPc0QdK^={_Vc@gg_pUw+=7x{Ev$UDn2|BcmtJC{$XcH5~wrP^-i^2ya^JJWC2 z&b(86(st?{;WzU<-*rBj7x;Xl_pc+KlMU3Smz?ySdPn5VGS9rOCmj=&;-^nJ;oE*E z<b-eYoh#fP;@7XKUfuPwe|Fkkk<-UR`B!^Km9iUazRu6ebS^#Dac%zOr5Beu2+meY z%?zAlQ2A)d$xDZ(C`2w|$;tHW5wJ{Ja^ljy76wTrl@pit?5Ha#%+>YfUhOV6t$%53 z=*1-&VOzAMu3lP}5wJxqN`$xT+ZGe`TSB~@$6TTpY3k~BrnyKjlD*iI;p(lti1lJk zhSQb>@-k8vF4bjy7YK=J4!pK`{a2n7RnxYzZN8>(^_N>_dUENx6=lzsoSS{|+@vd& z+ELB^uca5w6<jGSvuPji+Jg(ep1pEz*Q-68YY)!<>U-tfhF2`LYx3t^Q@HTUEvuG0 zsyXReQD%B@>AiVn$3mX-W~RHAdYM=^8?rEWWN@@yIK<KPa5CF5exCWJ*1^SXJhP0` z%EH{vOgBtA^oGZ0`pjuFr$<hgoIW>q<@u(ir?kUXg;;Jg)=C0xd3cnP?yq%Y$tArt zmrkT~PV$=khG$Kapt*C=5f4En>)%pJDjnh1&!xpgN7=8M(>Ocvt<LIu$3v&uriF(3 zz3uS=?Yi#FO!xKn^7L@uylAduXn~uF^eoP^O=%9s^XE2tTvNDoukY2Jy^Nupb&&$T za}}nu9=`H3>7Z_Clb6_%AoU+xA56AN_r11Y=GU|7ylQJ5HM0XwRE4G3evUd{x8|UH z_J*w5&0E-dbvVM*B~lJ5m9ix`Dugx#zMj39b>l0Rxngrx3AeD$T*cBZrn73Z-izSW z>8+tl`&Y|N4SRcbRZ~cCsN2fhXEXEtMRSr?ecDzMyi4_N-?z39$HiXBf`Ll~%Xf2z za&Fna;P&~m>AkbG(jPdOa4%cgZ}FjLrcuhVmdF4f)`KCxj(Sf`5HC306r;65)qAS! zH0?00w<~laQhjo|rf4k=`IX|RAzE^2$teRh?*n2XOlpi18{7{laZ9aC`0l#oQeMXd zg;1u*3#*$pc4x0X<@efsY6N$a-MXrX(9oI9%*(}=ta`s>kydYj|5U3+A8xbI4befX zOddH1tn~W8p%ZmsMU%_aGG`}8g@C2r77NZd*_-b1&COr1YLDTq*-NZ4tCd5Zny2kI zDXsJTCLH{{J!QXcX`TDG#X-;0Q}(Nu*13LTUR|uTcKPMH_|m$JZ?9K<w%$Cy-^$+j zx98QLQ*Y+4JlB7w&ZYEU_FskHKd(rx)q1;Xg;wv5*_tc07JgHEb#i5A=E_qtcYH71 z)3{phG4<GDFYCpNq!&pq+$*^%;mBQ<y9{^#-1)J_bMt&Dlc{CtTD@snZ%<7)d9XI= zo3t?78FBsCZEuc)hW5hO1l(MIYQ_x_&A@qY*pGs;x%Yu@!9nw%IDeA;*;5n$TXbc@ zky@8F4$encx5^8w(_JecY4`2$u2+enuBuz_hlpI69DMmwoewCnxrIJ^V7KUR*DK|B z+`nq<7V5uXzV+TKn=8%t@|ycw>@Lg-i)??ed0Emmk<<HD`K?OxJ-z1TG}FJE>@NLs zeJxOTEj{Xgy6?(23t#=YR=4up?;FxrD_8vun7egt>DlAE+_tW>U7PLoI_hFw?WH&8 zf33N>+R~ZrtfcX8qojR3g2HM1%euw%V|TpSp0IKf>*=X`MYWQXf)~A6>QMPeCFg$2 zNudpUC#l$Pa{r`MtsL*t@}}M9#NK;b*%!5LUHUb7erf2_$E%LtdllSnb#=Mk*8ZxZ zRhw2`5-f<n6|cGSREKrYRKs+ZJH6lBMSFILhiWptbFN<Wi`({v!_+cM<vZ#BD<kV2 zuf<>8b!-b?{~G6Irf*NoI<{qAZzR`}bK)-9r?xD!y(W_7+kZ_Y<L}zY`q*pnQ@%dm z`ftUxt&2-7Qe@@UW;$QnviR$n)0gB+gElS=Exn}fJ@?fT?`djRJ^OWZS54-Nd=;F| z;i$;a`eAdL^M{oQ%a>i!<DKQTc;?ddQz23(dsc4Y-W<X+!)v#GoK~-;@&k?OOJlWm zEDh3))Y`jAgnO&g>I)l}hCmBo5p|z=7MYt&d^jhmdL5XiX6OVe(7pGA%HJavQYkCL zulMVnGCh28?b4{o2)lJvAv2p7hkCCC4_MEcW*2JkC`0&i(50ZIzd9Csgu2Ptt`cIj zh}v>x#lF*>7G5El<|;1DTD=xrF16GAmVQ;)JTLlMagOcbYr@&K%dbt&R1cqPeDd6C ztMp4%c5i!D71zCuSyf#1R%KQ2vdG&Lt_huq%8I<*bnVm0sJ6A~5!W`a{+gRrn;g~b zd+k%ka{sw5Q};<!DSlCU!5YB#uJ!!BAD+peYS8-p6h-5sZ)BL4c}p0?msLI7wDgpY z_11)iO;1npn6G`a#_0I7DRYwomrd48b(<MbX16k7ve#j)UQ3PxXH~6dHb{Clr97Xg z<g~Bjjf_#VPVbJBrh6G0W;>LXg>JZg=~rOtnM13L*v;3M{d$oY>S`LAdc{w3h5GWF zAuoCE#N0X=B3~Gssph-HOF7SPu~xDlU!Kt0ImPEVw*;H5O}+H%SQf9-TE~T^FH&oR zw@eGZcIi}9Riw9$cKa30scXKh3YLnz8LEEHYpoXN2BFnnjBDNmKJCq@jrI&xy|I;T z`ZZ(KY=Kj!R#-VIY0rGcvNufWDyP@l{0U(~S6_Cn-QsHL>FT)l?a5;)-kVHs&dBl3 z4tBCKTD9r4BxAaARCFM#@;S4R)FU@ravZ1jHEjO7hIfVCqa3@?u7=53Ve3}*Tg+VM zWioZ%N|RZd#%ZcEs|=^Mtu$%+8I(EQm|1=InlC{I`%a7LhL%OHTXQ7HQG06GN|Q$_ zQ?=X=cy64U{54psH)v7FEytB6&(0k1RM(y#VqliI$%yAohtLKU?TI22otFqky40;z zQ96>HDsXeF5J&jZ!0e~j_}5I06z#FATC-<WLb~hLO{<!Mr<PeNJxICYwUWzih1YKN z<z6$xK!xwBEx}GvGeZt8_Dc3U;<?ysw+zbzm5Zm8G?z|s(B7-`+Hhqs*V^q%&hf5U zzQjx78uRK+(NX6;=H9ze<@D;yqHB*=U7j4pzuGT9Yws#6+l61Jy!ukJW_rl-?OWx7 z=EhC<+V|?qp=;8sE-#Kc|JeqFn4>}DXB*YmmGhogdSCl&(|@vR-g6LVUV4h{`Oh}} zCqR6;314l_f8HYxR%Uw*qWN<lgu$N)Qm79ir$9u`f8MhYq~S<`&4RCWT3p*_9=PQ( zRV0FY<)x_CJGaiWz4kdhw>s+flxs1ivod`{mp89j{_4v$sg>s}Qfx!gRW_9gDJOVN zSkqs_srkt@#r8<Ic8B<c(&^X2rp}8>zPajBM{(#>S<!PLuh;%^os%1#nR{C=>b9AH z4D;IhSB!bW`^}_Q8b{^cS!LXmdu7#SC+YetFBkVlmhQ?*?zc*w*c((DmXI7gb?%g2 zkJ7SWv##78&z=TV$4wtSSU1<@#J+vk7~88Lv3uR*lPR0ly-u-^^fR9tVrsVJr^9r{ zpc{<J2@SK~8I~#OJv#C>i*H4fM3dRvHMa`ZufA(LGbdCaxmls&LgT3$?03@@Y7~C+ zh&1?o<0!kkefOiyvErfMrXHMG&E{fm!Ms>P=dPiGQ1cq;9!4RKKLy?l8eAIl5|TJo z!ENC#1*dkIyaxvk&60lNz;Av-RPZ6AlldiHy8|!S<O@og89#&s><Z-&Ug~t2cU8eN zHco@!ghzgiUD7@eJ4L#71V=n#3$|ctT`JwWRN7@BXX`>~)+NfSOBOE?-mxQ);g=wj z)5GU%fgHOW@1*z7{&wInc*0Q1H1on7!{D|aalPm*DF=&=dV%YgB^C>P*QNDKFG<KV zh>Lu9!WL3Q&YJ@oF`3lS($rA5%wIevXQ3<qrUQq%v?iQTHn-@_Gm!IK_-a~Y#HE+h zLd-Rm<_S!>T4C}~aLdJ$DMpd)mrLieH*rPfcoa89hwq-eVDiaFi+R3S-CV3wH}R<U zCJ9%usRc*n1R9j=N-uhd)oyrUrI9jMXp>*Zy4#M|=1we)Pq`}^>F#nZ_v9|QP3JtX zNuIQtzph*IMBIh74rNX2x)oL^q%|wFOgNb$TI0wh$=6YRkl9gf^2rpVnLcgIkMu6A zy)g4bgOo{vkP}o?ZSvK*>{}d|)f7{VBsq;_ZkBCrlhJ>X$;hku;-+J>tg%7TOSx3F z6GbT&l6^V5rQ$y?S;HM#75t4UblL`i6>&Yc*h5|_t#+Eqc3WiCmuc5pw92O~uM&%x z`|9eJYg<>B=4QnfM_r$E&2&-e+jLpC$o9n71}CllM777g4#<{`TYGqA>5DCVldmaW zwE7cydC{88#O$a^rf;)-KSy2Oa&61%uf<k+1sTD+8(#T-EKu9}?~oW<z}(GCzb;Qn z&wRzQb<KWFYvthQy4inw-@fslYrOF*dun>rE0*(XUJ6-kpFg+vs?7YeE8eVK<yVkn z>%3_mZ{+zM*A%Wx?TNZ?vG$<K>zk8IEz)?GMK-%t7q2QlmSStYX<qKygPPd|*}UH( z?whPlcf9ss)>d8t3x%0`Wv}veT=q+;UH^6I8vBK{v#k76|Eg6RmCBv^6?`px)Bm97 zN3Ydy`Zww8<TqQd{M`6;=9>2@wJNWrZ-%cduKyPJ%4Sw=#9aB!?5jV=eRF?RGp%-_ zmFNcXprt*v;Zy6Q>@#GUF3g$~8LXR~mHKzFsqN-v;TBs?%dNg=x0-RSv*zm!C#_hb z+g-0EPFR}#if8+^h5e--TlwZ+TX@MzCn{^%Ie`q{$(xp$MqWN}ZHrQN)P$vpua@Ml z&9u8_vdZ*rrf+c6<*sQ(D<`vUX;a)XZ*AoHGi%ZluNmukufJm1J+1Gm=h|yuGJWf> z>DOJ0pZs<E)_;?(-JkmP`IdF1YqOnRZ^)MATALZ0J?lb{M(L&1roB;@7kuSj@O66X zU&pofYOmiP|79I@ebcptH>}P?T|RPc%NkRjMN55NEs4zb+Pci;wYYQksxAN4tl6*n zT0i@*>00~6rDwBb?IPR5vTsc`J)6b*I9+p+mFBfsersP|m}Ry$o@4FGi@(@1{x(L| zJ70_M|EiuE+a1;JeJ%0ItZga2-BIT+=%@y%f8Dq&C|hgGGLP5dj@i4m{5x`O3;P`D z`AZ$LIPDh4{64h&9QRshj;Q;Yf0vlLZeEsr?aJv{X_50Ymd~_WK5K5$t3MOw#=e@9 zSeCZ(vqM?X%Hp`2mP`G#QhjHy(Y!HBEb_8K_O7j$J?93WI;UFdxAyCnsPjgzPo~<= zUXy;gN^0%$8-B{Aek;EI**Gusb>)_M$=8BU&yqVh)2EDUCD)HLW?QBuUMo5s<rR5a zEj#*R)TGGM8^7wDs`|ENp5oec$Je*7O6}P+FEsM}s%z#ezUpT3>P4PjzSZ)o=Fv5( z)3^3)+9tU+*DgCUO?Ty*T!+^;r+n4PvJH+p-*(M>jp>Y3+s&KiMXt>cd_DV;RLZ7l z0omTGin-SIuJD_E<yPIREv9Qjf}iW;@OG_Dce<7^^Xr)tRm--rZN0YO>aVs;-c^y! z?$;h%JY`sV?m$@CN@14GpS<QKT>h1ou{2_?!bLCj(t9CmQ-YR1i8?KxZMk)tU-rr^ z(;TmT%F<nZZBs_A^H#RqYf`6f{j+IW<TasFr<6;*6tiC@>#n_aDMPn$&C_d9X=~ko z9Gn=W-5&D(xyh`{v!-oXCb%}!K3l@^^~_mc*PN+hOS8Sb>0jNo@Xd8IzaCt(Kebl- zb^6-;t#wysxkX;yVCuVRS$y`Zt;?cbSEkwSU6VfbYt5#4?$?S>RF$n&owQYD)4N4w zjG?zI-u7ta&YISul{=%XMJsoj8Dr=zjkk=ews=M{hh#QyVO??QSq6K+(%y@QR$N+^ zk-ut-;w^zyTO^~Rr<yr&H)!QHeQVarZMe3~YxaEJ)muK^jGSt^u9P=Bc6HSC1=qGN zEj^nN%N^Aod~M<6(iJJPQjzUW*A%Z?9f@iWeJzkBtGCv9ajC?XWxkP@=d8)}x@MxB zJ!^t#Z?^B@sLQLanXE9)&Ga>ny4-ZlpzWGL&9%+OuV>Eqy5{sR?x^+Wf2Cg&-&{BS z>&7+fxBk2OD>(bs)@8xhuAH2u6&b9Yt(9WCan17nuT!GVo4n>svt7I<{lYD=t=kOO zs@{kai#)wx>-VkS_FS8H?bhz7YR7E#$luwy>$gt7W)@|gAv<}^{AT7k5nJQ89!!65 z%OmvX*3MOzqNP`8y%e3Z!_2?5wfWPTYjsyD7vGBNx61d8p1ex9WYgAVR_T%3+CrY6 zIex9})t?P(md;#zHEU~BZgw{4Op?zVLFDSd+_hn=uV(p2ufCeKb=IY8;FB1(fTb97 zeNX>V+p0LlD&PC{`crL**Ai~DdAynv8(AN3_GaV19XT9J&iQBB{=O-YX`6lPLdIXw zT#eA@z89p{Y)TBCYi|E~_SH83Yx8IEw!iwb<C^gT-opkw&YIF~i4twTW;}-tcn*Te z?i`7>L<zQ=20Vui?%V>AUp6L6v?Y3M1(83tfXEeKG6F;{6!hcR^3eb9VgGE~;wY&p zR_X4q`Li>9Uj6A<<EZWJ9Q0g0rS|nk6Q$B~3)a{xcryn*_sRHctNYe{Zv2%hwyj&_ zO3zKYCV%<Tl2?D$T~nC0)bwiQ=Z*i?Olu2CZ;xK_`9p-`j#n}hB?Scq1t+TL^)OzR zR#50(d?_@LUAW;`<l{%SEi#J744ird1t&^QRJdef$r0A&U%GZO?^eHn7l$0ezr?Is zZ9Uy+`6)K%n|%6jf=XgvEi0=mQ;vSE5HWx4sj#ErOE)^tol<jU{>#^fBA0q39F~gz zxv%ag-zjUeG33uS$N7pP&fiYFJZtSM+~>TpQ*g8Tj}x5RliOw+D(9Yfu=fn#&ACf8 zsthlzSDBaPAXE4-Xw&_R*U!Y=nC^J!xIvdJ_rz!G4hm^G9XmVYahgwryy_l)$-K6n z+ZxKXb{&aY3I5*7?%fkNCZ4{q$;n`igxlX45Au9|y!M%#E_L&|Y2HQizR1|3HS3yE zO8so}IO{IIdb4TCTJC$ia%^8VT$r%#u_!}N=;K%WYG;UwSWft-|I+e{%jNJ@YfEg` zuJdd*ZeE;z;9<`8DZX=*=U!APoK)^sG&OaS8ta*pmUh{3KfgyEm{EJ3huL%9-|HLa zf34XxcmMX{=e5sfudluM`L*4%ng+)X?`aKh)F=F8csAYh4daf#ESusR-=vsTEjc9? zaqIKZ?aj9$PZ#v5M(nAa6;gAe@%X)xBwvMZ<~GgQLFK#sW(KVk{r&X3zG<~Z>P3fd zJC7ZC^wlCGQs|IxaemaV2ftT&XgOAhhifIj+o50)W9X0;6+QLuquECb9>m+R>*OZP zw2zIcpBub%-;*co%6rQ^)y$j}xn^A3^X0;?kjp=mo>^bqF!l1cJ<{=|wKnSyDIbt> zwD4rx;K#Hy)_ZD6>Z$-a?!&5q%NuR?7XK8gmyj}F;eGVkyBS@_uDAVsZMGt9)BfZ2 zN1ar)msGvb(3$OT==Rm^hkx<;9NqBc%GH}aDoitDPYJTke%vJK@p9AV%^NF!FXf!1 zxNp6Jahqq?y8TCLVyeW0eq3sAi<)d|*K+4PZ^Xw-;Q`tz(NACh2=7)(6zEtTwV`b4 z%!jQ$3<CLw)CBd8UT~7zQQ>hxJ|x6tal4GkqNY7N*x&!>FPg6IyKL*)_n+VGc6!S7 zXp@uD?j_u5Oj}%bO|8{#Z0FIAtDU>e--i3hv5V{9fB!Gh;eI!8v4_a|jITG`wmN)y z%d~>)!n7l*pMoA;seUNw^Rwgd@*1gjeFxt;_hvYqI5jg`;-E=Z`Gh@g&XOJlkN#Hv z+PuJg;%~L$vzb}a6Pwx=9eSCuZ_=at49-V+4;{YF&b!HD(bJ2QG<0OoSp51qy=r4t zoczh1Gn@9Yr~f;Cj!$*wW3SbzTV&jQ;+)px+%k>(R&OWhS=x})dBk_+akE=IeQV1@ ztKM}ilvUai7hEBx_s)yKRonlE&-?|m{qHZ{I-#s?U6SET5zAlsdWriS<HWk>&S<#u zch-ybw_iUIIMKHx)GBII<!Z45OLjXK?wGPBNs6m{J$G=-t~RFMqD%Kq%gd52yY|=N z@s-;V%0(AM)^02l4`=J>wF_L}=}}o~egB5=j+QPtKlW7f)|0c=8|8YdKh=+WZC?1y zAa|L{rL+mLLDv?Biyv6mFr{6<EA2x53_Xv|mN!A#8b=+B1@}f@*tm#QcKNmw2b7m` zztITv6jp0#@?PvaMN3lrN$@9|f8YP>hgUZqoX5S&<b7=Acc$k@%+tTO-|J{RtYiN? zMmTJ>QQE@lKRtKOPS|pel~3hRWSz@ho)edKA2W+RoLBE5tui;+)rwzZUe5muj3S2| z&Mb(F=<azD^z)F8T&(Vu{H0v8C-;b~yehOod%;r$m#I8ogjXNkq7bE$(jDb!806A% zPL#>ucGb(=g!E$@TKNj6^5@<Z`0?zs&-O3=3G(5B6HUC9OuNSty?n-3?{A-fvHod1 zwCQ}5DHFHKv@*wr=bsnUhtBAfm2ukq>dExbjW*%SS?4{xRL@%Z?84=>^9rnYTEF<W zpJ$??*M}YU8dImQ+I)AOj7;5|*szV-K9Pp|KFBNUewwuS$*%IJ+_pwtOW%Cob7}1x z{rKRDZ6YFt>nCsh8vOTLs({qgCErW0{tNZ|Y<pEEyM5aIbw8}9w5};=%S_QtIMNpJ z>u5+(a;Rx*fcLDUW>>{bRyr=LNnU!w%d+EsSBLLy<<-kRGwfJ!w_fDgj-W|5yf!TQ z!m^)RROvymQq+z4Uj!DXDrqb{BdV?7b8*RjsVbH!>kZovERW)fX`FXmPDFXrr^(MQ z{S9<=4^;T)y_BVwJ%jI1ut9)o{Eh1ebb@X!n0ot5kHnl^M;7@!T99ccv?4)z&EW%F zP3v}>o;~NtyJpIa7iC`}GPqeLq-%WfPh}8hxpPdL<$z2JXZ2I}rL$fx(Tib}D)}V0 zOGi~I_3we)u9$}XrFri6XQZ#*92<GjF`iZWOQ*o))X$+S&#>eyJ@V>ive@D~UYaXo z{d7J%tZG|+ooShoU_i!|(#Mx{IJr3&t(lj+B<tblGpD;g?TeWovgn@6(+Q31JGvr% zGI6c;epJNGm%^Q?FXUVJHz4-5<XUH^vadJ1uie;ac(c3u!0IcJ`;N>Ll4+CvlPB}v z@Y%wqg3H^^%n~(jiwLS+@qW?se`m5cv=r_NSUscnP>a-@+jGyoywMo2>~lisj33VY zG1o#)v+v8kBEjdmMRK((%TYU7e+To|<!|y*wrYJ3{kA`VW!<wmnF(dSLVXz;D|YDA zc&F=eTv@W|j<$PDVC2#;*YM*Hz6L#I{oHe}@o0H=?=87Qe|@%2I@z^>t$D5LtU!L( zMM2YE%(ZpqGBYvhz3&seL9un6n54{M@fuwx?d@-?UH1MsbA1(i?!vVT9?h=hiWmJS z`()xoAI~%gC;mysrf&ZnxDD)Cc1XO^mXMM&o1r-UiB56dZnkz^&(CKVyo{aoPDZ9N zEuRSzH*UOqW`cLow3!DobDcDoIixOsTXcw*om=h1TK-iQ-R#SEUV0iU68j@&gQ|e; zYHgWqpvm_qQnJ@{kGsl=MZLOlifdIg&%5Hl{!JRLK`o0kCyDnec^#}a>^|3h$29o# zUz<AVTPvGI=N=R(e8e*2(dsUdEj;?Yultw}wf=A_P_z?x-<*&>_cv2VbmFC(Myrjh z!VbMrZsq5{yGSF{@vzi<jW=t!zivFG7>l}e|CRTH@0JV<3?R$}JAgVRtpe=`Y6YuS zMure3KHf8D*4`6kV_;yAU{GLS;$UP0?O*uH`|j@l|GU3$`^wA2!N|<ekl?T*E|mvn z69@RHYl+Z>%7P3G4EziX3~-y0bFz_adi=Qdd)`~yKr=QrHcmEHHU^jpki)XC2XVRa zo@QVGVfZ$KA|(BwZ3YYeeq&_djbNKs(8r~xBOq|0oVovPz18c0Keks)AJ>P~HS)KA zmW-2AbNOHs^LU<N<#$0xjoGm$85kXPRrS>MisG_rJHIZyIz1%5>$A|D=|S;TpM_p| zFW28$dGgEBOVd5#vpx&Wo_=kQ$*)N-ytCrCYB|3wHPNr#_vB~LbMHC&x%-y<6q+^t z+@2{vC(WF05WlPD<fo-Z`l0(=eqMUwJxSknpUX4V6Vs3FQF*r1K;L%XlOI8ky?gX^ z_bvGm^vJtIUv^*0kD!O%E&9CsRDKA}n7(h%lc!7d^b0F9PkT4&Gw%EHebRmJTK&w* z&i70APT#br<>^vw{g->Ed>6Xs9TvChlhD-Z@8V{CnsnE@RNu3*^W9PneaFhocd9$5 z&)XyNebVIV58|q-Pv$PYKeZ<ORcz*YuRYo?BQwiY?@s-+rX_vpovH8Eq@=6fnVJ{A zDRyO<>aD41;ge!lp7YwMeQ=#i`qJxDpRIYaYto#lQQ=9knWd^%r#@Q4lD_oH)O%}M zc3pbul^13dyRt;}($rgPj-)L$)$U!VvTM?;sZQZcv6^SS=4)53yRuX0xz}v%%ylhk zOO3Tt*QKPXo|&o_zA0wq8Lz3@f$L0mPI}@sQF~$}XVFqa?aoNeGhY4Lmg}zU5PIy@ zt*yCk$_}ANUhUeF>q2%2J@jhU=3K{;wp3rcGIHf<ub8k~n}QyAHE91``(%62eXppn zN70%2OLeppBVV5Ks?vVCwq^UIsZ&?2aY<ded+M?^DcghYdIg0oiq<^k6%aNn+B0vd zy7tkvPf}EOOuZLY^!wm@{+jv=zng9CAN*~cC;#T3!*}+|x`yZMJMDLTX12CJ@b}<b z{+#-N-^{n<&-`=v#$H+{@SFLD{Dq$fU-LivbKom`QQd`K%-7`C{B!uqURcNQoPDKz z#b@R#@^k(jILq%-AMlI$lKhsRjI-s}{5<%A-=%)RGxmk{41XPG$=Ccl@R@zC{ewRT zpYfa2H#}ocubc3b+1UQTpMy{Nb?O72u}`&M@aNzYewBKGpUg(~4Sx>Kkni~EI78m% z-+_<pz4i%z4nE=+sh{wJ`G~yCzXKoGTkRG89DKmfQqS;=J-+V2Q})=p15eqb>jZu< zACUj^_rQDhTKfy1nD@!Q`Kxf6f5V>#r})3rP592dM?T`G<5c;8p9k;qFZ*-g9eb($ zgip*?;_-=^UH4KlGjkWNeQ`)iORGxYDSJSj!FT4J@-{ym@9@w0!|;wh-@f4X!S{SM z{!Mos-}8NY(@@S{CG+N;Lpgh8SwlMePW87RZC{qNmxqMsKS*USlX>v&K^glt>lJqy z-}0rEE9`EzGGCFh$8px(Qyquy9yrPS=!s5#L1oZe&uu%@Z(6=Q$IIn^s5ofe<P!fb zThH^Jd(1QTI8K+=nKxzDl-<oYCVR1(`$y%}h$L4DNqU-3o~vFt?+a&D&<oEjH%|7S zdrq8FC}UeUbz0v{p0xEUmV&;ECV8c^<)k@SH{ZHF`AOP`@=GyOB!67<ooTa@YhGNu z!gR@!iN%-h@*MafDyODrb}m8Sb8yk+(>w_m&nzfrn-{byVCC+`n`duYP|jAY|Dk5{ zvNE>9)jQU$-?!GdV-xcY*$X!hzUF)O=0GWXQQ3uGOt)n>yh|u$UuE5~nc2eH<L<%P zvJ3V(l(8?@-?8`bX@;&@_a%3neejmG`bk{Fa|4qN>kZlS$`W=pUy_~hZoyf;8*dWM z^0}2O>|!>x=D5rFg74Cs2gU63tY6$=e9mW9zF;S_iM7S%<}<Q0ZZbaO(<@Kd*=%IJ z;tu0eKDBa%oy{j?C){Lw!guVA!x_FqZypq~_gQD$VSLOdR=!|I^ATBvcMl5K+pIP2 zFh1mCD_7XTY+(Ik6SKbci%raW);BgaACP5u_aL9W&icje#%Z!2-X`R;N0v3DvWJ%~ z*xtNH_QBf&r}$RAX*k9AuFN2nz07*W?Zzpx7v3tI;#=@0A&<Stx?)rF4q1zvjCc6% zl|3kHzAydb-h%IJ)p-Z1nQhH)>}`C<`{@qDdETP@g6C{yc@5Rgx212~Tkwr-i}{Sb zjc<5g-BI|)w!wTsar1TQGdmk!^FFz=;49l2^N78TuXrEbVK~Pdl>gxw+X{1w+U_?k zVG;sU+4Axds+uoJPq??>Ebomw31@lT@)fF>P0cy>GQQxwbmzfmwt40+_Aox@HOpU6 z$!ub7QQUk+dd5!1XS{m(36;%8<}3CvKIK)*SEy`0Aw6Ly;}hOvcO1^}9=h}3BU_(& z#vaDUykhwaDw>Z-E8KhVfvwG4V-Mp)UbcLN3T6ZIA4Sai<}Zqv^~`S+H6M^>xcA^a zTb=of-Hp?vKio}t&lZ{2@RTh)Z$WwU9_a^n51is%b*JGJ@4GyMr)*{BD|R<dk-l(O z;S}$JI|=XDip(pDns-QB>}0&ddoS-n*`@cM`_#YA+wxrH-sCTHwp34g=UM4@s5t1I zXSv^|Vxf1Q+tqK*3#k@*>$zF|<h+pQOKwa~@LyDX>9uFEUy-fnInTA~8|Q7Qn)J%E z(66aD=#^)_pOfv&FDmBhGv|d=3BB~p^-C(gG;6Y(zmToxS<i*)jq|2FTXKG~jsGi~ z&d*EEPB!s(D!w#xvXQ@0vCuQm>FSa5LY^%-HCe-dmW}79B_}4In8Q+eX~yIub3~pk zIX+p&Kg*``<C3G3Mf|;NI6p2qJekK|%ck?gl7o|3{F#a`O`p7H&XuPsdg{5BnjcgS zO#bKh$$I5`6<zg@bGMWSO`E)7PRUc1y^}xr9kM=o$}`k&QPHKlp22>btXIBM(Nw=U zSLCURhWgpLD&?0ZPk!WAR3voAGhcn@*$3rp`;1@AJvdMD!#RiZJZ0$%teb6&4;VM! zk-RgrajxWpa}Ubcwi&OO%lMWjEnUI7*~++MF5?@X7iS)nvK6O2uwu3}&X~*inkOuM zfmO4Gaf5O570Ep_8DH`Er9VhxTV|{=m+>Xftuqa0d9IyFC}CS<9AMmhL9*eT!dV`> z^aqyB=OhcxB^0yGGIlU-Ha0#nr*Woa!np@UY}1T2jGIqM2AoSMVw+^#F^6%cq{F#{ zGdxGmD4gMuOMhU|d`!~dTtXpRm$Anj#z#DS=?NCiha?5gB^0nV88a9+>l=SCYSuG; zVAQN@%rS@Y0nfj*2j<QDBr|3*-skz2HX)TQBCQ~mt;+bsY{q*$YtAe<#k1nfgFLq2 zv;_0!U6LL%8SnBeI>T^^=UJM9d9%84$Lz+*k_XN{$YsmZ|54lcp1Uf3!*kX>`WHSo z-4%baPvJcGhdm14S<B-Rp0n=I-%;E6mOCrn;dj$b@e}(Noa0W4XZX!zrC(8daE|zn zeGcciqv8+zYPu@EVxPh}?gx7mzOv@WHT-J2EWV?%@g=ucyu+_1GyR0mO{V%1wT&;h zuk2Cy!n#1;<8#w_@rr#5J{xS=xL!CzW7`S81)!=Xz$Ha;Tf=A8+4>i17-xyksdRkC zeP$2CXV&TZ8)_V9iihlL_{2I{e?pDp6Ydjx7(THk$0<BxO^o~SgXy@q$-ah<tljzn zHI9$C1>y^SFdY^b+1K!awOL=FhH-{?O=aVB@sdi$>Ebz+jt{sQ;y-+6+AscPufu8X zA8{MLH|gjf_|&AWf1%p(9`}d1gr}^baSl&e%k^h`V%jZ!Wv|02?iX<aPgw)v48Ak% z6t}5#yu&?b55qgweEovxgYUU&!Y}M@vekYN+c;13%{qs2*2=Jkbk?2PJ2o>}YafU` z_?9atJYYA|EzvXU9LiWr!vuCS-4MMHdGIyYvo!}wS&PCh>|(kmx@Mh2DQjUELptk9 z?TXDzS48KmJ8+iECp=&m(<RX@k&LrN*F+wC!Q~RZAdPjQHbboAEYX^E2Z~weYCniM z_>9XWydjM>J#4~GCS&abF$bS=>4XQQu};-q5OeSemrA(6P9`JmhM0phL^~oKXNcOY zJ5b2ltDO*Y@DZ0t_=FuyM?_`T9VlRJ)mDf(_<)NgoFR=hKI}m%Yi!toRMzM)fgMZ- zME|Tkkk4AHePI*RKG8R86;5+)So7c%*O#yf+nM%=MnpPJ6%B|yc$aJ0oz`crlFJU{ zv6gC2*u=C;)FqN}is+fO4tcEpVFKHk)U_L;58mdw<M*MO>Avunc?st^EBqHcXW6ZO z!Paq}@B>T7cbxC$95}~W;9pS9bX)k!yoPTqo7E@SI?fe7GLPXKONrlyswPYI0^7zp z!dvDwd}Ud!9$@P@NBDrH<15a4a~Qs|ELSfmX1XjqWnRM<mR!FB&sehk462we3a_wq ze8K79Kj9h6eDx1Dj?XzQ{5MoGofTeSd2pt1%De+-I1T&@Dw$3TPqB1-%BkURP|0*s z*kxYB8BPU%gJ&#Bege-}`qc|;93OK^_;09SIx4I(kKrRrySjmm<3mmke}f99gTf7# z2d4{HSUOG@F0ec}O_*gK!v~gn^$*sL_c^!BX?V|4t$w12Nk@H05z}7bCvzFzvxNBt zJY@;-D=2T$RNr9jc$ag@oQ8KSfqo26S&G#sSRb4$d}Qu{lbjFyK9n(S7yQ$Apqyo| z@(p9Adx9?{55D6lai5URQsFkiy2)C3gE7+`!8?5i%2>84FOWU>h9kv2Af08Sa)T`6 zT){nk3FkOs+!fMU)+#5+9(=_S;x3TRQs6ehs>!^3VQJ~y7l(wjxEMMZN?DdG7Z@{L z7W9#He97VAej$w|$8AFz%VK2#*~VFdEqx3nESYu<)=lRHEBY1`v&>PxA=CJb<3i7a zGaN?l327`dly}H9KIJ&ov!IA&it-GZ#wQ#~?ha`z6O<*4nGBUVjGK-NTJ$X_Wa&|k zkZF9xA>`g*(R5f)qHjR~ON+9EOydKN13eE;bL{C^aGGOBPr_*qCU=I82k)P%HKeoD zWx3t-IZ)2DYIE?43dalPOuE7+Tn?Bs-W7bKc*2O$V4d;-A#H2Mg9rB=t1A$Uk!-xj z@yV^hoJm`Gg%OjM@($^PQv`4HF34jEa&s_m(ok+NYT7AyqW8f`jz?|}QdkO<E2JB5 zv)9=__}zGq|IJT^_v~M4623F<l0Wg8(MJBn-ve{`Z~SC<$DU`O@VoIA|Ao4QZ_JzI zSA1@~!GEBx;T(IMy}@(lb@CHFH(ul4P?zwPd6j&}XGRNokG}_I^Dn4-@P#?gF5y?> zCH@I@3(m6Ns7W}>?q;v>i_uh`<1fPt_DeMnJ~PjgfANRmIlGztf}e~g@)n;P&+yOq z$?%L_&pzR2qmlfIKMYUV)$A31HlE<0@RQ*Q`>`5_Gwg?I9(-i(lh63W@R(i9e!-8% zBm4?=4?Zxr$!q*!c*xFXukeG>K>o)kMt%7gpBVMzZ+vPzz|T<k;5~Dl{EOcW)A&Es zCcI~kv}<_E9B#MZd*dGd2ek)Iv9GFWIK}?X&fqC?nf!|14O93p)GC}}Ur>|qj=4y_ z;#1=eev6+Bci8XQJ=oTGpZ7=Jf^z0+vje*sZKZGAZFtA_DTm=aTakG|I&+y>!|ul0 zyf^X|lre9So^iL~4cn_6g)-(1(hD{>Ugte?v*9({lbi*m%xk10?l!z)dz8a)jxEUi zLmKl6X^XoJvw3IaEhu4LBAv0B(M&pGbK^zcjy#96Y)<A3yBbZTf81$!&SqtPU}xi5 z-i$nkvux*b6pESC%o=t!p5~3nTX2R=%iLjS<4InRJcT0W6f=gMjfT<!n;Q+JEABKr zW|J~Mu%q!PuST9iA#;bc#hr$SY+U9JI~ou2a^x|bVcVB;;56H=oQBhE+j0~Nm>Z;j z+-|tf_RDNRDszqWjoS{>coS|On9BPiS0SIdLVCldMlI<Dn;LiX`rK@|%l67lA(gpA zx?>ZghV+cv4R_e)<tXGa`<XFpZ`{tiBlf{}rhVcs_8yqW{UOfbJZqW$g6c*a@dL$; zcewBDY?#aaAnw68rfuRY_A<O>P19GXZnP5b*vs&S^+n8suS~^y52_d~#WVIYyk-s4 zUr^O(A>L5jc!hh<PKH;ke)<odF)b6<*vs&e^;S&7S=MVY3165Ni3b!nUf^zsQ#i|N zr~ja`@f>$ST*7CjS>g`GjmF|9_B71oPKbN(iD{a+MsedQ?tr+2PfU}<JN7Wl<aUTl zIKz4*M&S&roc@D~#$((DaS0!py2L&9Fg#-A(@&^qJj5*!m+*n9Nt~g$QD6K+QKO#t zgQ7-VagIF<4_N={Jt%M7$DOg0;Xdm(y$Mg5BJ>KLGF6G6*v)W{bxq8IQ>-gu9=u}; z)=MaF+{Nv&li@Dwq8Nr#tk3im${W?iJ9alr<~|Vn;4M?$|KI!W|E`|*{p+81uj}R= z|GcyQ`CFTJ_xHWMZuj>5zBku@-e|A2`v0r=-q)u!uQ&gDwYqLj`{$Map9TK^a(B<m z>2@!-SDNjA<{tm$YR!x0x>^5g&cClYcfaPW`{#7K&u49(#qWDM{pYFWe@;&Sb3*;| z1p8-4Yi88f9M7*g7GHDJzvhU2&0+nTL-I8T*+0kMJ6&F>xBn@#{D=Sd-v5p}{rT^` z^h%xjr(f;ff4p}pyi%+Fd+@z?uj5W#uAS2UsaXHJzumjJHh1>#z0Fdm{=mBN9_O2x z4DVUK_#~7w?Gip=%xEKgV(x*toHu4Nykp5zPq1#h#d*Omp^Ryh@CxI`8=MFH8qTrA zsT-s-trMPL+<1+1gI_`^(<<Q(V@3;MkGThCb1v|EP{Nd_mSEL*iF1PAg0n0)d=k#G zxTz~xF`5c<%w>4Na>?gGG1ENZ7jqb%vzVzbuw*n5wlHoy!#QIn!!s5=^#sdCBjFWu z7@o4IsVi7Ep5UA?li>-=F&~FBEQfp^6f*S*XUt)E%p#_~z@qU8r-I*u0;V=$jX4Yt zS=iJSEEo-he;6_93%@X8)Dym8)OdiC!S6vnQ=RaO*$vY;Klmo(GexR3q%wu8EiiA~ z!}-AXz$unhJ`JZ>-l-X+GL;FhnB6di^MbF!DV7C333*IK!WBl1J2)+7GTdRgr}p4m z!+rK2b_>2UR?8iz7Mm{4XvzG=CgD5dF8&jr8Ep7Z)E=12exs7%9dn+1!taJ#>=*13 zzA<j%U-7x&2KxcKhI7nu@&?Zt*YQvI+;EM3gI&T`##Q_spKn~}++wiSP%J7ULu1<p zHj%p;T2@Y4N(Kz)n1kd$JY!tJZ&BMYn|+4ef-j6q_%l8;nDIw^Zn((aVdrp`*-4(^ zSAz-vkD7+(%vSOTem0zC&#+@S%Y4p8;WJ~JT=GHFWQJ$Vdh!WB8;tl@)G$0{R+Crw z*>Hk=LM6i!=3_Z#ZHu(laP#`;tX}aV<4pF^PQ5h?7cDYaYiPpc%DTs=Wv+wwMx{3_ zffEHM&6w!q9%O8F>5)gTa;qd~k;+k1<<PzqPm4*9J!UE&?VDmHG<TwfyOpuftcfPa zJNiV@Rjy79b1#zhJm;}C#VyNN=%vR}<(Yj~QkR%3FO=(>lCE-h;(;C$>q&1s^4wM# zUwYtC;ASPevOwk5#7jL#QkR^bXy-mj=4GLZn~gGOUy8-0=@Z}eY)M^mYhr@?E18q$ zJa#DG?0aH)>8-~a<)?ivmO(Qo3MspH8C{y%pJe~)ug7`!HS!Ppo_wG9&V7^o-MW<L zi*4km{#@~G@!g64>^A-FC||O-U&G$%bKq<DBDYCKLU%oCm5=taSX{c}QJ@?u*~x#( zBg(Go>{)5f(;kiTcO^aFE8m*9sb<Ub#g_6Df4;c#Mfpm9h`rJ0i_hHqd*wTSc6?Ai zdAMJ|-s?}sx5Y>MCG3m-Xq<6xmj7F8@=W<ezmmPypA)4jJNupNnf|``tbD%T%Kp(G zkI!e^XUbpvdE))z)BQU3tNv)5b6=@!E7^I<qg>fn^5nb42l{u_e0i#DAfH%g^6TQP z{#!LJzXD&n=h+2)zBs$z#XjmUN12Mc{8h=9Zx`S1|4{Sf^!JGm+-v14e|DU9k7lqB z`s0zOe8pTo@#l+^?&b0iYelwCeB)MN*7Z4XT5pi~rMoN27vJq)Q{$4VY$m<4jwMyu zR{CRIN$O%->6LXR-!D$-^)o;8dE#xiBD=0l7w7hWvb%Kq#dl?O>8p7r>5Fgl=9pLA z?KtONEqyTWN!sE&y=f24cHKSkeX*AG+PaYKg0J1nq#x#`q%XeKf6r{uU5^rFQ|YNU zPZTdc*LxwSByI7T-ZMEZJ10JMn=YN1XR=H1xm%_g(_M{{#g}@0%zxeSC|Z27SIK<Q z9gZSpL+RYSD`|@jrPto<IO8@|`svM%l0~;WFW87wOq|pGEXE~W>1?N&`lC53J}X`C zei!qlD)6=I8u7}VE8Z&^h;zo3cvJ*FbZrx^oVAnV^P<z8w{l8S7w_u*q?W{76*#Z^ zjn9^}MHlaMzlxbsb@7eMeBq@tJxUf`xYeDbziTf?x$>UQD?TO97VYeQVpgPbOZQb# z;9b|Ua`C{OD^9tF={4>4IOSR;9=ns{v}>f^t=%2`?-%*lh`)^6Qay2A_dmT)yI-7k zog(fVr&1|6rF&6~%l5z--JkTl_IP|;bhKMZKWop4kBg3XABt(IxHzL*L0_p@@UhEs zX~n!LJ0?DIYm+w26EUASyVFNq>+Xue#m9Ta%(dodoO9`yzUe#Vc*ZHWII~x?Im(sp zc0TiYVl{D2?;W$M+Z=hy>cV^TO3E+h3%+;rS97|Xk*BO7yz*DvmFkQ0dKcxmY@c|~ ztxj6jk0pK4mCk!PD&`ZPx}>VD+SBo6k(v0$of&6c7YhgaJ=rmFYPXAiP;uZ3*Qvr6 z4G$SrUYyx|Nuu;-$7#1{Gp;!t+fKQK=xOae@kPm8d~Te|?!alC4(f;IoH*k$Q+#3E zmo#Nf>A$%w78jp7H}L<}wR`e?!ei&&E`DCWE9MiYwR6cE)x7wya8LUWxg?oiwJSa? zJkf3=f9unQ+3k0x_)U4Lc&PoFjmfWo>Fsv%lWH<PEjr(ABfqKU#ixbm+AZX7&FOf* z@NVZLxm}+GX1Cw6QTaXLnez<(g_R!XT^jsO*(~`TFt3wC{!-KT3Dets<WJRjd{w;H ze#A!P*+Tb=3&Xc}K2X~=+oN#Nk@g(<MYS)!Exg(uCI9GCzzgSOwOvMn(~h)z$XnHN zlqv<8@}IQ}v7RXR$faFa((jAe#OYT2N9|aC3(W20l0Wo0U{-sO{G?A8=5&5jTU6cg zb)hl;#7d2G&Qtgg7S_J-e(97cmbF=6cJnhEm!}IX*f+|BR8M&8SjM-g_`++aY`LcD z6DOSu`E4sb-Ywjz!GBS=ByHi&<}WfwZttEjx%CNOm#xRw1y|db=!oo^Fss!?T&gzX zlyf=vN4+iS3-8@;zbDqUd6&Rzr?uQCBTtkrwBkOgXR=%1t<!q$P`!{e#nY{N;zpYT zo;gkCUKr_7taz@~N}MV7#pg1`JFRb?=uJsqc&oMSuGpuV6YmyU>2mYxsq7G#(fS~R zf0nIBnc|_=A7VzaC(b%e;ZD@svU9>)rwXx4n*;7Sl{v2951lF8lD=?z>m9ydwkys# z#V3eY6-{{OSjl%QR^y$*{pJTOJLOc;7iw}lTCONvAJow;Ami_MskP*=MU))NvjzI> zsg^6=E10wYmEH1GL62QkPDP@n_2YtbdUfG^pNa$?I<~S)D#@8}R7`lpRK|bES|guv zANLR27rBhPirCM}vOHa&;m_A(ed4WxI`<3SP0<JDH7}DXDHoX9yy}Il%XbDtrWE!T z%Z8ckHg*R-GWPN()Es!kEFwSQ2g4C|8M^}?7+d)jY7RVLW|3!j#uzX6;3;FQ+<~Wz z(Q*Pm7!I)iu|1IQaGyb!`G?$w?+rTq2R=1u^IxcTxX1iKF5xL-sGLLUfxFDhY!19* zEaji@iD4JJOC`e;_A|B)?->2%1k4YdWHjI}_{p$?eUI&d+$HxX*0{ft%{=e1NBN~> z=68mqCk>|}HcFo;T5!72fZfPAU{>P;wj!f|I}Tf!_wuTwD(q&cWj^_L@^AlnvG?xP z*Zlt}dm?wiE@lzA1NjRquQ7CNVY<Te<Tv}0^aWSUHZwEwxpbr{oNY8?e<ZV_SmAu5 z9s4O6j*<lz8n5t#SYD8S;*i4DCG(<C;Z&m(`=lD93rik5#IU_GnlQa_9}i2K!ofy1 zb}pF}1qz4jKk&3z1Uz!+V%Ft5Qekmn%I?NZJT9pU+RTZPD^A(x{!3I@`*-<Q`QQt| zSFY51F>WvUspRp)f5FUpz5kYrPyX~zpHqJ+;G@YO_K))B|99*=bZzF|zsLFV-XuPn z|JXj|#j9m|j^6$DYSE@P#h0MQfQ|CgzLInmYvqT1CDxPPdTdp`*avPLl)81va+axB zDevtwNmsc(@k!4WE1}mOtCd%R+87qfOZ&d0sa%=p=U!wy3Dm@x*mosO#Y}mvWM+xV z#fevXM685fcx1Z$k~vwt<lICH_ggZa#VRJsb0sy4Rg9Gr`${Y)J@rU+TP4$3wB+PO z756Tg&NChplzSyT&v+!dRmq$zTykupgnN{XXW^0~69wF@WKI?=IW&>OT}sBYK*d10 zR`TR&k65=yMwg~dWO8Scd6}=Wf8sB<OGZNXJ*t&cB{@%fgu7jm*34JgGjUB%NUDmK z^4(sORF&NmUqPB3fo@Gkm+p8JDceeF=BcPFAME{NHtDwee)*quSAJid*I!|O>GQ>R z?z`ll{^U6CzEl40&lBg|cYvBSzXRX8Z;?M+r}8^+Zhw+})8CGB?(5~x{ygzj*;0OM z-H~4xU%9W6Kl+p7ocl`oy+1j=F23A<rzQo|epn*E^=HRf_iQ_<zaC$dP32qbSbhc0 z>bJB1^k>Cq<#YXJ_Lu%}oOMsPJN4(p8TT3Tv2`gw184SY*)RH&@k#k)zmmPuXTc}# z$#z1Y184Nh*%$p;@lp9$znFc{AB~U7NBa5fjsC3opnRyG&0gpa#~Jr{yHB42r}yuu z+46Mpfqn-2U%yYhU%an>OU;q*7w@@8+8z3Iacch;yHme8-YaX%hyLt1<z6oD`}4%R z#k=~K)VO>PoYKFb#^n3NJMR8=UB6G9bT5$KTl-|&#rJOeq`&5ENmstt`z2?~?uqZ* zD$NdU4t(cUZnkN&;5)bN(l_%$b_>3B+bn%DF9g(JNibiu`QmH0VzZ*V9_QTFN^i{D zvTNciw?ea~&4I7n^39y?z9>;Pm!6pyvP<x#TdrBs=8Lm>-OPpVdYpAzDBYMhC2jHf zUK{gQcRGp}pY1g<ciMb$X0MUC(PqJCZqubB^Fq=VpX${xp9N~WoajA~!?N?@jNT(T zB58|{_sW=O-RUS?e6&}@-0KcU;o`%+Jmy+=Itmsa>}4@$+I(?(@1C41smglNxi>Wm zln?a&Gy8OVMZU7G^vB#S+XJWdZpbM~Ro>hC$?VYW6Q|rl%@%FCc-Jl1Y}4%(dCHp7 z7js2Yl{KW#=BjMJIJx(cS<xoJJ8t>XJ7d38PkisXSN!eHj_*pg;&0=YR0q!M{tz>z z`r_Q~H!&^M7vH*W6TcegQho7_>n8E5J2k#3-ROQ1^QG$IobDL?NyXrH)Y`ZuRe^K5 zAAp)iv%3TIt@fTc>$*&QYMe_|;Oy=jF<YK3x(I6}ED&G1^TlVS^W9eZkM?AIRyx~# zA*STnqBGrRVp=LMK6RZYK6U4cPfDk{)$}Lr(fFifB<>hz@=VE4ymjY`k4ne8rSy~b zWPDUQ+AXB-wCBYKrNiA^`bv8;J}4dRJ`nTesgk~U>CTSRuF-m2dpJHU+TZ<0@6~RP z)2=n*Z)2xCRnifU-Rbdu(Vp%PdYg&@r*^N1DJj1=rTdNEDo`V>MBH^J$0^rh@sqJ% zo-EqYJtu~x{NiobJmJ57PpkvqyHu+^n(J}iWw-E4zbWZTHo{N+O460Ag&+ErSWkTG zvQ_w^pNaLvH!h`WU2{3gl&pmJ`kACFUGIG2bHz&VwaaSZm3|`WN*2OP{l26rUFq~w zFEXC^(q*aeM87L(N@l`qXJ(WrUF^K#BVr}^!X;Df*PIi@i_UdgsNb67QLJPlJa?u> zv68WHqF;$6q%Ah5qiE5|P8Id8IUQ$QCJ6V=^f=>^s8%)SMB$=iof7I%b3jd(P62hR zIVTDh9qQyzmzv{IpkyFiJM+Y8msqt&Mi-}bGO07od6BQQzw?*cB_qN6F4e-RGdWJX zgsWYet&y*^r*n-@NUD;S@LgY%RHfaWuhb?P3Ep)HRBJN2c*mtk*mkByo|3xoLEkTC z6K^~3=l^MU<@beo?G^HuK3{m}yo>*7CC7Q^o&0wzPn>h!!GG5-<#)ha=Pmqa?NojT z%xzDSZ>sG$=e(Z(Y~_isikAFa?T-Aq@XC1=|Itd0bIvRI_f~RzU3j_uj!nw1fS1lo z__tPeoORBYldARjqG-zBYRB>`U{<@G{HK}~pB2xwo5^3Q;W+D@E_bTt#2M!q{IPZ^ zKLcjAYsoLF$@rvrvRz4D>9fES=VUpd&jB;q<>ZTMR(w=E)-EO=RHN}x@kl$Lyiv`H z4~mD{+2n<4IL<i7%YFJ3Fui?;&6cMN541DL|EfOme&N3MEjCBKU%2NSDR=18g{kdd z<W5y{yjRrb53TGt<y_A1TY2K$!d>l4Y+SwvOle<WWAc5%9cO>JE>HumfPb&;lWiB? zJMH8Cs<$Ow@m}i}oh`d3ymP7)JG43Aom08krp*HHoVIh{)C&PM&o*<P)C);pc%wBz ze9`6$ubqm;ief#^Ij!a1sJCU;gjY_5VojR^UODB9ImNyxQ8eeCsTZ<K;H6WpSkmST zvs&H6g<?I<IxXaG)SHsF@O-O{_^X(X;)Q2hO~joxUzpiyByO}>;F;5O?nu3mw1uZy zHN<DdcoZ!>(RxCMW#@$%tw(f3(iR?Xl@ZU1=_p)yv{gjhD~6+R;o(*uajlq+f`tcL zS;Uz(UzpyyN9Rhaq8@i{q(*__f!2RwpQ2afE9!E8)ZMZ@U|Q=2osv|=y{(_b4n?0h z<rFHmXw!wePQhZEqF3Z8YI0xH6-iap;6AIXvi-v3)<<GRn*{DS<#X?p{Zc*Qz2jc? zx0W5>6>Qnx$}Oo5nAiM4W=i#ixy^56TB<L+b=<~&RnDdQ!W+j;>{l%{zA4;jej)Rv z>cX7n82(Ac0k0j`u&<R{QWY?#`GL%ostdE51Ng0MPn>mJ#y(Zfr7B={^9`9T&lX&4 zcHs{y4tU|XfPJaui_Z$@o2~dC*<^fHINN+drsUazGtFmYS}HF*b)3dN)pErrg;ULH z{F7`nJ}DTnJIa|nQ!r$2wS4hW;drwYf09kcM}?!!Li|oPFFq(7Zsy`wvdQ?MaIpD+ z%$KJM`s}5a9j6_m`M7L2J}lVZ{D<$Awa0148uqucQ=Tg5u*X_@ykD@V`2*jkqJXK* zD`ZN_FHC8E!?()X<CJ3wyQ?L~DaT^=ld@l)EZEUJM~0>R!fnSq?jNxY@0qH^H>5M} z;l8lB;V$b7J%#g3A9NJT8Oy~I(iwMf?}%-9%akSVu)E<V>j}LD=a`bj8Fn*RaaY71 zn8Uh5&*2<Xl=y*N4OdxL=qa3IdZ43F%9t<Ku&d!R>yF5VmrP#b4!au6xDz%vm~u<R zHoRcEqN7m4xPaSZbHjPo3cUrzjB~he#56o(x}fvm43m*~LK@=??j11=Pnk~XEGS}} z!aXCV;R%zHxI-G_1a67V42Iksn;VX^TIek(WbEONh-rAlBqZLjqv0^Cgx-Pz#ujdg zn1%;T2Xr2sX4<2(;55??orKd&OyUeX8uqjP&|Q$v7$tUKd&6GVlt_kYtTB-d_n1D3 zHEd_l=3cRhL5q7w^nod?H*^=|F$Re_Y;VxuZrIeYll6q|gOf~;#2%zD7I0TYH{52d z<9|@yaF6+oCBu8hFER<=8FsOsC}yx>KVf@dF7pjbhIfp4{0Y?!x0o-;C46Jp#J-}q z;Rf>oxrTF$ar_3)8P>5+C~mmMyg@GEE5j=Gj$#H2b`RSFvzZsjJ@~?q$CpsmaEW<> z+=8==H)ImdGP?0AR56&cbJ#MxV7w&r;4{NK_7^q`&l%157gREsuv-*2oME0}$?%L( zk3XTZ!H9i@4Z~ALHGYN4h7-&aEE%3K9+Po6!+1#M!AFKZ_6!?_$Bbh93o06pFe}JC z_`uM{u3^LQkdcjFp@PAH{YMdlKKqLz20iv0MGXg-8RQ<kXQ*R;Vcjr|`Gai2dxl89 zhNleSd<)7O_Aoz?J#dO~l}y7a#&>)MPZ`SCS6DYpVZI=%aEft(Ou{>cBKC@+h8@fn zmJD|o@9{k_yYSv&AM;nfE$IsP8o%&tv7YeGp_1*8alku=a<)yz0`DBQGvDM3u@-pi zu$lQJUr73b8;uF<i;OS4b|_{mlJz*}u$Flv-xjM0uN(^5nv4TpIpniB$-XF2FlV00 z7h)yw(jk{E$@s#oMmKgLS&y?03z-}Frlc)6-)O`BN~WWD!P!O=b|>QtGaHTAjf@4J zIZS7c<O@k#aH>&*eU^+z(Sj3=CwN#aFU)8>!XuKl;CQ19dzMT`;ew-$BJ5r=9EA%G zH}bG+$#fJfIM~R-&SZRHdgC6RE2#>4%(;>p1qufm|FM0NUXib$%lwgdi+RAb#tl3r zsS0}=Kd~K>K5@z+lx>mGg}V;HY@4K4<SA$}U*r`@RnTBQ%d293VRGXmwjv{eI}Z8G zJO6(E-T&Tx@BcSH+rP`({(tjt@o)cm^&kFB{(XLK{hL3{zt6w5-}e8?Kj+`)-`H>Z zf90q8H~Aa&FaCV~b$(8L%>Rj>{a@R!`M>7h;$QxA>L2`>{OkPe`hfqIe~+KFU-o~> zKj&Zmv+HmC+5Bw&#d??jfuH?f*f03M<mdCx^5^TV{y+Sa{#pKP{e?fp&*q=0Kl7*g z=lQ4h)BaESx%`v-sd}~l6aT1xk~jMA@Xz>}yy5?rpU*$aAFr4CpZF*Jqx{i&q5qD5 zo_~-(T+j7i@lW~(`GfTb{(OEaum8W~XZvaU=zpAl*gwqQU;pRd%ir#&?Q8zO`8)Zk zyw3lapYHGH@2UUrZ{sKbsr4)V6n{TIrT)#omA~Cj*_Zrx`N@9DzWD!%zn`DX-%&s3 z5A*l)x9#(O|9SU#xBq*)>TeJ4x}Ue({rknc$?5Vozn{D-PM5d-{oq~k?*6xSTYq18 zXS}=rja})t&b#bo@>ak1yfaRhzh3*~&E;MEukBX<Uhz&iUEbpNl6Rle<ge8FeJ|YH z|I%*h?+NcNr^%cBUUM`3%en=Nxc1sD`n}?&dWrmn+DmUf@9ckWH}`kJyUjcMpV?)6 zv)t_e%r5QQ!8^~3<WJY0dK0{p|Eb;N-yZLTck<7yRroHsxqn9Op*Np@T(|41etUTb z|6{xE-x}{G@8ExA*Zy1LU9iP9yO!TP@054&w^=5gwLz25~l(@)zq{$_ahd3*nT zyV~CwH{0{)@2%bRra5)K_U{*OCvWG!XBYNu<tF~AweP;oe3ZQ1|E^uow}sW2^EG}u z+)U4t-&s5FjqvvV$+Zu@Ro*`SR?WEbq?e!3r2d>I+iu3~K9MC{dBTa$Xu{z-9p)d$ z?cN#om#=v&^Z3Uxvvr2Om))w58TA?V#Mf-Qsi!yl&BmjAyN@Q+pG{i7J8omwPCvu0 z?bocb*ZAi=5}I6bM2XL^!~V@fzT%3*Qs)fX^m87rUb}AAtCdUpq+Ttbn>XtvS8&B4 zp+19_>0!SP^5qp=;)&bPB;50WS$f9-{|Ai9wOcN_3fpeE;K*%pz~TR$)@7}!N!mWy zJ*?ulB)IiV^o0}E1w?*c_+=r<<aA1Q^LI-Tl?KPFyfQg11uGsZ2s!E=>X%njir7(5 zs^~OP)~Z6lQb~m)tVL5M%OvZ9hLf^3lc0?2wWbzV118Yfdua+h3Nv@rI?7(+>eh&1 zd8ouDuDFB4p!>%r4*l*In>h5kZ*1x~;L4!)C||Iy`$criG}jNhN%?}2VvVVS;bIH7 zckFR}pnK?)(kh*%Q%di|3{wTmx>rQEOmV%St8_|fflgAMU{QC)rj8x17LhD>l<tW= zEbF-M{6lWhcY$iYgVh|i?Kf;&-YI^PVLGo^#9#Papp37vy5qL<4Y@_%1h%x#ux)vx z_)13Uo4|(l1;riLozGadyjFZ7v*@e9n)V3WmRE|8WSGt=2JwG<Ca|L2!nS3$^9;E~ zUj&x4XB2aowMP_pTy*Y`b2_W&#LrmOVbcD?rscV!75_m{yEj9Q>8#>88KutxX?%^9 z9jBcm<QAP#)Z%xn>^SM{A*b|7Acc>yvcs@lpt!@Jy~3vDv7!|J!HSNf&Khz`9|bzv zEo@pIDsu5VR&*S6=8$7LqqtAz&}qe8GEJuyx5+4d5NK%sVcl|H@fY91rvf$YH>_Qz zIVV^ind<yPR_VP!Mf--L4z2bDMIF1HeJoq<D!$@Vd@4}V-ciJ%(LTev<&NS!8Krju zeteAO9owCE@IERR*w_3*_Q*WP4}4DN70TEbT6fqqA29B?<9J81Wv=4`zDH#O+nQI% zvb<GDV^_59uxjp*WqG6Ug6C1GKr!1xD-O%%3|W@f3SsOEtvW248;m=yIPQ^Td8OdT z{xD5oS+j;L%S(k@JWXd6uJI(52rOz2Fz&eE*ubZBR>6+_p=HN8#{#~jVu4xB4#pkE z%_n49W;!PDJt`8I)~sRNamq1(FR4giQgeq4%S=ZHzN9k>M|hOZD9EutwCFhIXuy|L zDA3jHA;a=WfsZ}WqT`UG0AEspKvOe=afg2M2cr(X<_AU{y3HIiEDsd^u{|{J*yorb z$#P%e8{5QGfe5z3RDr7I6VfdA6xQ%8I;F6J=TV+OFk7N|$1X<?NtU|`i+Gq$DLi9S zH1AMv?vQSo?0A6pQLaE<{g1!R@8zrhZ+OnXr~bm{_Ph2k{wbc9|L{lgJAe7Vgy;M_ z>UaEYek-5#-{E)rP5Tr77M_z&`p@v2-KxIg@8LQ2JN`MIlaKm;;8*)q`xXBb&&fad zqxh9S|6jwe_RID=em1|9_xkVftKF<V;d8rby~N+<7xGvBD1PBzQ19`%{k(m}zlERq z=hWZ$)BH^S!k>p{<c<C(Jma5HzvEByQ~6VW7JlNNQa|HQ^AmZc{|?XiC)7)PW;d+o z_}qTn-s0cFkNiFL5r3K=$qW5&_|bmYUgF=v5Bx3l5`UT>$RGIg@U;A%KMPOG@A#8= zTAt}Y!;kj;_CNkEe9s^C@4)x=z4j?TnWx#u{A|7_|LI@DcXsXi6`$C(>UaD;JjMRT z--Yk^gZ?>uZ`Y`A_|(4B{>0yhC*>dgd+>z6puXaF^KH4h?+<pj-?M#llli^emp6&! z{JW}8Y-YEqK5_T(T-zHrncvCfeNWike#`d4yTmg7P1P$lx8JZm@UHQkT-<kqbpCbK z6E?SBv)%A6v6O#Rb;o9Qi)xR%hiBU^c=xb`Kkr+@uJ%i|6W%R6D|h2f;#oPj?+Uxv zO{+QXGQW_!^yXnP|GerKcbK2cnSEcdlij4+VsrZ$+Zi{RpULTcPuSURRK4O3^HVvs z?+QEHPuNbl$^1m_*c-<)a);hLEadO2&bY(;SWfKwf*tKgY!%);EZ}de*0{s`P>$`p z!VY$W>K~if^{ZcOV%Mv_v8nxlEyKHq`TTX&FK#zav;FWkF`qy3TSF>;__qby+xOT$ zczf`a+^RQ?r{vy!Gf3qxt6p)td5Z0Yw~D9a7Q9K!<1ebN*wntm*5W4f9l3ko9+b7; zxBhW&;dj33cL%E3ZOd=$ZGI>F=?>$0*`oIa&-u#UHB`6Xw!U$1;Wxf5<ump+zma`) zNAVlqhVli)?bof(>}-B5`{d5TuY7CDBlb4Gl6`cC@tkbX`w!3fR+L-pZJupC<KDtA zd`rqRirLM|BZ}KET6f%YJS*$;o}sGUr2NO8=I63j?+;YApS8}o$9Puu+#SWwd};3* zD%($6N8DR@Mpo;+LuLC(Yma-1pZHSVF;uo2mJ1ZO8<bb<X?`p#_5MIb`%!C+dx{_V zI?65fG(VK(dhbxte$blZ9^)C=eRmF?mfdxy@wDu=JBlCp8p?m{ZoV)3>)nE<d^P1a zb~{hAPS|;Ps`ZPzitqU<$~P3XYn3l3YTs?`v$OfG?5lSQPx(s9JBrvf%4h6uz9T#D zj^aB$zjqAf?c1$)oPAi%x3Boc+{5!MKb&(sFH`n>fpxo0@d4xZJC=85HqW(uaPDCl z-?rivbD7`Dq&-)#ZnrA#n9KY|=Ea$ZrF_ND9$2wk7H7<5ek~LBe1TQFMR9|1`xVPQ zGnrq>_&tA+#<#3kV=nVcnOkQX&&pgolUTyHs5rp5{eoq~ImNRwcF!MJwx6>sIG0$= zH>=pexZSw;#GK}tmI>z`7V%9h)-Y~AWf^cTv50R{amO6ynU)Uc63@sSIiq++M(+6o zi}qud2ImqB`MQcd<}g2!;d`E7(SFEM;9Oz>UsExIal3x;2cveq;s-|Uy2TuGm><ae zd-lM*eV=8<Oy>JC-=0lK<%@V$kjht8d}229J()FU7M_w>apqwjU+}X8^Y&eq9y6Km z$}Bp=cuMBkGX?W@_2Q1%&66z;oPC(fmzV#ew)wqu)%^|6dH3XB_}q5a{KY=S^U@#o zD1PTHznAcwcSrt?+UB>?S@#`&x7{>9v2Woy>7@G%zuBzvD{2qVG2gMz@tkzj{R6++ zu9~mdr+7~K!5+o0y!rPUezjdT-%;88Qrhdj!>=~8{DjYKruh=J%`c>{>{0x}yCC1= zbK80IihT<|^Ule?QPccP`of-vXQYkpCp_bwk-wv+`Kk1&JqthaPRXB9)BHqQ>Au4= z-U;~<pV<uaIX<@?H@Dcg@FQ<eend_4BWa=g4L{lrn@j9l_<^@2U!tb@f%Jhr4^K<) z*|YGp^o~7=r=^+hGyG`VZ~kNN!uPyU_YQn-+iRXu$vn+Grn32-^rw3b-`TYDSA1gA z%HL6ac#8Rry$j#*2HkV`-lmb?@TqO5`H8&`Pf9<!_uvU{L4HMb^KGfR>koFf-7|d? z$^2gG%bLV;-d))zHnZ7epNKs?*Yrjt^E;`$>j}HtZkb+KmsrNTDSO4{wi~7g)-|4! zio0%*&buyq!sfPXrW@8Jmh!I3?%2#`k?j$Cc(&<+bq`B;^R6ZAYP)1QVco*BQa9El zo|SUDuCR;EG@B!q`GwS_H4lq<=ViZ$VSX-Uc74H4Hj`|N&248)XGAhTlhV7Mu(Qo5 zdqoWMQz^CU3On0Qm`;dfej;^jjpG@qLu(!u^7dtC#4taW61%=&N81rog>?@Lc-yiy zVwfLFv0Yc#!Df*CV-uTx_KQtydf7KNwH+{JSobiWw=Vldbn`UR4{H<ic_Xhir1FMe zTd=)tkLiQ82Tw_@TGMz+>fJSiRNk`e717O8OfRfeJSDYYO=2EzQFg_qwjHJxk<53b z?p=FO)^^|c$GnB#d8*GHsAjWGzhT?_PV&<n#`BUz=L??ml$~p+Zo6%KW8T7VJX_Ld z*fzhBd^Jb$8_$OH1;uUGjn7y%zm|M5XW>_#HR%zy&95XM&0#zz8Fc=`GoBUc7Pif^ zjc3eT_=RUldPXsuS$afq+ePD!d5&i#oz62<wV9;<uxWlSX?6ZUW!qWfjCqV_CC|-K z{LGVfuA#E+v~k3|g=ZwS&O21Loiz5Cr}&8{<s3t0n_;>@ahpMUg-!EgNvZP(D%y@3 zYs^#p$kUN-VblCjlIy%fMcYARj(Ln{B=^lZcv^DToW|3V+vX^K;Au$zVcmRR^4GZq zPkCz6Z&*7|GfuEPJk|KcT*dc173mv_+O*Oa6t(R(_OWcfEBWf2!c(4-^o}Anjr1AT z&37c{%~5>E<9CjsyluPjj^2mmJo}Pg$R3_&_@U47yhPdY1=ejg$p?(v?ik*YY@TcQ zpzmQB&$i?hvdnKK(vB-ww^=23$TGi?c+vB)l&ARE11mPm<P2Hn*AijJ7g)7fBsUnh zT`}Av$^1&f@A!i>o@L1zvdk|fZuK;tmAKZESi-X?Il#E>f?-3S;#mp1;}0y`&KVZ; zB^L9{N_H@AGfqAs(>&8Kq3>Z4&$MI><F-?V0ey)@Jd=_;WSD0fI`k!;kvP($ct%3* z_ydc!V}=HOiG@5}$sRJyk0khxCs?!{G8E`bEZ}KMW-xBkPyS%krkDJ{s7*JSLx%Z* z#J^(?%-i-EW=JyMm-u#ULMl(hv4T{ds^k;W%=aYL^ej9jv7+Z;9#8PG1oO6Ch8~j4 zcO@3}FrJclc1*#%O+C3ox_PqUf!>F?JbCdy{x-cAuiC%iIrpCU3!hu>>c6N{JTLyC zM)5m$`M!kb+&kiT{B3$Gp0(fMck5046LkyEi6`x6_|0k+U-9?Q9Q_@2j_1Uq_8<7w zdR2c#o#Hw12Q`Xcx%2lm{A#_dza!EikmVqEeJ%69lxMF_1<w!Hd7-&iAa(T(?&A0r zw^x1T4ieih@O`qv6x|<n2j6lR#8(*ad62UD6!)@tjlWDU#opyi)nwl`F|dq#RdhkF z^Dfp4x<?k?b((ua_smVF7mvkswik4|O+GuP)q0;s_~!VVH<_j%)V^%CCVpa`<EccM z2jT1DkLD$EPYJP#-te2NjJsr$*s_d?vx|4N?puHF$i=-`uDpAl_GtNi)GAiHsC`D; zIG`gw<1?#Se8lJ0i~1dPj%UT4_A~ryH8Jk3d-$1qp19S~m<M|{{A4|=zhI`O-{XLi z?w->2>ZXJpA$L`q?oIhI^}*HY>)8&k&|9wcdFt)k;RVrqe`<bFH}zlmPvzI;j5lGW z^CaqjB|Tetd2PUUuUfCKE3eLHt5-6q=_*|vcJ0Lab#Hv@mL5GFGC4l?C)bD7`{o<X z-*l(zT-faBK)b6yt|UE7owfeMyjw2KKQBKEuZsWZJMo@v=&z}F!zx4O3#`2p&^ptL z=gHK`m*)%B2hF*&YSO6>n&;>5vH17p$Cc+{yUVuiN)D}d-9GhgxPQTRsk>Rd&-@$w zZ(ho~nx=he<)dxC?sQ%Lta)R(hU@xeHaAz5tU9qaEOO;CpU~%4S7RQ9bi^%tbo<nq zu=Iqd%N8Yx{<?Z6ByyjPYuf5ND-HdpKCFEe_<7}#wN>?6#j`C%W2W9;|76~$znrJ< zg_rt&^m|#han{yFdnZl$y<K$P`aj29oXm`(H1A{;Xgj+c)wEjey4}ZkVOT|A>Z&&} zt-C|xL*I*QYZPq{obK)^w=~*R+e&h)_s)>i6;Ea^esp2tqG+$;mFC_{^;o5U>=MaZ zJ@rB8hLR^aiOf}5TvMyAOj~Hx?_^@D^=^fFvAg9Z-qz(_vkz#7AGBQcVbztzZ0%XD zt*`caO`Tj&z9RgF)n@05oA{nuO_{ftWp&*8qT;D9LzfrEJmo3fwQ}aORsQE!p9*P= zGj$X4Iv1LDPNuay<X&jTs%s&UZn2$APq|Cg`)4l}lmGj!Z`0~oHmBZd9oL_8v*@av z_`%hCY7{qf$1A^OHI6^9r{E{+Y3|g00h;cj=KS-5f-i2^z&#~?#-FAq{%h@z{^UI8 zztVo<zmQ*-U-_>ps!Q5?DD#)_eCa*&ztvq@^gUR9?)*3No|Ns{JXtp8-ubyt-W*9& zzkYqLPhh!M@8-#`{8n3UeD@_y-F&Ha<-04(N|&4L-EX~<_nk=Ea^rsMKr6GXldmt| z$t+g)Gw>}bJG8rb_HE|q8M}pN&Na$^bob<XzW2UsjdxZS3oFQ6oz0Z)6neNtJ>O^| zkJ1d|=)7N-7c0+sxjk>$4Skoo#xvpy`wgCPC+!n>#@!!ZFvs(ZxYYgwKU$CKYt$)z z<nBm0R`c+}_oj!fhx7&N5<hS^8Go%~JmXWHc8GT~XJlkr!Ls8QW1P>O)UWy3G+n>s zC)4x|+|m04ey|?U|5JPLy}EJyi{DMt=F9Cr@IB;7@cj52zn!M(C;U7#RsTh;;(P82 z`;Grpo^yxotNQIURX^b8p}XPXYi`vfo(kS#pLuiDlvf2>NAz#hE_|oGcm0oTtNt`i zSsS(A;k(Fd|F-y!Pplg8Gk!PS5uaD1_>S9eAH(<7?fN@%TX(hIU+z~gbf@Wk*hYKZ zys0}|@9Dm|$@D&KYxIT9t#@@_<SCvP`;enp&RxDO;rYsQx)1UmmT_;3UU8S{tytQ2 zh25=I(H(c0-iW=(d05I_yzRj*R?Fy&yG*ae!nQBi)oKylu(|b$?w*@Wuf+VeKS<+V z7OioY>804MoW`?a*K!g|xEDnSY;L`v+mNStR?KeugPpDCbPMtli@9e-J8W(>jy`dx zX{K&M-oqm9Y0(;+TTkf*<RunyPm1oi!!%RZAusWa*pVE?Gh%YvAM9v7rfZOwSjgQK z?Qw_ckr?0hgdMGibOrJf3%Hx288)}-M}OGVsu%rWQ>$(?#~r2zV*j>1*xtHNH{&ML zeX(!bCZuvlY%56Ru8KZ!o9Uj|nw*8F#8%`y%;OH;max5bm#)W6rn_Q`au`pEJ=><R zy;VKB<95?z-2=G~bGh^4|JFVI9r`}Jdf%hJUgyJi$G@zb`dr&4{%Kw5b8YMRhjpdD zr@jr}8h^3Q^!L;^;idb!{&IcOwu;|dXZl?G`uZm|SAU7V4qqL=vQG55wnhBXy06c) zudMgmU-WtE%kZV~6YH)%(>9A=`!nl{_QmyAYDBHB-1TV*U$8%Z>Cac6wa>4&+W+WJ z)@SXr>o3%lrl}oWZ`A%uIQ7$-u1`~Eu3o^?`d##y&qkkJ;nTyX#ZUdY>XY`V^=kVk z{n7fQZDc&Lj`io&8S9VKh(23=e7y|2@_MP|MW00<2b9F?-U}&T`eX82-_U(te_npm zKC(}{GrT={pV6OHAG8mtomu^E9ov4PJxs;IFT&$pF59@ZR6TvFd20C^o6_ei@2uZd zbM&d^-T6msu0C6>8_!zD`XglC`hWXA{a*E6Ti4&$PW7kg{qXAe)Sq0Z!^8Jos@D3X z^<I0=`ZYD7Pqnq;@A|TS4|(Z7GuXEB)Yp}k@xD7Z&DMIi{PgmQ`J4W%%6s)m^V0G& zHm%Pz@2_8C)B5Ae`{3EZdu>~Hnuku)-nD*F4eQg@JJ&ziSM_Pito4ta_7{B;y%U}v z99s9(EOh2dz2%EOh0I#H$|p5dYtPD0%Pv)Om8`zMwr2UH+pE5>yt($9&D0-L)Ml=& z*nVmA)px<E^Q1OUeHT_)x9JYo`QZNOr*=noT%Ei2jSp*@_MQ2se6FUhI<VYr`>wmE z%2wZ+ueE*A-K=k#SJ$S@_p0SO7goBh>u+bF_KlTGa$M6_?V5kU$MorP2R-}Ro3Bb& zTL#P8sal6V3MmghYrA#V)YoA}+p=oAu6C7b8Ah-56SWk58j`$h)*P-P?W=1a<*+_q zeqn9U_NvXIul$?*=l)xgw#p>9)^F>Mt1m-(f=wsgJayK8v3;jq>#nIUL;CGE`iVYU zZW=u`&vjR*^sVJwf9Ku$Jmsx_L$G4r(X`d3(Y<zCe~7#b?(*Mj=bEm4aqX2H(O)8O z!WKj?z4_|$sn6=#!IF7be@=cDHpf0!&hF|j;Ya?R(Ybj`cZ$whd+yJcA0f}fW=7AP z*_E%QAD!s8G<DU!m46uKXWe;Kq<wnrshrTAqEEvn2N&M-`lNnzt<rX-&7x1jlD7$M z4xO=9ZhO(4RfXEe){1Qpx}#O7ePk`)cB4D13bYTcW$U*p4tW+-IIpW{x5&GovT2v@ zdKGBiTYhI=)#Rj@Q>TMV+&z~$CcAxExkuYSwK9wUba245Rhy^02`=!ijpRBL)a1>p z=ei^0VNjd5tX}JmkViqAtEP3`jn(?Dxo6k%H}htFx)M8e`5K+lbZvv^+MB0NhsADt zwCU=!wM^TY?!0=x;`G`-+g{!FIvrLM{Wf=Ms<uva>`kxy)qB={*tTg?=+w0<a!R*f zowD}LwpF*iPKA|3yWZqF6;>R5GWToB>K$w6<gjkPdOIvH{BPXT>d^P0)$1PZ^*SHA zJN#wb)aP0@;ZNgA(^no}{YK~NkLoE;gZjcB#+6o2eH*$p{NlCHvvI1kpRTg<eyMA^ z>&oO)i@(Smt-dmEaaI2(+gD|p+n3(!yA`|Y%+sJ5-ZL#Xi_BW;VmbX)%qiouK?}TF z^_K3K@+5GT`&zl9RUxZi2Nw5L#d5vVxUo2?e^v36H-VeoFUo~hPk9?yGOcJ+$eq9$ z-Y0cUw~I_!x=6>hJY>$|Co-W`Q(gw<^;y|+eO+PhzEqAiT~ia()JR`xy4riA-qlmv zMIMB13_rL_&oq7I^`%>MM1O1-nZEQ&N#rZv*-Jg9Yn5)k@-k?Jw{Dzky5^0gCYmq# z+BX%8OkEw<?o`@h^ftHo`3fy})=zR%Ew0R4EYh!JVsq+b!20mBJ5PPpvJBrEceLv2 ztH2(2)3~Kop>r-TKGdUF9P%WzV4c_AS7$?4bhz{KJ=IeGy6W=6i1kIqQyv7iI=|d` zszl@5`KznF)+_B@bv86-T~zVa7om&7SMJpMqIF@Rlk0k~;;YXC^45K_d6l=~;%cjQ zroCOCSDjsLvfioq>de(f>y3&<pM_2jk9?JQ)4J>ZDx>hwIM+&%dop(yuelOu+F5-i z`HIrY^>0Hbg}cVNKGQm}`dEzWvsDJ}nVm&b?uYh=Tgs_EU14=#@tbv7d%8ZZI=Wh9 zz1JSDkE;%^=2@?`r|ZM2gR5EAGZkN*zIsp0)u&o|;ki4tK4=|S{cqi;-K*Yf>4txd z-P*qF^Ri2;H|03x?&LZh8s4U~$Lsy7J*z*YecI!BHZ*M2DvLJBRqvM>PD#z%w5RJ- z%GJA1-XvU|GP7o;&*zxb^3c1XLF*RHUip2MM!4g}AXD>Tl`C@}h8E2Ux1H(van%kj z_3(qSUqRR9><|9wch&mpyp<JORqlOxTA*bc{MK)&b?Cg6AAF`-U!A-1jZdrf)wdzr zg0K3yT3>w=vMKoLOsz7l8!KP<e6_kdXJyRtNyeeCL)HYZ^;=pcd@rQ1Zr5JV_iB0> zdAIg-eqLsCe&vHdEw@>$LSKdCf7$YLiPPSfXM6+ee#v&_ExSMa)iSHSC%fK;<Q3&_ z`kncGnYB&XGNZYxO0+JmyybH=ZIx+oub-;b)LAQ?mNT(3D@Sken3}fg{7ReUujX_W zuR6QZWVw^^)tM`emKzz1J`0&19O)ODw(8VMjpeiEconTWvGT+pm2|BWE06i8rmZpv zw)J~z5&Af!Cs@~SsYU3ckd9zkzf_CRhaoM&ynd<{qBB<R^Ld)ON-wx@X4dJD#$ZOj zujW(lhtvjV&g{xxwRhzvpVrh>+QBb<r<#l23kh4c%1CtT%6E0QJ_SDr30}5o_NqKB z&ESi^qN!RM!DoF{&96>g`Dj^@k?5U}{NSCoUw=<|AH3K9?a$2jn)jA}vDx~2%Ddpo zd51oSybCU$x9M~6wB-f!cm3u3pm}@#zq(JqSH53)Yk9`}S+!o@R$AFV{k!D5@V(%T z{s-+`pRc^W{F%+uUsLAH7n+~-_vHu8Yx8;Pwf=N|SblJM*!-Yct#kfO_KiPZp7!tY zKUk^tb)~ue#-EvIgICzEtbFxF)7*ciUFa{7m%+L7l0ILVwcKsK&|l3n!C7@dHCkUZ zFD$=g^Y!QD$NqixrvIKi)jYr4sy?cw>+{O9^L6H5s^K~toIdYV&8aiNGyG%iQh$cb zT&^{LQBBq-&6CTO>Ww}NKMPKo*Ys!Qr{$-YAG1+?w%o|Swer<R&Ew0Z<|ox;ebhX< zTxh;i&8rWZhv%Ej*ZPzBS@Y0xw)sLeTxWvg=Y9GVGJW|Do2^e*9$3yW|5x>?_bc}; z-(qw0`;~jak@F6Hx-xb7mwBhEx!!AP`-fI`oeD1Z_pLnjZso4!OKe=fhfG<%z{d3Z zlsm!x^SY`}oeVDU-)sAH+m-i0`@FyEZB5s_xAcq7*4<Oy1yxQvv^nHmQ2De?n?>FQ zZTG&Z7rI;IZO~@#lX{`)D{m}Kn7(N9mDfSV(~4rf&IPUY-l(^A*OXU5h0~fghr9~P zpXL<%szlS=d!}CKE|Hf(xzmz1UzxSkZMsmb*V&+j-i>-w(^j5eYBT*+Ojq&BvrA2; zJ8ix)bE(mEqs=1Ef~I>%>V>AQJhfC~`m7kQqLn9>p3q_4d1c1ZBRZmKD~~UgnVuEX zRk-r#QjzIiF<gZ!4=?4Jt`*Z&u=3zimg!8JuS{RMN9Ss)rk;0hq*j6Efu;YZeTrU{ zuc_<(QFrV1kZDUd=#-{v?p^w6+M(!Er-DMKE!uSDZcy;FP0_3JG&Q|1>WZdnYIvX3 zRo#AN^3q4siZ+Sd3Cj20Df_j0%KN~*?r$x-zH8XJzm;2B9Wrn62brnWSLQB$Bhy-a z<!#_L_p5TQ)mPpGZgRhBsr60c#^M(;U#qUnSsc?psW{|y;2QU}a!ad1<}7|7Gqvi< z?8O27R<@_k1}<}-D(6}iGJEk2nXS)OTwLtZA5<LjB5;BGQp;DLHO?=#>VIUD^;zTW z;tMjR&sLmSd`70V^2*b|Y3@@kSAEhrwOFlxl8x3U4I_6)In!qvhVHGFuRdxVUo6$1 zWRvw#<LF|cekYq(A2bdx=IU3n$@-viaPa||uTM4f-AgUIP6tN!aoKQvSh0WcpT1Yt zUZ(?V+~3MheX6139&73Ke#M@}ANn>Gg-l(%LZ-C*%9O=#`c_$coeC^*ceUg?6<F+k zQugbU6+0Hskzp;r0=iP{FW*z^koN)AZI5KV&Ijyve#tjAUBkxtDPL*2hPCrUzEbNc zZv(bEU*t2jp7JK3w5>~)t4zbnc`u)7y2kZ|Pk63ciM$S2?YxptG+o2Oc`4u5G>t0@ z{o0F+r@RbU>O7I}YMO?b^IFNQ5{-)sukeUkiM$BNZ2Kj1s(8h@g%<6%WW0(sOq}OR zY87i3J16p$T26TyklMCNrmJYh$%QKIT{2x~0wy^3N_w3MNNlT;IaRpg*g}c+C>gIp zwX+L_+MWK$yeiN*ypStDOQx$}#leLv?M%j3rZ3#Xb2U{%&pCJJ$&bs9FJx+Gnj-V+ z{W7CX&Odptnom|ud*(ZR=i-ffoik@@^1bv~>im&+t9i(@g&WR1vA!~O;g_~k(p>p! z7fqcbXJ&pXSfS+{SU5%I)w^YmD>ThhB~Rt8*fo0*k7}yMuGw$CwRIVZOj-D>ZIbk> zlL3X!mXciW)DA7YmlrfQ^Q+qS*-IRD-u?3P@_YY%_Fw;PQU9!dZ~m7%Pbx2KNSk-{ zn0Nl$@_X_-|H`^UpM&4|m)C9jEd0(lv~HK};>zIX{@d)Y{&V?#`HlZ3`>Q`Szp3At z|H39L;p5A%%PsA9{!@9r{M!78`l!F2Uzc0hAN;BLb-B6y!ha>dCeNPlQ?K>6^UHEG za4`vLGXGohZ25)x4)tE2FF*I6XJ7j7$j{5q{AbxO{Q2^X|4jRtKRG`yKRsWkUh8x4 zQ~xRUzW-Ey20!snscZU^dB#7fj_WhD{5<mG@+1E)d)0p}KQ2G?Z?hNu=knw716b)C zSNH0Z@bvlH{)qe#e&Ank|MTya?~|v^|511Ax8`a8h`L>$Cg1a~w14<F<>_)Q`@o+s z-!0d)zxg-h`{XI}U(|Jd5}q>uS>2@HFHiax+FSnQe7Ai2{5xg8?w%}PesAu#H%E3) zo;SCw{L)>`^L`a&r|!Ny=T}yC=<drh_1kl^$`{?uEK|QZ_thJb-NJADO3JG4W}fp~ zXMOVK%2M@fbHmDm?rNU%D=722`|_;c3hTM=o}{T?p6gYvba&-hznrqD&6i*JEwWyD zQ?o?<!rV)5zU-X*+;6UR;kzw6CqMJcD6`re{LC+{?9iQ;Me3*Lo_Z6qQ~0UhWNXiN zB0Gg=&Q&Ov+B|v2+(U1^?3n!6uh-h}-Ig7bANh4!E50k)G5MiitF_=elO2;E_$8G6 z+BA9k++A;uq%POBW_`!9<MMsKI_s}*m!zuioBOTo)F$C+bJx9DvOV~oUxjt#&6TJ8 zLdtgC&OGH;W_|UoN~-#<xr^Sgq%Pk%_eojRrr<k%eq~L!U*@WBpIw*#Y46JK%WTa* z-dpl~+1=SC`KOA7=glt4-&H($?(Bm6U3*V{TXt)9M*gh5p5K;PnIFB!QY}1pc3l3f z;>oXli}JGec79!Ub#_R8SF!M%*+KbL#lo+Amz(e0dGgD$OS3)lvx<dh&%SoYq-ydD z->f{Yy_{c`nV8q!dr}$v+;@(7?!6_I!n0<dyECP7^32%=`MdU<{Itx-JoKJR<>e>7 zlgwT3xja)lG5gpZm1oNg%x&*IsR(}T+heYKZ%IY)Bi{~l*?TD!!4G{~%z5vrR0z+Q zz3<MGr_1!r3wLIo_H8t0y!WMi@_pZ0^UR%{@0abJz3EQN(`DM`FYit%7ry5kmba=% zc<StTd9#Wp-}Nmu_uSd}ZkdL;<Ic=?YCC7oyCYIQdGhQBc~!el<}SNGvnKu3+|2Vn zdyHSs%q&;CJM+_-mh@$JX1+U<lCE}VW?uTHxhu=mZp}<fpEP&nIiHQj2hX{rFS|bT z*_kI+ljqEgN>7@bS*mt*=A$z#>C3LnymzL>>hepUyfmY^D@)Wa&AfHyNZK+}<KA;B zR+DGVbV_HMt9jOEzH#NbE0)5~eP$bHo@+^4W^9~#E+tLv%uK!XO><VB@tJBIc+SLf z@)Mtl#uI097A-S0?wqN4#;4!d^4t{*;m1DR#+v7*SO`DzX*ZTU7h)m&(5Km$^Bhas zGJWI9pDa-`rgg8^+p^A3e+`qXYvHa79bG-A_8hoyY|n<4u8u=9cAYtrx=h!Y^&E@E z<@-K$#$V4aNmbi7^IO^}BjIT?*PU5n9(>QI!Z>o~%2PfeX}e};p7JR(zIs+ARc+VI zMQ2!2m+hSSB(2IQ_>PZXTGQ;8xoX?B|HK{q&b2rEMltI>?H4-_y%Q~2KjAr7#kvXA zt=8ciidpYy--$c;jcaT8g1v{{h^DL$c+RykykRfXT<tw^iRVOP)+;>cS{t6Q_s}cR zko5x3xeC@zsA@G2ci4OArRbfQgI~CohAZrKnytNI=b>5JJ#mg-xU$v>RIy&rUb6Gh zb5Wc17b;oLY3IZ_e&)(pSMZE$X1GBy>ly8sxPza#Qr87kvYyiRiF5qKH8EVFnDvBq z&(5Y9+ATW|Jr<Q&f1!f)n6^%w<43N}aECpI9*Xj;52#>0q|FoO_<<{7-G`@KaqBKT z<%(Ii;VD;RIK!Sp_eKA#yHL)m8~$MTp?jj6Vi-@0u8VPe&s7<IV0Y70?U0>JQ?>8J zCY};~vo4^VRWp3SZl@{Q4m%Is5q+}m!xOH;@PZ=N9ol<h59V_15B@OM>AlD|pT=^o zs%0CjS?_8-naMOy^NHWXb0Tki7|Xe~2XC0`^j0Kexq&t7P0cGao!*EfEO$ufS|2>a znDx5miJ4BXMIx4OuwuQcd1NNj9L*(u56_AOEHAKPH4iqJ>-18@WBG+NuAF5X(zq4} z3(RerrP<=gSi+UL?1LridCd~P#$vA7!58K*&C;AR)9IPW86U=CuIa%W<~YsN4Do9$ z;+h;hVUE)ikrO_QMO?|t6w<g7mwm8cJ+5iu*I3Ba9UL&n>5+)Q@&XIi!<r&~jRjoI z!2)xbW@y&TY?`iFGLvb#X3k8f2O<p1KbW)b*Zkt^cv|GgvJK{~I>85wTD5~O%yzmb z@?lv*Dp%+-hg7ce;2B1&yEU))I-U}Fu}mP9D`1&{IqObMo0(2`MCSM~=5gf*7gQg5 zFI+SK!tWMa{|B{A^VHwiIezD?oY(N2bEp4~&n(vd2Wk(!70#I-@SEk9`WZXNZ=9v` z1b(yJP`^-l=(X@On}c6Di{@SU#d1x3jh*9H&cb;N&pB86SA1r<qCUs&;8|gx`2oLJ zE~#&+WSXtMrt;7WVVC&}o^dYpXQ*|WrCwuq@H6LJ{|7aPo(Y@GZ+OO;K5xQL7GwVd zHHV%G>&y>$#yQo0LCv8j!YcCxezF+(H`E-Oq25vHG(+9S?%+qxUjKxeLyv?-=1=&+ zaztIm?%)T`R)2+>Ll1;m<}*CwjGy=5DQE1w15Y`l=L!5^IiUW>_TYQYTK@~5SoW#E zu~j@Ryus$-Dd8{kCVXevqaIP|G*vyI^3Yx3Wi|)jahCc|_{6eH-KCOgiuxH_$9J6m z^8~)LsQWimAG$4kXWEC|EcaEv=p~*Ps+hhYopZPMg;=L~st+Qa-U+?aIe1Q}V0yuB zmfNaV^cu@JH+xTrb(*VsM31qIvt-(bT`iX01+h(YRJZ6gmU6E44v2M{qk16H>6Oqu z9mZ15<=zFGSuU$i(Q7Q>%$;^1jWc_i!7i4Isw*O$UI;l%pOD5m-}^(1({mw<=^J*k zoK;;Ad1$6;ir&F9LI%?dcCwsSof7HvR7hjG!A_QwsxEqsXM_}{8>De2O%q7t?DsB+ zae6EyF@3`hmZPdFdW?mf?cN44P7j4RrW@>FIjGtYd1$(7MWoYo)q==F(^OgX7z;S- zy+1@d-51)T)0od$?R{bsi;nk>O)Ps=pXf5?bB0X|NaYNfR<ONA(|bd-(_Nt@I*oap zfzudLIg7m~L?4>0dPMi&NudYRK5S#zuKY*t;CGI_?l+2A?kT^pJoHYmq<_M5j*7ks z)h*WU8;V)(DBqDg_>E($`vTiTZv<2N1D<nibZ@X_nyb7=F7cdTOuxc&j<xOywufE` zhV%<O=P2l#P}O4Y?qGZ9rQjWzgI_q7x+~Z^%~sxEd1#h$kDTKdj;ua`DwYe%ODqpP zkIjgWj)~4lj*D=0W&X71(3WFL6><wdbIftSVbk<X@Pf?4GlE9_3C}oYxbLuOx~INx zM_1RnW2cT>(324@eUMbyV&uNUhUuxGTE9YNi-&e<T!N(11WTqTg2!YW&j=opdH9i| z&ppG2>9L?#|ALB^BgzVL4?l3Uxog-kJrrc?SEyhym|ockI>b~+>r&T;ryOy87oKv& z^lf;`(df=#bLhU{pS}y_EV}LwtPkB2+$6(zT5z3=<9m)u_XE~VQ<Xz3nWie=kxe`$ zzRj<v5@d{$mQb@pCChH*E3%HK1Yh(CJmm;bIwR}&j>Eq#pt5C$vV|qn9l?8j56oK5 zDgNP8%;%U>;p`#P^j@H<eM36O9_Jl0P4g5z_!<j2b~|5?b(*L6K+@@*z&oCU=L8Dc z3#?ggEB@g<=zm5)W;f5lQv%=GCZuxAa9**rHTsb3q1ys?-tC$4TWH?&PjB`ud9HeI z`nMnZzF4zpIZudQ^?A}8@6B8FZ|)QMed(?DqU^Y&&zD|%uh!qV@5-xRm*$x1udF=D zoAuH=KhCID)AXG8D*e`dDbG|7PFFIGzg5%ud8zgJ>6i9w0Ub<i6u+q2v+K6kKK+|l z_m(_UJvp^1ev!`SNl(3}>nCdcUAN`=(v#B_;*~yMdhT7QpDFoSXzIS{Z1F;$RnK_M z)-GIkW#^>lUh}mx*G)-NHP=45&SbaHTd%F!H`kTy7JBA2Q+sYC=UK0`Fs4||5>->} z&UGfcE=k>)8WJ8A`?5sUa*g)gNX>Fp8||l&p5;sLPK^q80-aJ^6}D-!(0l%(&wmzt zW8b~U{>9(elW*T1lvCZkTT46g=RsMEvbqVogdTepYm5GKxNcxLspnp^DSuw#>oe>2 z{Zsf-tZJq1A2#VX;|s5fh8z!H|8S={hr4!Is2N`7S++#2<)-2ZzJ=!mlG+)pS*)BZ zWDm_z+`;E~P9UoNfK|&?#T9&t=L8<`D3)^Mw>4O`Tvps6+4NGttKGq>#mqUuxW&|2 zLbmCJz!e_F5{?A|mv|l)bIfyoA;a`sz^r|NC5wr(g>lOn#Tk-J&jj?^6D(VdoL9&& zJrz)ESFmh3p*TU3>50HG9>+5Rhj<<qa`ZW8$S^$?5Nlsx(Q-slf$w1fN1L;T4AVma zwsr*z76a!WMlAZyFN|39oNpMl98hH7dzjBr=lnvtX`12(-o$*4$hL-5j_|ex<}G^^ zAMhSLC9sO8@sz;3HiJ}-GUpZ2O;Z#v@G71XSiqB*$5G^5Vbroi(L$2xj=;UP2j4Ee z_ui-fb>9|H`uehG%kN3=yes1leGYo(T^_gTv(P*5?fN(Oh5QzJ>%Ce3<i3#SOK(h1 zh+hOsY{hX!wVIWEjn5@^9^X;SV}1O9?XkCpIsJjvJhzh1$T@$LDD4xh=DCr4!SdK^ z!)G#wze*JKU994{mb^yJ`Kv@>ALDb0mB%ZJd9EbSkvn|W(5FAJisw@D7E89-$!jc+ zy)bm?U-(R7;c*6Aw^_+Ga)&=l%su|V=GZeslm5nM66t*tD|w8MAFw(0)KI5C@R`Ka z;|pw#Juy`27p&wlI^JM&Y({d2rQ3{T8@a<DC3=r1*c^LgDAGT%g6BxGjNIW560OG- zY>quJWa(#oCK2EF@To*>-@&I6(S3pyJO`5h$R2(#QG5JC5zoHlH?qp74L8U<K4ti& zZ(=#mp5zEix2eeimdEZIE|WR@PNMYqgd(0@$u5>`Q<BffI=_?f?-MNNQ9s^beeAa3 zowkqGJogj7@Fkx&sAyl9F0uRY1zERwi4P>*-Wj~(IegBbpuNzV=XT;1zUDHC&4(w* zy3I{I!pB@DQPTF&s>kwhfo$8H#4UWyr4p+T2gth3NjxCw_R8QM4|A!+^1}tjJeL!v z@HLl6<hC75lgMr}wBos#xI)tHg@Hr+#59Tdhd;=;JvXpu-)PBmHgSREv6+b}e232% z7_=8!@|;ebBI)+jK%?EzlILWi3t#gY1BG_OG>N1(!8D2f!v!*Kj}0W+H(Kx<O;q7y zE|h3LY#`(I(14@e(1Pb+VuR$d>4_DRZqpMBB#%u?WZ`2jkf=ZWLE7!U!4{t8e2MDA zCyaP>4(~AH*_-%;mpNY|tSvBABBZU*yhro!25Gmu21|IF^CSY>7*i#R4^NOjHaYPK z@8OdM586JO@obO(^Y74i@xA+ReCECv|KjJ-clssu6Q7G$)J^=|ZM}cPXYM=kcm5sv zCcbt5g1<-K=%>^NJ{RA(zu_<I-1t5JlFsSJ)GIz0U%Nly@6lKKA@zdK#S7{t{^~a0 z@9_8NOZ_{44t)_{x?kb1>+JXqKab9e@A>ESMLerc@E7-m_$5D&KG(OYzxb2;Tzt+y zr_bUUb%oEwXYM!n%zY+4=HH=D;;D6kKe<oE`}}kIBtCJ!!e{Oi@jX9VXT-PsJo;E) zrvBm&?ql&f|C~OGckXxibM&D;PkrDI?nCiB|C~OEC)9m>DjrvN@u_%B-NvWljr$q? z9KEmqr|#l+Zr%M4ejmN3zv&OtY5jG7oZgF9?mzImb!vRbPu8jNcm5`w(tlGI_?=sG z|AODHQ{o+d9=)Ugr0(Mr@xuPvxek{f9b%H!aw@)b)%BQ?L*RwT_v!l!s@^r!_ImCu z_{6;<e$U@SZ#UmRUt|CJZ_fGjJ@a4w%=vD7_xz`tw&$DgoPWpj%~b8tnloF|&%B7a z#w8uC-hG<;^_g?&JLcc5OZy%9Hhs(dvvq2}Bj=t^vTy#|b1rS;mf1J++E(wrIq&S3 zoUrFQdit9-UqAn>X4%VMGv}Q5u`g!+dGl5J)cH#lKS#b!@1Ng#^UYV|Yv+yZyZ`un zG7dGIpZQbg!)D$2g&#jj&N$CwZ++*^nsVd#OXqLZO#3nOL3-o^yWl@OUp8xo*scCF zbL#mIc9(y@Ih`J1$NksmZ2F@4y>;838Jo?YT37be*zVAIF8k;|Jr~~^?>>LeuJ}{r zoIB~o^RL!E+adWTZR6~#8~5@|JD0X|_S?K=&o^B=TW7v|b7a=@^m+4Z>$YvbIoo1> zVcxb~lCRTN%|3WDr%PVq@Xo8|1r8r$PTb5XHa>UmtU<J|dGn_3%e!J<yt?@~ZSw`Q z*&BaaZ@xM0teCm?=9#l%ALOa+y1C+MT8bI>9+^KbOE-1jK6_`)hP<{k@pbDr%=WzL zI``&Tlk$nPW~Y}M@35GC^Q}_ZW{tx7-8(jMpEWKkF3Kolw3?MF%y%Z?p&rlcB{AQs zXTE=^r&d032lsJTjol6+%#t^Ebnl4`2#b36$mm0%c(mT_-aBu;8QneoAx5pb{ao6f ztz|{(j^?K~OU^qTWBa*BYFgkur(>3fRloF~5#JFqA$OsuhSnsT=)XQ+H(Sg<_*3WW zX7l+A>&kx3oPFNMUi)v)m(6DLH~!2yo4$B{XWg=An=hPqu=oCa6BJCPb;o|*e3m|I z{=%Pc&ZN(rKl3Ng=gp_j>)305j(nOvWxj8n+Rw-*=_z*2e{#;GC)sg-zWF%4Z@y{W zu^%@drFYF&t!w*n^I>}1e9=0$A2%PQH_dPS`Q~(boZahBlGD#`s}cJl`5?W1{?FQL z-)Bxc|HJO~Zyiun@BTFNUV7#HhqY->H*3ug{Q2hHX3hCGYs0?JoO1q!UH2!+Dd(Tr zP5%AnWP0Iz%bz^&Hg7+F$L#mrGv%A_o&A<`Z1>E0XUohl-_<#vR$+Gf?wfOIWoC!( zz9}=leKyN{@!gy<<C|w+<%sQ;e3MpUR(&_;T-v(XCvUDPHNJK>%slw6&bhP#Gw-`^ z&Ze!HJvZ-Jn(^hcUgpYo*PKnuF^k@O^F`XC*(-1Alo($)dnxDJ&Y92C=FTq6+qQG& zv$PB|>&=nR($dTh-+5DHeERIEoUomePtzvP_RJI8DLM13g1PkOnKRBF%K5fq=Hs;9 z*@k)BcFcT~);U`-uWZN6hiR>|1@p{y%zTiRVD@{{%;{%$<s3`htUH@Ek8Q`z`)PHv zzveDWHQsmjo7w42lGDzv%UQNP@?KiS?8uvIPNjvI?Y^CJDy?kx)m*hy<6UPL<*=o0 z-g)+kS@ovKJ86Dq&9~p=8gGyN^X^c&_}<+&Hgn&LeR1>XJH3+fiRt1MWfOOITkqbm znfp%cop*=I#JBEVaQEmNy_E96bn%V58}72sjotGu>6~6nxnjEb+T96vkG|3iDHlu^ zFDRS1tJ{3H!`-7V_3pemR3g4~x58c5*|8gL9-S52^UkS6JgZD_7x#tOB{z>g*Rv_V zxRd)_Y|cBUV)2Z!!Zh)jyA3vTpNWlmcc@4_wJdNa_o-N)cTPp(6L%|Y<~|YIbF+0u zY|G7~kM(5AFYe$z7OV5lsZhLgx5J&I5A}G;19xyAisgCdR3M&E_AymFuIyr}cud*G zRPn~$40n#+*ZWg;aXYu}?gzJz-qYLkhUv84x;IYw;+4A(+-{v38*-C%YV4i2NvHJQ zlm%|**4(||w(FEwhnq+5=shX>m?B=dyI>Rdj@UhK553)V|8$N1>%BSWQ};}Nxijax z(cROZV%nZ>x^wznOxkm!JE!yXH}75Z&FI$YH2ukY*PKh;IQ?Lp+w)D=Pd|%!RyA|Z z=_vi=y*XcvuAY7r!}fgBmDBfP+Ny58OwH3X-n-_D(WTS3VvarAWIDY!POWO@tkX{V z%zJgtrp}*U8F#Hx@_Fj)>6vkD&o&uPPmN1^W_0GXp8n=NYtE!jogNrxRyp%Y>cr_2 zck+DNWH`NZr_Pzw{^^!+*D53*r*==*jGI;=`6#u0x@25fh2+E3=INYqY|l37Pp{m$ z=5%U|-tD5u2dNFy|Nb>ScJ$Hd(<@e-@C^^VcyZRr8!=|)l9?-|H<|64{Fd?VnqW6^ z_D^5Gf8mume!|VBy(Q)k*W0?2&n|uNQ@j3a#+>7Ce(A|;oA9{Q_;_p0pQllrpR|8p za$!;TS-mv(ZzWs%(iKylq%2iUOPk?mW53>Dts#@E^S0E|={IB5%5P3Sc_KzF)yOPY zuXy*Flc|N%&&Il$-<)MT`DN3kiF-d=|EiL_ld^BR<3?l2%$F~vO(xIHOqt^oSoBfA z@XQP+gUO{gjc$tTg{vLj#C|kylg8wezGmgWPkXQaA(4{tCV4};(OH{kKWDs7&bN9! zVP@^0PcvR6ukPPym-c;z%)zk3#}90&d?PTC`(^TqenGoq&o){_%Uup)eZO&!m2Jc~ zxy#i(`R8vZmvsMp_sLEzHE*MN|IWLGn{K>M_7{6ytCMFKZoPa<QIU9aERX5AS4r!) z&YiyibYiiZ$EnPvUFnG$_vFK7%(!;rW~bp6Mpx$@DH&?7=kRR)^m&uU<ez@uq|GDW zr_7q%828QW=90T98zx_!nNzkY%4+h&nLQ;&=93rtnOQ}?OQ}@r-fR{5I%VDN$*z8B z7Be5GB&m7N>G`;6-^oKh+gJ;X4xQZP^X#dS?&QRsJ?}T|J-x|i+EXK)>9IR~-f!A- z`h(u)qR6SISHzT+-<)#XNj~`Vj2Fp^``1>!`L@xv|Erzb?-_5Ci{%d2@_aG0?!Q~9 z^L^v3<394%wLIsNH}#*jEBh`n^Y{mwV=sQ(c$_@9Khf^n&j=~Q{@zNSPlm3#{fcgK zes*p@Bc3Lw%5AQBv*~p5q+R`Q?Up^?Xw$#cPAsk5@ZQl7`RY$IrW}8^$i_|l`|NbX zTi1?e$yZ08*<#eAKL37P+@l50l7hunOS5w88Jh6K#K*+Cx-uS{ax*rpeCCwXFZ8;L zB&VEyrZ;)_8&C?d+{yE9)ArMM)PB!BQ@-im$!|W#tY^+US*Ct@uFm<C3boU7-<(S+ zQ#(BOO_|Z{lUeGE=jN0d-8}iqN6cFCO-hMc_1v6uDeESmoVljd=-SCJ_29WW=TZvP zyyw0-o3di^T)$^&Mwd@|sVmQ2b2cSME!z0zi<Cu^SI*QaF}iT_lFv8Gna@+^PA>G@ zW;yd&N`{)Xapbd<G_}KX-V_;~K6%O~%u@1c%H+wOeqxrAGfyh0OB>Ieaq^JQH&DFw zPB!%0W-;?oO6O!nzcP!N4^vtv3;LN^%zTiNp!VBn=Jb=he2%4V(w)re$7XT!eoEcs zufEGtjrN`Vrgqv$a@xstKFiD_@1;~sj-0vXR7!~2?%6q~QpzS@^;JtX+I4b~4_oS{ zohP5DRU1X#N%2!_p8Y1*X#4Rx`Omd$zHhYc{|JhdyT?o9Pk)w}cf3e`_vaaNj~B@A zu08W@<E`Tv^0RAwzHPMXKWfMJTVn3<IQiM1XS_}>lFP2``MUAy@euj$&k}Qv2gz4| zmUxxCynkoqnJ*hJ9ruvW{wy*3_%$1|Uo&1LXUTEb@_gB7(qC)$>}SOD<T?GhcFTTB z%sPI~X4=mgGmjg{@2)xXX`@kpsGZx-8&8ra^}E`+Ju^IU{Fsf}vyBG*wsy~cL_ALJ z>DRSe_9Nm^a!0?cUD}U`hsiDdymo3oBxW4nXY=goM!o*R%AC{5js1*v-@ebdpIqCY zS=sY`<KE+&Y}%e~)b4+2JMFu~z2q>t)t@A$9)Bk{`_qiO$))|Cl|An^YV<o+=Dai9 zd3>IY*!LNek3W#Bu0E5y@&3^o@z=3A=acqyzl_W&H@tiFlTKUu#ydyf>7=C_-Z`2l zzBzVHnc=OYY2uS(*PKh**nLpXEq&wlqtA4n?V2&?Xq0$zY)+}+)uWGe*wQy%IeJg0 zZP$&LNqJ(%v1>{UFCD$5b1ZG6X?L%l+O8S1jyj1m$LgF-n%`ZicWtM{^Q76`nR;z$ z8;!eD_0rM|&m7eg-yE~%Ow!cuKs~daGoB<(?4B6OQ?${ryE9VfOj3WhrQWq25|5L* zyEXNu?T~ns)ZQ(r7q&y<VN!E9ryg6{M*Z%}$Tg>vV#IE5ig=LJ(EV5U+4hM0Nl{{t zqjT~%>U1YYzB!dt)%{erZTpO=M_1{%rEc7PbeT@t_K3R@JNE6^#Udhjv-PQ0;p3%y zkII5`<>Ey`NkL+ZqjgRt1&Ga#_Q~6*-hEW}S&HF~qxZy$t>1h%xPQ2c|8}v&`@|}~ z+qOR6H`ug4wAA^&!MgpXCC~Q_w-4v=ueR;^w&CXC6#mu45pNPVv|p5DZWE4=iFI^! z)w;NE2am|#FIyMbzWKVrvVEtV+H<ju?OWxJRdHXB-g2+$>xQd`L-Hq9Ma((;KxSIi zjoHz2?marI8*n&azs)_RGrDZ~jw>p-^<(o6?wC=|y?1YP#!lAwsnKUV53b(5yY;T_ ziaSbrZ@w6qx6hOdtCDz`n9G-3d}G#OH-2GTpR<Vz+Z*MkJ=<{punqrfo1V`b&K@@5 zcP_p$^RN;7Y2z9161F$*l}k(8VBS2JPb}Ra<ody92PAz;4T7&7jAECT?Kzu}eT7G@ zO5$1K^!7-(uxA@i9oFEV%`@3X=aYd^yQ7@hGXul+R?9bq8%&y8<(^qYJWK3tR+Kwt zG2>}sce|$Cv<itw2@9I%TE6*UaQHA6zp{)@k-@3MZ2ZD9JZBRV_<jpLd%8idy-@N@ z{)YXBx5<cANIXa=umAdQsr3vI1Fe$Xd-he<Kjr(DmYTOgtD@Ob^3BPFz<<A%)-reg z3V)JN+WuGeS$V|$#3;VU);aGt=(HzVzB!dx)&5krt$fDR!>eT6o^IHEco~OWT6x6X z#2~)K);gyW1NdfJ`@Gwr-hNc}*%N~uhwt$f?>_oow<iB$b+_&I2YXxRMZY=cw7vD6 z?x#CU=XHzn3!jUX<uz7!-;Ta<Z_&36Vq3P)*xUL>_thPxZ(<v^FDUN59(`tK>ucR7 zcNTpWTeCf4Z|f`FM|YUc=?3M0d?vPHyT#tt+0irZE&3w1WP3(2x7qfH;_i#l9rv8h z>N@2!R&|?f|FNg_xvo|I!OHHl(HZxc&g!1Kqx4xUEw8b%`*d`~y+vnqwelS+yH7@Y z+*A4_mXgO<*=@L8pt##$d&QpC$GTGa2P?XdMr+(t`Y6`1-C|GcLtU<X$BOQQ(H!@f z&gkyDbLh10t~*Vqb+_G7`XJV@{m1Us`?|mK7CsfL*?wcU>$K>EokyoezqqUPUaVsK zhN5n*?F)*!cSrl|Y`v@dDo^pLSjqN|B5sZCGj_M$(Vcfk>7AHg9%Fg;_UIkF->hf6 zPuSc1R<ftuz_$4<-!kinc?Uo6OtZc*_uw0zHtQR26Sg&9<#V&X@g`wY^HoWmGJ_ij zU+{dhx-sWq4Eto`h}Q{gn%D9zvx=B=@Bz;>s~fWq2C!Spo;jPata&P*n^nZ@gEx4# zrER!)(1ktNIO0XZg65@?Z;B1hAGBhBER$1gaQ5H@p0czJXAYj>X|ufXG+|ovRLM0( z2B!|Hu}=o2Hlt=oKC?6f!{%1WH-!er4@$8o%j6Uq96cz+?kw}Bz~JyfE_P*^oC1S` z2M_RkOEu7ME|u&#oe<5&EyGi=VgJEDY_Fw#PAAkfzvZ2lYM|2`E9sNJVb8%2Y@3ZD zrXF0uQ)Yf+%E32mtEGKTC6qL~O7fgaC~iK<`z>X|j)QY}*vxO-PROhO`|s)R@b~f6 z{~rDIJ|Dlk{^h@^pi!Bp|4N_hTh~AQSNePU+xV^Z7yp_5p8h7j^k3Is?r-{5^?U!B zKG(m#|H+@Lzr<h1udZMDPxQIIMg7u$U!Un;+3)wi==1cK@k{F`{=522->iP^&+ISy z7x!QJBl=7HMSSMJUw=-2UVm=C#s6D>yg%!k)X)8?{aN3*KJj1a&*@L&Q~#~{)BS1v z$^9z-yZ&^aiJws4`_ubOeB!^VKc_#gKek`uf7BoEkL!=@7x-`W=k$m5hxT*)m-^%V zLEoUh_UGx-@v;9NeY!qvKhuAvKd;~G@8AFH-=$CD_v5SUQ-5-wjt~EL>9_WK{XP5F z{0V)kuT_8dujy0$-TPntoAgQiZhYXsrcc-J#23}u{?vY_uU>!f@7HhBZ|l^hKeX<? z7x`u;>wBFqXOharc9nB#NKN{COZ|-a!N@gyzZ`zq+*DupR?V1ma>~SXv5K^b*4@^d zHyCr@iM(^}P?^})%?supeWR0-9+)n+adX35*13^;&Ly4GiAh&XUw@SE_iM4>9ZcQV zA~*ESIHH=i@mHtmn=RL(7h4>SSzU27cJqxRIw9$T>0$+G6Ro<<H#^Kd`cmi4nL{OF zOE)Xbb)6l#Vdl|Ukv->}O2o3#1g*F)L@t?m^tq0W{A}0fIu_|0Gfi3^uxS5yF~5;- zLqoIJF7953i|6mP8j8g*cU}8rB)Bbfo6;MXnKvc4OqM7y#U(~1yUk2dQ9Np(XSc~A zgy-@c)>)BrX1YGpIdg`oSZw;{4Rc&)MuwbgDiWK#dBPmmCpst2Fcpa<rzxh1C8m9} z;65H{a;~XRtb2379M?xW0_lYo+=nAY&NUT?HE$M}!#X3fW@hX3!x29i<!&rj?O)F| zZ?25UE`v>mM&L_}#Q!E-Ocjes+n6fWxS3(j(fc}o(k_~F>u!E9`{+HLO=p-+>#RHD zlrL7f`M~VfsgWTwS*J$cIh%A!=S^CmIk)EK1+!hJL^{kodPnC;+Q$^J!p#Ln+&d!o zoIUh*-GW6*ar>)(zPq~n`n<gr-!E;x{w{7;_0yZ&=i_!(-@SSIT-=W8yYEtWhrf;6 zQhoNF>hAEldy~F5-R(XXx4!!9&C{j&mepI|9o=>PRotrTqc^$F#jUK~dy~6#{pG!P z-lXmde;K!=dh5;ZvvJwqr0#l`=$lrzzGK}LK5MVt_fL0L7wezfYxe!p9qzMn>EBM> zIejK>Ms@7F)Sclo_iBA#bSJw=|Kwh!?@F7+pTs496WSa;W3SxzqC2Y#^^ffp`yO;h zyHNkgUcT=}cUBkZAKJ_IUFZ(?nYj3GpEiY0-@D_@*3|U}_A-3`b^CPw`h9!1yg9o4 z`n|ZwZ-+KrpSt(Uw^O&d^Yyi>LnWE7i(l`5*{QF`?8<TGSb&76V(f+nH?e6+I^N#d z-420`8<f{;RA02+E-s>8Q2zDV&eNga`D7y^uN~OC`^T><J)1aPH+M!pGvY~EoUl+_ z^paWi-M6Ms^(<CZ-@Mxz7V^dU`06};&FYJ9MN{=Ps?WYv-F|)Y-bdexHi_Sf%dg&f z_iOd^_py7+-|p=Gu4h~R_TJL!@OisG+?iT^eeUizcUr5jzm45ie)XPf_4PNgo64{5 z)c&S-WA}?YU#qUq*&XwKQgQg}*fr&A?=7tgpR@bHovBsVXYUSpZ?*UI+1O>}Q}4M} zh0or7<IdJ+>n`qgc^_09{vvik`O=-QKkJ>}ZT0@qp6t(hXLnz?Q~GS(ncZjZv{qh! z8au6g>dw`l^iJ(odp~K9_9s1~a>sk7&-4t-TX(+xsCRs~)cd48*&p?e?iPCQwCD8) zy~De?-Yf0N{-Aeo_klZKpX%wCm+tI79UJ|QYY+E_b^CY!dG~6!_vzT0^0&6nz8XJw z5x*7?7#Kcn_l7&APxbch{`Bt9?$f8@)DD5~<??b25m7wIxyf*&j-EbiB3qY0N$Ae* zQ?cdczB^C9TeoZXk~^;D;Zt@mxMNyA{Z6d^yRO}*PsSFM@4fr<Ti5;YANv-47p;yv z_?yf2cTeR}y(iiw@e`kmR>V#G-DSOg!)LBL;dk~O`X;({{es$~Z?se51D}g-T;EX3 zIyZdJzNB;7G4YDeMc1xRs6F~hJ0xE4xoAP$#9v+J>m6#3zSO?6=g=3?rRx=HU1x`H zs609=yl0=&7tyRZ!Czb#!k1JYeXea2fAJ^Rx$vBQPM<|H;tHRM&RlQsnak(kzWok* zu`;TbhUy0^3~P=))z*m*d?q?|{eqgKPqbCy1%GlGt#7C~IwQQJ(sf3-&Avk)MSIsL z)Es@JEfPQR2iK8snSF;oh_<d*s5$yTn<bv{nP`06!>6LLaR;A@M#l;M;5rcgXYZl+ zqP6QUeB#;{{${VzY3&Vr9-Y$u5;yTX*Pif*O4q630hLGZYA@S!=$&Zk`U#)7c7?lC zvQ7y<v)AdJsDGT`cP{ny4b?|)Yu^d`xSQ*K=$Ca#=d~)r7e3z*E4q92g;>{lp${Tm z-)X&DbLgB_fq3;BvG%ivGI^z+6|~#_Nfc=RaYQMsa5vZO&@1bj%0xG>o)GIgH}uFl zrZUlzu#daCELRuAw$2INvaYFAboJ_hSl2nB2O?cxY291HR4TfBb-`w?%b`=&HI<0w zh8;{3%?>l%#dR@sMWpKsEr;-lX`=I2e~58?u4NIvaVOW=&;^l4XNIP%J9I|N;CYn@ zv!rFD<@Cj>X==01?)+iFDji-3+9fe1()Fp9M!4Zlu9KlI>zdAJDTEuQi6(^!riu2i zE{Jh`tR)e?aR=AYP?dE|g`(}N4Psm$YH@@c?%+BY+7NkkdT2$Y>-5lq$fMIjS=KQX zh}N(E5bb(jYs;FZe9`LFCpK~EtlqJSYj5b2wM_Y<VPS!(q9I|0+q*PZZ-{oitF>fJ zQ=VvG7-Onv@#+cDM<<6KS$pWD)`PH*+qkyxtb6`x?&|V&w#6UMEq$)Hg<+*#^KXH7 zm$#pva=2DNR8sc4AhYE)@22X%qQ~;(4SqBHJG<3-`n#CQXNQc#-^G+a+hi>ME@pf2 z&2ypF;%{R%7oR*An!fJF&V=WSjIY0rDSlQo*ZW+|+TxApwpvYp6;t@E$vFH~O#U;c zxvxv~%!_B93$+q|8I$`g$@u!Loo>&C=6auvSy<e7Zfe@P^E+*xznarsyzcByljlyx z*JtiDdTwMa{w!vCapbwsv~{O;YCNAc$Gd3ViJd3Tuv%W9vGd3o(X@5Pcgj4^n$uml z?&waD=U#KT3)daq$@5%mPItk&gF9KCGZ|l>zH`r+tEqZ=#kn)J3-k``{P*nB?A7^t zy2T&QZZ!{|wsXUo(p0^@J3l=;H2d_an9yg7jIQ5}34XR|_Ub%6&Ekt^MN{=OiqD=^ zHNQT2=c8vuM&fs3@{4!Qee_*q-|`o>N9P59nCEm}v&?^Cb(hWZ1I1l;g6~+i&JBJr z@6k7rZOd2KvcA<!^H;3yvRd9T_oQ#$?c*oy3V+*Z8r{zRz2oiYZTxrK*or2!+N3D0 zj$NSPp!G)c#Tw7e9X>Z)7wj}KuIw_NHHV|CV_n9=U6rc~bPYBxFGz8Iw)SLjPi9Tb z>klG{*NkGgg+A&W-n=d4?8Q}!rj~bI4?bgg<%!MF`<lP}7}IpT*7)mb?%MP8N7&t{ zz4^BDRG;hI++4Id#{Z%DwRxMF&VQ2b{=P<M`Gq2`1DhAjIrLSe$nRnm*R|j^^PIkl z6#6kf7g@QyqL}MS@SJ&v&T9I&FI=52QeETzv6^dp@SdsjnnYNiX@2r^Ea%eh?oU!s zc2}(I(pbE}hV`E2ss%G@l#gE(V|}Y3;prZ(D{Fi)q^z##Q{JmZ7n~JYua}7w=HKo7 zG;Q84?cIwvI6Y_C@S*d)bmIGY?hbtlmuD?HtCX`nE!6+uGr{mjdyf9PHrsv1O|M$+ z62W4*k5ATKb3d_ntJQOnY(K*)u8YAdDzi%jcXi*WZF#2Y<j+{uWwQK7$x>ylpVQtb zscGN;Rin-O=GrXRlzE3fi$u>|{=nwwGffl!#%ChweiJLXjF%s<Ir>yn$3O6y$kgQv zY>qx@R8q3lHD5j<=5>kgm90Vkf;+`#2T!nMeG;`XyET&gOjP!@pyDpWe9?JMXEYDZ zdGt}FZ+V6d>tjtZ|AjxUJ&BC-n^?hhBv{66-PG-3_oBkiO^WS46%~2yR&;m1uI_S! zE31mGy^GwH{?xMjoF>=#LpG~F>zvzccK%k8*z90|c~_t5T-xk)-phvj<C?>pdCp&w z>Hf5K*Y-E}sy>NL4dz*QwVLbT);fR2XCm=_51;BD+b(mzDrR+o?%}O`{uiH$#9VWd z;XWHNb@>gWuxFY~=Vw{7K8TDw*HjetPV?WfUozd_Me3Hnus;1>B<dWOO!j%rAATFl zyL6TxDC*K)e!<%Hp5_O?#HS*mevVH?%9qb5;@Ta2Wv<gH%@=-xPelU!49mH82HRM= z-qD;hhv}V2{_+Cpqwh6p+%H;p*)Dz{+d41sO`lV_NTpk2y2#GOJB+!k7ax#4`c@;y zJ<yu#R^XXFr!tXJH$iKz8-W)jkG|G;)^n&-q{!`}71y=EHGNK{B86^@=^`r^R~U0$ z37pe+=&Xj1d!QB9rNAwctg{2xNFIHm;o`n9O=RI>23glxfi-=Hibduwejs!7nTCmb zW12|1+eAw)<HZMLj)JyV1g42hUA#c%=o1YUcR@=oqs0v}M`r|fNV?7lwCOukDAKz) zLFVWq4H5T=7F<UHW%>>kh_o(NkU9E5gT<XOO(fp!VX8>1+rd<kXg5I%t^<L8dJpA` z)GoeY#I-N*O|Q~vjSW4IPHB8`n`q9pCon?Nb!uRM<k7nt%X$vwiIgs$V8pd6&_$AU zO5mAZr#umVH$ihQ^~DX+M{jG~x%aE~^!K&*wtw4m^!K!R+sp1>s?|OpU2*SJ?dx;V zW%mx%zW%0rdwbUXMYY-AbZ>5dW#g15xGVptol}uu<-Mlb?sL)W^UqcunYumZ{-n=g zucOzb-HYn2@&3BjBL85ecB$Zw{JoJ!-bJtI-cbAci>`TpLr%?+B}?bHpNTy3GJ0A5 z)P1hM!e(#3v1jYEwHLR$+z<L3_Cop5J*K-}pRYYv(o)}Uqj~>TO?R<ad|X_-tE+Rn zgqi8ZoVk%L`fc1Z)3zD7AIOc>TlBrDRrmB-qv)y8Q@U?_<}l~h3@B*T)hJ@H*tYa) z>$Ia!TbC_3aq#}NC()DgUH7>@(><~M*dEnqYYp;k_dWd)_BgsHUw7ZqA7PK8JMv}s zrTz$e7~PW3yHE9p*o^J__B?&MRxiJ>GW&FNV?N`)uivNLkFL$ntn7ZjcJKC0ds?5a z)y{vpcj|Ysd(mO{R(%qiy8Yd~S)ZoejV{gitn7ZbRwLiBGW(tG&h7K|h<=|odHaKV zRn@0+*WTY+bNy9p_W7ti*)Jor%XRN={j{bveeIpC@7AQI>)zR#cYRar>N4G1Thp#j zid}s!YGd}nb*|}auWx;}=IO3!bGAlZPm0Yh)xEm)(HhqDwO6*@ThqGh+RLcCYeuoF zOLQ-7y|w0O+FH}>-gT<Grp?;wbe$<y`)t(w?8<dlcZxlanw_1wt~G6~adzsu)HK~Q zTlKDQidlUoYHD`iI@6ugo<vQ|o*2npwAL`YGgA9ZRDZVRx~n_H9!GU&Yp$ERL+nvh zd$#1d&>dnAqnfih*RiIp)z7YsTzxt!=Gv`IVGp7jvj47qx;^ZERMfRc(b@THb+Qv9 zU!RJq%6_`Gb^ElbTUV`dO<lWt>#{Ye+r#cg1zlSdt$ivg;M%Nc@4U6@*+<ttP0`)4 z_1?82>(}3P?r*L-f2&yRePq?STejZc*Vv>#wAB8-#yb6`CHMC=w>RgUUuE0<ZOzTi zDd$%ehrNm1kbcqf_186)={x7CK3{WfbHw>5Tko%HEYc5JYJXj0p1yEiY1OpZn|;n} z*>-<fW0t<rGW%@g;`GjWOP{T|u-W0fSMjyyk@M0^=N+xQ_AGK%`a;XsXCh~&&$Q(J zyyo;~o%347VNWBcr2EcOtqgk-nR2elCi_fe(mAf;YmX!Q(oN?bt+@6mvMXJ6UTej* zhmmdRqVrrUu04osN^i7$eL6Dk+^Zt7>6^FB5v>q=5Luu8bMDphY120UICslh`*dW) zxm`um?nPFnKb)KTbd6Sepylg#Yc$hu&J8V}Hf8gRb6rJZQ#L<4H_7_-$;iTVOH1x| zYqoE`bL^Mw>GC!AHh$|lYCUb<#<Js=WVO#nR2(}c`}$l&*|9^iugi39Z_GNrNH)7n z=jO&&J)+iPZz4*LRmo<bi&&R@QgU^v&b5tU$Ae_G&qWj*^OAjiHeyBc+`gx2I+r(k z9aoZFeKsQJSd{U#7ZHn+S4wJ^=v>%%spqTZwC53XlMDN{T26Zwk#Wq*IP6(O+Ob12 zuZwg}Z#>l#YAN<KVsf%)pQxqS%#8}irHrS|*m$VttHrd(5xvQVeOoQ2J&Nc|R_rUa znD#KDHCeFF)MDC$h=gOmjHXTBxU1)A>Kff-);?B?Yxg7SlE3yYP1V`A@!PReMq<-8 zuIpK99(FIHA~{lW^{I%EW4olYPeqg^U+q;*)!DUiQ4eeCnw=Y;9IG-4yA$DetV#NH zuFiJ<KXr$`3-6tO<1^<y{}(@xyi+f+pZHw3!fxX4PV4y_K6Bpjzf*VUoAB283;rH? zqn=_P_*{77{D!|QbN%<!C7n}`u~&R9ymo%V-y^ToL+k~g3m4c;{MBhb-{J3(m+E(F z4t)_`I$z<h%WVG*Kab4v@2PY8BAjI>_>1#`|B|0ao~zr~Uj!ZJl2hmOSvbS4@R{(; z`39dk&-lmG9r`4kY8UvE^OV0&ozo}biSrdcbDr?;`PnkVzvbtV$Lccn7k_Xb^Vg|! z`Y7Bv-{H@Zhw41`fj>A8`Sa8{eGpEt`}kBi&hFw<;TXG(PlX%jGyFMnU;U5W#qXTD z^B?>^a!-9z4by4$bu~`!g)8SD_}wzqKjbINRR24*NvG7`*ad#))SSQIx62fNho498 zs6Vm$_(ZsHe!(Zs9sYZ259JE)pZ(#k%X_tNIZfrlRc0G^bKdoRa+77A?~}Yo=hWWh zFqI2$pS|I(%UiV!bHm-7H+`?%ba|teVD6YMyngnK&79YLPuz5QtrlUvaTn)R-y=6! z=J+njdvsPUz`Sr5r}=DyyDl%)Jj^eq3Fnw?OcP!_Ti|ZXEZ>$qrV`;yvyVGD&-<3- zH5Cibo_*mC%PikHH(j2ooylP;7M?zP!yT8Iz9D%{MZ%M3Pq^dqMD0WlQ;~48nPQr7 zqS?nCoX34l@|p^TyJrX7ae1UBU|zU`^RTZ-UQ>Z^^K5}TEHiv-ZnjMKExE}u-8bi^ z%L6qA^N-s(_xpaybvmu~!))XBPMz5YHg#&xzHr;+p4tbq#8lx>Gsjfn^4T*saqjlL zlIwIz?S+|Os&Igr;daiQzBV^q?x@YlVagNEpIxx~$a~cq{fpI|w$mT%ZJFo&CeG=* zP^DhubD^EncNBA4Pd~8t$XnGM{lIF@Ti$2loW2Q_>IqhJ-tfM#^T=z}XEBGq3Ki*H ztm3@py(Z4-t5BgH<8z^v(<_QOuXxXiJ9JjnM?bKN^OE<Loh-Ax*X%s<Le)io;WMFy z(;4=<%<`^@JM>v-?(_$HjyzK}(QkYvl&&|ilGAwlfjvi_s_N(mJ`<WceZih1PgGU( z1uHp?rZ?<4GQ+!Lr^^g)o47+Cg?gta>^bsCRYZSc1?LfOnYcqAgj%O7>^bs4l|`TN znNYmm!>2;AdIz5hMe7Mxa31jf6MN{rQ0?>!MV$M*-^41NR^1Tu=#=Ugy@}<Vd%Pod zx=i&B*m>lx>av(a?}SRHPblKt<?XVQWs3KiSf_VF{(6Gtoa)mXb|1N|dPnV}HRpZL zFMdhqRVvgMrVH(!d||H3JkJL+UEZm@^Eq@*r9i#Vn)9~j6~Cr3q0N&g%ypUTdBl&Y zOsGWdqgAKn<bt^^b3C{BHI)jjo*XdOWsc{8nJ%wX?)fm43N4>pV9a^hbBbS6iBPWE z!8D<4HA5@Ti=HcHy1Y<vP@kA4G=K7kIWEstEYvqza-Q{EF!RVv&lJByXH*Q-3oSWM zdrq0@@>E4b-O!Trq^FBt(-{>7b;C5FBsIY_q5jDQb6g&)NT_eL;5_Q7;>T1d)IQl@ zj>|(84s}Bd&V!x}GmlL7teELC-Lqikk!hYReoO^I^^-r$cDb*z#iuD>sCx1VBTk*k zJB&E@dOq=G$`=Y#3rrOXQ7bg>)SSFww#!|WB|c4gLV;?GsY1n*C(J%F+4G3+p_3{P z)IOSVZg>A<cj&v|-u@e(Iqtc?s66scxkP^AbHNI^iN8Cn`!{^%xZ{4u?$9^Et^EsX zkGxS%kq>+>xUs*XmSwK{9=oJ-$}#ea&jr`^C)6H!r5qwJ_*}3+ZsM;F^L~fgBQKTj z*c|#IxU^rP)@8Q)hRP$e+<WYtz6fT?3I5`^;J&2t$a7^I`HP^7mU8TzJ_}~Z6+RQ3 z*>CWf<BWTZ-Jwr{sd9loIZnCz*g1U?oY=4Mnd5|ePi4yt_m;{dkCkQQFaF>-=B{Js z^ii<0-=XHnLuDTMz#klk+<EMrJ_shreS9hyCwK9wV2s?xr-F_B3^hmYEB}$Z_?<(y z|3USUd&-+^m`*FNvvGPaSlNG|x@D?+NF~cu_dB*pr<C8w1%Bty>|aprGR57Y^2i<K zCvqR32p0AieB#*QzQ^`ZuHgRe53w%qmA>gTl?zshZQRXq*Y!yx%RJX7dXLU2z0qMR z7u?>xA=c%sQiizUZjPI-S0Y{BC?$wHrVFm`p0SzZy6cHZm)A-W;v08yTy;GX$uh@v ziQc2LN&(`9yEx3d4PsqhDtU-sOcTrz+n6S}xLY8$WtMA;9#e^6rr5`w9Oqq2^qPtV zXLnzSVVUJRC(`Aa(it75V!`R%8)95$x`yaA6$wu6p74ijt#>yox3K1h3kQw`D2Q5K z5Ej&I@{y355izY{5l54fg1BLtV3L?%nqYr-L5#~|B?<A3J2;NIs^~El3buC}@ObP@ zuB&I1_~p4&mWwIj1-oW>Ps*!+fPjk^X3P}3)wMx5)!Efm`;gxhRt+vGX)P@yY3D|> z1uqQb&7JJ_@7JwpjM(yHN9xDI&BCwm9?yMuGD0R+_`cfy?xSM&RLZtZJLg;Q{=vmo z)c}_x?qTWj=1%h49p%j(<;@Kuf7IOh^X=A~$80Oi-EK`c|9<2+ub$r<-g&im?ku`s z`ZIUY^D;xfI~y2XU25&}TI}*-Syunp!P@8g?s3`k=Z}|}tL^N+J+EJS&Wu%4YVRz$ zVfu0J$$3Y!j`|d)er*vIW&QD`Q2EaB+zZEjKWw~Gd*^^n+phlG(`)bSdGq*Ms=T?L zU0(FJLhd`qc?;yX@2|b{=Ud_H+sA!R+vU~#-tqSB<7uh#<~qN3#NIsan=ik8Pwkx# z-wHQx>W`jUduPR)$7S35Z;QyA@2<V`>RaLDPc!b=<(2dwefwA}Ro-0V_l~n~AFFM@ z@!l@azvj-PZ-vF1`lavK<>lMu<@wg-Kf1l-yIS?E5BFUBC*Pg@;-1QR-w$_GzN?kz zB|TT$VZL*3=Ud;be5dNkH)o%?x8$5}Qa)3)uvH>cSI4mzZ7<C#_nw@yVZkCLcNX)e z9fBuk@3`l3&NnLm(5{K<=8<<h?z{amTl7?Im3il9!K3{u_gK#P2IYTxrnbV|a&PDC z*)#4f`J%Q&I&qKZ?AaT3o}AUYA}{5u+G2CTy`8gee>fvnKKa5;t@Oona*NDP&Tcpt zRx#ORGw(UIirLR|=WZ{&x2;n8N$!r#g7=<$R+}eZ`Dx;gn?dQ-#got7Ja=ZA`Rtjq z3(m1wOV7O-mp;3A^4Xi~&MY&Je&%bE-}Fo^J#SK_u(A2UJtv>~>g4a<>p63F$i0?N zYLm?;?(ux$d*TkuC$;1}rDtl1d7mnTkIy!_*YZ)V+dOcO=ObT%{Gtls!?Q*1wR})( zHW%E(Ib(Ls&d%wxOLlTjpPjSQ^MN~qc~QFZdg;c!oDbaf?3;cHn#f=LbK;rrzr07^ zCm!rQa&O6dwWz#9<&*c$PT9#hZFbDg&U?O}@|wzpwar%+32T|}+<kJ&>>GEN<e6QZ z?Xi>duJ58dET?>*<tdd<RyXh5-8p&ofxA!Ms^uB~oZI={rz(9@y4oJ&i^h}h&U|rB z<-E^_Gb-h3<!MRjYCDW~&h32bla=mdJ^AL$6X%wk^GQl)vKF>7uAF;v&deR>T+aDK zr601Ie0AoEb1LV29-L7rRm)FnvYLE(=8l=2FMYhyovbFC87CS~HZ_)<+xf!h${Cds zwFSnW#*@#_tT?x%SZ$8+%{iUVd@h`Ma>mCfJt<9X#`fHux*yCA-2RtVWGQ@l=9HP9 zPkl7fjVy&v&U87~a>hp?-6%~hDNQI%t$+K?dtuemZ*vRsy!XC6o4aEB+<V8Kn(e;* zD(!Z$^xfQ~JnrJ#k8}IBo8B`^RqHd(oWuFpM=X7j#pEM170x{=P-`>RoWuFhhYfU@ zmx1w5BVm2xmqx;R#y5>7ADGE-?n%B{o$<@rozrH1IGd8M7Ma$RsurHM$b9minGen$ zIpwqJOv@>scVWAYCTkjRob7qnXUUnCJhi|yrc||J<B79RPM&$>?2(f`57IuF32(p3 z6n=Y?)by*n)=W#?dhP0yHP3!cx<CELz9rvPtK$y+7P8g9S=;%}`_mql^WH`AMbA~s z!VZ5Dx-<RGz9ZjMx31<~XSPG?VOHyE$#rTwr5<O6#X0?!ntMGVzPonqx$I5i8~2`g z>sp|n^jxW2?@;B$9ao>lEcv3eY<*&-?&<96^&j^xd#Y5ecd1(Ne)pe!&&mWZU5yK$ z9n)L9_1smP@ZUAQpSPa9ZV~^wX6>2m_VqLOP5UwHQFizGi~GWU&w87^ef`68Z055k zUyq2_uH`M<cJAh-Gi+ATFLRdZ&#diy<Na!n$~V;w`U^i#x<36(W#?<}CwrEBRb8VW zS=;%_`_UejbKXJmpPs3%kdCZ5`O^E&o+DpWm+C9ldd{A{q4MOc={@^gzNlu!3H=hf zFnvko$>-iS@t1xIo$K9`dn8x6ZF^?r$!EE>`WI_BXT_(S^gdlY>z((xJu077)8d+b zPC6a`MwVH;P_o@yr~2leC1<>~;+=j@Iyv2ApUNlIlsKlJlMM9*KTk5yubkud*jvW` z_FT6qmmkbo_RQqsWjFt1+qGX!ESK+`XO=G2qn}yB`Pf@5e$kIfN2V+6d-6fGO<%Ky z^Px9eywVRL1O1<$g!J`aeiG8tzxip>f$0qUp1fDB(|=jrIc@rfy(#ZiBjcK$s)oBI z%dGt<Sspqs@u_6R`4^vf^w0m;)N?oWN+jER!#6sM%O&@n{!!cZ+}LjZ#AlKV3g<2S z)njm8pyt>Eqi;QJmbacRnrXavtL9&6UBOd^QvC;4{NOo}dO)xFoKc+Sd`GuWl9QJ| zo!9pKqr}YP8*JMi8)ozyayx&QC_cWTw)39%r?{r?LfZN(KM85+@2oyKW%`Z1OWvsl z#W{VSq@myVY0}Q=C-y!$>HR3~(G%4I{mSaj+g^3yk9JSGH}y><=X<X&C;H5yt!KT> zoV+}6URY(+%*#6dm#yEP@+$K`U3BYSX3KKXd1)259%gnNmeBbU-T5vvB5YE+YDL(j z-IJ`fH*OZXGxg58BW0>vwHL;oeB+f89+a-SQM)mgbMDkV>r&2n#e^%RtFF~fj6M0v zD<oVfU9})=(ymG7+K#a&UwYkHbEHId>HZ(GZPIrP<~-qb&R1QscHR2P+L@b$%(Npn zPr5j@gYWSvuPbX*N>mqUdv2a|erm<KCB>?9v~R|AKJ&V;=E)f^BX{T6&Y4pa);%dw zou;k1dD5w=0qatVR3~Y7#&FJ@>aZ^5jMtGhDrdap!XNFJbZn}@x|BlIE^W^k&PQH+ z;Ym9t9hxezE~P-VNt<!=Bz^6Vn<nXLKio7)SDQ12^MTjDut(b`?VFkr$$8)FTiB#j z)rhd7RMjf&lhK^_yw<E)a>{GPnkRXx!C^_;C+*7o<I7wi)p+@a5wG6zopZmHN!`ix z^Xry=`?W+WI7}&3s!w}iwC9wm4v{BgW@Nru>yoGHA11V2NL{;ecH5N9E53*GRP(h9 ztxvx9tnt58J;_%6p>5~9$#3Sld{?Pt`z_@yaQ&+5v8EL#PN+{fZ5R9P<D<nLTl<wC z*wqGqYqa5<H~GoDC+9rh%whSivR!?nt>;_M41c3)p_`MhSbDzkOz?MluCiWzX0g!q z$tNs5UwcOQZ>kcyI{Ant=bXt)<~=#<8Q@=3C1kE{XzTgX)5HJLGnE{_P0v&os|(t8 z&YIjZkL8O>rr)PZq4SeV=CyoQnXP`&hI7{BIhLN!JkQKw`K&TseWQ)%%*i41T0W^v zR-b6&`NZ?Y9F|Wi$$m=DR1*C@RR|rQY%;Iqqe{1WppEAvPXYg;3ZcW3Mdr19P-#{d zwBejFxyG_{`s5Nz&gqkLEIl81GWdTg7urAh%UqY!o<ICHl~2-9KUg$LTm7Q7=RMC4 zeo0SNLj9bcs+6nGEE3v1`N~|EQ=TvUgr2Gd_!*T8?VN05>3PR<&K#C^D*5V#(kI`0 z)VN==o@A^1P_}d4#5a8|<tmkKP3bB-m3JBoSt}ouJ^9un$34he=+?wDeJ*7xrEWsj zLN_K}kUaU?!*A21=?WTL3$&8f?|*ja)rK~I3tO{Emdb^)opUB`>1!!fS*;u>>p5rQ z0ZGqS9`|}!N>!FC7a9v)o;anir9>sy?NFLZwwsZa(8Y->Bt2hvIJi$rQ<<;)QO5JR zhlTqlOQEw97f7C*IWeX0$Qcg<_aaN7(-WsidOr2ga5u6PIyup$ujPz~g1b?gN|KvU zno7TNp^WEa4+-~87D7iSs`RlGs<bN`%6LBX;BYsx5IQ)qLGtAEi4~Hb(<c^4o}4z3 zrH`dRrC#}?wC8<~Ej=yyD%Hv-jf8ZRcNz)po%p1eC0`}XEhtqb#I4ADlBV)TY0tYJ zOL|)JR07?YQdNqTCrY23Jn=~Hk&_+|+&-BJZSVh6cjUYBUiq7!1@HC0_<7=;dx`y| z=gJj!lYURMmf!eU@J|1ox+C9|x5_X4d*Y3Iiha;?<&E-<e>vv%@2N{U=N@CP^jvwZ zeB$2|uiQiIg`O)H*iHI1(Oll~?}?Z0cWRD&QC`YF<3M}@v;2)0ti~3czTMp1JdS}4 zr<9k-XMPqmlaKs7@nU~Roy%EwCwrz}6HVlQ{^@w`Ze@Sy=ft!98FegY-Otsid{$1g zYx+6ybbmzMk~8jF_D(-1p6vIiQ~9KvV#oAzqM^Lt=ZOaLm47-OyGz+0`Z4inzeb(P zN97KA%Re0t-MQ?YeoQ>r&r!#6#(iJSk<;$GYFbXaZ>v%Hpxhw;^LNL6_g{94o+{VK z-~8<{tv})CiK+cBYE|AVSIBStG*L@_;irka`+a_P+;x9tr}R|0M85NrpoaX+-yL_{ z=hdjZQ}(lC`aW@c|Bl=z<;we{U*0`2ulGZq%Xzml^F_NS+DISVJn>HNotqtVdmrRI zDO27iz49){TemcGrQH*)q&x3&ym5Px^Q2U{*zD0RK}+e(yBx3G!ps-#nrI>2xOw80 z-aR)tUb*?1KT1<xCarmw<E7iJoR+h0*K$%ylov?{Zk~9dw;@mEtec(rqn#7a^%mr% z6f4h?cHBJCSo-9hj+wm)c~6Rzr%7vWo_MM^ATOmzd6IPJ9gdm34tXhO+>Yd^oN<#g zf3#!bv0j6`ltSe$Y0o<xkKFjolXgrz)GLseQlQ)<&A54@zVydU6ZNDYZknho&3T98 zf!jZ`N82av>&>{yao_Em*`!qE2(zM8<tpiuw>j>)t;tz(%56o?lRV{Mv!v}4clCPQ z<hbj$D2L^g+cPtz?Gx3dJ8ySP?mdwEBv(0a``>%ds-xfMR_8t5>w7+T_x6|frad>a z+5Yri*>f}N?GNvjRnLB#yLJ1;duG+M-{h9&b?@c<W@fd0?>)2UX4h{&xpS>b`gQK= z?JMtzJvX!1zVzO=XJ%J!`{frG&wiP^bo<16*PfY~ZC|@H_lw!Z+gI+0RY||d&CL6~ z=j`Wg=Wbi%-`?Z<+0117+?~3g&5XAv-Yct|{WLc<Z}pzuPuou3R>|+)(|aa&!uH;s zzGre1^Q!lp{kZMeZHfHoJ-#2e9l0%#Z@uU2hi!*$bL30!@%><Cu)TKY+0(hPd5?>3 zPrJ>O&%EdDd$awwf8|{+lD?l?y*+g&@9Es|yvw_F-<$2Zz2;8XQ!}mYckh~kTfTXd zi=^-72Ie&v-M*7swB2^6?mIK}?Fa9EE1P{gXaDA(=dM}bo_Dh%{j%}xcR9N@Kb^^Y zK4<6VyED(8%h|E{?zuGU=(jmrHlIDGW*t5EW>R|d+}?9J>o=dBdA8Kda`V=6$E<F@ z%2~Df=uF;oIV(5soyl9e?efh#XVO58!6low&g?y#lbt3#*SEyXbaU%DHmm4aH|^3t z&ske+cJ8KG`sF#iXLHiiPR}`eCTGUx*mG%?(KF#)w3|xl%Er=9a+1@8jiYDWluIw3 zv$oLe*iEtY;5oX5W=C%Fr5n##TVQtRCR@7j9NsfI@oArpqNm^7ab{cUwgWdA(tpoB zo4;+}%`In+ncu#b6Pb3{==Ri`U(!y`=FK<L-W)o!_f$^#X5X1-^S14}x#WzSdGwT< z3(lCC&%Tr6pVmG5?8%&h&3n&2`*!Pn_P+IB_icM_dhhy|J==cIdY4@pcldMEyX^9~ z&7Y;-Wp7`9b6?nRskhmi*Pq-M_I&G&>k09TKi_(tT^v_j>w7MH?fQ-Tw*8v*D!VYQ z`E%5(?EE<A+P7a!&DYP|7xqi)Wp-{{^5<K#uDitx*ZQ8#Ubwz--?V32&tJERe_hiH zYKEJ{JAb}4^SV*I@n@-L+0)lY?hAXi_0)BZ_}MkSpSGU3eqs;X&s#IDAK4@JZ0qss zGV$3py&tz8y)F{(UBmlv>*4D>@!B=LAGRL6&Jxf3`PTI7d-hy=YO1$Bw^H|m>4EG2 z;yzceeQ&C}{^Q<l-=n5o->|3bsp;P9pW+TzpFNcw8n^h<t-IO5aht2xzBAQae{rwa zQ&WxgXZNaozcu;#qqyQvQg^cR*YAvd@?B}4_{+T~=5>FFb2;x?roX6qqK){$;)!>< z@9gZD+x;N!$v35K;w$%Zymd{}SE`<9CEmH0<BjW!m?vMAiuE2<30jJ0?&Wyx8m7Of zYNCaBWAVf*-FtR&ymIx^fAmagnYiX&j+d^tVp`6+UW-ZjqO?dnuz2Ey?uIy(v#xgf zk18jg>n?~(`K&Zc+_89~vG~b79W%QV;+}j`nkKGUJn>X_KwQcvrAgwQdpKrxJH(}& zaXk{Fa>i9o|53%nW8DUEDIb-(#69<LJaXmJPpX)Bs9PW|<%3d_IAifdeesV)6ZOO& z7ERO@=iI~b!1bTrqw<OSx-)ii+;{z^H|eQTgkI58r7H20yE*Q;u8CQ4%5_D|lXptN zdP(IIcXfO0<hbj)D2C;f>oYy2@`>u=ox3|GcOQs-@>VHt_1|^Rc1OL>st$V`>w7+H z_v)AHrlp(OtbV$#EZx+4^}}^#yJx-4+PeDUI<wug-ei@Ab;t6SnOd#hyUr}#^!n8& zYp(5*dY!d;^~!Z(>82K|m#+JkW_snSUwHB6SueAeuAaE=TAHcZ>a~%%C8igzURfiy zOX@{dX4vnTv!HICMfmL)-(pjf)pH|ti%pGJC$1~oIqPXwYS`+S-lDB1ud0N1$Ml}b zny|Vz()UbOVpw&|*}|>Iu1bVQ$M_a*J#tkb+&boL!PY}pIl`r5d<#qsR@X+JJ)IRB z_IT5+X;+!TnPcAOo9@5*E9~+nsry;gt5YL+PiKXPU5?hxH{Ek}&6==OQ?1o^*P5l8 z?!NjeZ1N_lyIFx@&6{rB$tqfH8>yRTs=oT*+Hc!t-FB%{e`G!JUgw*c9PeGe_@tC8 z?Gip|ENCNqa_)(_oi}E3ymQG@PqLnPtMh_iN}19o;g!Y{Z*(5;YdPl<r*4$4v`%=U z@x*JL8~jpAl~xIN8Vgzod(J&EyK{lxlM<yowIr*FmpUi-EjjCQ!zbmei<`QVm7u9G z=Uk2#E|+|s6f4aWemRHZxr>?lB1=INVN2tQXF6xh<ap+yr=Dav(MWjZ9FC_hYU)as z6Hjzbn91?P<(QAl8J9yoPYRX#gfr)GJa!RNUt}@yNT-6|lLDnSVa+)l4_(;Ql`I4e zgnt?d>I=U#64VpEX*BUbCxhRUe5E?!m$N&jb$;+o$ybV0Yf4oLS6gI0aZl$1-y^47 zR{6A?a(Sm_l&VxFymEHOl+FvjDyLi)_@v}16$w`wP2ACGF_Ytt%RRM6-zMB||6#Y} zyJEH6q2B_w{5NYm-Z_7=VL9(yBwzGgu}rS%_k`Q+H|&;tQ{2Kov$o@n^D7&bZ;BiE z7k-{_z5PsO$7|;&HcP%LuHlcY?Re$<$cE*dbCCR}XNoKMEo(bwx6iO!@<nk8f97Wa zGycfW6E3!Q*twi_c9LiMHNk}cXHCa*XDj(bKPQ}R&#+@T>wL~e<+Ea%T+`19r`sd! zmYi|cl6U$!;bgmqoysT06gj4!6AbwUKTj~=udL~K>?|dJ=*NVk?HYC}9~C?JEo(X+ zI&;Z8{g`mDox_ghjPpL5Bd48r*|eN?-e#lnL9v1VXLZMY=U;M*o+{Sx->mkS)}By# zVru&fTb1{U75p1NP0-?B_-VrKcAv_QyUwrVl%6V<@OOR^(BPk0-Eqfxo{h>oML#*F z?-RDS@6dfxuDFl;W$cN0tsnGU&O4QfFWNo9hWp^=33poWM0U(=eW3TGOmQ3c%2<xK zPHEywyC+z2cgAwOaeATiq*Sq3?9na(OYY2Aj@M3M;)`}ou;6aoJmE^~o=A>YPJZH# z(iE3*YsPZCbh@R}a@OgZPD+X5BJRM=6E3ti=&77_vJ-!_bHcgS0=<-C#aY~rn<p4^ zpN#34*_xpDq)2fZx8~*vr&<H_Qi>EOad*aW%xrbgOF83oL`UU}lbraY9TSeV8tA1I zDt2*u#&A4x;uBBWG2u|FfL=<0ViPyx<_Y@TA2&_V<9@hlf-W~_495efe`1fePuSO* z5y^4i>6_T3RK*CfqEy8y?vv3R_ng+~EIH-0Lgz`IVz5}!_6fUMJt8^oIxW&+Ipy?B zOlkWBb?(mSj>)YDbf4rZ=CS{@?Rf84#lPvf!XEaE#S`u}zmQWo@AyGR<-0;TU($1h z9qc=8JKj2G@jF#dxY>L{Zpk^vBz~r90W0=O+Y@t|cgVS%bBy9YR5jsh^9nhYbB+&W zRK6<Y^EFjXxZJ$Mvg4(r7r#^01T*%;;t8hglC~W$9Iwczd{J1y?pZwHd~=1|lFtfr z*l*f&JafDt^W==95r5J%g&FKSZ91Mho|0MeNnr~6Oq-4;j!OJa&lD!GOBM?lvU3(s zINod_x8$Qj4|}9d$0J7}{-%lvhnpqjmV8iXVVAV&c;I+I=E-TtJu*v9JMNH4Iqk^A z&r~sCfAbI7CGQoY_zsm%*xQ_9$uX@t#<Js{<0rnRash4jl|=$t>^rSbOliI$yX2ih z5T8@|1P%7aq6s^jPslzw>G+86(G!IN_DbuH+YWW?kE|!$YkVWg@!sJJPfEGMF6NWQ z0yfMiWlzj)ydlZ)&LNLI$$G-A#tVEYWeS^^R~k>a(RhHb<(xwtyHUErI_8PS6RtIG z;7ci0SjF6FEMURxDSKje;{v`XB?@_LNmdgsHBR7La@OGnPs&*bH+Cf}0aIp9S&kPD zmw28OE6ihlDZ}yH!Hj*8rGN>urSXI_jWZ-Uo;m2TCs|G~VqPi3@zg<$UCDC7iN*<% z98VmM@wl9EIK=a$P@#`GQ-<TQgBbfFiwQ>>75JVMD6}za%5Xe%U}IOZ5HMi=X(XV} z{L)B3kNKw2gaeHXd{6Qf>X=_jcT8*iz?+h<5Xsh*su0e$$b7<{#s|DdPC2aNX*uQa zj?E}lp^SN@bjOs&3%n|)92W4T<S7&}R~k*&(P$ybamV2v+oLju{p=5_8TPVoc+OD4 z?{J>+ja<V!#wV5xHtZ9s8Or$%oMU_-ci=7K4LOImj2Gk%ykR^c=P;MK!<OL&^MYcA z_3Q@E8DjVy&M|J0V|dNDMy}x%;}J^+3-$?B4EcNvUm5)P51eJ3A?NUtae|z~Z03Yw zhHSosFAOgH4rdu#<QQHsF0pJl&-}rr;T-b=n})N@7i<_z*bAO981WxC!<Zm<;3;E- zoWo4!6*df~m}l59oMi5>VK8E6sANdyb2!7;V%cz<xxl937;}P6!%^k{n}#FI4mJ&k znGI|j4lyg(G#q3WuwgJ@|L~MykBq}~<_AR#(R>OY7#R2;yl42sx8Oa)78!>7jBjKe zrZFEVVhHDRc+c>OkKsMT8X1SF%nOPb%GnK`GQ8ni@Qz`L48vVU7fS{Wc7t+;BK8kY z7#{H*ILUZG_P}k%9e*Exm*4mQ#oy!e>OcH*K5t+4f8p<boBszs_ur|%^Rs<!{eypx zzsYa=zv3_ZTl=*Ciog4<{&)Oke`EjR&*QK1#s41u;<x;t@t6Izec1nnzxplyH+=5D zQorXX`zw3D{|}$ZFZ-|Ym;I&vtv}6Y?XUew{vyBVf57Md3-t~El+W7R{eSqg|6F~+ zzvR#Iv;I4L?l=B_;!pd``h<UvKgmz~ukpG6RDHm|<WKUG{&)OgpIPtlFZqo9kw403 z?B)JH{Lz1`-r!&INBOS*9)H*$+4KER{Lz1?Uf^Hy2l=M|44?b;|9|+@ulN7Kr+(f4 z9Dmp!*#G<Y@O%Hh`i!6K_wB#^oA^{d;$PuY`KtdXezV`RU-M`2Df<<F9>0?h{+IZ@ ze^<T7Pxia^i~ca5vVZnZ@q54e|Bm17lj{%sef(BF@Ar?p?eFcXzHdyI-}C#z=Kj02 zFWxDixBKu$xm>>dTVlHWj^8`(w!gK@`tG>9|7PupcZ<*2C4Fby&2RO);_mS|wL9K9 zpR<elesEX+)!G&Bl+W2cc%xh@pZ~3KSO4YO9XH!w+If9<+|_UPJ7IIb>2Ha<?Jw-E zyiqQZU+~*wbN~6;ig$~P<>&mqai{&6-Gw)g&)6A#PfU}a@q5Re_NR8I-YhPXpYnUg zo%SboO5YvR<R|==*vxPEn`3kT@mh;_iwos@en;GCe`F{0y>UnX;aZ7ziwopieoNeG ze_(gu&EwN{d)_QQZMWl1@@YG!?~FV8_t*Y-yEtDy>f6EX{d;RuZn96Sjk(!=&+gN= z#_jytzgKMH*ZRHV_VFpTH{LGJlMnjlxV>NFcf+RsowX<4K0ayp=-a~-`GVgSx7%;q z*1dmN-G8t8%})0BwqNcff0x_!{X{Xp&G!>~kI${Xv6KCsZQlFD>i%2R7w#p0liT!t zMREU)>I3(h&)LSkH+(L)?)!w|{%h46?j?VfTlKx8nBU^N$KK<!s~6mR{6#MBU1C-L zrRoXy7N51faVPn#t=oIWDt^=N9DCVc*j~Ex__N%+?=SYSKesh|zp#?u<hw<2|C#C; zJK3Mv>b*~_>^J(pVh{UMTebI!mHj8GC+uW@Vtee4^BLPicOHL~>-(OuhyAgw*!zVQ z{YR=5?mhk>*Y;gw5Boz~Hc-35;QNmve*Nz+ium=u-ze%oP|a}f@q4+t?=N<@PpkfL zH~GC><h#bFa^de5miO<eesK5jDce<dnorrjduRAmuI&4Y-R)DVFWgl=WxL=`@;kYr z?-fP;JE|>qvfr`2_wJ!t|NY7z=N6aCRX;mu&2Rhp#@zOIHlNNgpSLM`UYIUd_N>vm z|90h#bBoL5wtSv3xBZRHt24@FavMG`Fz&xzd1hw&Ynvx$7MIGc`5ZB~{gut5GtB2~ zf}Vd&lUwoGVs88F${FVtm&h&ooMFsw_Bq11|6*mwIp?!BPR|*w`b|FnnA85;#_IV& z%l@;K8RwYK+MGM1Tr8LNtkJUnbY;Z3#b<1^o;zChpRDvar(7hL@{G~4-|(}5algUm ziaG6%ZKR$bwCF!tsc}xZP_E;%#hmtsHeAmgE&2~ua-3s6W3%tf;nOy|&NQF4*>*;` zK(68QkJ;__ZGJslm?~HE`NnMbX_W~xk58?9aaK8BuHy3sqkgT=3yk`ASNhCsziadA znPRG3$>$CuevQvFX1Cw5nRiAxPtNZdqj~@K${l+jf0y0&{zdKadF3DWIiI&KyT9;v zpUwLNpZo5V->Gb$TmE3*<8QLt-mj=-e`}p~U-5UJ)%%WG_BYlq_B{S7TYT@~FFwon z8MW-Mt;6mw{MBdizTtD<mGV86?60i-?mv7cyX?J2E&EIBTYH+%T3_3f{6%)r`+(1V z7s?y<DWA2ryZ`WK-?{RFeaW9?XT5j$+-Lm$L{0n5@`QblKgmveukpF>RC&O@<WI7b z-gnfn&n$P?mwd+h$R6c0)^hhB{^&baZm=);qiolEj~ezz)_nI9fAk$H7uc8lLAL2V z!{<Kz_a8p>>AipOsZaMkM-BS}>wotie(&2?o>9qu-}>9ViBDxC?iD_jt$Kf=n*E;j znmvn8S+Cgh_?>L<y~OW*yUIN(+3#8}+QWRx`q@3j?|tg;JF43!mmk>s_^oW->mRZ0 z@2#q?Z%min^ZLT(zPqI_)+wL2`mjd1T(<mLV!G^(*E?d{-&$o|cii1~v-HHe#pkS& zt~2iDvwB?-dwfpmj&;uGtfH<T+|_rrbj3R5b5;-5D3{9SUu)dece!*&Wcy1iuj`Jx z`pjM@Z0<9CEfL%P!s^Nz<r3KiuRS*RoiD9ex42k#&g&a7?a!<(ta*IK%IJDxn(U0% zJ7U_OTAf<6xJY)&>lrcaPpp)#JEqA_crCG+&+s+J=Dy>l7V8!l%J#gDh-rUhC3L-U zN8jO6iFJz$WLsWK#I!%KI<V&PX{$YJ7N54-u_pPn71MRb9ew*tf2>`cFB^63;P$?~ zr74l@(@J9^+wWO@y4JXzPy6+XO?+CfcSIkbQhH<U;yl@)YmVFdG+sAs>f2d*V(sIT zR*$YdOpz^kT@l@W+p_Nb!|J|!#cwRx-&=l}ll)y~*Ygv_d^XQd*dCu-e8ZCcon_wn z#Ol6V#TVu!f0Nntd_{5Jjp76In$KCroi}_gv+ntX;=XIe8|Ec{m09(?qnOX)xrgoX z*~JUyJ^mt-cP_E2?^5xEd5h0l-k6ho*3#{~Vilk1a}Hbf7nYaiJpL>*@A(TG_UD#n z=NDG;nLM{B?mJUF!;<}(rQZ3(%08p#D{R=GTB@B_tn52cJi(IviRH05&SxwS&3XJ$ zrtf)%4f|tDvGWTn`i>MU%zOMnrtP_g4f{h&w)2V=d<M^d6!Gale^JDz_xwgt-+^L= zd5_=A)IEP;-9D}O!`$TeGLh#RpUQ-vTUg$=r})9#!>24)&1pVm`R<(IQ<<{oE3Dh6 z6knLDe9CgcoaA>hMb9gW`gRmsShC--ym#)QS>OG_AAO6<WvY)IwC1yYd_%VVoyDgf z=JOUs#|zVC%8oT!_uVeM(YLrvX3OIlvh8myUiB!K$!vJMz_{;v;Tg&H*A`ED7MIGb zc^o0z{>tJ}5A!*TpyMCYWL7-3kZqq`IHPZIiOiD68OD5Ok0XrxE*5t5IiIy~I?ia- zXY%-mO#5>StK$bP`_2|-^f8~cIM<_GER%Mu(X#J!VMO2JGZtFM9WDD#7JBq47s;d? zW3=oud@NwxXYjZ}rv0&n)bWEBeMbv5`jiW0Iv!idv_G`qI__xEcd(G7kNJ$nzMjLU zEq3)ZpSIZ6qg)`<@c4&x`+bXF#}=l_)I7c+?LMtALGt+2!WX^D`7#xcHyHJ4Jzikc zx4Y0svi+{bt7D3(G9`~YjQBJj&ya4vV==EsIZwv#7^8XL_QD;tkH1UryZ_?vv3dC) z>YUG;m+fEpyVvIafzQ2n^6&g?o16cj?(sM2ZTDCFWqWI$wqNmguhspIzie;JU(`JQ zDqXzq;V)jx`x$@PUYm#QU-+xn;(o*D-YfZgezLtX_uK#Qne?*z8h_bdn%}BvK5Krh zCi#o>qWb}#doScS)G41ex7+{lXYaZEg1Y3-(zEV6eC{>Af8tNu%>0D9$DgF9-Pics zdn!MmF8P!6r28Fz*k<NC)Fq!WKT@N7#$0ay!ymoJ@(t>eKT3Dq_xQv1$eeF~;*Z`# z`2uyxAEcY^GkosVzyIM=uipI!pL%ugbNpd@VE%94!|%QO@-u$2-8cWXZ{kzwh<$}m zrK|3r_|10Dd`->bQ|2pb9>0?g-k12jcUQj0Pqw?}i)xrpnLpd7_`O&Ce#h^&$@vFr zAHS8(yZz&C+k3OB?Hkjj_uRg)x%Y1Fi#+A?W*>5t%caY=C8kU7xV__U+gr1&?T)*9 zZ|0uJTYSzeX*=U?UaQ*`caP1<-I3>f&Ma#C!Ck#qb64akpEG-qqg*PTzpZgs@8#Sb zH``vCd2M&x)oXS;VRNtPZHc>WFU+pwD3?etxb3mI_k3<e-r{2EIk#`zX?tdNA?NWK zGo$T^Y0@)p@3_<U)a+Ew;v(rOw`bgGdt#=v-7!si!flDoyoR?qHuoOSwa8msDBW{A z;!fKmGokH`J9-c2O5`mrkZ!pxai{Hp*@2wLr_J`{EIw_vBPaQ^8Pj&g9liT=f8;LC zmyX(YaC`6G+?1Pa({f{Ow%s%Pw5@SFulDT~n|QTu@3?(zO74x^#d*>}+Z?y|YTRzv z)VnkHMDF90W{<W#Opz|QU2(hZwrSn^ht<9Jvfu1vdvE$BCi%P6uInd?d2Ozr*n4bl z_Klrv?@aU7Csy~~%Dxbn{7q`p^%cdvH?j}JHJ>w$TW|PWYTfk-#l6?EH^e1>m0ESZ zqnOv?y2svQv$Ge(J^mt<w=S`&_fqzRxW#8pZ^R^@HFaCBSjB64ontTC3)4$6k3UPz zyZ&Mi+jCR1^$RO`O|DxM_nyg~v6JnYsowg;%3h=EEB3HGHC0=$SlN3bd%{k(C#J_@ zoX?mZih2A|s_%Nn9=6A(V(S-H^d8Aph<p4&s_nYQ9=3<3Z0i*(cnz-qDB{(>{-TIi z@A{3R-UHbTagX0i)m?wFyKP$bhuGxzQjzN#pGt+VTUg$^C;LI{;ZvroVwz8xzFTMb zRI2RyirsBfvM<CcpE6w#ll)Gq=z2v_?~ZJXooshZ@2z`i)_Xtmhu`9Isp@41t$A%P z-<aF>&g7F1^Ldk^<%Q`|Wy>0^dv9mn@LOCawdL}RxovMuUim1ONo}~iz_|B%=9!so zuT7r#EH0H=b2(ye+bfetKFsG#f|h?wlUi}vVs6{)%o%=*OQe=u&M@XRyBuNMdoi=a z&-tv0({e_uUX#l|=CnOGv08r6viEFeh9C1;lXE`G#Zqa@8ZCQIXGZufK4YS_+|jc4 zWTuCoa*<TZGDgc@!^;B3y#|*n=CnOFky?JxqW5T~hM#hwRL5nDIc*P3xRyIw^d8LQ z@MAt>vd`!6X_H+(&8JPa`6w4iHC+BNyY0TouVo8UrD`tUnC&(#GhybjshKZ)mGh-4 zE^jdE)w;aEsCRd!&&;;FCa;z$rb?Au?l9ujxIANa+Z~g6KFWDge#;omd$(uqnES1I z*89x8%imh|emAjQ{&wE7>Zo~_Kg^j{eQWOJH*?ymZ@tajw*2Zmx9VGOGB+*1YN`9p z<i_O}bG}vGnsYhEe{ylu>&!LF*Unp36*cGbgE`ZxZq2?N;BRev_H5>|<x}UmRYlFd zd}GeGXIm~_cJU7`j(U-~VEIzZx1UYUU$*jpY?J%h<m}}ObIP7=Idl2UoVLnaPcx@2 zpK7`GlgX*eYW|aLbU&FGEq9z}_RPd^d8_5yk0!@2OZg|;<bE_cdRfTd+2-vBlf##} z{FQBTKbRc6d|=MErzZN#OF@Ai?Z<7y`(exe%YXb{Tl=2QtXckc?zE>SI?H1%ecx}{ zbNPec=Ax*nmsiXwE59}6@*BU^*1o4QOP0G@@}9~pUVd`!w<lY6T%I$Bt^C&Q%)G^a z`<_`xz0at2do1gFK4bUdmwnUHO>7oF?JG++v0nVJugrSZ+l;M?FZP*P&w7(l>eemG zTV`UlcyFIsy2<s6PkOFdNxjZky?AAxSh|VD;-!7x(oC*g^m8vZp7k<g>Eelf*V0VP z7O$1eEit)x@k)=FmDG!jOt;@MXN$L-yJ+ElTgJE8#ANYYN!?--<Hd=6WtOv^W~92U zmgz0pa`K{zd$&yQnT!dGdnJ9(WF)#(%bYFTa_pjnd$f#i;g%y81>CJ=&K7Jrbdked zTE@4)#9(o)<k{01v2KrzZcV$$<jySfHs56b#b0ihjil~pR4-1I<UO4c?si#PH{WE> z#Wg))sU}*B@AjIdn(V&#%5Acd)ZL6gw`QYTcQT3=+e+%@nW!&5*!#_F)@|du`44~h z+)IB`$@bp(i%s%($zA79eCDw^f1>u--1HljZ10To<|qE{xs`swF8Q0}rt>R4_uNQ7 zVAp)kIBve-bIEn*Cw%U?mcGF*`K#or^BtdgEY5q>9-E!M!0z!E$-H@qzj`jEPq15j z*7$}^@>yfI`HH`IOwV)Fvb`|AWb^p5<h=7QYS^9|o6TSNlgH$|#pj+g=`$+Xo*C=S zPyE?qbbdt*+f!q;`HDY#PNYw$WP4(K%*OeQ@gbYXA0_+FXVkDgHWr(|@JG*)bOpP| zA0*q(Yt*nkG-jKx_=Csb{14E2u@|3s^v>V-)N>%6!S3;U$-46|s@tZef3Qt{FBv(n z@u_6^yoKL;_M|_sJ$%Y|l}+<0<9G86pGuaUUs2sQCH;b}@+sp5Hp%ZKi_TYk>e-QQ zQOS14_};vS+j{P&{?J=oE?GV8;BFq<(>G$<-Wh$;VLoqEG`%ogvTR!8?w;GJH}n>l zNp3kkBew00(JLM0GRY067i{jio_Z#-?X}SpoyDb+YfeYRw!JcXq{Dp9C}{e}G|3gG zEn?edr_Rt@Tq3#TbjD^Lv(piqdoHGS=sBM?a+=P#tH<Q@kC?XSMpn}g?(8|6nxV&h z*65s$a<OFEw8ou1r&A;J7N0TFn(nx>=VYpfo^p|7$~4BEJ%*<RHuo5uu83)SY$P@P z;EtZ7sTz99g_0eoEn?ap8gWf`+|hF|l|zsDjL|-w!>5gQ=`^1<+NPsiAlY#GM|9hL zqhHe&rb^bFz7g#<Ej1zX*woY)y2|;I6{k0B>d`vAU{lZTRG-MUyGF03DW*!6obK4f zqj7phblV-Hc{<8@l77<|xA$yM-68w<yTrcZFKmy^Oa36|eBQ9Ee_?e`d+&<HLEkj= zTq-&|oJ1761X9}4awe5H3wam_NU*iFhpIg<Vi$IBj9y~sDR5Lnpm~bnDGBEuMI|Wc zoW%TDBE+|Pwxzi4`MURWOG9sbVG{a&;KB{Fba8#L`5SaECOp1zYl4Q~rGx|xwQJWb zpWNMeYn}5l&ZArYDe`zdTpk-!sNL&u%V=l+w)*{g-yW6fwY4NSsycqy+`7<a@75z* zZm0D0Oxe6}@4jEEKR<Tun6tKZGXJB<J8w6=yL3mnk}Gd(w8gg5H>XLRs-D!bc2c17 z9Nz`qPt$%YTYBisGMoK?<=jHaZP(P&ob@Z#8gV3^zP9tT`?Orar}9t3w*6<ePT!qV zRIj;jW3*t<-f&&HT~`)d>g~&Q`>;1|p@Ds)PkR^d+>Upf#clM;^>#;|{}Xp)-3GnR zvzGkQncI2P)QsxcSGSsmZh3Gx?BDh?iNgQih)RfUJC&4PR_7A>i)#^gn(_?^tt(b8 z>^Yq5f8KoLoUNREa^E+>n=Ym=%vxl91nM<9>L*^mKFxa-OAT)?pS<?^sY-f}*LL3d zRQT7q`<mAZ*GP%pB^|3Q)3<h8%)M5UGVQ^JOkdTOtA`dY*qYP&G^wQb`sy9L7LO0F z)n2+fwUe!1u;AkL2TK{)c~@`W^Zjebb)`?q2F4wswQ@1PKk3i-#hCb{s_gjf<)2#3 ze`Gmw#Cj%7OjMFxy)JTRl_HP#0h8<_yJPwf`kvqLeQg8hcHOxd6An6UIVE)WtV8pc z&A$@f{WkJ3_Ly~5^kA5!hIeCEPR7!djKIa~IG_FAx5IS9jbCxPX10CZcMW$Reb@RW zgyBKxojRXSTPn^y7G$)!P=8`$=Dky;lfSyy-rp+V`*W&L>fOHJQ$<e~{hW2urT&sv z>FPT&47{Qo4@*Ay3j9butF~5^BfjL}vG3t-DZT;TPgVJMM*D7^8YsMAVyfOM0rTV( z)=i7H8swPp7H~gv3uw*WHA(Zb-i$+&Z2W4UhH)y#><io=d57yU%UfaXER(3Npw5;h zn)i1`PpY^j5a!JqyDr`*y+1jo?M2X$DN7bs^c;wCoVRqV+N>=PrJ8;}h}Jx}>eeYk zk@_c{mz1XFp7<#gw24F8!|WE<@3!0pD%DJ0UNWg2O$yf?oojZieGtEPfnn&!3SWy? z3afrUkTvRiI_p{hXY?OFoezn-*IMmZ5bhBXptbJ0<QlIFMK!li-Q4TG^3<ju(dAYz zS56K0-WIiR$~AUvA<K}sR<qx%2gBAe9$~h;x#h#LyH;t-ndDc6xn4>1Je*V1;@)%Q ze_irxg*%NmODn{eo!BJ*rRd68h6jyz4*zQM?^9;8uh??)#Kc<@oGoYB9!s8SwW(pf z_WYFm7b}(hb&mh6Skm@j(~|{kPo=urTORXSM!nWhDQjVuvRu}>-KxX%)|($}`}?l_ zJtQ5oLfLYKh`OEHibY#WAFwigotSomp?u0t#p4FA1&$qdZ>_Z4k-OIU{)4H9C-E-J zQp>cyc5cSnqV!|e+ERU{U0=w)C%q|A$R=R#<FKQTBBY$WBD@oW6-<jlRYfY2WD4$x zZ`q`2GGkKW^G{4|s~IvU-I{-2hk?6+;YIl8S4*Eb9=-6`>EQPXvD0#wyk8lir{lXZ zr?qsQqQ*3to9^fLeb@dTxyh>FDu3d~_jA`iobiEs-%?KP1)HVsMg3v<U#Dc;E~#p4 z?-V*EnKM*Y)W2xu(X7>s+P&Jbvx|%Nxc$~$`Rk9)svCahZ>BA6amsaGHLptl+#}|@ zT+&}|AGmpa{guEaNrJogiJh6c|I_A6DHC_TNH)l;SKb}wedd5tH`n!>?!3uQw=hIL zO=YgT5|?_@DOp93X^-$y{)=;U7yfX#)vW$Y@V0}<n_JG?d*;;W{FQa@Z}eQ}Q2So; z;O?)nrJDbq6$wu&=3M%o=}23{F&B@8SLbt{Q0igHTEyMe_~44da}mu=Ml~)u{QtKc z`kI?8zx?o2i+zt4eDUdMTk%Inqhwh|+liwO>zgm!X@8?Ot?%Ly!E4G~QhSbcG+3K; zuhgIPX18-{Ly)kl$sDtdJ#`x`K4`X;wA%!K5WK-6m$_H@)PhY9R<?L=+0ESX)AU-h z)wIjjo8B^T{Jqmz@-OYkLWe6FOieSaeq|)vZazDIU->l8JAd1{7*sFVdp@ydtf^es zD$4K681z#xzSpiw<oRocXEn0yR`KrsskXYm9ISrxv+jPK{{NFt^O>%W`)Y4q^Spk0 z>`i^!=JJsLmN9oLHYwQfimZF{Jw}cD{hMRPcWyh~OXn-jo47CXkm9$#SNi<<<&Q3? zvp!x}ueHunZ~YCMD;k2gcB}R2C@WYme7K_j*Z)TkH|n`voSS3(c1>xn!@-7IF*mN2 zDXIhrtJ@jmUaPzi?EC6a<YEB@TL!<7fQ8BDeo3~p24|TEX1~r2sN{O1c5c=V?&WK8 zv#!UTTaY(<QuyqZYu}!#y!HOd4L_e3SEk;Wb!oTy$H^zO{LM`R6)#M@CEBl*{iVOa za1-AVwViD{3N6w%&Gu$>nv->=wPuk|r)P~`&Z)O?Ka!(5rx^X8<|wm=m1o1+g$7%W zEZ|$H>Tb^4>AmjpR5Px^mz!36@)wus>giEE#ZsZ*I>APj=fLu>1sek_47n_d6!`YM z>?>caS^he2TeSI`(${HwDqnXLZtv?Ydwqo|cz5Y#(S&IB)U#_RGB#{170p^%`@A?{ zOK<~=jk%(fSI4bIx~@|nb=DkVYh)0XH?E$UrS!^WwpT#X2X@z4D>N-Lls~nrh@DaS zm%<_Narx_oOj5NWYKkGLf=i6PZ{0HW!2E()Pa=FpT~A5-PjX}bu9vg+nejs%Cd>a_ zvgSE*`N=%Wp$S48*}ECNFYrk59#Op%$TVL{PASZq>0{zl_OB0a@aV9wNu2T8`%`hZ z(bJf{9t!+?8`y)`YYwMOo8`E0<{}>l*Ivh9p(6%~;g9bvcRF>AV-16IgYGARIEy3x zGK+4Mz11w3XIOSOy=AhP^TdoWzc0TIb3bt5H45Bv)hY1H-R?yUor~5q3%f>V+|^ih zN~0=#zlN8`Aq`8`Q{I=hX-kF`Yk0)<ZJV3+!b~}KWkprkZH?Sgmp_j<9!*~ox_#q~ z^PUs-%dEBXT6~+QB=+O0PCuzf*B`__4KVc7j%_TPP|Pk;yydFuy{)r0W_ucbpQxm} z+j{$L4}L|R%&V!lR<T(}z1Et2^-ad{b+0Tobw_bU9Aa9!u8Q}_8-wM~cf8_QYUjN` zjx8`&ly#|HQsDZ6mCc9lnQNGARlWRvO8@24uA7dFtgCt+)Guwl^W?tdyB2R;lhs{c zcW;@za-DX#oZocg?d#sNyj-`Gokj1}+Mxe+m$|#Ho4>p{t?z64ucVg;q*h<)eC=%E zFIxN5&3$&s{Es*8ee|w<#JKyzgnPbL@-DU3&5x(9UfM2Uz2?`Sm#>->B>q3OVSlwk zZk2cKrfuoAwpZ3|msGvBCt$DJ>J|4cNoTHHENODGW@pRpBL(SP5C86PS!>kx>dURw z0kP+T&T}qvGIX|V+<H-U;?&c=drTcmuX(IAzrJd6$tBZ8RVF{YQmj^Gi*Zb|;@7fY zYs!%-->{3tvixF$17E<a#tr(cO>-tsiT7P~&a_D(hU<zEtNF_a=Grfhe4jit(o``2 zCj3|M;@`*3=i85b=c&Hp$MnPhkx#?Fwc7j@H`w~jo8PlM4|%)BD{1}i_4gc?zxV4% z($AkdnXB)zvi)-Hi+=r9ZaH&)p1S#ZZ&mI6j2|M*E{$ipy(=y~FZ^*u*k@Jgn>h@Z z`Gl7}tG&D@_Vjdh+oZbLGW(8YZl3gh2J`>L&&!^jI3>`sEAQpmEpe`Mi&uub+FCHw zM=kfCuQu20-8FZ|(mbbCT!H4&S6>F{zb`y#r0^={N8ZO56~#w#cvk)IobG<*WqhjB zSFUxlr+mL`8X4GMtC&+@%2-%+`}}q-rD-p(+S@itP1-EAoc(k0u1UqO3#E-~pKbNj zw}^c%T$gkH=jG+L;iCN(a(w%qFM7dw>G1wcj}Kl2MIy0$zCmi2mfzA0y=dGp_ry=3 zt=3N`ZCkqew?bv|x>(O7c}|bk-}Z-X7k!c`o|W*K^VyCS(fK*;w!bT`J^uOfU-8$X zvxmQ2OP{)HQ<~JO__V9Zb=|`DwU#%!pM81aBfouq-lH#4EBL-#b8lW3q<_GFxkjnr zs^g){C)+JuVLfqfkp8hsi*sKLb#zNB{*<g;@n_1*aKokZ6z7&)wOnOv7$_U3y3^?{ z?}TYpiXjt2-&ZoPQWh#*_;&K{(!@mXy{q@;P0Cs?qgQ(GaEWb}_r7)KU%uV0H*>}D zDORa2wMpmw+P9p3>0a3X;p^^8FTTxYzj}^6<o-0))%W_pc6z@vw~^E>{U=$y*YNq| zxWHFOOKpGLoc(3?XPvLQub4L1uaXmpU^HcHEMM8I5_o^@l}6i;R~ZMEIIZMXeD(7C z!i*!qLhmp94{OaXeqfTY^1IrrnyJ5d9x*U>2w%5a`mg`_Q%~!zubONVZtf90@%oE> z?SYq`cfY+fI`>le!g9`gp%cO~zTBB__s24J%iMOay&9h?cTQ!t=&6{UH^HH6>AanD zPZ;^%IHx#CVAd1C4B4%@mnRrp4OkY@up!bivBFin<H%L5${!nZuY@ainVUsDaohg9 zc)hQ{^OK2oi<0MFbY9CU+JBK}x@||+^+`fSS$}msZXIcLayYQGH+Of_;-Zkp)7otA zKi(-Jv#IO>&(HV8Ju9sv_PW;a?Uw1DvsJfq%IS}{{&3Z^-t?RM=;-@bIs1e^&G25o zV0j|*l+B${KNmTi=$c^2tucElQ}A&OEmt$U`hq*pzNDwg9ZRl0o%4K+)6zq}ir>^b zx^tc$u~zyPZ4ndJv!Sd1^Qr8ss{~$V-DudR^g4TH<4W1@_RnohErfL@Y<$1#X8PCP z*R~jI{bJEjmYZU>Bxartqfb)%N8a>m%cpj8e7Ch^MTeY<F*eQa$&cH(z@<~5Qtt4a zqlZsF;5fkOq`mj)8%s~E>MO$fZ=Y-JJ|yG6Y5s&ww*MPKZk|7HzwK(!slc7?{fSFv zoUGWYBJ*(VsoKnI6Kdp7$2~Wj!gISVv9SDLyLs}v?-x2|CtfhQW>xptBb?!xv5(}u z!i_JFyuWlLNJqx@+wG_seygu}T{=3s^wZ@K%iR^Gb8V!gjwpnrygikq+^EQ#a;EiG z$iBiuZ*{IDR@cuK(lyPP#;o3Cbv}K%OZdc4tHNKMS%()SOrLQ~GXH{n!a>Oc1<#u< zM(kVvRZg$P;hDjE{Y|VH`ff}oyu+7pEb4qSmxp`RwgZMSa;HAsp4vb4iLqewhKSy< z!&<BXw~{in%OmE#2wAMV+3RRpy}|vfUq!O#oh$IX6P6%&X4<)<CC>W)md>2ILGs0u ze})!@nhWP{yq{?0_50YAvpj2h>wbza`?TP7poahId2+9l9e(Yz7uUJjdUaCxzr3C+ z4vNwBZ}>!f9%Q9_jd;1{$a>)=tEwX9C!g)VRwF9QWzJRa>F*?Fr{g*+;+RWye8jPs zCr9^%L@eLZXmj$^+FmQE>dPf_*JRpQx%=`5M!5PN`LN{B9nMP8%`cT@0zYldlC;q@ zD@pZJU7{w^sXjZ>zpqz)9ZOY*nZfSs`Hfy%?+C=4_IsqE`S8?rJ5%|!<<q8o$$vCy zpTUyVA;~=H&sR-O5#n~X(J;T$ur*ESrG&W8eb=p1Pu@DaaYbHcn8{xgk4j^~`P=S` zhgfZOEs<Yyy;gkb;{$4aFSo4G5cd2jn0rMmJ89NR4WnsCFRv>Lyd1PpV`0`z+f0=s z%lt(@K5TBWH`^RGC$wT|f#NcWXWfh;zuP99Fi3K`uq97rilCj;3~sd*+GdBc7%g>m zyQJ2xSzmEG@%)Q|q$di+p<Q;Rj`RKsZ|ShRT%dEQMQHkzSucLqd(32?m}9czh1HqG zb7ZdfFDmx?r#_Q0d0l(Nqm2)&Po@a1TJ-(Ww9i7(m+WVm2=S;dzU^VL#VzsxzhZEp zsc6BX<VL53xrqjPQJIQ@Dke`>&&yOb*LxbRv^*?B`R4aZqYDq;JniY~y)mQbAhV&w z?%dj!3Nw#yVQ}MD?mBdrRVbjRC+`%)lbbGl?&VxgvfMLWOr`7iFTYb=c*N|^w3)xn zBZ?9pA3U66d`XBqV@Z#{h~r`d>9XiJr9jCe^V-gANa$>{o4>7_LHb9Ex7Udc?f(<D z{4rteeV-B*mFn@y)8|!-K-xZ|O^e(u>ZFoXC)u_s@4PPfA#tve*g}K6fQilrPqVOi zRA}B{(wZE4DEE@!(FK>@+Ato~Pjz%(b9u>?Y1v90(UFI&`rbJ#4d78uVo7yb8zp$* zQJ3a~BO<ICy#o6d@J1*kFI0_UEZz5VqOP}DLeC1jmI=PE!~dwnXStiQmVPKc+tz2Y z{Y~(;3_Vq))^J(brLim0cFp23P&LdnaEZTm=jh9zSN;;kM(g!Is2?lvE}Y6xvF6Ic zh)EUAoYK3Eex$8n;5^>pktTAJCtmQKEk|IFe^A+sna%e^W<9qF)(ADMy3x6yr1YxN z(M5p=&Zw+1P%oLd#x9B_z?+#xgaHIt<9(u>-lbYylwe>0VJ-$vhMfG8e7(H9oV?=D z5LO0e@47YV8e8@MCY*GzJ;tDwFX`njAh6c=5@RsK2Y<!``kW@a1ulffEf9afTGbf0 zz@6)Wz}dLK-<$XS%*Yj;@-bBMz2c$&YbUzh3DD!6yTD|_wl80DZ`VXrKjnYQQp=Le zvQWw)Z34$}`#Dp2l3iCZu03MG7j^Ix*P;)<LLcr~+1z@17w1-s2Hu9*>^UqB{4t-o zx-4&a?vwkUbpOv29;+KxajE9=n;Wj%>rH=Hc1B+MIUfi6mCt*Biuw0P9@us9RAFLi z>dk_{B_6)=axyLaa;)X8n>{5H{8XMFI+c1dvoJR@^y!ujMHaI~R^D`8_w&uc!)i}W z7wc58YF7N!s+f9r%es(*H<y$MzgoYAInG&ey)j$d!MeX)drsxaKbaBJbd}R`t<E}K z4W_uvs6A~a-s_ETiLF<7%Pzi!Gb4FVo4FLv`pwe3J>ehRJ~!;CGnbNFze)OR^`W<t z>rG7J4x7cL=k00A-cy&e=adttlVRLp@AaGY;~e$l_DA11y84ZnTWp*5gQ;l;vR^ze z{q|gx<;$<LOlKv|3RNt<n-<_0clh?1&g(N5gnu~q+Pph0E;BvO`InXRXRaozg!S?> z)YN{pGq+YOW&J3n_ra?8GuJ%_*0}xB64&>f%3C0`ev4|HvszrbbKJq)N0%L+L~n84 zbE%_(cW>>Z(r*W}cZBY#+kU?IL1@`;g^Hz;mAt!S+J48SKhTyq_*#8(SJ#4Ne7Cf9 zYk2$joLdohaOL&&-?Vn0TvZfhp>en6Qo`{+in6Z0aw=zDo^;<o>GB~*!300;^`|mp zUC+wy(6(HAy=T{_Rdc3s1#NEU47bn-2+nGj6YfY+F|BR+u)Ol-i-?_b!bJJF7(Z)% zUo|I;%W7G_R%k?6OhjyCSV6#^mCn0nHU&?1o?LRs-q?Dx^ZLzh3UVJVYX3O2z2VB| zxs`v#cyjnA*7O|^*_3%tnDOVcQmu2@x#zUkp3AOyUOMf$=$+5MPTH;CBt3oVolw71 zeK(o@oS2~NvNdzgs-Be=&w~51O!kCHJFm`NdO54<kkrzs1^V+N3omqN%GtH9Y&()| zJLj95#6ugN&og=1-`0fxSskowB!9zWdhOO5I-5GP>ar%pWt-b9d1|n@@@J14v&UqY z*^O)++YW2%_N?6TJh&yRCXTs8YOX+c`@?yWH`G!@zHzd)cElalUp|#n)OOCynP<h$ zD$SV5kk*ox5tnXwCOv^?GT$`@DW=j2)|)ah_VR+AFO0wZ(w(Vzxv}@L!bC=nna)cV zDHw0dic2+D3z_0@ka4Etllj-97KGngaDBst*KaOp&v@}Q`is`?(v?L^Q%`d->#d2I zw(8C`5k9VdP8X+7hRfBJ=Vp6zKX+-cT&iN`kT${MrSFV@z^2EBjVH_kC%4b}qGNQk zJ^0}%u5%~n1YVld_p_Nh%j=}~@l%f;y|Q9_-l9HbNy$209ql<+zHBT$*U}}VzGJzj zs&*`^c4WBvgE;~Q^NVUuP2w|%P-^WmFFjHJ(P;K$wSajK=BWfSJ@ph`p}F-d*SZhC zGWVX!3$@gpGeOKj_rHT8_tA+bp1ZGD;PogZ%_sHa%GSl(vi4kSb9=Vsgqn)bX`3^j zX3o%Ouy&PrY@?I3&8|j`RpPfy&!f{{^36}~(>Lp0v+VRfmSs#4@i`?1&km(p=**d5 zsq$L>99MYicGciXJ`<a|l~~I|k9KiuU*GDK$9=p}M9p#8gqy41Y*RV@WbfrOiu!Z2 zPrvHYZg)LUQ?%%|+qx&)GM;WL3Vb0ZK22-2#+O%GoTf>vr#Vv@Pro|l#qz7sXhKoq z%1xJEEmA!#*4Q^)&3s?VBTgpU8ugD~GN#7K?KA!GC4+NsVU6y`FE6I`#qEo}@^b3u z2=##Xv)!HlSRK7&{hYgH{yo$F=6hcbRn{EV?_YI6`mp)s`EjQGEib-ot6XBdeEQkP zGIev;&ADgNU-Y9i>T371-_AU;)jm#b^Qz9cxEL33wmpBz^s4l8L`S^;mgZ-_PCb0r znRX#^U(Sxt8X@nc)f=oO)-Dh~vvo)FMb0RO>xbT4ynC7Z*yH(jdy{O~9!+;I_kAzg zpZ24*MQ=&mgNs5-mpw3Ko97?WeC<=|;n*Ix3*0XQc60|S?(JUJvRlIR((4zp1>%bZ zz8G1t-#Mx=G0r*vP;3w1OWhK+J4aVA?d|PjEH^ympuf=ip`Kg!7r837J4Z8^_javg zEH`}RkiRJZfUM9i6O*@robx<hBxS8SE?;rz#YDLS8)qa=Sru6!mL?r*9Q(ER@MX8f zC4c`tJm<FEC;I2Uhnb6&N;vPk-MP79(y_m+Y0|Nm)N2kFurC(+!hJsJ+l#RLW%^>} zRdXxu9M<ozx?uhCcKdSog<pPsczXEqV!x`%Kej!58K|{)_P(x)sxM9VWc3){*>E}O z3*2|L$qn(E{DJkz++L$h;eClaKEK%Z^6Dc&-u*VSmYR317qXeZVyfT6YUXnPlH(@V zk|pNJy^YiMYq9QUdg=REpy2sMrmCwu1mD?IIjonSUikc?Sk=TI%L1!Ae}r*e&OY*3 zysomO^`7Fsun%8WguWMwSE;BnxqkY{cJ_I4vJ1mI^Ht`_&Ak-;a(2gZcX6Nhg8gwn zo(9V8jl09}&SqCt)&7bvhxPlvy*T@5inaQyD}Lok_k80mW|v4bS^t!oqik2yxA%#R zU;Md=i=W5Oym8@3d-`|&>8n>QN<Dh?b0`0!ZE?n4E!Q{fJLgux%J|{e-MCb9-GFVo z)@>;f);hIuO@YyzX^hX_RQ*kxvq(F;;iuFC`E_ht<M<vOTC;kcc4XwPmpA`C+*EL} zY4@bvuhgzgtKT776s7TC%dVp6u;?}GT+Yf`|9iMDA~y8qr<2aF<o#A_A785KJ#DJx zUhaPni(*1Ir4*Ki&b-{+8kgA?ci4RW=8Wf}YnYEH+*%kewNT(<wnuu~^HMYRGoQKK zEaR_y{`HpMeb1@9@X&1zzOn%h6>~i+Sy%3<3+4&<Bvtgm>hJ^6HxIs6Us6diDrQRi zpnG%Iq}_)KGd~J$t+1VRRQsyb`rynx*Dh2nJ!%|x*l4}6=QGisFH%ird(JsX#W@zV zUFV<jFqEyY@^43lt<n+gP=WQq4tuW6so<SmG1u@TSJ}s3M|I;;@Ap?Wh<w)C{V>Hs z=Z@%l_dN@KHF5E*GFUNh!J;Ov?(kKHam$q?*KdtDrrq1?zx893#9a&Bo_re~W%22) zNi#l5RaMTdtz?zodr6~KYt5Ia7aA>Jq~faP9{j-7G$YRbL!1A@4^e!}Uw#M>%J5`6 z_CbsJ;2t-*xc)C6yq+F@9U^F|oaKIH!iuW_w-<gpoUMCV+xK$ziX*Riy8Lsibh|Du zsjzTiG;W;H!dTcDzM<>7e$+>;-z8_{FP&L_Zcp1YlbO#Lx2#s>jrR#UE6z9b*+$;| zNg+*rt}!f?&!XFxyT@i|KAX+#-(Qp|`Aqw8Q{|q<_}@<j+3PAryie=LJKD_8;NCmq zT&s1zSZ3r4(|1WF*CuwjKh$%}l&#$%@@~nB34Oiy7|IQ;u6}F}e)z6)UsdW4lLe{^ z)fL!nPt2Isw9oH|PrQdv8}q|+ZniJpe(v+Dx%%OY2AAyo4=*P71?=H2m#aOlA?Lb0 zb!oojq)Xcc&P&W)kSrkIxLd;YQej8<JC)h`qJNy6#JlbpO;7sK+G3S?Yxj(a7fcs< zSNwU@v93Si!xs$>UFF)-A8fu}G(10hckr#i^W763UR2p@Rl{(n@=J4^;=a0ysxMyo z!trtyRU(b|O#dx>+4`uEXTQy^f~xryEr<2{v%Y5iiaU6DF~f_$54BHroqHfON#yQ9 z)kVru4AXPgy-=GzX+wtE^eGjGR;)2U<Ne@Vr(3DW=RRxotZbcl{m?BO)B7q8y;u`l zA(|$!b;0%1+jlfyT+dt}W88DC>i8e7a=Ev!S|6`w<>R%BI{3NM?B&|`Qt#%yn0)Qm zp@~<-Yz`T$)UG%ZvQo6-PUCaoy9>g9?5n&YZsTONF!aYQg=L%N4nDbX|FNh|u1U+f zPpM0sPs?q5uu!=|=5Tx4gN;41Qr|S%1l}H4I$d#B<7xfgsuPPBOIg*#B(<%x&3g3H zCBC+lamIWN_Pbwug;!3riQ`(-X>;tOv;BvRw#9y*e!OU#XB+l$sd-1fOjFhWn(H69 z-IiCKv`Kg7u3WOH-RzS`+tPUtCboO)9!%yp_%hL5zM{%xb$OS*u#N4n#nZd^AD&yh zBhKr-<c}|HA2~XF^~L(r?|doxIHN6I?&`&lr|S!zUlgx8`(xL^%ZnLb*goFP=HJh? zK)hF9+QxR*;_cny8&-&42tT*rp51-(uByK^cMV?ge(61|ujy6&wT9{4k_@K3)q0GJ z?pgQuaDBa1>Ep6u`g!ql3*&71yML&<UJuBh>+bre>mqBFU@d2PP>Jx@XO%fe^wqnT zOs(k_tlb^s?Al*&vSYb$?JHgRhMS%5M0lSD35x7JxO9O|)ybu*^8!Owg<mKy5O+=7 zn_9<MF6X+iylp+d&Egl;FJro_rH?KszOV0KQroh)L$9dws`k-x&UtcQFYZ2?c&F0D zLtmPG=VuMhy{<KyH(&OC&S;O9yLu`5=yuL|a;6Km_qrdL>+btv>zAdC={<HAe!uYF zQM{<zrMlpyTIat9LVnBoiZtDWy>~8I?Bc(3%VC%1f|biV`5sO>FXa1Fs9*T)lS}89 z)fIVabUk};Nx)xym;V;F=?xWbf$NiJ7?%f{T$6rj_AbHXjh=m@q+90Rq`X#Z3D(`M zvk$xp)RR3}v-82rK(VUhKjt00yg0V%<c~!MFE3_(q5JqY+q^g_xt}{O%sw36YqHnO zW|HSZ@wR-Stg8G9o#JKRFD;g+tDLf0yggs6uqw5pXYz9K%gOR}m0wnEZ(FaX-+L&W z&tE#$sP^gWHuavM3%{Rg7d*cho$v9&?V@PRq=%g<XOdOw3M;oXs&n_7e0cN8;_$L< z4~lxY`dthz2FcybShUz?+e4T74=;`hzdiU^+Fx$xiAAzIY8JcN7f80Jw=lZR{<80* zWVyjE$KyuniFbFf-#%vlfX{7uiA}NSj?EXN*DuJI@_c5U*4_4?$WLPJfv56@RgI?| zlD|wi?U-UR@w8KF$;8vnX(r6mJI}pf(-VLDBD7d8^UIr0f>N?^W<iUSr%$Y~x+u3d z^Ih}q+~S*Qy<$a{oy&#KE+{{{bI0UG4pv51Om{p3Bi%jx56u;EUGR9(@g0*FSy|cb z;(NDb#l*g;d5q;jUmE+S_%W6Tl{EHs***5_a=LKwlJ*0?uB;0eFYYW5*ROr=;uCMv zV#QWv6S6{ifxF9}u4cK9AGqBnmxz4UdY5qJ+Ql<#cQgm8$zJ}`Wi4@Zf%2^72kuTe zu2)fYrDa;$zOqd=Y>i(zS~&atLMn5bo(u0?#NO}n`9xd%*5jJjPABvIv3fX>Q)O1m zaf1rGK)Jo9d)h^N52nsC*G>M>bWmTr-`VG)uin{!i#~eiLoRyj$!2uEaNqGcgISk% zZkKicv<sI`Pv<+o=D5@vIrC6kuZ1r0A6B?=^#{aU^w5*csC*H8r_$uQVc&bXd2(kj zZR}jHsM8<#p(RjN_M{AVxyK2cphwf)oij9FW-s$OZ5FTbWXqg~)@~wSX1E6&x9_j| zQL1%y<GJ&9Gt0MpX5L{PYbg7-rvJh9myELSV>rr}Z|JKy$53ui<#^oCz0q3Tq<`{{ zr!L!jmrb8uVRbQeapLr;6^Ald_U6cNmdo9}RCLLlP4l_6>)dJC`_evWyJ^3uIFf(I z_M%q#qGj*-0z35=?KmRtoAPKi^LdG-uQZN0KG1W^mTmkY@-FF0Q=jD=mUl^CJltjE z4vGJbeaE=?;Ay*<EfY^$#g?#4FW-}KGgWS$-<EHiex7^Cxmczo<g?h0=0FYE>oyE` z4rZ{+-n_&5&SuxuOBcUinm?^U?)?Yvf0ZGJ_51f-xO6_8<K}Z|7XN;=3zN=^f4E$9 zezA1j%@^!@>*89hrH@)2x$G8Rapba_yT#^KyPcaaid1plToI~2zxd&~V=q>>$D3(6 zy<f0@$7a)Cj~<FoJ+51JCRx?@?GwXZpS1^-r98i|^{0QBGGkw&he5oPf=$*z<Cfz( zB3rrpCvCG4JNUJN<@{QAl?uB+v8wzZj}Cn9bo+X&pntczl<OJpeya~}s!Wn{e>{s^ zWLy!`oZizWJ8{P(M_p!Hu1UT7;y-vB{i;$FuigFO(x-Ff9P1a0w9mSH>C$wb6@9b+ zi7bD*c)i4*PZ<-YRjp$v7dk(k!$|jS&HM+`-9<AZpYs=}zpPpPV7hy<?(;j1wz{8f z);*l=-nk{DM5<g)_L9*>=XW-zu5N16=drO}wKR3+dS%U7O{a}?ZB7+@)nIvdk$aw! zjorb|on<eVKD6$5E?oO+?nC`|NmH8o++-N;R8H~o_J}t!I=7vp&eI}Z^U3Udk+i5? zKl3(S{&eX(Z(Ze<RZnN+D`+0R&QVv%*j%yV5{D?qG&vzbLBW|5RXo|9c$6lTT(Z2* zEYuJXByz-{M_>tup_ibNNwJdWWWN1>HF#}37yjCM@63@y_m>=ysp~%2pnSYUsXDh; z+gHzRU0tp6(H7Z*?ElZMZ|d(3-d1_o@$2D7OK;`|OgwgOvWC5C%^lI+EAIN7rM)eY zLcc_Hw2nE~9e-BHHQDWXpW~V^4ryn1F2ihrE@{>s)eObUavAbNK1g3}xa^SdoV9T7 zCgx_Da|JTXjkY~lbnIwfBWvc8EAwQxxNM&EocB;>`}v3ZoC<myAA5i2F#oz*H1*Vq zw7-dKh1F!9@^~but1VbN;mFx#7E9D;XUn&T_opqa;_7X#zi23+!(<=e$;!jrqa1HE zJ>km*&w~$SqIC{^ba37j_*_b?+w@;&Fmq9z>e4;?)^dM6=qt~A#MXaBXMOpPEh07F zk8Nhx<9pRD$Dk20M<&azcJU0|zC(-Oot>$^)UIl7ms)qQ*_9)Wf7veS%72Qg+Q6l7 zql4veiJ;2T;QNcqEj;EOC_dTUKBvt0yjb3;q{}Hwo?bR$y&Ni&q~_dF^`mP(d$bw* z!wWjxY+fB#KlpSSFMrA-%j7)QEO~Ju2dA+{$DBvu`Lm}bc^Dq;JiVmHA!p}#l^nk5 z9NhQ#Q-pWjNLTb14?fl=xFS+1u5`*Q8?o#|htBL*H{9!ZxPfWb+Tg354{ui*?vgXE zsCnTkqGn^m+g<4v>oK)P<Ith=0zZPQOO{+q@2ftN@pewAc)bDh!eh<P!?`*nk4F7Z zPxAa)sw$%sA!BB{ZpGgVJWFQ&=Wp@3J}*B<@upSZstxyE9=*M6TG-T<4Z54V&slzw zF6d;QP<ds&#J6O_XJ_Ul<p2Mer~R?{jdb;wj=%qoO<H3VAFxvR#H+2z2a9?(iARX- zsGrEy>~4AO$mSRwk4Iwm=OPS`^!}aLu)2Pt@@rqm^wj51pFahW<v+rwG@IS^<vO`u zU2tc6&ADH)74M8zyqxRdvNuae>lR<>-hx*ZGcLQlIy3Jdy8we}^ZRc~0iUh+ZjNi4 z<h5{G2-lZs6V6^1Y}p~?tb1k6%FN6QmyWdmw5o{o``%wUeeP$g)6vKFoW1?*t%SXG z<kQTC*>{iDIPva?dT4p>gqca=8mq)}*H7P@ZI$4e(HG5D{)zYE+4G<DKX0)8n8X)< z@wF`TttFZ3On3Wl|NC3vvH4_2*5n3Ovu!gcC+2gdE$)xC^y>fdCc?5Tt2ywlo7?no z$ysXQTGyt{JM77Nbsg`Dqfsuqa?kzAoH1MOaW~g;!IPH!kqsY~+>tE#l|C)GZaH(` zN6z_AStrCTmJ*&P={Kk2X3Jv7l4nIqvZC8FY6Nl*uzinY-E*qswPY*%1j8%q=gU1a zJfuB;&bkx2!MA3_uy}fOB?!%2WbnX1S?cH`bIZkC5yE0mzce(9=jCNZcIUpx7IgYG z|4Zf5r~^t#o%c2uIp)n&`!CtuJ^R_Z=9yZXSSB6hwb~*&cjGVa1FV)TN2(Yf{gbya z{$A4gOR$#ly7fuf0L|IkN@P7E9u=HC7Wpz-P2=j@Kku8IHX1*Arc}2ix_pO{)4YlI zedL6Tg_niq%@)70#dM9~>ZI1<z!Meocb?iLc4P_Hq6~Y-W48+4Y*_eK_^m>R%J$p$ z^V@hmJCw>_KlVPf<-Yg*d+ZynCjHs8SNYQ~s}uj1G$tRM)%@0&mqU^3r&5xB^qa)l zdlQYmZJw&(+<D?f#mCE2gV($k{NTK7-Sa#CKR#w=%|GyAPqAq9mm{B6zj{*aSk08T z?U{MyYHPd4YOGtdBF|^4t$pkzEupjhh)v7BQ-3@kCtv7UU*;;-o$35eeb17Tt%i5w z<Tp28`WsSf_-n>WwYaWg%Y*SbXN0agH)n|3yKTN&Y9qX@=4s(xhV=ryf>YgB$=%xf zTBCeMn$W)A3)m_Ge`$%S{QbCii~80Z9C0sBh_cA7IyjqSv%(r~?^mDdwO29oZG6rg zX<*yGRrcny?e_n_E&uoJ`#+U%uZqT1Nmq_|o;!0ORPRW3)~T*f{|=sU{<Tz$sXS=k zB9q;V!dSOF32AL#v!JJ|qh5D9Cj(dBg1wpLZ2vb3M^sHQXT7T^r*mm-O3u^dLz`aY zmK=I_Hbch!nROUjxsK1Z53WV~eO7ATn_Zt0^GEAeMe0RqMStl4lo^nFvzQqac^SZ% z6E*`<k(82*IstMpWp4(<u8A%RVG9C6ZCCv_5V6x|veWmSCsMJt_4>gl6@M#ggB<?0 zhwb~#H)-p4>wal_Q`ffw{8v|Uu5$8}boJQtLXT6x<I#~c6`>*<eiqk6t|sl<+xx4! zY&Bo~HlO&G@dM*CMzc9uewXsoySD$@chIG9(z#jctX}6mtD@U<KKRaAvU|UZa{Cd- zN&&x1_hp2hv-Uk_zO(dT+}*>$%!yx4f0EyG)m2*NUi0s|%d20@^Z#wD5c+=MD2L0w z;$ZiWmv;Sf->-WApzE)Bj!!JjzeWlCSe&bR{-A5sja!S4pX#qzl`k1p{X}WrE0Zbw zg<<y%3n~P@*Tzo#{IMh>x<h<t`@Y1Cw-eXzxc}$M)oXIjs}(kEUmQMbX79V7O^#w# zTh9KTQ2#<%{te$DMkf))Ij62zEIWL4|1MTOi*qI$vb$O9?dH#~`lGdR*Y?M~h6z1p z7Gb~la2%4TwcaZ`_cvp)`Zk`&abG7q*<O17r{=bk8@{?49Ax#9XjAmEJj5cdyzu?o znirybWO!>BRlf2%i6;uYvb3EiyW!f!gK>X<Z#?m^$1o{c>hK58HSZ37t+TV=|M7T< z^|Yx|@6WjWcg>$y3If+Jsed@?|8~=pItMXPOEEjy&2Lt+RPUJE=ei;6BWtaV=zN9l z--}k>+O*-o$E>-Lk0KgM`~6wH*F1Y$R%CFjyfk;qsas)Ey-z>7ehB~lqt32m1KT^1 zUvY`+w`DFqVCfbk;#raCb~@hDdy`D(hnEGqWu}WK{XafE`%k^j|A<M8SF4;3Za3hu z3`&&fv-2wn>t0w8DZ(gs<hO@S;>Pb2U*A5lJo21L&AeqRx)%p{?lAKGnR`)c+V8Wz zYA?QqPPjiuMW`)#2bb^q^-WfuszQO~^H!cXDGLHBG4tInMlAd9v-|(%FWJ(`$9Az_ zm#((1P<i+(`OJ<*?XzdjnDJthpt!S9PV3?wx0ao?-Nqrq@~C0;t{sfwU-z0mSjDqr z<@6aJ+x{GDn60;A0n@x!`X+fgQI}-vf)4}>dlWR!t~%3ZvbFWkwu8Qc1rzT*I_Ar} zELK`}^`<p!^*>^pyGz3NTsq@a=X}7M-{Jy8uO!cjhy?8eft(5gj~OJ`_WUTk*yJkk zL;Jw->#rZKRcM(1Yen<)oxuk;2fr?|+QFE?Sj2R|v#|NlvbMBok0pPUMo*i+D}!b0 z)BUS+pZ+`MFMEvD?1}$}An{y=<=H20SFAj|<v?3a@AO?AOrpNmCoKPXY1Oh9K5EH} zTzJlSpIOTKt!QrA&z~!2Cp^5Yy7pGUjOt1Af1Tzvn|D!Ka`m!p?;^uODpno8k#TX` z+j%VhrQ%v!zHw|?<(XQta+A)ThwcR-;*t%W57zJ<W|;9Yt1xVS<<biUtq<17?GBK# z@V8ifdU+>v8fW^~n=Bt4EQ`XHYkDgD&^h<Yw7z9|$;M+R>mRJi6FzfwPn6t8xeBlQ zCVQ+3CgoLkUU?8zIH~T?QALBIWq0GVFWPDUx;E?6&97gt3cP&TWyjK0v}?ub+OvKs zOSOLqOHK}YR+73QbJ3EP)1tMWJRH-dlXq>}JSS6l+4Kg<_%mT&l6#KiBrnyrj;=b> zdi7G*JXYz<MESbfg1s>p>n&{e_+6Sh)%fkUn(6<Nrpf;67OiCudUAA<$&aI(qBNr~ zt`D)8vi8)gc`6~wXJ)?$RSJ6M+rl-SVL_C-c2&r!mp-1ciZ)%{qI>N*3pc92Sar;F z{dBqHB(0z8Uid0_-Dfp)eme6?Pw9+{98QP2<XXNS?Ap89MMv`0v<>f!xZF#UH-vwG z^X9>e@|KwkcD-t5@8?@T>xHe0$NE_uy=`-!b~%Ud%v<z+CEs;j(aY=SuhOx+yy0}u zOSJ&?^Uf#YnLo{$>?8AJJ!9!4&C=&Lmp`33#VlRw-&6gIsa^_ql1-SxpVaKRlQntw z*2EnWRTHmoyk)*&8;6X_qa^;fa|9*+{H%GAaJG5zEz?7~$|ecFTeJ>EX$Ws?*(hC+ z`Cg!`yN5mT1=nGNlDA>(HznHSQ(jcp?VG!c^&IPatNZW5fA?4!%vjBN;bY;KUF_Gn zOXu>jztWw}Ke2sfSDXvi%vCpbd%1W8W_y0RA8{q;;U%BnR<CSg`qSBE!k^UGl$aQ5 zex1O__egE><Cdedn|TlIjW$#h^}5i|yeos1Ik|~beZiYhtuINwY@goAG?$mxHRd?7 zs+n;4WYjlBG!?mh`P5tSoliP5EBWldo)=H=S}S?%yciR?XP@y|?ek0IS4nA_3vo47 zES<TRe?kD?94Udu3lA3P{hD#npXbYDo}$M6q9CqH2$L#PTF++&@t*078^m?(_4FK~ zCg}Xq^kDk`esTco6uV{b-|Vc4v$@eF#uQVgFYLm~dDQrH{^?U?d1*UBv!^YMbe7*W zhcAyIs$mX8LC~EghFvCNjW;F)n9grmR5&v_CF0Ml!h_GVccuQmd2?yy#T(1!=c#7P ztlrqm@q{J2`EqFQLn-gzizTUN)3)_Tid^{(&YQ)PZ+@BDd3*P|_0pHmsNRdreRw7G z=_QXd<{J}ICgmnZnr+?`#c{Ix^(w<l{d!tb`&Y|HNBwBqr&Cp&tCQ<$x!{1GLY#TX zw{xpr9a*}qfO~mXudnOX8EV_Nh}t$<*xSr7I;%4~bt|u;=E?b=3$H|)o!*%B`OwCm zZ3eln!D=ZdU#;4l9UMKQ_VLZyi$80VZ*{GzsZ72YF*UQoK5?gK@`U4E*};}84}P#d zz4`00MRJ-#>RSbMHq4Lx(7w3YUU|at)x}%R^`$#SFMY*ZdvoIarxI7Z7m5epahdaD z?F-i%3_sTDHb<>6S~<;0ptq;PL%?&AiYyZ!hv5koqbxq5h6w>YY=sgoiZVwGcqRxW z@hG+kICUHlU{rBYTFbnk%x2H36S`VU=FBl*dDFpk{qwiCGsO4<TUYTrYX98GEw!un zJPZHPK=mtI+D!j=ojmqx@*0B^H^Pox;cx5JJ9~5Yq4VchcL;=OXJ+xoo4@3dT{2Uy zbHdjEzN_a~Pbm2Q)MrkI`}^u^YOZcZwM(Xl$u{wsAIX%tP{{j_$v=KZ`m_!ABt>U5 z8dzUUSn9lg^GhDV(>E=1boPgBdv<I~BD>kWK)dd9Py8}`{2PP}-<2%fGub;zX{*G~ zhLWA1xE{VcVf^T5m-v$D+Wj8e-R>!gyngw;cGjo9R!d>YUcQ5qgqQa(x7}*MCSMzw zo2X%Yxh`h5!L3fs->%15o>r?C{uhi{D$|gB{U*bv6Q>Kh-b6n+H|t^79lvV1Q)ldz z_f)-4yLMh+-M;ObuhUz?-`_p+%lOdMZ)T}~c^+oneVx<QTe$1#&M)UhSIt!rH@alf zG;g=o^R+U$kEZa4i@RAHzHQg$cAvd7!^-?p0o&Ov=U94JkI%?i#$r{`St%qt_x{0) zLPncK{u|6|a{jPKs^z5I{BI|iF9+lXc;swxj9PF@F*h)DZgs0qL-f)||5FMTE4pi> z=4J6NmO1EO@;u~Z+MBh`PXD@IJ#8_aZYWaK>tv_6C{)#HjmA8an}J`o50;89(B9Y= zTNxAbxAQ<)?m6GW!*f#Ioi%P=`=r=;dbayk?s(JQgBeS1%gj2@vz?b?s%d4~Ri|p3 z-E1-vuO6w{b%-4)_U%?vVU@6WqtrI_mzHVgZ(i%C_da~d;df)||LLR1cGNfhaHwH; z$iw2exFe!j9jlHQ-q$(C_3+4Cv5Hf@e@&h}EQ~nV#k-wZYT4q@q|bZ8DnxdAX{}lO zoio7Qa>II~J1bkw9vI5S<xVY_q3|v@_G50QkmtO|Iy%qY7QFmaxkgT1q{fA}<aBw* z{0B46i!3UV=g8_TjL|*D^^+s4<#}PAV7P~kTk$HTk~7<1EEO=cwphwF`|?wzHC#;B z?W*3c`e3QAEwpd;rGrs-{yRQ>oLL$(FXjfL^7I6@j75y1VoPFNf9-1iw$s)&X+zza zC96&C0=;F`tHh7(6o`4zZD~L2baHy)mH^umS+lRYKb*IK<Jk14c26_5<Xv}GT5zo1 z^vvaR3QqgIduMU;XSm+gZWDd*@kj&ft#-Z7ZyP`G7RX*ZC8)Jy_lzxX<~}m;vc7xh zz^aNs_G_-nhkE#bxP6@~{kU1mewN*|dj}P7EL(SU(}Ir+wGOj3%+bo(S^W72i)q>2 z1<oNV@*%cAtzHFIoI0_&U&`&rhRIJYwVn6Rh?-yaZqL+1yqUq1Pp|yzxns3)j{W%u zvpKybA8IbW^gE~9wtndf{<G)K&7Es))wFNVzrsIZx7J9U?@Kx@Wp-$%wf*dV&SRUm ze++80th4exWOA_~-1X7ARWm2@gda`ySp4+R$G0MJYZTU9{Csf*tJOaH!;EZtOH^WR z*~?m8^0>6HyEjE@*9DIyj^e#3Qe_t>EJ+kUtI_D=X)O?-vwZqd_A9%0>T%X9FsxZ0 zC}Tfk`J|`YPs;zVQDD1qKl$E7yQ_6BYS#Wg_biV8$8eg{=;wn6pVbe%sqnDh@v+5c z<NMi4eRk_=>St}aYWeplZ-ie!ahSk8-<yFKtCr5VcKidM+tC(5v)-1;lDR)CU2_=B z#CABoF4Bf|s5lrvOUkmp_5I!H&B*Y!f{g*bq%0-13~5PO&tBFUDxOu#Rz7=|Gh_X# z)jO+~xu20{dnc$Ep}BHZ@Z719Q>U877Vj>v+<j}`)jMaiN+<bkx6(<2gLS5#9X|g! zYcqfOZlyQX_U8Z3-Z}fnyx*gL6Th^{jKWQci>|J(`@4Go|NQ&Y{{GP4|LeB=e%-(P z^}oyQ|9#xP^#51+e_!S6zs~>vZvOtKhHCqD|9xG*pSSD(m$&x+e)!w}d%XY8kNN+; z%)Va#*u3uX-$mu8>i<5j|M~I#f637IVtXUsnCA7APhD}}B=;uQ{{L|oqmRa=o{n<Z z|M~0g`5DPC^^+5BMT8yP@^Sq=&8o!_xqm7HFWx@7GIz36`1Und-wRj0o~C>1^aty# z*Ft+|my2A>H~DAV>fLm=X32fl-9H1Qzvd;~nsM*!{?Of;fztKM%7Q1|GFw+zWxns; zved_FKeA(eH|)P37PRu-{oALO-_N)&uN5Qp<~{RYy&Ly`uR3+<(X3hVUM4?0FaBP+ zz4Yj<f4*@$LrZ!0otWxv@;@^!^0R*N)1b5U)55R)Ssk;}=X3t&Q?u&VCSUXYZ*KLh z<nsSdhZlYKI$b)u<YoV+rSVgyJ>tEpyL46W{8wg6X1#d1ed_)2kiSgzi?ThxPx!Oo zMeJX@7qjiJ_442QJ8j*&>7t>cTYXoZ`Vh5kiFSRkYw)t_54xfKb&FsBWZs$?d7;_$ zSL}u6_nC|Ne*1@7q-Hhyhw!9o?svGzo+{ca9eyP=gtdCjg{e!MAMNUL`0KmX^>M|8 zm%UBf9sk#@sd(H{cm2cTMe{>eD940dT(o1!ragY{w=I@z)mZPcG%L+@s?h6Gs{{Uc z1~zxCy7g(+vY)d}H3Po3`CeV8)fBKV`2DKp^82ejLYAyDo3dh>skWZ;O?Ow<SEiRI zhORn1>*|!{zj*A!|6W*=nyPwg>YYVy)?fJI&rd7yuG{W-vAybf>DB!j>sPMS&~{&W zD{<AX{eiWgoeOO${y#tX+vUT*^HUzT7w!ETzvAz5_PovUe{E;&5B(CwBfqj<Qfg1^ zmny@<*}qyJm;P1y&{j9GB6xpAg*SiLddoU@@!CCW<Fy2?>#yqmDiHi(`<IBI=W;?` z`YRijw})H~Iym8qlXlHgf2-6#JMESRrRVd^Uv=P8Yr>N!UB8a}TX}2K7Mb_2rgIg< zI=YH3=)V8hHg?S)x%n?QZ~F9mmr%{R`>eaan6B<yXi<@Ruux;|GY#H2)>9>-Yd<Wl z`>DF`+Vi?s5r1aBnrtNUQaGsfm+{flS_&l_mD=q2<0R|ME1v$?`yljzen_{Gy7sCs zCnZ@Fzg}DWp|vVg=6L?A=`3~8xr_Vrmfi|vouw5R8nckC<ep|{mTvgN<kB;}!F*>! z)WburiY^X(<;!UonBTP5Ff`HhpIh$ATe0inC8S=9_o@AIePI3f(L?9Ij~>g4dTgCH z@4n~UMZe}`^zn=22p*1qCEixQI^N=+*M5#aTkBRH{GHW&YZh0{`ndXl^mFgo*UQuk z?BV*8_(AKV`@z-i_Iz=*e>^`(@w@G|a{ibfQffa%d0E%5i2Bu58;`F4_w)Fz`oHb+ z&6X-NX57E<c=aw9jy)^+g1S$21)08xJH02j>w4gqy0q1+<o`>)jSoJn(e}TrAZY*6 z_=;C8q80A@Pdvy8O5D5rmrd=>RhR!>aEb2>*}2Yg*2;jU)e&=Ft+T&YChz*{49~js zQpf!NbGNa$UY!~ve#J%X%HsaiUs~c*{)g{P%rpOWV#UGF@?Ts7=YKq?k=6I?*HY1Y z;TNYbaTDO~bLHgk3-3JO{>$}|`mdwRSK=;(A6{_l{TEg}(c0cFwH+3(Ui=TaU278H z$TIz8$QS!8Z?#`D^imf6myXSk`?CL%^s}<X$9tu2{|}UxldUz_GJA1rU0`ry*FKT@ zWn4>n<X?;Tx&3l|ApBS9<I1{^A7<`rc<TE9dKJU6MeDC_c2@gkf3Zw{{_99Z@xT8U z>CSx7I_vD`b$cC4|Ht*t-t#&?*7V=;Io`)*cl?Vzw{-oXQ(x_$#{9C9+3~OaPR|nc zDeFIX>(uYNbosRZ=WZtZ({CN)k6)F0RaAXpbJd3S_-8S{vew1?-@I?$GLfyP@1NH; z{Notc`I!H+7gPNbYqesP{nu;fl&kzVzdQZu52yFKlf_sboG<m6d1b-7-H&D&wV9VX z7ad<7k{{i;zgFFpv3_Oz)ib}Rma$qoKlsHxx9!E|NBUo-*S`3<c#CD!EAgq@UdX0S zYD;i8+%xTJk#)WDjOOmEZmT{N|2@=vbF<Hb?O(c&<i8f@-@ka)3_pV=2C3J76icQ4 zI{BZRdD-K<Nvd64c&l`Z+{()@?6bX}nI!F+@N$<|?wQc&Mds@d)SsIF^#9I4!B3wj zANWzf{OGO+PHWTh=KB7Tw>o`s@1p0wHa+{{xPOI6*jwePJ6UE|IT}{yd6mXA^QdWr zvR?1{|NQNPsE_;$vybkt3H;)zan$qsTl?CuFNGQxIamFz56zqES;q7*o_n3~|L5VZ z^F^09yv+I^_}*X+2kX~A|5wd>fBN+7sn=7a{@-uvzVv@xl<*R_#@GLYeb@3`TD;&# z{oeR5Wm=|Audmw$RR%_;J>Ir{<*!YdK?(n~b2DZdZn^B)y-R6pkb0n5zL)#YUAN3; z+p^wX)%_}a>no;(t2iz#bIAET>(#lEcOo47`A#|h6Pfb=^zDP8ulBD}V_6xZ@NchC z=)YI?)BgQ<9nU^}b;8?)`r(z`O-f5m^@5e(eSP3-JoOk$e%7hxBjNHh60A#^Ha)0X zes6_$=&Rmc5lioR?3q}#c+-X}((>z9nqRH@%ztI}t@yvc-2b=*UYu?J=aqc@ktf&I z*M?o$uw>be%-F)n$lh0+e}g0s>jtIo_<ZZRtGxItLz|_HhmEBQ?ksCOz%AvmN2U4E z4)0e?-#ua<*{!UuaCl`WH>pad-@~@?_>y@?guU`54{HadXMDH1D5x8nUcP|Qxmv1V z%d$p?Xeo~^m2<he7PGJ9E>SP-%v<tqq4vt(FPvT#mZ*JYv6@&VB+I+^NxRhFMd#i; zcS}z%Y1?}&a{AR|3oqujWRnLLe$0)+CKD_?*^X}Pzry-$@~$J2LEj4+uGGq?e6`@4 zSjE)tY1^qhW!<?q!As5+%zDL9n-;&2@o=bALCoUD1Eo?PcNCf*-Ee-zl<pb(NM>cV zh5su%y$Mw^;-0pR-b>~kd3ybdlG+9Dx|=>%3VnVl_<xvc@V<Gzc&#kQ(xbW|Y+*sM z(pM(VE)sLMkZ7y_Fx&P14jDt4&P@hyx;GWbbZz30>D}Zprz=CieLeqOzQ%cie4TQF zeEfHnW$fHsU8IiR;BaSptSsZ{v%#V$xj46_IdSsR+n<lU?$O{rd`5%&_!^Dw18+3C zkKE~CE6nLH;5gG+;Gxq|P%%U1;Ri$2LxRVzaJVyFR+91b;kV3MJzG-UljpOt43DzY z-M9xGi6v876Md$%CdW*1O-P#JnpE!6Y$4`m!6N2rp)$?I!bYdJ;D?0J!;a*L7Ujl; zf_*A(Q8GIt6Z~78Ro$lLouAEm&LvXfoLi)YnM)+gH1|lAFgKHq<oXup2M#UHj}lrA zAAZQu-XAO2XVK?sA!H%=(Bsh;c6;q#My~@_2R;_*e0Vcj@7#wUYxBGJZTc*+YyVpl z1DnF@2R>fO6{vV;=CP;1%t<%uURh!3)AFLuIKOubw@?24;_;KhFXf+Depyxu)q2j8 zeyV@+-^t#O*Pa-jk$xC^hi&Vp5^0NDJ9wGapJ<+AzUj7=^vRDWZhAD9oqMZlWwEop z&+pyh$&*)$u9>WU;q1xDFMgG&oz-9a$1Y6#@VT6O@*F(YK8^{qH9d09iqBY;+^uPl zW5(LpyG&u@n`Smw`6Vq;TNi~*UBj=*^V+HFz^n;M8*c@a7_4^UI@~ouslaH7hs=4l z8#{J%t$cd^0{>?gf6iF@Kg(Wxo)U0z$1K%P?*2TJ?{5xnYk&W5%L{Jl-o!`Md}j<! zwpzOF+HgR?J>^wR{dGUqc~&cq7_)!<aL2KBPr(I?TUJS7+~Vw^-C~=pv$Rj`U!CzO zmd81EMxsQk_oit7MSLl2t5dnIuKMzzJ8@gH(HX_ne!)`}cN-jz5Px>#@gb&a*@=Z` zN&^}n@@W~KcC_Uw36O7=G3h(VH|qcgd#L8y-SOhJX%|i{yQ-UYdbZi>zpA_T{|>#l zymiCIf7@KPm+#m4r80lzOP{%wznWJzvjkaR$vD1y=4^w^kg&it_P6bQUhy2iclJ)H z(H9A;z3xnH=S&{#@Ca8e=wuU`p84P*m!$4-vmo&sUazvAI=_+&o3N{Y`Vu+!-`_QC z8~K*Zb2;v`*G0Z{b?aC5`<gprZwN;9Csrk<XCE-=W|P^RsbJ8~CiFM+!9wkuTz%Fl z+AQxT?K)x`^u1vImD(QV@6yLbg8UP-R;nAm-K*avHM7dNv|`rL3%8vlehDm5xv^}0 ztHBrT8}+4yky~#)lJyGzU7>#GVWZq(B`E`)C5*?Jq&UtjZ#-fnRWZZc?exXjS6Hhi z?sD-D`hKDLN-dAtSBq(rs+hbzY&(y(R(0BO6?@E0tVwjwux%61pWN_JmDeKfqQe5y zz5Jc*U&Q3BCSF`+_}y$N_Z_uT)2)+swSP<bYj<m6l}!K1DxnIGSDrRi);3<NzAnF? z^{1q+U5zJ}BS<Lc@>M5^U3))g1~%7B+O=RF@AvG=sk_pqg(trI&FT<qC_3Z+KXum= z><5p2kJ%yl>QMCEO{>h>K8qbHc=Yx{sHJn#j(>fuhB4gchP@W+qQ0pVoOM!J7v0e= zE%xZ~RMjSnvM3Lxz4w=T3Yi~bcPp*;<z2T=*sD3~)a)tK#M%EZiVl2{!u3R`M4xNv zq$vJP3ly%u3>2|irWw;TkM;J}6`@NXUE!`0%@Kd0`ocFi{GQ5<b$d8}1>Bx$uIYGx z(FMyd&XpUjDmkYvv|m`nqY%>A_^|Hh!aYygKS{7nv^ty+^jyN}6_c}P>>}Qk)fGbf zF0k(EU%o`{_~s9)!B1Db3>2~3e5vJOOvQ>651#Y69S>(Nwd>m~rc`juNyRU^qdi#c z(c>kpM+z@G2~}h&dhX%qS27J>8TjSP+&{u0KMn>d@0GcFU(_IMisG3MkM^G7mF7Br zVdIITl2(?M?&<2{FCIM+`a9>&VV>lQHjCrl;jdZac5ZOV4u2{qw|m>Si`TZ6%Dj!S zX#A!($Az6ePx7#jc$hY;`}$YWOS|XJN$i|ltW#RlnChpu@s8-esPj8EL|xsn;Yf`5 zH-q!7wP(s7S}faLz{DME(djDUci$`^xpEJ;G0*ZU)9&OM51#M{&z+MzX)?D@Sy88^ z-@C+Bt1D%cV<HlkaC`R@CGszhy>VPZyf1kTx4OZ(*2xmj4rJ;07bmvezQQx_sNtIO zqPDqy=Qf@`$y#Q;^N3v5v@->Lym~qtF1D(g?cC6QR_{%6H}~<4S6hW|JUir>Q?GBo z`>MrzwfpnRKjnW)P26zqhHToe#Zw+_`qZ^5=}qnVV_qBd_Z&7^ofjG16Z=p{k^h?E zotneDayiRxY}XCEeXmMcCivO16xDCyM`kDLZtO`=Jt%wH>&)g&(`Mw~nH{ud&5}i7 z=}xsh)@f2Ntn;((#RP0{Wsne)I+?+DWWy;ZiLhvn<5Jv$5!s4DuXT<b=CjJ^b2Sl& z@L}#$HTke%3Y*=tOA38YO*|4<c_&49GMTQAUB-A=bd&#=n6m3m67M28j@NPvRwO72 z@#`KrtZbFB)o6KR)QrmxYfon`nD*4<L*Zn$!aIu`nWkq<I5O8MK&WTxWRnDoh#TsR z9S^6p8qC=y&}S^BRB+8n<y>S(`{$AiGVK`(1vA)$+%q0L)Znd=S?nltJafVUPAQd* z2X@S!z?iirMqztE@>8Xo>Q!q>s^_cmFzd7=FKFf9*(A_;Q0!4+M`69Lw?)+@hXvNW zDpeN_IO|LOc;VY@@#}&@<31CQMfZRI{4T*HP-C>f?R0>2l0=&0tBh@%72DEXTUV%T zUH{x{N!UlRCHu{ioq5)CoinSw9`kl(_Q6&Y2A<fS=o(7_=WOo8ij+GSP6+n-9(#LS zCNOe-t4GcT0shy$xA#OIx;Qhh*Fjy%qhv{AJD<sii}TpBrusLt+`6RDX{Hk3UL<?d zp;YGjq}oN#8&t&(ZMfkSQkAI4#I5U+)Yw`v!=HWaBvmPnHOm^0Xh~H>crs5tdch&p z(8MD_l6O)>6o*&SMx%Z<9&N7QDh!4)8wA?^iXGZ`(~0F<R7X^0WJmkmk_$4&GZhMY z*o2aM`ZsTKh}D0oTO+g3QKmg}!U07omBI-uS!>=bYK(e2sdmxvQy$!j5?P8e&N?m$ z8(KYhHVE)PezCx^?1DprHLuFHiw6#eNv+sw!29Tido#<iOA4KV$J*l<Iqa?mFHYUW zYv{94q10sgErG)(OjDP9Grij;krp-K)^#1}q#1w9G6JLGqHe9(v24+|>4s-E8nt<b z9W%UmibXAA=8+2R&ju$>)$nZIWYM;N?tjMSw1a!Q1Rn~U{r#D#8r2@W-z2lstaXV? z{g$ZCZDN;%{Cm&u7U6u?)>CI)@_FH+SNW&BvyHR=eS1H7hpSXM$9vu1GvCfASiNcf z&2t>{8F!w~X_uaF_Ed4|yPE>XFBGs%`D;<Wt(Bu^vq0xYu}6sumU`N`MQ`g&p3<sf zaLH+sL}HDAsKDLp&i79KKR0_?vHbI}MUQ`%-4dF6XlMDW%lEuWmN|NtRN9x^zW76C zhtS`-dsN%R=SZ&P@6t9r>lBsr>-S9iyC)|6IX^-BDtDU58?*bDgp9eh{A<s}bgmS; zB(!;@)n<9e@T9)U+mG0+diF^zYyM`PC92C>e%l%^+SUHv@7lH%S6%P^IQg(wMEh!U zt`M(;S{t)RvxHj&hjTdhLkqFE3rjeTvsC?OdYq|X*vBS!?9zeb5>iJDXR&eiEOHc5 zKK$C5RVrM}eC3Kmfsd1ah^$)C^-yZ1v5aDA-{B>C1szvZ`Gl%UA0M-DD5)&<E<M5C z`uUJZf`kWiAESxD3xDR$x?|!VK@MkwLLF0ncXRnqp0(+fnBkkv0&ROA9xZA+vf*T# zgxM{D<7}tbS$vD=IFQFZ@kXkmTv=2{zo?kd@iK1Dgzi?AjXPItI9|WP^ZSpgq|NeK zCH?C+3v4Y@{iUa1cxRhpTeR7c!pobqw?5h;u=U9nfvwNB2yA_^ML^zKN2RY_Y|?>P zZqG-4fiIGNJ6CS>%F7QhI$Y`%cG}8IY=_qgE!KTbCwZo8&U`GS${oYu&vWp5eaMsN znFk8`*kl$TDt8HTc)+r^^@yibg@r4#{PIkJ<B~!v;;g)x+qz92>~Lpp{B1I!!hxB; zKjXn;L*7RfLUxBY-QPV+)b9(3l027Le1G?>1ZSSyoQ}tJ7S3nuRI}SuIT~MzDH)!1 zvhmp}ARnxw(sxp9(g782&!i*^VZU0{*Y|GE-2Z-6#;r|L|GnNc{pS83UYb0!?My`b zdKFz0oINH+{7-ylG$$zP+O{<-gTCIcYrZ7;Zi3bE*dXy8?yrQt-_MBnk{Fi0%QM`b z!;|N=9gn9>`8|HmtSfD?Lgkxh21K3O^7^2(N<xJPbDz73K!p!;r*zuwFY+H_&2BII z-}Qc?<ko-Ed#lrKe~JHQ%d<P=`pn$qo7-$nnNKmarJFpc@MCTiH<?h8xvh8g-8iG$ z$FB0p&j>8uy83sb=UnMT&$Iq%w=1^gu0PXY)UfdDY?TKY&diP5OeSP}UnbZeu-i6& zo9_zQd!~!8ou73xUCw-AS&{miNfjB}d{b^;yT_x!EOBl|?>UA(W)lGm59UtiXN8yh zd-vvT^Iau-&usCuUti2`t6lZWYmF7^KfCQ@&TYQgjHwM#?iU&!Yw)u4U37S~<EEMa z+ilH~i<a-7C^XGt-i+MOSN!I+sdlEP-QJUP+iwPAD#Kw<se(C+8V_VjdAu>d{p|JS zqd6Vx`)5mTt-EuvdxJ`W@}7lK=hANf$+>-Q7Gr9I*Q$&Ih4a|73KusXaowC-SnD@S z@z_<q^{uLX;c2%YWvPcB*6X|`FY&uK{dU<&9u4LYCokqcZIgiAOB;`vZqDW0dV5=1 z2j|wq+KHaN(a%=+te6|awOi%ZtX%Ju+hun_o}PE1A$f`A@05lE8d4rTX3tM9xs{u3 zd}PV3hetOYxp*!oI%vL3;&hkl&ABIYZXW~tAwsI4XK~|!3Mmht3S)ccOVi)6yDeL~ z{*J5@m;3PvN*6Sjc+4?3T6-t7`qAtu=L|ZpSgK9lb$}yi`iv|Y-F3!m#g7#}u)1uO zF2lagc<tsy&j&scL1&H91m>OIvP?2|4lB>)%mqPZQXFTNG#=5}ocpW5*7WwW{_B~> zS+xiHB#b$(yT1v!p;~w)C;Hutvu4bvWVcwFJec9l+*oNcVMhKo-}I>dv)f+!yj~)? z_1u=enYou|UVFE}JJ#gvwx>pG?a~}}`m9#wwXnMAu)vsCW!DUlyz}N<tIM<U+}0Ye z6;8WdvaHld$8(O+?P;gC?3%^+)M#y<$%7Yu%#Cs;6JBJ3Ebu=AvS16yf^#jZjhSiu zFMM9lG_?K{v+U|=9*yalUzahuvrBP&S=#7g4>H>-8)UX;+7+wIR^nxxJ{-GM;%4Ta zPL29|wxMX|H3q2yo+XV36r?<O%x*6;UV9j%P%0gyhdXilfg2mV7oBZCR#!apnt<_w zm}RC@*klf8C>YFP6AA{qWD&?EVzUEZ`@9aip=x0HCWu>obM8)`)w3s-q%a;{{YJQq z_rS+VY+0^e%$?8IOMiUf^Li6VPuR@B*FM><5ke7S(^RZxfn0R{49G>nQY)Ua@v@Zd zb!FPR#t7slZBW3NUhauXj|xAm*XWu!{RnIEo~K4@-P4xr^m(nwd*#zSHYV9K!3xte zOKX%t31fS=+qos{^RC}B7wvnk=(;w`scTn})XcDVr>>pcAwG5YhElcCKD{M+7v^43 z-6dtY|H8~`zK<<9=4PHX?Q6a;^V-@C-U)s;R11$<L@7<r+-kPVf?ML&We3$qCIT7W z%%-*AxJ`JxO08s>>1mL|t{>8CWKEoY#3^}7XUwv#cR^9Hb}8d=DQFvHbMCJYn{>Ce z=a2qN>|7ilArz6h&3AsE`I*PltmVUJF+TM>A!_m<!jIXs@S;Of-%Yc*nWbinug$zB zWSliO^DEzOmb8{wt%=6{(R!DolGvu4-XeCnAz6@@MeLG8QX^QoIY@a3NO>vuZkA;& zu|j*n3GXK;;bqJ5hJ5p9Zd__I;YK<rA;q3qQM%0ZSdUf3n&=x#{r+t5UetecTg<Yp z*FjEyyO8mCp45ufQoJf<vq0%o0c5T&*osRVmQ3?c^h{a+R(=?yeC=Y!<7QGEIZGRl zh=CH_D{xxfd=_Md!5g6n;WAGiaH2Mw4NBC$CJ%0SFgNm=Oo#yInp&{Ji=c3{J*3z8 zF>$)rlQWe@(=&a|m`|lfDQ6xqY+}=LUe<WT0A#vU0w{T21f|p}kuuI0zTH<c6XmwY zEHk|Vat!Z6#^VW6E2i@Ds#HnLoVJhUj){?OE$a)>Ad6ouB8|`8mMvZWf34fHsk8sG zOGpOgeR}Wz>Xnn%t1LyYS6RP|`lel(dChF`<)!Dgh)HEmy)yIKqYWw>lyp4r7@c#O zd3sBgbnG0~RlhS1T%63t#GI*McspnIxh;9p&u3hjd5sYiOmF$UnW_&@IwA|o2-(vl z1N1J>@?`FlG!dBL$K06-O3kI$L7KkJ0Of)5h@k1yH>ebz&xzK%JSz(nx63XxB#ZE} zs9khOT6ELQ_RPE4xtAa3ES20Uml1t<(t&9kR4$&J;h2)Q#@B%P6z{f=CJ!XsnHx8n zOpr(h#jW$1kIRhL@`3{BmRuR<8vfl^o@8&+ot|lH5H>Y(fmqwIE=z8Uw95{<D>4)e zzw0W?&CTpJ0VN+Rko(v2dcW$Dou=XjE+;;Nb7hTGfzE=)Qxh^3Hl6@Ey=^uqRcL{g z^LaB_ACmU!F#r`?V&E87H3?WL%gd5>(IIIkC~@+dIbWH1?c9bV8?|*f*YJ7^Ri6Qs ziK5`7smf~+cF{rgnaPBR&td<jhVgG_JZ4`0CF6EROz+o(+af_MX6+)K|Bi`Tn_s%N z&-LZ2J?f=>Uze<#<Q_ErM#dHo{!Mjm-Crbb=eewIztJ~AX@l_+k1wWCQ>5b`_jnW? z&K6j?dQaCC*0u?|jsz@rt;%?78nwjznxk@*?FPP9k;Ys_*R_9p#GblcHBg>(XMvR8 zD=lud(#DBP)-BUo>{{jU);wy-@ykKVQMPmVT5Oouox6@GE_U6y(d1T#iusgd6ReI$ z1cjdzS?S$l9<@YV=Wfr9FIA~CFDmVgi4+QA;cMR(wE6t+pVep9hN?+Sd@@Z-*mG{O z&`NF}wbD*ax9%@SOE(M7j$10juPM{rBlgnt)UyfhNsSj5-Qh}ICFq&gXw-Yfa@piv z2Y7;}&-fYkO!`3-|Gdh{b#<YsJg47Gc=#oH=6(jP7Q>jrfVS0qrwUIwvaYjUafFxs zYr*Av3Vp_98U@$*RL|X9*#5cf#p5Q~V}%#_gm<L0d&X=#G|lkNCg-->*Zy6u57~Xx zQF5D=#&I)t(Hrj;2wA^TEWWeRxp8;diZGqB7Z3Nz{;Ei5FSOZmnC08eM4{Q-iN82Q zvP|A>ac;YqFY+Z`>{!8$4dt7sJnU#Lk|_;nOy<)nyyIv)XM?%NTFbWq3H`EOZ?-z~ z&wg{^c%606;|5u^f(v|;EmB&uOy+HIZd-Tl-{r+0FU&4GRdB=6vd?10sn0SYk8aGq zHX*bwzny9K+kmHk%3dU{m;H4ky}j_w_QNcEHxh-|@1Oajn8uLJG-qbY)t}aHl$+A| zcGpE5bDwE%vSLkMP~fWi@|~`+o%>?TzLm~0n6pu!@3NRu!6_#dzkTz5-tMgts=5Ea zg?A;-u{Mjo{h5wgY8!G@3#YjKdUlI*ms9QJw@Y|eZoVG2@D<PPFrnp{OWrrXU7&sC z`(eJD0>>Stg)EY9Pd~y~rCobEYd^2ynQe-Fo4@S)vUW}FwzXGGJeBv}xtr|Fvpd(L zw?;bXb0_c0JDqM-y;YanB=T-f@M6#LcrcIG^Ul(VaS`{nDYi+Q9VzUy)2KRId3W2? zBc@U>uRaKsPTG;=EHgdV<H00e&zL0>;||STetYTlBJrG#$4zUU8n<p+t8(sg^vlxg ziPA|k@|<P3U)H`<y%};lOz8EApP}dDymeX<H?|g>*(%UDQ%uRQn@8`5*+&lPq#4Q1 zS)N{HE+2FsS8iLY^6aw5_ajWwNf8;&T0GK15kBrKs*^c;zu4@XvM%8K<I_1E$vb&h zmTu<tT(e~2yyM|F1rBFPKZ;0p&YEyz!jVhnD>u89AFKLQbE$UYK_?5dsEz|_+!Js3 z2;Jq6)^S-Ys_U{=Sl4B(psq_2tLK-cYo@mv@N5_8OB7QwxZ<SJHo+zSC|fkgVFm7o z5*dn2%sMWM>^;A*u9@Fz@MWt&pPiV}h1*UlRg+xece_P#9M<4|D3Pnkl&s^Dq~rM| zHG590LC<!9K29+ugNsfoY7<@JH>yQ*92Vhz7~$5JTf1{s+D*4d&$1;it$C^6bp6$) zuJ{*$^*Yt^7V}qp`E&Tp<XM|`-TFR}|M1r>8tZlR*QQ3y>pi6+qpjnTu(Z`<&8c;! zSO5RoHGli5u7?iau1j)j9$xf@Ur@-T?cq)LD&ZLmr*jv7DM@UU>pKy$Y~tUe2b{Dv z9B{mvdd<=HOmTp`u&hZRC*Q0CdF;MPEH`Cu-rw}*-65X48gqWDp4q5(#-Q!h>n~o` zZ5R1grAcghWfFRMGq-U`VPc>070ww4nO?7y^G)sx=hM1y?4nlZHNIC58yBa^-<CD$ zd(1b>p`P70spi{s17nl-ia%{P?{CRG@L*$s?I!#3nQ1rKPbXV8dNrjVf9&$@I_qVP z3YjvV=N@w()_gmu+_rAr1d{{_C+5C|CIS*}%$@s8J{a`%gsq+F5vwcj8SDFJ$-BgW zZ_8(YDwUt?SuYdpVcWQJ$-E<5z@ya8OU`Xrw?TP}++iuH6?0~?$*j&;pl5C}!NQf9 zU;Si;<+Mq=4j2VZzv1*s&TR6oe%~c>$K8Vb6PQ=3Z#4UT<k^~e|5VQ$6+5({TS4`p znYFHq?@`v@*ZZ&ZJ}mrpo%M5R+w7amYbC3AqB9R{Xl0X`ovE;4BAbwO#)CvjN!{bl zLE=07UkQEpxO+G@=zC%NmB%}LUvbJPd{x;d`bzbe#v0|)wymwQ3%^SAU1)fyz-zJX zvO|JBugbTJ2M)&>MfF`>qPL;z%2ls9S8UfPl*+&MjE()}VcVFzWZsdBZzi{Fs`@qE zpjxzN{u%wmd1vg5HQl|Ma?eF{NIBnB-?_~5Ra2Gr?<4nm=KNDVlY4WyTdJey2k#lt zo6o2CHa~b&ZNBI6o8VCWNRGot-voy`tF$dQJM!U3(EMFDB0CObat9XgY^~Ulq-bZ= z!XNqV;>uiImB#1OZcVBXvol!fzP#*=-3bHb=YNHsUHrf@tBOUduO-;?G3Rm%fqex_ z<&(Q4S#NJW@n7}KOV-=0Rh(>X#?g-r7fVd|+sVZ|J>gmP!eWgds%IoyP8Gbq>ooN- z+rm|kW!_DH5SVT_N42yqd&#+t^RKXeo4D%;L(umNdUMQJcl94%V&A9!P;q{(((Q#G zW=^eDy8ZCY=BrLBZKutS6r2o_KVHNw7?G?fG+XD$VO{Qz9}hT%=tp=kiR-9zYTrD* zF11t6RejU`;LypxOU(AltnEoW=+1Y>!1DJ)omS-WU!L-!PR(^6EZuf&IN%{ZP2%Yx zIk(*#`VIADjz@_5CCuSot-6T$b^77m28T`D*S}it!c$t*_Ra6y#e*kVt*myssE7ZM zxf~mj(8le3rX-PnbIgt7IpTfEecb8>*IOsgaDVtEm(euLdbfeI_^R;D7HxKZ=Pq17 zY5B`?r$fDZ_;il&A2PAA5esH>dzX|a^4rGTaQ7GQTRfLr{le+i$uHg>$Xdf!lGx_h z7k4%J>(O?-b0039w2ZUd>5%>WpX#k0hn`EkImF}~6R~J5cXh>|h0MPz9vv#QDSgN? zZ)btfdHXYW4tdvdMx37c)3WXA&D$SarzD=8J#!W3s%u~L&TKf_diB($R@Sl|8;%5u zfBSIiqFx^>pVo$Rj;hzJSG4o;y-Hr(d~D-MN8uZ97I@}t3^px^PTwC9;URfzPwHDQ z+k*I8)lvPo^VY4k+_igy1HbsR7cV*HEMD8pwWT<q^Bdo*h0V>sUc6XPSW<L>#mYKE zD3)W^%mv9Wo-W|o+qv${C5d$c?S|cw>vkC&Hx(D#@$Qh2dCZN&zK@=qP3W`h3*-J$ z8qitC_iEw(=3f#I78KqoJu>HjD!cEaO><xAzp>UhoLe3K>fo;<t&;P085}nj7pr)8 zNJw9%vG`75Kw~$bR^fR^+dJg}TPsR0SjbsrF!jrrbRN&Ii~bVodG`DLtEMY+L&HO3 zgMY{RRzALT>V0zQ)T{PWt|vTo4|6`9c6LhQ!m|f1nXcI+9b~HWOXJ5B-CTq6{Zmp_ zzMUqhv-$E<74O{bhd5TamYyz{Eq<GKrBv8$o|$*`H%bSYuKB64W8o4W(a^1>p;Ol^ z+2hI6ZqM~@!Hld}?of3zVQB*yMYDvJyxRn%3uIKy9<1cuHcR@-5~o}Fc@u(yrQax- zC0ID-OyJ8@-^h5pFsZr3<8~)6OP`>0#}&5QJ-ksNn?9}DBw;2cz2xc@w)PHQ2{Qrd zCASME+-OLa-RQWBm%W3R<(jbclG_{3-&vq0dP%LgdCII?3H%!wk4J$_tM23t+2jSX z;Jf>K(Y=wMPNx~JS>d@idB&W&<A&;g{SqR7%{?;di#5OY<@Ysfy!Lk|`<`ugeZQ)_ z^yt*BhCXY~O_gYSHr1l-=~R}s=TlYOo=mmrIivkkVrqC-a*1izz3WRSy_y!f^r_*R ztTYau)4q+#othjz=B%Bi%N``QYyP;Az*V>=|JsTd>Xr-FdDT~c2>8qtm{7m)MRM>$ ziQ`?*-iz;D>pC??H)~quc>~4Y^O9q}?LF}0O?7AI&F^w*srP@+m{Ti%d`en<%$Mq= zy|b@Oofdv|+VvH+*RQ=yaxIN5%*?Fp7AxJKacRkxU75zFCm)`3-yi%X_r#q|uD-`2 z!(yV>=zZva^)RU-hF|>n<f<Jka#s$k7XDp)U+>q<+eZtnZ>bb$-%=?wzV+l`-j<Wc z_htmkd|&L#d8fln^<%(&%@gKZHFVb{l`cJcHl!`E?=tU|t=k2rU!79dzm9jm-mf(i zu1?vmvEFriTgqNj-O!b;y1UFnghLeb0^>U6O1A3mTb&WpJ?~4|R;@X4Vj*FT_sl|i zrNypnVf|$iax+XZ>!#1w7|UNlyiacSy}mTzg~hyAJN|`mSMGYucHwK9Ri1&UKEKtw zNajtqLXK};zWuW7^@B|z$4j>@%=+?chTE#gR)Mm|jb*P-%vx}wEXI1(W0zHrZw1M! zUy{Avw$A)=;o6nUIu<;Z3jm3H-^93c?z<i0VoR*v?c@zjmbhA|^kT<y-i|)unSIrl z8Zs7~DZ7)Lwc=FBaoa^v8kc3iPXHOQe#NpA3m&%xA9r46ohNbiq0x&S3&oaL<#q6e zCL3HWG<vba@rtCnv8=g4iPzF<pZ$;K^@i5<Kj*FA{9<;^HH-g2k*joXOa05cc>e9Q zW4>Q>qz_;FbweV|^v~W!_j|S+-LNapuI=&HPlYlor~4=5@2wGPfBp1x!HPILdG^;& zyB|C3t>JvLe!70A?pMnknc1&{W&0DW_SW!Re)aV6j41v22c}g0R8hNrdikZ(RX=Nb zR!rCL<NW$b)nH%TK9yrvpKsvIS><1<)VA^KCqtdJ=krv}t{s1t=v<p)ckTLdvE#db z-4K$vw?J;2$~6-cWADphfuVuXL5->xmt~dwyOEj|b!So7{ll3*qFwE`&R%u;idI3| zlJ>;JCGE+LmoKkLjm+eHW^HSlYJX))Lfql`;<dS7U!0Cy-e14}#r8FQds=VYX`3%* zdcyoww7+3azRL-@xLThRc2U9aEN%r9G39Dnc9!+lg?&k#7ZVmGxJ00I>eZ$9r%zv4 zuW|N7(ckKGm+kouu6nd9?W*b4gG<XomAQ3RuQ>F|?b%gZ_R{Y<8!yiK^vGyUW{R45 z<SehCYi36Lsg;kX>7Hs14f^1>w=>aoNqb_Y@@E@08$+KSvz1%xwsa<kZ1GGe+2Wa$ zvgPFA8yS=P3okCSIOZ~2Xogs>S5noJz=siHkrQ`mo$oL+Jkw)Vc&5vY^Gu(a=b28k z${EtRpA7YHi5&lv5zNHB$k$V+$3~0ETUk3u{I2UowYH-Zt0zZDzWiulcj<9*))MtT z&583^)ZD8k&A4(Rrov>MW?ocWcwAIecvNsyTySt~^Gx0xv9I-~j21UmHfnC!vSLM6 zP-NfBg{%qZZtRFSTYoubMq(WE=S2yRB(7Oo9=1|{9`X7KQ}&#gq^*;yBc4973$v<} z(Vk<|xY2Lkk%aK?MLFfU>z1u|^gCy8;iM&-#ZCu%_31BOKau;jW0v}cv#qMzc5P_a z)q9h?nfv(0ldZxxUL5k&$xleDu)OnVdZ?=CR>P960)sDI1qEMv3OK%W6nK>6C-_;m zEnc&1*UD4#ce_?e>@qWWo^nX|@Q)1P!#gsBk54!;!D5$76^oU7l}eR+m5op5mmf1^ zUUnu2Em3dmoH$QK&BWmP($;zLBH>|E5_`S|Ev&H*xjyBnfz_!)23n^M85*5ZGEh3D zWN3ftQDVlFqsKR}#fzAp+8i=P%)Fb`yH|4I?T*Y#i=1@d*!|n{;xSW*pfkIa!Iu9s zZuR~vzNF5ZdFaCpCkwgAjswoz6DtxF<=#bi^oxrL9ar$K7Lv$N^yFD!-M(0MZ|AOz zuiFLM>ckFRyza#EE22Z{n%JX-i(7xZ$W$!+vS9+tu4oUTyD!cOW_af>3c9~e?9heQ zbv}!Dd2O~VYwQo_^%OYnEv53Iht1PQ)v=W4yCYMZ^@6As{}sOISmu0<Z<)7QpzpKT zq9XB6HrMM#st#`IZTA*?^mtS2k;0=+LOZe)J?CUPl<^&R-c@{M#ZJHQWo~DAxgYMx zRAkz&<ML=*YlTIMqFq^}hs<tWl}1~!Nk`|=})n7i2Rtlcgn(=5IVQ$0abuh}La z47=^FKAz#*%<}AlLg(Ct{PThoN<SB<88UBp@W`}#N5#e~N3+cgugu(6adsi&;g}-x zUyn?WI7zfcaU3t;7PN5YarRYli`e$j;F42K&!!0$ZqXi0$vP^Xg(c4m&MY<$JY2A+ z)xc+iKwqbrlEFzQ6}9M&Q!ctkj)!n}J~pZqxMHv;MbR@x#V>A|e%$33cUL4<G_LdE zJ^Z;ORfzkA^Mgc1-Wr`njxybu6AlPTsTfXUdG)BYYX<X-eHC+6)TDTmZsaTSSnIYt z-q*@;XR|=(Zm~xX`&xhO$WScQQR<(uuj1{-2`Xiij|au>@w>1)aB-S=>`W<BYn_(l z-K`vJwhD9#i#>X{r1ghInqr~M)(I@%B0YqRUz`)P@GI|=GEIJQ>dFd9-*C0U9y@zm znWc^W$|fC;4S0oaI5w;Bwe8EE#I&;e`pbeNzR|Dd3x40AWpl;kgNTI}V|=5sNQZWT zh0Xtr2`hphziepbc(YvVGXGg?7iNC;mAfWY=~wHxB$$WJtTVW0CslF7=gQK0of*mP ztsFV(THJN&72>=$Z<f4Ds?v|vaY@h*omt27%1o-_hS$}jU*pzAbsP{~W%M`U{A-hd zmy)5=FI4YLP-Hs3;?$Sz#rl^W9trW<#4IUO`ufg4y5oT6Dx<$X=Zj4ySa>t@r>~e> zQT1J0*Cl~9bY@-7b55xW3%_QTbHUahzrLFu-EkmjmC@fb=Xy;(Z0Ka;)LC36bbWVx zvLe&!m8ZTiTVKC?;INgH%7$sTI%ma6RGBW~bkFAwd>za^@x|>94hR1*=@lowq+IR1 zr_{)J#cz_;ljkAHO+VkxY~`@oD$uDOl2Y~TwZH4K^nG?F9uHVI3jbX_kB48!MKwrl zk&vd+h6l^-<S!pMtSqHc(0q&Ya^u}i0$Z833pBQ0$@}uBYC4<N{>6?=+gHvlkc}{Z z^dbAu#j8#%y9C(PeoQ<&&*Z~}W;V`}<z-4G39`B^i<?_Hwk$7Otp56($%hT|**N$7 z`Eh&E`cDr3nsb`xuZUn<-k4~2hCl8QTjx{3K9zMZ?DaNVTZrvgED-U+%tNP0+D4~L zx<;o^`ccFyqZjYB-WEzroZC^QC6O>)Nk%AKS;jM_;On+Jr9YYFb6#1!GxL~JB5gCL zRJvwPvGgO0_eL+&*XNf?OKjU&r8UEp&&9%m&&`5`&(%VO&)wq6C51y1kGNhpyn24h z{dezp8)fsFkN9mrcvx@y!Q*+`75nz;HP=o1%dovM@uI(st*FlqV<j1x%gQpKb&f*8 z$})1xTr4J8Jhoc)>-`(Ce@8L}A|9A|oGF*KIa4fMbEZ`K(TvANFXGu}JT)`ODgQdf z=*R|@LkBmQ9a<>#oTJ@qfwGLyY9$%DFgJ@y7VoWI{hBVgZRc<Agw?kdHXh9l=q}uT z@VMG`#lBv>=6|kj3-g$r{lon$f2}C*DKN14d*bbb4Eexzhus|QF1I<_+sy>~RP=WC z?fPfhCHL;1(2I9w9wo)nHYKIfH6?}8k6yendJ%uH;$7CVe}+Bj>y)3pUTk;g>hyhf zuMR88$m~^;VRBZI5o%VJkz;eUm}K$x9p6^Ag7OsyEVer)t-1XmvFG-K<Sn-aj%#dJ zY)tH()27n4i|5yN?_ayh7S<nH(!YBB<6nC+-=A9e=+~Z1@rMsGq#p)!-|VnZiE_X4 z@L{NntY=K|T=QS$(N(*Ddne4jt#I+`)XbN&on!B?$<MvpW?{9Hm$RfqdXmH=tNbte z=WI$%ga3axBOD&D{-x6RNa>!Y>bPcQ8JT7!875|BnXF%Z1uINLU7{yhJhjUIvi_}2 z+4t!Ol(#!B>U}-qrFDt&T{d~;J8c$iyLq$r6-rN%cw&|R<vmMmk!kS%m<z7q@#<eI zi<J)@EHFNFuu%JuVuAG`#X|K%4<F{WD0fa?_u1{g+a=cWdd@lJ(kkb6^2+J$=Cxb5 zgSRlIR9a}qGcy-@2+>{%+#2ReT!Zul-+yO!5brmMi<O-Dh2OlJXyOmBgQOm{(r zh1^4rN1Gn+;jCl&*tmN?3*T;DA?v$r{JZb4$?v|~X7OzYFXx+5=}9-98?o#^@Y>8^ zPRUo(%g=rDkAHQv4(Stl_~3=~LxC6K4=22kfB3<`<j}+;q1P)HzYmyK^nLn)%<Ybk zdTu{>xa;<V#k(JC9sVkNN-oct$@XrWO4UxDUvkfl!zcWbdtQ9K^6=M6W2Hj}4U7&Q z{CH?%)@8oN>4JQn;evepYn2~)JX-U5&&6`b_#MB!kG?eXC@GK^;#ZEj&QUAAWq!4I z;g@o0A&CcODux$QFMJQQ-pR}39b2pWrP5gQ&_M&sLkA6w4=Ebh9a1#3KJ+lLutmAE zc-?2W`<b!N-sv|!&1*iwwf*2>2av0`tSi4RaGY(sVk2jsvygCo(m&DYeUAFe`7A7t z*Qtw3u!~QZc>lys4b=LPiCO;j_?$V(t0r^z6cu%P`n^kRn*7`1#S8nn2iF~!7yX+j zmmd`vw!E8_e|f;-{M5aBWj?=pD;g1+zy8Rps%l%GmD{f$e^FKaSRyz-pK0@}x4ubb zwR>ZhmVf<bl(TaC^v4x@_cFP^dOP(-eE$0O+OOY!-3ZCgmzn+gZ7BEls_Mx*;^NnL zJoMVTmuGsSUFfC@v%ecm?p~<lG`m;5F{a_C1%Iwb?cR{fljUdg*Ix<w|F)*e{h*}O zVK<lE5@uHpAKkc4POow6*8<NqjX%@Zw{$1xZShR-+v1s&w&mpEo{Y);FE1{$Smrug zXogI#S5nT4^)<6h|GK)Cdd3#=EO&~Za!#Nv+eCByp|2OjCtY45b=s+a{b`23%~vDx z+O?K*+GcNX%`NoJJ)QPz%k}h^E83o2)!{e4T9fi3zkXB9#$vIsxVuckx@$bUrDC>j zyd}0nvPvSbtv3GO=}V_RJ(?wShij|Z<BYJFU$*ORB=}YqugS^ad%+XJ9%`?+UaDNz z+Fv@PqWn$hl+f_0;g7fN<;o2Ros*k!E_~}%$9(Z~;aPX`vqR$bk6){*X5Dt>w)V!I z_u}|xzk2(1<Hmb&Q%$emo_*k5RrO?xsQC4#`1`KhK3%ZmUR<B^*Kb@hYqp0!a@f09 z%YMc7>&Nd^RX@G~ik{c6-})wn*Y4G_zY&?Qf4J|$FTd(u?|-{42=CdVSbkFe-U*pM zmEql&u9R4>Zrs^?;j2p9%{=DAb1oR09BPx8=4K%=&DFxf%*BG`U2C2|o2*c|vW#4w zn?=o?u7V?l)`un@=;d%1>UWwMcVeNCY<c;Ln-4cut7rLD2t9mIA@xw8LhRv$3b}_L zJ}4b}_&Bvixi4I>k0r|eON3DFo%CG?Ldr$6GPd^?7;Gti@3N@*_JhR6+Ygc#-WE8n zv|X|BXr8l>x6}CroRUH3{W9-AP<M4*I#qS*)Kb-7=E7yQlj75MBu%l@lTK~)c8h$p zDDYdsL5<%Q`BNV6n5DgXre0gN+sub|)HSN?W7l2Xf3oDTh(zAAZ5c5!OWF1ZhB@1A zZ~T{6!&C0l`0$-NZ<pN(;Uo)*APK%!k-o!<u4@lFcO7{*;nBkgkB@~mOO6yOEm0{j zTB1^TDu?B`?d3&k(=RKwKJ@W;XdxZMvaVgE^WFSeUF~^_N8PVa5OSYBVUol136orw z`}ewW+%Yd-$CmA0tZZsw-6GQWP0@8htjEL*=^z^$j<=`XXHQV?yQZkx7`|}rq>4;A z&d$ZlT#tQFT4G?suPJlhsq4Vy2}&PTmQ+;81l8DZX%^a;Fn`%_TqL9@jjQmDaVyKW z*-k?2MT>p@hWA{vY}Pa8F=6dvT&8g0zKBZI%%*n7We<`+sPlH)o#E6H+G1>b_ToK} zsKgGzEKV!wHlenK%MKWx5n*YY-E@Q__``+sA~iimPP!KtmYLd~y?9n6DshWomS&Yi zTTq+fvIB<KL|Bf^Y&udA{K4R$NR5w4Ymv{Xxz155RvbzRzUW=ExOVBTT7PNDN&BR~ z?Fd}FXud>Htmr#)qi@$`V$U!{E$qL@pIVWc6L{>x?Hh}F7O&UjIAhG(DZ1=I;!@2Y z5ve9Ceau((zRc{~w(J7eYTkveyw_?L$?MJ$+GPGSd54Hip@oWZOwO0cz{5<DzW0`# zE0}iWYU#`?tbG%A9T5%sUeJ4`Hb(ucMc#xeCUZ~Q&gc;Hf|vzwUP+bBz5-ot75<tp zYdh0(&B#YGs?`?GC+++Kie!#!M#i4fjEp@mT^sTxE-LKu%f)Ux85g8q-df4*<iG57 z&!z)ai*+_0)Tq9ZF{L)=l=S(Pm0vnH9)DJmFeN(ivw9`tv<HcHVZ|?|{gGXvS+Y7% z>_*xY)os$L{MJ32`se;+WZRtXfB2%z`5um_#LBvcr7z~?hZ{c+S$xLutOmD_`6(ge zo=xpD-EJP&Ssc@Mv+}cpLFzd}7SrT&XY+#$63dK4N|hEoR+ksAow9z#%THN#&R27O z-qF~8Xv&|q=?k6f60%m!$)92=CXw3M>K2(aG4R`l8ydeOCdro=c<wvH?{Rjbeov9M z&e`>jXD6ICdb(_-sr&KspHA~A_ZS^7Sgd1kM#H<u<dmG7WUBn)-XfXg;-?8`4UcN9 z4t~1%%f#$iam8nhSledq)V(65+-B%DGkH#+m_*hTRW*rJe&OCt{hn@?eJ6J^l>8Ds zY!aL><6q>j6-!zrs#--#FY;*0%ysH=xIaPZqW+SK7xF<hJRF*ZJUp75JY1TdJZ91d zFCO2r;%B{wN1}v$kcC;hNTaW!Yf`eu#|?^0eniLzJ&F(y5{i%xniP?2=CojQW?=S= ztBS3Op&kz-B!gJOT0}aZDjq%DGvQHkq(^6RriW*Or-x_K#+w|SddpnbCW^I+w6!X_ z`symWCe(RMoFN`$bB0&b?pezei?rE{RWGu*>~_s`lDX@v*eJJbg3I~ym!>~jRyqBV zgj$W)iC0H{o6L=pDB=<Jj9shcF*liGB{z>+X{Y0ocZmusS8w!qRrp2yE6cBmRYJ8Z z^8$jUQ^S?F@~<@hqEy;9Z;9T8*|*k4H3n`v65;jDpl#CC)`m%sC0tK(_AGg#;#M<j z-yN;U)U4HZXZ^0O^f{|h{KohcQ+4mABXW!1ByJD<wo$v7TS;T}XR*mV?!`XFr`B#T zwm%?r=EQNW;M<8)EVoIgPF*RP+PT*4=3%kLZ<34a8XT8xJ5XS9yk_woF6qzAI!EW! ztTEbZ>NYcZa-i6agej`mq*M7{_iXB4?zU5AyHWYvrcI}=<ViQ3y7KOcx#o#0Vp3gJ zf(Ab?mn@qW7_!Xl%#GmUGbX3j7MSmUm^x!_f9SGp_ce;|7@uPL-n;1t^WrxT7Y2U& zsA2y?A$WGW#jNCYfqAXRf2K}dX?v3OPRQKXn~Qd=w7Z@!mD-o?7WrUj;IxXwDYkn| zPT9ptKIPhSE|z`PvU7hm+ZJ0clTO_l_g_c<fzX<B$6wvapM84utXIYR=LU*p<WEtx zl1SxG@BLLHV{%H=V#@1}%T{jOaH+uLIM?DkT<xE$cZdXk-YCWG-eYt;aPgXH-`ye~ z?Fjr<a7g2~Mdp;#g{L&aAC%;2yeJYqC($G&&Hib&L{IP2wnfK}ZMt*ALo+Mqi@~=m zGTyyK+H+37?=aFic|0p;j`=AW@t#cwTo>yUp46z`ku#+>#_*Krj#>9R64vOL$(-GI zLSy@(t$#L2X<z?*+J4I8W6R%*?v-4lf9&P`Nqk{q$=P4n4^F**ed?B+J1Rf+-kP=R z()-s}-kmy~wBuvzT%(d-LG`M~oswU?J;YNRGh^|3ZtgF|iJg6VZxS20e@nbLRLE2G zkcDk`fspgQE#EcW(~lq1S}ytO5YOS52;Jz|h=dmI)vjx~SDUWmUhQ+d^|8d`L!37M z4mD2R@yf!Vb(h7fu<nJ~eY>unda-M9Ugx^L#V;4mZvOS+-Gag|1s7PVtTKf5?z?h+ z&AFhj{sp%k>}UNt_gw5q!OP;mwfmexdJoQjoxiJ<lUr*~dMeNNlXDGYpDI)tFI!!c zkjlgVQfua_B89z@*F)_#8MPfRnHm#n*>KP2h1UEC$-}|BycVu{<DaiqI`#aTo`;sP zOG84xEjIsLGWGb{o<#oGrOQ{n@m7v~94DQ0!+oCPuNz+!&AIr_)=4K>I9yxK@55iT z_`wdDEsAZ<DYd^=d{Hy!;yaZn9duG4a=x9)-V?n|%0*Y&B>E<Pefjv}<U1#>e|@`6 zu}wIocHgS?+B*Ydu9shGlkl7P^=N4A-IKjSyY^i+J5tcLMt|X}*G_p;u6&)lRk5u& zrPebve*5|6rNy&W%nYjU)ot@$DXq8cOu^6155gQg`V!?=t}Yc?SuCSa+PHX$Ug3l* zwlYek^3(S(`eLCsv5HCD)3%d4UhwXL!q!`BPdS|nzPjSeW0{r3b5u(km6zxhwq3EE zqgE=v+{3nS@{)N6ID`BjSzP61y1gPVp!2ZdDygZ4GD}xYyx})DTdpi%Q~%s4GRO10 z{1W(8)i*xf?B6wE>Q`I0zYiK_$BV4u+0HTbvDredJ3p1`%cFSb-LITJN%CEw(eYX@ zv5EkV*ZZUDJx=oUYedf7IYsBgl7MUVH~&nTBsnk8=y<W0SVf@5>-}}Lo+o+MYs_5y zb$W}=g=GQP{5NNNpX7O~F>|r4DtC#-l&{8@e+3&I*7AB5;d_!Pn=AEi%DSyn&TQzL zWO*%k(}6apNc+8+%BsdWi&FZ|PSM%0G~inOq+L@dNp1@?I_~8qb|X;ZwY~UV&yzg6 zHD)H8t8%~jX=D@i^}<c>6<XoSA$@;av<em^l*jacZ=SMdlaALj3!jrrx4BbgzvOtO zN%)_X5!Q%INLsk&_-_@jv>84pWr8S6>j6R)%xekWy&H6jzzK>RaJp3Sd6?wc~n za$3Nq1CN{{`@g$RIkREHBulY?O$Yvf_?1)67)+mJ`7B`5fj1z2<dikXtW{SRPng8Y zCwi)CkNlF9ty&9HOiMH(6XHQ;#(9N#8+nC2o;!)POf+Wh*O#?(0wmK!dva=v`c*?3 zEm@z>zwo#=-BIM%cEb(LlPtFdZ#uP%E7kVi_8_CfVqRgN%~ZK{v@>?fO)BQTwZi?0 zjnmT^{wtWcxk7AZ#D%mDD>*%l@L9p+%@txRGF?dPu#wZ#2)`9f+FT*FJjVsK4!>-& zyWISNWg*uboq(?bHcl(FxYa`Xl3TP2RykZf{GMl_(Hzx~zTy_Gf_)BGo!>JpG>TCP z>GN*UD!92rea3H{ZR=e4C-0qqQ}b{w`_mmS7cjlG+a74!GB0}jRpphv$<ck=__Quu z^!i$}`Sh-M+xIeM0e#c?v_72j`nn@8x7VxxeR^MRsL`7nVNZ{QtvX(-&Yt?>%>tS0 zYXV>Xd@yh7tICJFnvL!h1oTDoX%*b|`nsp^x})SeD~;pK?4lJJORM54pDf_%m!0x> zW%H`i2kF1A_ZV+k7PEKByZNtEi+%3<9q(H|XWFe-X%^p>{yUn{-MVy@<(H|q7r(ZA zwWgi*t4;rh7ge_`zaMR`Ds!zUu~nb@TgcINyOPbWdAI%gd0yRo)#J|gbxzr}$E;s% zIzGIplC!d{3pgK_Zc`U<UNYVG<AQm|1?6JTD=$|Uyi$BX(qC!C^EI32r39S+%hA8? z`Mv3V!FHum_e!Hnbi-q+AFT{5ym3`)o|LHjoUcm`?G$`?@oP+RRnX!`3ykMoSz+;f zjk>8;l=W-R-mY_g{#hY!K(0Gy{n)d&>)W}ipv8;UUY;x^`Wxgr?sFAEiyx)7xL^N# z=%r_Gmo1pTX!E=)D=MTOUi@lPIQP@a%jvUUM@_V<*4zC}C1`O{_v%n3Q!PD9kol_x z<zoHW!%wW7{B!2&hbxPJE;~KRYU=jgWmBvs_wUZ~oO@#Z9kEz%>Fa$#%RlCAcfDS8 zXzSuDo_8*WdcTr+_hi!&zlU@4y4O9OqCM>jXU*~4i(6yN_h|+Q?|I>WG^upyQ^Odu zO`(%lP5t})7yo6=sEbQh?Q+ZAZ+qzAhPTCkHKb2nbXxoCZNS>U_UHd6x$$iZc`-Y7 zM&jk?Zr7hY_W2;aScgYi=kMXB57(_V4%<XG=ycTY<2KgWz<PYsNBy2n20EKuPn7)W zmYtH=sp}wjWdD^`$!Ry69^d>i-91tw?1s`4tAA7ZPHi|Pwt(YN{M$o3#kmJNbN*y6 z*5P@bBgiFNU;Wsw$y4%{WW3L*#YP?b*K)7^`cHh?gA}uW*8;`b!ngfc+V_8Hvr)-L z))%ZF`3n-;4sLs}C+AP|A{`#)T-!G<f9T(O^u6Sdc#a9j|2@q{F&ocx?>ty-dgx%m zStCm}=kL2@jqYqXGEHiSx$KmO(^S{p*|1mkVZw_Ktg<l%8SW8E{Ks<KPk;2{z3Iny z>cUTMt95r8Y7;wc%;R=PDe<>un_H*6$m`TKji|QJaQ63pvUA}r?L!AI+!l&42>5+N z(K+5bXJz88^Ny0gq92{&y|jCp-TB^&iPMCYBo-;Zosc-?sn56O6Yka;hb6pY>dZcE z6y$3woc5qb_Gg84%8Z0gT{#!Ki;j|Q(T}>)mFLSmOqh|xskcsfepWlrWu1+xO(&LH zYaHhBj_J&KVyrB~vsve$YRQw$Zzm-7scuUV_^HC4Dv{NxJ+rsQqop~qS9QmdzMAQ> zMsIkIE))85Otp0DQ{Aku&v~Vtl}+Za;xQ|kX}aRn!I&9|i@1x=6eKpj)YCCM+iL4m zx}`gLZ!_1L;(*Sre6JFFn}0>TT~N5D=mJZaRfdrEnvnWk#z!3`)uMMB{W#b+QE$yN zL-A)4uMROCj)_Rx!Cfu!Fhl&f3cKix2MdHA%U(Gw!2Z?nn&V@M#|t=n$}Xt5)h?Xh zJ9}}j_pBHGY9U3RBsnGC)lB=p_L@uwc$eQ6fj(g|rGh(7Dt(b1?Q2Ue$hc=H6ij3j z63=+>(1y2W&SFQI^vnqdB&AdeZ~fS_V%x6B*n&H=UhQAr@$g)D*{OoxtN#ewxP)H% zwUv2w&-GSIs~sC0s>P>eJU=9NYuAQ;Gd&siY;nH_Q&&e%s(AS#xG%b|cc+xL-kPv@ zy)z&0w6f0Ix#5Vd__q&NT5D|zA6m%mE@0}9vFL2?vlHF%@<zmw4+mN$-&wz!w&URf zxpmen`m_0@j)$`QK3LbRcJb%yFLOV<&stS~!Q3a<<ij+v?HX%WbS2(AzsQECxNezz z%*!uFHrU-dvO(|Gk&R}zR1DN^sa&+b^(4_@%gN&hGJ<8C7x{AX^qQ#}>U>&Ju%>5? z$5|2O#lBgxlDUs0WOIci#B+l>J-3`Z+>$Z5zw_cU3pLm<R@9R~!5box6K7>FbDw?1 zOTQ>z=(e)0+&0&$nw;J*EAH4`ntb4xhrf_?-L!qVnIA)rUwHbOgWGw2$Ii7;zMjlV zwmi(rwld91woJ{+wnENIwsKXjRW)CFz8txzd}*@7cMpG|yNmc(-QTasx?*$1^wQxA z=9dl|T3%8%(7dE<Xn1MyvIQRf{U0aJvpD8jB_tvJ(lg2D%0dAN(a_#mHEnKH5^nBq zy^>O<xUS7ztYg>a9{ET@EY)*C{1nyBfAP!z=NP4C-u$uq(kH90@u@uP_ia>Ydwze( z|C${PD}#S6H#_#>P?+j*V`-s^OlP6D^;wQ`RyQW}_nC>ft4n(=*vzYX@n}<4(u+K2 z9$OvT(8X1STc;YX*%*5A;@LKqYquvH36lP_;Y?eN&h~{CVK)Mpv~x8&L+yR<`*TdV zq_ryRYvgLHkJ7VN*qNN`wGlcT`}oQ^XP)o-mc4%bBJ<{C2Yv2|FLD*-enoWjyHDeI zv?EoK^Uk&jD(?bZbgPqg<SX*<uXuM+rER*|kq@VW<c~XZ2WtCpPprsMl$#gP(Z5_w z=(sVr=YyTCDjy%c{!=(@mdyUksiur&#%Viij$QaV`%CXnCoyMf>y#HsYo{*WI+e3z zn^C7<*t3O;r~Z7AkXpE9`zDrKk&!~Vxjw#aw{!NcO*<SLA8qC9-yZ&E!oy8l!}{ii zsckrX>I&DPQ#Nz98OfWk(dl~~Htm3^w)Z2ggIOh!a~E&#eeGhGydx=9X8RhS-f-z) z_YZAT4P&+&^<{^t6?|R(GTKLS+5TnA+A<1?ZQ8tNN_UB*?f9|vU$41z-@}f{#xi9^ zea?P*1s6`L@>%R`|LgZIdH&?%8*iT!miXD*z542J^S*aacRW}Xw>Dwf?p5Kdr%U>s zGrW9~HO+G85gGOG2G>v4o+<uh@yxoCiF=Msr|P<Qq89I8#ckD!e6cESt%umoRnsk= zOIgdE+qI$JSWo7-oVeeE8QkiHQ*tUxPv2@dzF|gAWt*|iU$_73CQLnk;eKv{!~?Se zo)T#mww=5}&3D=Oo$s*8JA+2`>)x8E7@Uc97CJoF_Uy(pk&UyM-FEQu)ZS(53(RXi zkg?q{sps~C#5K1cB+t1maJ&LGB0kqvcf*~?##wx7J9&8~&#j&i@$iXUnAOhyP(Qij zS?c}?t0t>o)SqL^7PDDGtmX3po#YwvegeflzKhCEY`Ds2x$VZn18LS%Zltu!ncZ60 z&s!#Oyvo`qVXmy2;q6=1GN0E-ue!MR8lU8~8;ZxXtVJSH+l92>UO3EU{bl1FzQ+-1 z?VLJWol7ORf17t8=5<@v5xs5BrC+yQTO}l|{n_B`sT!Z{n=I0zBAGU?(dm?YvNUG1 z)!)RIQAUma$!(?fOOMsC9p7;Gq-2_<<#85u@fk0k2u+@I=WvSpcf+G6AJ2I8gwv<^ zlghH)yQU|1W*3{*rCV4Y_ECQ>@%{<Z=D9I*Px!4fDp3!Y+%<VM&)t)uZSS6V>XekO zKfreVSyqF7QBm8`b+1&-c5P_)g{*YAc)3;h#-l@?Ia{}{iK;h!D^RI>zM!o+Wz(dm zhHna_C9dt_m65)~*7!BA`H0o_gNLP{vuS7ZnyvWqnyrM+&3k6?V2#6B#@lz;+TP|d zA9vgC_+Z0rg~DUG9}3Rqeki<~+wr*V_Jjkz+Z8*b&&?CN@qUeiFZ=epY;D%(Y(3r- z7x7omy>nbny+3*TWc3ZFPforexo@ZJon;{&_xtu_xAT18=UK1a-C!tF8ql`+TbJd# z+Y1jcTTiLTXqS__xv>9unZ$8nYo7-zWz`C=a%Cr3q_y)LU;B4?{(||%0d30Px-9)} zEIi<8J;frwT~6=D!v5|uiQ}r)J`Xm@suez+{YAXiIG~>I%YDh})pedlCTBmVl`BX+ zoB8hM+<9;3ty**H)S4$Ho^7Ug#S0a(le9j~IUzi8>fG>;p-nU4AX&B8xP0QtKhOT0 z`&}P*Qf20YsS~@WtLpvh4prEZAbh0x`}4O<_Wb`R)wQhA>X=yg(Om4z=l{OHwnnf{ zFSv7xvoF%}XrOkwMUu6S{c^K21?Spq=WI=sPtUP9kSWbqc&bfVX2U}X^}5Ah!yAvv z8ww__m)oJT%Hm{ajpzF}opXE=b7vVu-#-%)I*(cGum5I;nm4lBrcCbN<vguS{PEjq zQ-$wrDeC$gcCO%3SwvT}*_ndFZMHF+66L4oS{x9S<}19~rW~{Rp~Q9F=j&bnc=`o| zpZ=M>a^C*#X`inLS=JZpJl79B`g8W$c*kEKpV#|ude&UfQzyP^k)Y4tUbDiGtwL<i zx>*(%7cZSLX|<uxxvMrlr>@rcoVm*6bK<H~&-trLJ*TfG^_;!@V}r!h)q*`|vP}#f zte$tX%q=QjDlu`jA<y}%Haw@V*6^IY%EWW>suRz-t4chlt|pcI^!-$Pd8V43?6D2M zwzGZN;MM+!b-Ah9mklqrzcl9**4XE%VRScjp<LPjFu5&FYVtSZ@(;^hn;9`BX(?}Y zM50Wf1^?CnerF!7eecchIob9YulS*MzlQtkh64*8Sy#>0y>eKsxhwyE@0I}m#XMU3 z!p(0u+4>l+_;Kt`4)<4s;|m^HPxaQha=56uE8kylYXJZ0I&F5%lZWe-W<6hgUQdAM z*V;czd_pxAruR8rP<CJVV%}ndlGA}UC1(R`N=^nc*@VrLS*@jL^>j(+2Sat=9`*ej z&G?QwRHxO{|GhF#@_vN<=|GKn&oy}Ti$wVKi$&zu7foSUUpz%1zi0|ae(@BE_iuU+ z8yvW2QRDsR-aN_s-1QsMpDo<KxBl0QbaAFHTe%f~-Du_5`_`!^?$qU9Q@6Lj+H^ea zsOtOnRy&sdDc2>}*s1Q(D^uDL|HkFxvKyTbb2m<IFF)#MCns*r`Xk_t$fDcot$u>L z#I29M_~>~ta$!Y4go@p~YB9N9{<m>Q{Y3V5&z1NkVqN(n`puE!?i+&})*bazyeGar zxJB=%<<S>06=4$dMC5w?RpxT_AA3Hfzg7A2;mc0)^5?l~nQZjGaDH5LLH*Ear}+}= zr+jbrng2}aocK<GIocMJD(qimEaX2p(Mi7RaH}0pJ6ElZjLw&jg$pjIALw+NFCflU zs}!U2rL%D5i;#dhx~JOCJ5T4X<ya^3E8vdym&6^>FCIViTiD-!yVZ^{PxM#Ij>s3n z58W2}_q=vp-t^EX(5&Xu&afBG1zTSP$oYxy{9zILMCd`vMDLEju6lxf;yaI6e7n6r z^Q(MI_l~MddcWr<<m|4`_*(wxzr6L8zYf-){u{g$W;NZCdFY7$r1;fm1CoW+R`}UZ zeP!U}yo68L)aA87jPn}4$0kp%Ca!7wW7TspAfWxC6xVBmSCdP;W8HLn-%492{82yI z&-H(4@^1P6Ue??65*KrEn5}3?+RIeYdcAhipF4S6vo?O4{KUN7c=y7y@tW5p&K!QV zrf1I9%yq)<_g5-6$x1z9zcX)ZW!J2YZzq@J&zSgRLA36b!(uCUJetuhGCN^iQt+AJ zoPF})Is1gebL6>GXHPFHy!P&p*zK@F)4J&)TUgZW-)`|L_pMVn(o$o*X1RaeqqLol zN8RdM9gn&{TmJH9SG>uMT&I1W*Do6vMkXzFJi7eX@)uWx?IR3A&xie)bs$By%lF?V z|4ZMCSk~0-jWfCV%>UAA-&IroFx+mC@f9g?>r*>rVBjts|6hL6;?DR>5?T7oEMjMW zQfZr6=4tZBV7JPwk`1v!t}-dpT{wR~_vE>3?^$<$w~lFYpUHtsjmFXLa%r_ndJ+F; z?CG6)r{Iyg&za7Xu_``4jN(;q{9L-D=h#*GTYoOx*|=8V2>VjGzXzL~?-*?SVQ^7b zns4KK{-o~cqbC^zb$8v>6Oj4ed}H-&C(iaFk*2zDXEe6mWNn*UcJQ#??gz=+V>=2C z=pC`h5SGYay|7I2$OQY2YJ*t^giHROIp$pQ^UN8IpHnxPPg7ReA8hu)cr$Nnz@Z&7 ztqBwUYKREGVLI%x`$6KQ*bjy`^;~8oi6`}J=akD|6IZ6_;y?SrXOX*aoI3o>9DCke zENe`dvR0J&;y!tuz2O=)`!lQ~AGv$E-ReJNa7eF0B2T=AXEXO6{adW}#NRr#94u2j zCNW!~&S2&CScMnK*=s$vT+5Emc3rg9>bCLX7un(xzizVf|9#uk&se7D#J^i$@ov|I zMftp2=ESJ|x+XJQ;n%g8-3|ulFR3|cEcEX?bbOtyao5kX#>B?h4;wD%{g{y^&cw5s zTe0nSD~IwMrye;o$1iISmNh0cto5C=@2iEoVnx#Vo<;j(6K>>-+q~JxZC7@qm4pAS zQ_pNOM;1Z<w@e3(qj{49zhAcGIw)D4CUX8Q(_!x24<0tfekeSo=VFm5o-}9EnvNt+ z+w7-`=OT?hxo}k7(-SzeZA*uMm&DA)0zO;Q-3l-28N_Vjmeae<Dj)vFsbO`Q;t`+S z0*P(09fo(+I$q>-#VX9m$)2oYHG9Tl0iR9jZic7z40<+k%emcVl}~=-)UdHk@rcE4 zfy6zr9fk+hTK-5#zjf;1offC%G-Yp?T+-^+Zrfvt+UgcbmK*f_j&bdF*l=l<Ft|2N zdn$J=VrT!+Fu8=zsqGP2PX(t%R`xs<(-S)z8s@j*)+K?HlPZtv3EbKG%w^+=Q<B%B zcD9#>y-VIZmHkG_Q$@4r$`;))xyRdDyScf(ubJ~;-%>@Vi%xsrGC9U)`-$+cne%Yz z)ar`Vr*`WiD;r*i$t~JF)%`{0Q^Tsiig$UJ$L#GZRJ!dM9n!RSeuw-1qANmtJ5rkd z_U+N^vkYll%y;#$VEe1b8=QL!PAvQso0B)SBck`)&L;xLKc{Z=uYECzQ?5p79?SX3 z-aFo1;Z*x`Zldkc+Upl3-|bA{FOS{SZ>?u}Aa}aVqiNoK1qW4+@0h5s9=`e0Y}Yj( zAJ<L4z3QZw{qdE;XG&B34X>#h_Y|JAVJbLj=eF|+gL14<|ALsJmPkFzV{d9AV^Zfn zpTzm>`F1VuX(h|gg_nhY6XA|AI?OZuP2xoFXRG&lKkMG_{mihz``N+<?`MLJ`*gl} zsDEy6x}iDu)O^QDe}lgN3G*-WwT*FKZt>R2>agVWHxJi%e=9tv%5L#~lJlLClY+Z; zX^Abq{r=N69>cZYOIEP#{j^!k*Y1L<Fi+7F-;1{w8ht5TA-BsSME<W#R?9y=(_;;H zv;qZ-c*;()I2Zk1Fu}WG=I!=YzYkj9eS!tccuHmGKHRFkwf(r>&Evw;Wge{b=KFX? z)xF}~q~tv(rXLmhtr0KQ{X}}Y&j+*p7bm-J%Kzu-Eq3zm&$W7DC(G9@Hr`WyQqFEi zioASmQG?&QMXfL1Oj3?1N|k$@l(#{XZ?AT_M5prmGoeSdHXmNtc4OY^F6rLeGFk_( z-I1z(6tceXWDWPL6SI@Lol_&82H55lt*|q*y29~VCaY)b&Q&{PHr`w)H0NAy-;+y6 zG^hI%T$*TpRI>flhI<REZafJ1xy*QbeAj95aM`RDZ9dauPh&!JvizS+^UBHXf4=I` zt?!2IUK`Z6FPyykL3OOrK_~ZnBJQ$Upp^1(gY(zI6ARfaUIaMH6s!>ZW_?BCcTuRI zt6%vFmdi!6jXD+Ecc(Oc?AxQc%qpZ!lke)`l=fH2lbm}EE-pMK@zMI@yO7Y_@B5>- z=jXh<aVg;bH~otL?4>VPcl7$MeSOR9(Ovm9o%Mq8$w#8AAH_#qI+CotohMqV{#8Wy z^^LLKkNIVfGJkB|w^^ITxLBks(vs`&oA;Z3ajUL&ddzSC@6Y=^_A>v>;#Dmk1^m46 z(`;?s>}5r&(cAxS+*#XxO#XK157E2z`t!03kI2{M-4+wCQ=fNY;+D1`&hz#84o&H5 zODeLQ+SJ*e$bQ?%$@y;9gh%r@CFLY0O#5)5h2^`i$HuS0%tkiG3XdDtb5?H2cIvvW zmQs=Iu)bxxno32wQ`dL3lphHW`&;_eR9<{xXAUYcQ*;&=u6mK>B!AaO;=!ECF9PYj z5+A-EX<_N_DHi!)ms4dVW45r}S?x>V@fOB)vki`zGPkM5m?|Dr6%L9qQ#>rn?6#+V z)&zECwIvoAPHo58db}%=oSK%aE!mOi)HZwmvi^|N4K}VNzxP(O`5!v@<U**uul>i9 z3%fZvt!7VHG?`P<?v?O4e^vhTzAql{<z(JtoY=9Q?TPR?X<?x~W{O9(g^l)@ENmB7 z`||N%3uBd3sk&m7<OKhuy}lk7j<<-^`kuIOzD4fW><h;kgxMrA4hb~#oKQb@P)$W5 z$!XtDbH&33!c`J^PV%-s5)al~JfU+Wm-*AlZ!<Z6G8ifz6&C*FnC`^i@AKmELQZBI zgT#*CY)^!L*b0AQz1SjB?sKBxUW;7b%nQeTh1qr_9um0DdqVv~wwlV0ET=AQwUiw> zPW;n-UOZmJ`O_)+kbrit+#&T3kJVHx(wz2Pm@(nKzR!v6qH0fea|(a*ZQ=ZR?_fhs z;EjBzrn72GZe%;P*{XfnaJ$8>Y^K2hKjF3;i4OZN<d`cSG!y>x;8Y7ww9ku&Eu23O z<sM?#?kn-=+WW0XSMM?3@nhw-iq2TZyw}>XKi{_Rp1-Vof$^Pv`$~h8gJs{{WZB5R zBVU|D?gnd@a#`cUAIo1}a}b+#H2itqzkBNh?wknJSod6m=X#y~JeKJqA7v-X&z6>Y zUGV5~iM*n>Ztq%YsasptA8z!&oV#?*ze|^vIVUU4zjS-cp8Zy9=QC{Fd}yZs<=F7s zV*4)tZp{yWbwv1%{guu`+cOVMJ%7~vXvp8=@~`W4tP9(d>qYh(&3%5L_~0b_uJBqJ zxzZVbj-QXJo%H(BKIh`yWxc#JzPN8*GjqDd)#G!mj&iyO-Zt5qpVMvIS5(lyMTTD{ zchZ;1?em|@dstj~IMJHB>G(Sx57~J;Hb1y+S1z`NkNMw=GfjzG79VYKmUY{?`Gdiw zw-1tAZYv)6u;=ov1IL}cIo;=29ZX#AS9oHju}sM;IX;V0`QLM^8fxo(*mp1dEOy|Y z{W5W0o~ix!7Vk`&E#&vSn<c%N|5jW3Q*~Lb`EO*j=6{gUn*T*+Rr_kbtH-DQ;rhrM zzwbnWX8e}|!}u?Sit#)JcJVxgdhtC4YVkdVTH!g*tasN;T#%jjyL0;L-IgIu@A#%J zYIjb3@iM^nOVJ9us^{Syi~RSUxL_Xt<$`|vmy7oCJQpnEc?=EWdki$<dkihMi$+P+ z9i4Dz$$pbZh4*+aZQJ=zzs9lZccqlTi>lq<lDH~oPu~;!yY1OBH=fhlk-NXu^i@vZ z&bB4{{<4+(7H*V#U;O$1(xnfltJR!X9qs*_@5c=DzugPmT*~I|E3gtM`KPqJVI{M? zF{@n71Cfe=673HOFXA3NT<G4|-an63j<HI#qUA;G1Hpy<jqW}B-nlJ*@%&uVv=3_Q z?=O*K+0JF7ktbeZa7XjQhl1?~4j<pk)X(sp+s4U8<3q=X4F{BuDSr=0*zxjQ)6@@3 z4m3Yl`CCD5-cH#Xn{sQGiic$!A1}QXcsTX8;<4;-+sP7t{NldMt`fNO&n7*7QstC_ z)hAjX<W1y0x>rfOF0DJ}N2O-b!}Mh*TIbJJ60a-kiuv&qB(P$^U(I_WDTkg<SXdc- z{7mbEx<EaV_gyg(_r!Nr{0MmR=yCEy?*>0tQ!c~JCsYr8Q<^Suo6C*k@U<qNA0?ZX zo@h-dp2&T4nUZ*0udAM%naIu`5m8Ts5@IKMcWial6TBv}^GHPOg@1BkqA8E2ZrF69 zHNkZv_t8&E;%%v}dU9prJAd2=eIk^QKGC~F7NlN$=MjnM3;*nniKaZ7Zm{n}Yl726 z?xRPP#M?Ao_2ia`?ffw#?1@l9)kN<ONmo6=V<J0`%m}^kk1<U=MQDn_@)NBIAV2R> z5^wv-x|Db0f&<Ej4l(shbQc|-C13xq{9WVGsdGbIpG)s}BRN$lujbt)&UMzMUD<wf zAFhgh9^LfhvEq)8uG=n}d@=KtEwI$L)K%X<Z~7dyllo6p)1N-M{%O)?m7lHWH|H)> z>Soh>ShLTg;N9mO=A16oIi)LDmdk2^>e_<Wo9{RU-Z>*K$@e7u_~G6^Q|#6~?`B;0 zv|DlAvu?q4Pr42DZXYe{)wQ2uU>dJ7W14=D&v|jlw3@J&K961}*>BIDZrPE4Kj6&I zb4!iSoRwqtX3O{)xbB+lYwIoRfA6g26yJ9uobz1t)wcPkGS6FvG$ogr+P*7n_bO15 zR+yxf_kGK|8_VMEFrNDMCT!=zv+;#$>dm`~*qV!sG)^luH^whnt5yABX0QC>$R`Eg zxIapsjhXwW`uL8P?)W92x8C)Xc^-7H@YSi~k`t!8Mv6y%Ij>i^L~sAK@{G*e8~?8N z{rafzK$}`jq1B%|eE#;{{%t2Lb}m)3zfk`5d*=HqSDq}Kt9^5^jcB0FrE<I7uIhnJ z(PCbO2c0D4B3HDFi=8U(7L!`AzqL&wA?V|wzE&ZgO#xj8#ZDO<akAyv8X$jI$K*f( zH?QHLB{kMJ&pUCtMQR+);7*lDUMP1gN~2$2*W^G2H?QHrB{jcIFFJ9mMQR*P;ZA*# zvrsN>*53a2^Wq{i8m@~;J?iy5sys!^>%%!G&3n-rhuOKmez@pVTVnM3n7M?$&OXIm zGxpi+d7rhA<*v?@MY~&#zHA8Kx7EGkuFuVT;kJ|W7eh_{_TNz&2R*o#M&vH!$=11Y zIEwr0#&b^0YqnnykoJ+9w&9`^r&*-N(KPPV8%Yamp64vI+ZLJ8@K)^9_Ze!vUN<rq zvh3EGlC-_m=*`9e{%YMT$L+XzH{5q}K4Y#KudzHzqkpE(6n1a1Q;Su&zZzV2sy(wQ zU|;v<3j)P<zWWaZEPU$vvFKYtK&$%chpNdJy4oZ3qcje(axb;WU&!;^M~kV7Th$_Y zp~!OGDG#T3{`^#Q$%*q@q{h)0?$jB{LHl^lYzt_L6!S8??DR9!OmqIa<<T1N?Zmtc zuR2LCn=!?IwX)6>{b(^S!;4OmY7r~iKZ>229?8vXaLviN$53;An0vGaKex`5q$RCJ zKHCELXX{)!?#9i#;h>ZA8gtG0Vb>!y4n}b=jYwX|v)cF6^8KM=UIyo#G{Yh^4i`=S zl$kZNRY+%RK$oi6sSQ8A|0)TpTTzhrZN=;((z6ZD&!|1sFY0%4-ka4Q-t0WY8s>VZ zE^fwR0i9EU8e-2ic)aa==l=|zl2&*)EAX4e0zE;UGm|yMo_O+n-fZ5bHED-HXx)K= z%x@eE7lqC<h?!<9y5huDcb@6eyfJmh3R(;8Cbjc~r}am@y8S{nK8m&J?XC&A^S0k) zZCcwlK`yyFR^i4PF5am@XMdm55;$|cbxjEO8z%c=kld!&2bZ6ezdW~9r(^pisaf@z zUcMWnkDtvcp8Y(iJvzQa|Jd`L9h)ypZRf6iRiM86UclFtGE3#yH(kH0lg0e4e)c!R zSkcJqj~9679Z(DvyPh#gx8in@f?;6pvQ>W;zc|);y5$X*|IMXUGZ!bfKQlX<`$1)Q z&5{=@fBZ0h`r+l$_8n7B^>143-4%28_ri(WF9d&VRO`w&HaEMvJ@59ibuEVXpLDSp z?+?tH+mZXKE-&-`!r0_s=h@5br*HUrn@PMW_x>{B<~Nrv?Ru%d*89f1)q-zMT&~zy z{w&L;VVlUxNZqgRl*F5CZ{D6f$@}TnGbg8ot===$(lCC>j1Rq4YTDN~U7Ec0rMK?J zJKkn>E5A+pbaknhe&mOx^S0mez9v!Wy*H)w+sk)dHx^p%6q*{-75z1}s_@jZuG7)l zpC_qbKOXi(_58X%-RoU(>rGGpcz<Ql^sgZ@-{;=a`I@!4=PFxT<hp0J$4*3NO}lu1 zX}CbJ;ml1xN=`rJ*?MeRKKI;L8-7M_mALfec(ps{<1O<RzG6w<?<p^~{grI=b<_V_ zH@18<t@s#u|83~?8{2+P7g~1ZfvZ8N{`Rt0&!%2^ru%wj#fByGcCmfEBCGYXa`p0e z8zycqdDS=N(gqFD)#1ygXEHnP)Y$vww8@c)u7Y_}Hat1~rD=<f>Ov0tB^~w~0$#sZ z>7E??Y{Luh#Q`s`zB`(>aFzJ3>$6%{d2_jLIHwabH)_S#w1qA1TLYx`g)Dd8cx&4` zjq~jlKc?Oi_YvPG_vm2wDXIBYSIz(5xw3HM(%j-~LEZb?pQ-%(v$gcL(7US-Tno0d z>33P1Rv6w{ckuM#wNiU(?v!k8=BTc;zEkj2rDjrDL~OJ8!BtXwG{UYuIJ#jGn?C>P z><^zdzR@oIGi`50d*0;>8uO0r{WsO>Pw?O6-|Rp1NNu=rt0(4}Vf1JInw|fPmBnh8 z>e-~uKh|d7ZS-K)SB9xCp6~Eh+wp0t;g(fK@87G&=zUPw@nx#v8sYwLvK12wFGd~Q zbYs`U|9|f6bC%VsN$rVy_t|;&vSVMoMW3(LRgk%5a`o|@@NFA)L-q-6`Ey`-!I=&6 z3zvRWw>#Ut^5vWGV{d}z`z@WmwRFFh>i4r}X1cHVbHDA_w?)h`SFZitbhy9Qewn*n za_;>j9`bJYbN%XPy3abE`Px(LpwjszEx~#-A1v9*vU1LsMqyStmhiQ2r{^U4&wAZB zq2ltFpSP}+#Rwi=%quUucmFoiy*K*1d#azVdc@>%etquVO*J<eZ1-)OE2229{PW%7 z&CebS9bePUna^dXHY<8k-u|1n9=1%edvyP6_H9c+zN9YK>Fd|FKPX!2zyG?^(^cIw z=3KZLchu#d@q3ZEbF2=!zdkbUrAYrAtHagH-#wfg{Qcv#mFyLduQ=~1dnFjR^UE|L zx#;7$%Y<!;UWxF}wK~ka{N2Nr;O`#~u4Mo5;)-)g(KUOg^w(?j@~(aUxcQ9Tjym6a zeUW*xHjk>N@A$L*&_ba#Tll&|bQ9-$tKE1#N%Px|l(xHllLFTit>9TLyQ)2p@9Ocy zcBuywoOuf`EOfJY5Rm-ld~RP_;m?w$(yJb+O&|4UCe86qlgOT`J%5?h=czl>ri4Gv zycM=>QOD7WE9K9$rsz0{1n)PVZcxg<aq6t#yrnKDw}$%!uAj&`z0ImreB<kE<*X|) zNA!}OUv~>RviC~t5vgpyUwTQ^ym2hIvpy`k5!Ebyc$(B6o1AqAPajtcw_dWlqj%jL zt=%0L)3)SQ2==Tx*qxNZ8^@!Z_2HAj9yWdX!)H#;s17X`ls>ai>r0Nf;T4`ur#Efs zmPk5w;g0%k*EQSa7HsN{cs_~qT3s&Bx>a*rCRlUXaOBPO_IjikrgCS?H{nw8!oxjk zJNvhE@5npXwzBz#YmBk-x{$RlG0bNc`0WTO7YyHT_H2IJms{@k*`L#e!{f8hUD8|B zzqJ`8wdU<?iI;kdrb`}9m&=r!zE*wbrtTe2CjE3fAH`HDUI=O-@7elyQtXk0Vpjp7 z{8`1<KI^?zIu~`mdRw=}<kelVM>4b5`;-eVKO<lzdU|)q<eib-u}6Z6Z)=5AiWeS= zGF4o<yW{b-VkMbLu}2KE=Zh6B_18BMe{@ClrmM`>@QpI6pZqpnSSjpN_)28*T&u$> z%ikp~3jS_*a3#CM`zy{p#jga9?JC*VJtI$c`%FKBvnxMuIJ(l<r|^}WnsurC<T+Ih zm43EIOpB*0z7$+HYkEy<+&$Nxjr{9A=_PF`{V*l@x{#Y?NYlP+i4#|;@)Vq8`5SBG zYCrvq!KFZ>E<wJji94LX8k|}9OX5`kGf&|PMK<dz9L+LWJ&ilhPJE;EZFackDx-sp z(|rmrs2a;`IyE)+NaE*H!9TC@EStT%<CL%L8G~{`-jhm4Hr%-5YO-Q?NA5E{r-~E1 zJ6xmwojlC^ba%(zEe}Jcp5p)X{mMGmu+qQ}a<@uXZklCtW3uS_-DSFl#VhY=zFc;< zT~O|&HAAfKQf=?2mr6HIS@)~TA>I4st1Fjk&&0J~h*`Dt^_Qhm99;cXZ{5yaT5q5K z)p~pXjb`18NvE!?-M6#U`?pR?%o^PTUHu(W;+eCBk1pJFV&A`@z12}4Cw;FDetYzq zc@1|~q4JFQZt0_YqV7mMyY}c=qMvS_UE1|WVhLfod5US*9*HF$yS}b?!aLLD)}L49 zn7yx$Y?eN_H|mbYyK9e*6)YDs=ii=P__=Vk*mef<>_YCs#bVnz)^GV~RqQSQu<G$7 z&U;zgqk?ws@}9R$*L9}zFQ1z_x>rI|nk+4gqIT@moB5z~@0*+de$5k8Pb=DXaNp}I zobNIw?pdXNd-c3qi+$R-4|e3A`MEW2=Vh~B(%Gh)d_S$oUsJqnlXqQuWKxTN>1>-% z(yu$$yi04ZUu^e#ij_+D1<BJ!ld^bwbEE&C+P+Kt&2y#bj&(P<Z`|3p>Gr&pxo3m= zH-+jwGI%?4!j+|;Qvcn&#k<kx`A+_=+Y7fT%1+YnmVNmk|BS2I)JhNA6Zf`UwS9Tu z&z+z9E&e{0kN$g4$$Xpdxx#BFBqjuR6(!ua_xDx$UH46C3iBzUU%OJ8?7p@6KNX6* z?H;u1bL5eg!F!5d@w}hAtDWEPu6ug;SB-bKpKsW7=EDKi>WWvBYHf;7GREzEB5*#o zs6|}Q^4QxyWtn2qt+mr0Y+5D!ru3Ca^&G3idfqY7b$op5w?4nND#&tO?NYm`+Aou= zDp%TOMutt@Q6{|ArE}^|rO&rK&Ys-rxO?w~r_#j>uhzKa+`ek$Z{BhGTu{#KvqqsI zHAhnJ$5vbT6|V64{#<8c?xRr7{S`4+569)0x@j*A*3Dy)*A1NY(Cy<gG4r;2TV<{s zJ{?u``Qs9??F{|dh1?%kh;8TK*WH+VRkt^{+VXW|XYTZx&}M1J>rr<!c3pjR?806# zbAH>b!p|2si*0Avn_b9#alhDh4rbkt3k$ptA9vK7xuCm@Sz+qxjc-{`ztNJ}^j-DM z&Ge0L|HxSC-f@}k^Wlz=ziG&O+1#t^1k;MdZs!%hV!5q*F)UR7zMWQ);*FJe?|Z~9 zxluNK@3hFA-O;-{)lN=5`EHGBiHP0R8)f}}UBZ%Y?~R|iG1s}O@#z%RZN6J|w%I1x zi{DHtxf17~xy?4u-tDHAcwzV7me8cz>C={mys-KH_nX<dq}$9tH+AIlXPKW=ndv^Y z{f%#QZ!Z7y=jZ+(<@V0ePO;r)J1MxUD5u)Q-#6)Y@;9X^%?ff)cBC|I1xNNgi_)g$ zesdqq3{J0jcg1#3!7IDCU8~$To>MhmQ+`s;Y)6WGc1%%&ub$-*x9Ktuo4xx!TI`RV z<(l*RlF;n1(x%UTb06&rPOr$4p72!jX{+isUGJwizU@@qwsuc*L;AEE+Z=my%_EYc zA1*q!?e)qk&A2_Wf%^M+T9)6s`XS`u&4jgOTlZ>x%$dv|Vf*)*&zyVj<C0FA|E>A7 z`TeEox~iT&ap(63FHbvodijnP@tsl!Psj8=3SD>XgH`zF4{G6`KbnPe7np~07rO6$ zJu%Z$K6aYEkj{B=&1uiNd9**f@~{5nD!=;kQ3mZ#M+JW8=1!;+$lLynWBQWRxvx6E zJ)2u0YV_AbP;Rw;VA-FYviGb1en|GNO+NnXn2_Agl&1Du1&=oU;tREntBZedsX<S* z^u#}%dsjsMZ|#`ACah-udZ9Ic;&_WvOsfxORW9T|m^I!1SJBl=PV!Bm_rsShkFWH& zdu8F$ow>)aoqU<0UiEa|tJ%3?a}#=lm*04OMe<wd@4(P}ALi@qSH)FICq8(zed?`H z*SA+MR=3)*lylc=yc79laA)1Vh3Y>|R<c?J)Lz#=-}xY6qwvv%N$PEfZ>rx9S^nkh z4Q0Nm4>I<h@;__X?p0tDzgGWYi?iyD8SBlaY&f@2XwCMo%ig#?h~9Q@%3jVI<8TrA zm1o2`*FEprv+DXM*QV7wmDazQS~KxMQR%GJT~~F_?R~FvH?-RR=cKjX+4>sy^}j59 z5mTYl=665TN#x}7=X_b6W>+7$ZrI7D-=%9>VVJXZ>fYE|%c4_e=UeS+m}6t#tIYrZ zceRE1_gq!MsP=7_u75783%?olGVW>k|MHv9E^cz3bdc|MNx-$$_xUR()Qc2KJ`K-V zvG3U$>pzEnKXIP!^Fd>O&;E}7*S*`;nu^X%=m}mf@%oD7G0ReZ=Q+FjKl<4oU|BAg zv?#dW;N;5VGyd#dT&XFyd)D-621lpbs?K<IMRS>DY1>P`yUza0-#y;D`46L=#a99O zxm7KG=N=z_@g^&-O?};)NY2=po5oG+*1fUiDLrY|w(|+Y;n<=MK|RYu9@Ax#Lrncl zp1cWMmv=vU+Tya@+g)encGc(4tU1y%=}dnnpKZ?D<Kk=DOeU9K{kv#Q_j;MHzwR03 zPhB6`xn}l--_@sC=EUj0O193cbf4z3qjs*9qy6oJtE)JR@9?n{-{lkee20(c^IbkU zu^qBCIwjX8E!rQPF7e=sEl<fSJ2uO&49#<^IwJjS59KVEOHPYpPL-aUy>08pR|ghv zeRWh|wKJzUw@t;GhzE{^yACuzOlfRy4`!9K%iE#tU3m2Efr6WFA3U6N``~fb?amFK z^V$WT-{BKHw@Wr@&dK78`zM;M?`fw!DV@EE`Jiq&pUC#ykMW=G8detViM+XEi)irr z8%x6X-!tub*2UtSn^YE_<+0Dh;GWj9<1!QVg?g6WR9mAIHvhrV>`A7buA<_m@e^|_ zS6P{aub;6`<z`XJ<kR84@e|f`->y00IB#-}lZQ>+)%~r1uPpt4htGZU`$Hl1HymP0 z*8V9tnDgoqXPRYc*G#{;iEXj@lm8YRl$vF>Xl3_&z8UkT+x&glw6*eN=A;_GxKCf& zxvxD{)&E~`@YR~{D>nU*3+7z?$+c-}<n>J*syZbrSh!`hj@GoFGSJ^2Afo-rwe9K8 zMeV6S7xgdwnbe^AGpS?e&!m>4Ka+Y~%QpTw%zF8g>%Q6(;+o5zbn{Hkw2Fvc@=~Gt z=k`B$D$5o+e!C#|=KqA)-D`hzFwVDqF?q+H|7LTudBuNz{9k^v)#|AC?$w{Z-To4{ z^x=|w;gyr`-qVa<_Al~(V4LpM#*~YaW|!-8zJ7NS*IK@`Pc`PBQ@YKsA4-1{KWS+% zEI!#F(!7$f(j!6bd*LMuzN4%1OOH+e^uF|2^45pq3B3oE)?Af%`1N(jB}w_+_gAs1 zENWQ1l}RY>z*o06IlC-Yl|2p8Jo_1>dBqYhZ-2>d$9mdM`&jbM^SU!0TuOgASDSVE zg}=qp>Mzosio~v+v#@(=^^2URc2+mLJU@Ok=1zN&{P~-~^|snG8y_-k&Yi*j!b~P{ z8Sn8KA9t3hu{`s&yPLpr*>3tS*O^lduC}lQ`*;}MY2lgd^CEE(XRVKcmy6-O1fIt{ z&l1-htm!d~Xt>D6ZFnl7hChFUgz^-p|35zO@4lJ5$>z%G2?>|PU%GdwdKfg$nv&FG z(>O)*sEcuU+rLi>be;MC`n*`Yp40iu&oFBn!I|H-1@Q0X(Ms#hzJGeJ_EOb58xrMK z*XKVwc;WD*&Fze0uaft*vdu_eSkt@hg2eS+qky=!n{E0}Q)i?uWZV{A#If=0f}ZcK zx?)}iQ8PaUMICZme04^~R^Mqh8q1_olaow0|EP*cUTCKlUBqE<(kVH|py)$|t(wHw z4=%QS5Hjl1gTps1=Bcx7Y5k;_yRar8I-_Cx{TU`llDT^eZ)nUsfBr5X_tVmUPV49B zzpA;jVzd6MnnRP=k2)_Fv0MMSqPFY7mBSX?Uk$H0G52h|An;w+z57D^{3?sy!ZRBA z2cnbKw|?q4al-sT>Fv~ysS;UJbRLvSr!BN=i^ym=C?=KE|1?AJmt-k-#a%WDlP6nu zq+U^avehEtiS(x^nJoeQ?K)SE`+DzV%#$#A^!E1tl%S^9_g}<n3EAdOI6CvuEY;+; z-D0m6&NfU?ihHn5Olm=QYuk&Qg@$ZWs@;_*tt9su>fdx<oMNbdlbxIQ!tqJ@9pCR~ zXwT}%aeuLTNyHL2saSWOvyE=$0@?2sYTt?~zSl4gZ`)V&Cce$?-i%hR54Tzr<7OHh zbJ@Er<OrYs*FJ>|&d1(jJ_hF|u^;8$o4PXM)$)iMTbs>4epO^GWSkd~(eYeNDtS|( z$;J!&jcZ(I_nOpb=662)^+Y>^^ZeJ7;R)~7&QH`5yMO!G$0J2m$DJhay>g!2_4LcU zqt}l!bMt;U;q-G#&J*ovmpgxXEKF*gleCcMyN+c=Mb1LIWuPcL?BslA%LR$^JvB#z zcAQx;J7QLqlx0Wew%u}C98rg=m6HvB^KD5vXmG;GmS<bQK2RVp6q8Dt(R%Dh!W18$ z6^D7_4Hx!pxWI6?S5IfzIXSl8qavv${yMXsPYmQRT-;~Gr=E5tQ|wjZ)WW``dp6#> zCI^(bc{c{lU!gHSz5J!|B8j=LzgC(_&RO4U)v?j?q{Qr`Z*y0i-!~<^ytz`Rt^B_9 zg~K(QSJ;)!FgRc)+;$`DkVO8MN5+P#`4^U_IR5;!*#9JxaL=XlmcqfGxc2UxIb)Lf ztKJhwrtCTCRGYKy0z<Tp$&swn7nORh`7;X(e=S(NCrL6k`HJPu*S-d?=IE?X)~yU! zW9p?i?UJEbJioK<mE$4Yyc^C<%I|n8CY3yCV)KiO(Y;~9u~mx$pD&rePkEW~QMJbf zi<akY3*e8|xpKUW`|0gyZr+WTosxMBwbZvQyxnuEb85lfKua~P>&IURCuz<}mhsa` z`Q3Bz;m5<d=6veYw#)u{q^sd-{<t9N>g~*vO^NFQIX1pJyDdPTTgT*p6F2Y18&1h< zjJ4FawZ86|)VZ}_d*IHL|JP?m8T5DdT6M3z=l8fG>GRg(CoBab_n7ZWI5GFT)VYNP z?~-Kvb>^D)KJGkw!cMu{Bjw{Yi?}m$ze}InSWuT%m==+=WmCjLy@-sC&A<NW?fS@A zt17Vf$AUt;BWp^Iub6EiYui&Mu=MTf^;Sv>HjDMyk_2RoxF0;+z*#F}nAosb?TM)= zzsSPrkxL}Df3>PiI$eC-iSyjc<md}R=OX85o_oFgfbix|N_!J+4YmC@2goHwY498B znjFbIeNn0A!tNgRXRnOiDvxUJ&j``8d>;Nax8VTGblu8;7$Yx5zYji(k38ZzzbQa| zv#!Yj8E)Rfn?+kV_I`c$qVsaiQH}L8Y@feU_Pro<O{&yy-PX0+pEwpC)o7g&@?26? zZ1Srb?x&MiwSMxv>g4=pn~d%u;m0=JE6Y{~#u;9Hw=JN_vTBV^1}A^-G>^0g_kK0K z=+rK#?%C9-T@c(`)sb1bvGrF((n7{*Q5hY3r!97^NZ!)2gX#N$6`OZl+jjoN$Ho=K z{<hzQW-OQ<m|mEgw?B}pkoR3f>#rNB3mJDsec~;=@JM>`?1$Rh8c+Ac&B<YIm;B1% zYU>vLX@NzGjQ<<H`BHDyrtMG{d-ZsOYCD6wj>(Zg?%t1H@$+16Y>t_K@8}NOv?b!A zb%|5Fuaxg^4Jx^Qoyl-Y|LfIl5+|F#dIu#b@Ea`b7f$=cpzbU2XbNZYm&>0;7MHf( z`#w3~x$?yrSD(#6<-Sg{=2f}6x@`6;_hp*3ZrAFL7`<2Ot}(86m*4*(6z=_f)`Y}` zoVIh!K7ZMG;tS7)8!d{{W*8jPwDm7!eXykN-DGZt=f2@xORJVC?TAWq;y>;CB6%(+ z^BM!i`@d!z9BX|OnZ|hA?Eg;Ry%k1_YmaY}eSPHadAa$^&qv=#se2}tzMn1avgEJS z{O`9@_-kW}8jNpdTbj-Mo;qD-VS3%g&J|Jm%l$q)?EbRTdUu@D`}1@8M7lSn-&?~c zvUl_K1#7Jix4$u0S!<PVm(E-BrT7)&FYB)Yf9F2!{_u)>x?FODa{Wd|i5D3MRHno~ z$Z#-p-CrW&aORr6%yNU7JI<%~OGnCT%(Lb{@ZrqL>WWuaYHbQ%G0Ite6=;^x)j72J zpr7rrO!vP>l?;wdjAO|c-*-0ufl80`D~qEin~d%kcDZJJKXH*s>4fw%orUcu{q7z& zTP~NdJD7jt;g#+;US3IlQ}iM!_wL&(oZl>@EI75!TlobF#huf!l+{SH@SE7-^4+TY z#D^P&T&`z+-COy);`tTkJ*BS{zgeCZPuw5eUwF>Y!^LOw)roN~C-Y@C2MFoQm&`e= zQY1IWRy4nS!Hj1Xu1Y8B-exEX=E)a@9Xy=4{N3Y@VE%$DE88ueT~U@Pe`V-rQ6lI) zujCcWa+!36oxb}|y>&3WTgc|pV|720sg2d|?qR3p=b9sypL-m+{G4<2a=FBn!TlT0 zEbR8~J}t{TBPM0eY<bVF)8WFOom~rMSND7K87Nd=zNXe5@o}ef-v*=oc_A*7K7V?x zmiH+5%*ppMAu(T~-&!~ntv^=S@Wkms)OO~BZ~l13Sp=LZ5}TM*Q>Wu`^k?MrHBIeb z{q7!TTP~NdIGBIq$(8OBGxqjnT}{p@TOw{gWt&Bm#m>$x7cMp^-Pk)@{){@mQP1gp zY`NFHZ+w_}xiM+=W)_iT+2sK-x_4jbENu7nyL&usxm-ebF#pD@E8TBAyONyqU#DE8 z+jz%eRpB$`TlzBo&Fx#<eeM1n`})q^ou5CcYZOKo?p<9VSGGGvzB;C;VXvO$k(ls{ zN<C-hTOZXuRr_;hcb>hveeuQD>Cd-UU3%I6L_VhErRTakl5RVKswLh{sy$PbDwnX# zo6qpDs=LH9tFoSjKR4Yd_d9<+CdYdD&Xj%c%TwhRP4|BGu;?GBc=R#mpN~~;e#kv3 zub#Bu%yj>Yq}^AI_va*SFE!YolVohFYG1r@`m8zkB`2>9nf|A_yY_-a_&c7%v76cx z^==*ym@bph;mucg;;NqDw4F~RY(Kr${buj}DY*OX`3HZ(e9P>2f3o)3wB2&T{lp{J z(j4l}9zB;fZFPZM+U^wj;+Ud_oqCo|<>9-J&X_(w>P_Uc=i9wEJ-#vjzTTsxj|={k z35Iv<emdPZMmugo{I(g_a~`I@JgzDfx##y+XW8qSv(?@NcTL^5@cP0xhn`NnnZ3A8 zXP=G5xrISJr|wNMy;7EYd)fx`?<Zo^Zaki(S++Z+EmrU5VZZ5blH0xcHr!Qhzp;#^ zOmyd`cVE<&`)#<iGI&kFE1uKdFHg^2b?)>v4_8I&e*x3?EZei@i|cCt*t(myuXuOM zo__DEulYB4b^RF`?e(ia-?RPTy;@+=>+&$gLrOno_kCGgW_L>Lw!uN6pGS{y=_Rh5 zDtu;3QP<P3a~lqwvR$*KNPczB(IpYNhk3GdZXAx1{<iU48?(*khXUm}7Kak0`wHJ1 zzcjUOtekw`T;kx{^Gm9OQ^O7w3k&TrP(11_Y_!K{Vf%HpFCUL-2p)}YHr!)8qy0PE z=fZ;tjD3<iM+%!=EfP0Ks6TzV&2?s8`KjVXKh#oFUwJIM{rFSMsuM}OY##<_`aMgV z>$BZD#^_+#bf1l<RgGnePs;V}N|ASuDQY;ZH<R7_ruDN`F*Eb{txB7i{pRZ5@td0{ z?;F1&{OhSVGv?g5tx{`lf4#F@;EwK6d8QK|h2}m8`&K(4M!rj%)jj+2m3<p^#e~Zb z&OX}S_--oK+=`i9b2Xlc$@k?mcF(ox(JA|6*yXW$!BNd<*7D%Y!p%QZS3kIybo`Un z4)x!PqO+5oU$?bQ6PmX3T+$=w4SO!MA7^T{<I(1>wOOO}<>SU(7t{~TdUM(&Y<|_p zms8*Twg~G#rnaWoYKvy#-rJ1{&6@>se*|sac%V5UvyuJiGba8vT~;}{ZDJKaZaiD3 zbF^$b^I^X2%=~S4`RvMe$}+tvvu?TZxU6Ht^|u1alP@ov*{=CXwfJ)51ILqBubtf! zZlm)-p(5mg<HsEbnjd;Lwzn^5m9v|-Q``IFowo-*9D4iU;ndp)k7sXpZZOYl7ub9E zxrvkgcITdd=RRJ(^g+ALa`TU+g4{8iqkb9wf2cSA%j$pJvFury=gr;Mg?iT*`_?@F zU$Ig6pzHNd^Q@*8tYp(~I&E4J7_;_Z_roaOIJtG#A3S~R#v8|&ckRK^?+&IFt~=tJ z#qTSM&R6K#eKhi-klvLCt{e8V>31=kRv5~xIe7Z;La9A9GV2a%ADAe$$4O@GLG42u zrS>Gf(YABsQSaKEq&jEK!R`kk9~o_Rw8}me?z*9QU}n;Cf%NXVN^`W!x(fHL;GGnE z=yuRX@q@dAeg&uLtrxm?{gK;;g<|Gi{abe!mMEF-sN50cooBb}%B1Lq>06(OJxbEe z6V$u%=-BxT-sfG9Vt8kMw0x7Jo5zx#Rk+w9wp;q}%BVXwbJiR^d%QF14wKC4qq;|y zM%_`0S$9<T*hi^zqKB_a89lyyb8FNcj<#zT$|a9Zh`J-ecKy+_!~oqqJGN_>@h z<|+QV_DF1@ziysk)m4Mwsuz*YX>pvkS%u0H0o~HB+oSGCxPi3P>E_uzyZT5h!B00& zG41*zvBWUlJj1kW1?y_gtWY%G-|}+n4nd#QM|+d9bn|$EvkE^O>=ZMXpPW_5ZLm>n zyMS<3VYk6PvF#F%vn;|Hk6oJ(8E|Mu)Ey41>yO+n?iDj{vo<~RNHOpF1JQ?Rym5wk zJM>PZ?RPEPdu7$t|GIX6Vh%l-_9ec_Q@8&1D|@y1&ChB|c6T`%EWCSv>o0%ax3^1m zN^@cl{n?NuDAV<C-_`naYlQqxtHu9Io&0D`&ZpSUoGJ_b*>-v-(i*xqotz$h^U3K| zImdPgg`V~(j1a1PQ50&%x$dOe(bAhKI}~Tj<(*pAAH7L3p*yE7LT|QU*om~BsX>yG zeIC==7f*Wnct#4Vg<hnhpGR2B<Vm8BLt4V>Cr5pKq;yzIRA5ctzwFifr#f(+HgVbz zsw{Hb<j{s#Wx2A9Bgg%ES#B(yD6rd9D6!uy=}oA%<3_jAhv^mb-F~D+Dc3w+BiL3f z_3?skSZDt`Db5Sd%8FH4Do*;nM_$NkPfdteeeKj?E72bj>zf#)Lj;l*b1CHnIrf|_ z5}kdNEw5mKP?O_9`z^n$XHNNW?7Dy>|J`o?E~}&$%ar+JOOKfQT5(;7bXT-`sd31C zZ;YYckE~h^wyc*G|0~uneJuNAZlA`zR~-wN&zs(EY4yo4+MO}&rN$8-S=Sj07fW2; z`uVVdgR<PO>?7{>y(}+QPZX#%5n8z2ts+DEPx9*h{+_qzA6+jy{et>6Nyqr+z%RxU z&i{89*<YP%;IN5<?by_p8~eWR4BX^t5M`!$?1b9kh?`#w{LE_itnk}Yx+nkc#IpkB ztBccQUBg%U)Cem_%C&h+>t8rYG+{<ctAt*ppqocn&%~<><HPmSo>pHC)M7aJ_mzgP z1Lt;Arw>8OBI`{KeTY()yO(j~cyupI#qx;)@2@fi*#s~ib{4IuSi&UVA1d&mlgr5_ z)UhR9tK(ygUgYK(dzXb)=QwdJ3u_OU`Qd`U`yTU`9Z6IAB-~z|ILsmYQ(~R+J;@T0 zM~<a&UIIr&*1qAHZ2Bm1l3T_4%N`A!Qk;fy%7Vu-RgT?Qa7q2O_m2Qs=C3UBtC^R~ z+kXFUfDz}rlWIq6Z>Cfz%$AcowXENLljMVqIc*h6vjy``r1hj<-LZMzqTtew>z^h6 z?tF4O{j>Nc&yPW7l5r=OwO`-#@^NntTZQ&)#d{~yTE1^O87{xFhiC3mqfJ6~Ui*3; zY{?0FGugN8@1~az8*+YGXv}8hJDJvTc$4JAj+|o_T2n7Ni?8g7<2<es-~V7oPSBhQ zzHO5?y?i(&=hqGG*^K8-q;+f#(yTc%<yU;e>`7verlq*<(2X>F*YZDq_5WE>Yonv; z*5==xcV5Io{NMMm{|gfDy)N9jYmxe#-Se&&dCZ%j`LKysIA%*?m-oZ^?62`EcXXaD z>)yx6sW)T7qdlCJCa?Za4_g~_<AizCbmyDPb}YKVyF+X0Lc`dCLf<kW`&+ASi1;s^ zd?})T<HRZM*PI@&+N{@J<K6M`(w_@A8aM+}7p~9GxjaRtV$qvV%4#RmtbL}P*;apW z%B!3C8i}h?K4xsWWq&k3^W6GnBKC84I+$sSol-ljbo0xG2(wz9iDwzSQ;l8fZ}wb> zG)pd-c*?^tR_JHUl~6OzT_@H0C#6na*q&4MLNoP@f%7Jto{2j0n^m+A<ecO(bl;?O zX6hP=&x=k;Xv|+NRQ0lB!S+6lUoScquJ5z?(*19Kn8srH`n=l<YiHY=f3&-zxvFP& zPuItaZB}x#Z%;jKv|v`M<i`U6?jp@4N1RP%KUVm<*ZjO97{6xq-R(cu_v+ej$^FNg z{>N+bR_%vdQUvFG(oSC^`+g#O>f{FvIYDox`?l3?dYQOB=hqG8*^GWC(mJkhl6*Kd z=U9d2)QOLlsC<(9>14(^@3h)c<C`fJTC?TORZV*NxO2@X(HJvln@MLm_*0FKiLUJ7 z*{wQpec$)g$?lSyJPX6kB;TD_)_!}_%g57lK0S3YbG|d-EXVa!<71{Pd*u4OmEvXB zpHhqGWjeWJhr(>0=c$(uTi*Oq=w`+|XX;sj=v5aZt>up|F=DmQid5|L2x~b!N%ZlA z|MpRTw_nM+yU;n=J2=)kncc2Ex%h+s+JAw8K@SrGI(Oc_+I{xqhb#d-hJziiiY7D& zZTs)3%3^Kev@uwjXSd0t#O-cB8ZLM=?3Lo&=&vkjmZ@^A(Oz@v0*RGjP5cv^vy|+- z_%d4_ZE%z5%Q$j4u=m5}<r5i>n+iQ@aZ{Re#iJ)UOI5;c<<tksFSg9;;9tB{YT=BP zf^Csf9}S|F?Ve?*9Ej;{nX!B#$7fTa<i;pY{>4|lJ~(a*@I7+;rc!T!&NYt1LcJ9; z77EIrHW5nL>E^U1fcr(Vd+BaHhlaDO*yYz~b!-S_HQaWx-LvU1^HttRCysC7-+NMn z4w=ac`dks@XR+lqoO5wv`(>+7g<kHAey=*pePvxO)-9GeZnM>{#(weCDg7H4M_JjO z=zG!8ZzOA&b9G{Sv(=|U2Y1GAuRG3*TXk&+cQ^c&@ip<r>Z|Si+wVqM+1)F9+2LPn zm2_jFGXL$;C&{z>ez^I&E6#hVaVS{!XvLzd#}CV`wX1nzd+pN4h2K5gMfgjPnE$o< zR1oiO_wJ>}fmqqr9gC-4Ii8oh23*Bhn+heiyCvoDYt5cN?VD^=^3(ZR-3vC{-gdUk zX7#_2&P3sbd!|SIRky5^y{1uSd+p!KAo0ZR-b+?TmomQoA96E(Ysd06lWNR0{Opq! zCv87`Gi%ZOpGL8K0s2)ho&1mJ?)+T8D$1thSFn^f$LbdEO((xk+;lRX|7OY#t=V$x zPO9-or5GP5y~$G;Zl-)^`dNwJOO1l=Omwj`mN`{cc}T@VW3~u;%H+iTIaN2*XWM-{ zmDXUpNiu12j@u2@*@k6l;#r+LRLi5aHnvAkdir=-3hNHVNJTx5u$JOUqK}idnG~#! zI}$D&;;_MXcleYhA-#;22YcK!&SkbdT;paVbFHKQvJ_{5m$IT?mdYW{-Xj+C)=o@y z+UQ&QF#X32H;%rnmPZ|K5@DG~4m0)sn6Z8$!)Ftrq;+meXD)j5+{{w_v1&0Be{{%$ z<jq`6Z^9fqPHS}(c(U$sKf#(Qw(;Pj95x?L{)SDNM#^_IZ(F5zRKM&<TG%Hc_Tt3h z8rh#SmMhDBc&Ty3Mb>r3+Qkx^H+kRJe022EtHZyK`{>HPS1>MdF^qNRxm@xjaaCW9 z&&9xolU7`Yp6+|*ztA|Qx7Kct?^feuvqO~kxS9$j^tn0ZTq)|?5Ta~2?Z?ZfTtRzP z&9!%54NGJ(=5+&21YBNS92d)ZS*1H6tF%gF`;%*@)ec(SToR!@n<qQv^5LwTUp5Aq zF|V28E7#(^bioXzNS?{6rw^-~{A3uoiOFZe8UbS!?L%%SyEZPg(l|PInb5S=4GEE@ zQ`8o;9E|jtFVy5HwNPS(U>l><#|ys7cE2)J9O8RhUIfRQu`G;OHEl+IN63T3ja)T4 zL5>Z^S{#Nxwq7j@wK@!4z%{H@Xm!rdd*W&#?Ey2VTyS@1Ib7mm7~;<3T=FDwLthQg zrND+pD=tF^ZB2Q3sYHq8g8a#*kCJ=bn0l^xbOcIu8U!gDx}}KcckEETzFW%titwIO z>-afWw`gAd;CW}#Y@OL6lT#)qF3PEr(3@>{>~vZ~;U>wXH92k)%CimKyi=FFQ0=U7 zlUJS1qMSN8sU^o~&uTx0N7L>I-~941!i?Ew(pdriRO3U;H+u^ATU~lBS>@IK)Ottt zB>nq)V<-B`Ejgvu&z?G&y=Bu$Wv81@6c^^S?a-Soxb9?H&*~sa+b<JNohVN&SB>AN z{90?ZNNmdFg`0D#UZ~8rvpW^Z`a@y1;yv%BA1=mPZD;)D9oF%3l4#<rl%q3#E&mc^ zADDO2w)b*$k<hcfa@ngFI&Aw}CB52m$@VArZWtWQmR?pNx_tLC5%cbynXw5DO<&cP z+(>Y0>%GY~X-fXdy*;V3AGIc#KiC=4c1m|=`X=G{<mIlLd<$cxUiN;iP!?TM<ozyp z+jidtH!}B44mN#|{OwMk!R6Yt<!|EVC)h9AoU`q4wPy3S`h)Fnm`nt>KiQRH9^1J? z_4?LXL3bv-R-euCH+6DSLyi&86yJS6PA==;ebuQWx~M$G_(<-}o&s;P<d{ibJ|BaG ze#VqIL)y%tH&b>f&6d;imNYJzKC6j8^yH_D!JC-AOj;wbS5@0d{$$rh^EEw<Z>HVZ z`{tET8^^oX9giCOB=TOJIJ{V4?;OV`(MA2ur*=%tKe={g-|;uo?o7^_c2jrfa;rrZ z%aq%mTYdWA<Zc)DTI0astnX{@Op1=(`{?4jme5JA2IjlnRo4i`om88zm@@fMZ;sKP zslNR0Q!XE`zWKy>Sx(yzjoE_pPN(&pyZUvH>`^YyC$^is%d|ab)cBlKb2Y#DWUilC z<@(9K_ui&V{%(EqiLAewGSBq05~r6M8R<+vbt3$@=p_Ak+3-_pN40LIMCi_zyLMt( z|JF^C33GGWBJ^hqnw?1NNez;;)tL-Z><dzCep>CQ*v%)_RX4wE@HMN=nSPeRI>q>i z-%1g?UtVDib(2IF?N4#7Q2csDLVxd<X)+h{PwIZWw0febs_3+;sWYPQ_&&J3A%KyE z`|zov2@G~NCQcioltr>l4s8fimb;dD<Tz6=%Z&ws`+Bc>wD?MOZj4;Za#ZPREB{1Y zDa9MB1iNyj5^t;%<hM3?l)T)H>CGjNj=NHw8{Cx*)mBYSh*-1hgP}=;GK;gxq3@Pb zi4w~M<+f%YInL3`BC%3%-`fiwEf=La4c*qZw5zV-mQP7Gbu#c%u6e&iu#Hpdqk)IA zom!^Kft22s8H>a&91jv@iCESoa5_{Vv6U-n%@qD%KEBn{lZ6f`AAS`Tp{5#hN$_B* zY~Y$Jf`>z8D|akYmcL$l#9YXVt1#HzM&eRnPjOUjMZjvykA~Z}?)ouJ@Svh>pv*PF z!-BGv7ORxykC%u%*wN=S=R#o1WUH>iRZ?HnI*vb%sy!lGpgT8WTZxOIzdO(8(kF?H zeKk5)0vlYdxHd+(3r>5XaV%)9ot#<b*N4jaGpF_O?|o|ZXk%-i#Isi?4r|H&j99yv zA-hB*X>C}L{Oqm!L=(E*Dt4@!$no4%=y6l{%|9yJpDb&e>Kd?D)m(SYkqwu0cfP#& zxQBD?VV<Mwj-Sa&n0t59?4>(Q63V{aNJ&~Az0|Vna{r9gH{KeopS7aOJ1=;fwU6GF zX&WY=G&>kK&CfA)cZ=f9Lvd^@&Y=#5F|0hwArBU=p0%<+PmAM%Gpl0NDg`Hf(IYR` zPMMQ5|IvZ;UOO#?7ps}N>a-GGtY_k94tbEgfQyMI$g$&~R)>KLt6^Ty&L=#-r_QRF zvFJw4&%ief`cu9rvZekt-l)tI@<w8>k@e1&<ICsk)c%xGE=yt6xvhF8i1DD0Xuz2O z#=|9|6*HDG$p?oDB&^_a@;UcvCWBm#rrBYqqtAlpC;gtW{s!YS&9aW2U9yRNNylfz zZmiD@e-&}4vwmuB)8j7RLZ6M2eOlYvl_%-e&3L>a>9eWNMom5~v%`!>zikNJbTnV! zO>mA~ndt1K{v_i!VLAM}RibNR>z5~eu3LCR<hJPS#Mw#JH}r2lV!xWFZFW%i=&~Iv zZ}6Cl+&)}-QcS*i|FRn_;i9u2btM_k3CZD~t`c2y;P0X&;h2D&uFqX(3;Z_P&Y7C? z@#QDuF5iNvjhcR%W{0JYe%tUN{_<1jo!>01UQhj+`(LT*VUtd1(&rfu;x8vo*`s~7 z+t8ntr8v~V(4CcMbLfM_X*@3X=dNUui(cK3w2Mn(*~$ZludI1uza>wI_3n}zEYhO0 z-J813Ziw6XbD#evSIO&IW(SpyE{j-ugJ-o$bkFjsU1yoaj;2MdyHPV)|7Ox3ozR>n z)h^$S5gR3c{h6Kf*=>4`VO^S**+HJ8&ve(_*mF>H_V(>5-5-rzH*%_Jn;lg-`s}y$ z(QgKhn~wgFTz_Maw}#o#h@)u|i*Lxed2f@jUo0{^VM$VOPe4xF!>+f9-}W!P?)2}@ zl?xkn^-`z1wcKn9{XebD{bTadMZedEFdpO*4bTZ^JZvOd5%DWObAyJ%C+7v;CQch7 zlxq?%bHv{=IV5i0+VRddUYX^yiIZWtGEcF|qr^o{F310WSg)m6v0kvNTq?0*wIF}K zh*H~;>|TLAmpG1k_ZsZE(9v$qCD{CUrW?n+td>WeZW40YM-D5ub|iiZP-fvbaVm^g z=J{^&=;1o2BMp`71m%3RpF8o)Qj|)RSR=^aETYtQ&Y@R;=K_aoeXqfn%N_0exCHHG zJ_c%T{!zL6-YkAs{a%AFS326|xCHGVU5iy_xohHdF;tl+*5uK`%{CfG9bPVBYC3(> zD}+tt^uOs$KiooC<(~c3e`#>?{id_mIpRA_oi+w2^K_d$O5Et=QaL@NC1Iu8kB}vT zZK6^i4crxz67nx_#3!3NZS+*;nQi(gvD@j$fqSb2n?6b{lvpBoZ>s5|#15w;2jo@> zHYG|ujP~pOV7uJSrYERF;>KcACj&R-nzNTU4m<RI;0#erN;rRk<DgD&z?o|thrN0$ zBGwBSZn&P=lF;i`5wlp(t}%^&&ypjDty?=9|Ar~E<eMB?p4Mw%bETvGIhP>w@0o5t zuB;KXGtF-CKP&a|gF<zmx}wcvcP)h%n*7lhXR@zoc>f~w!NU1>B7At>?2}S_u~@L{ zo>bzCrGotOPq+*WH&kobB_-^?RHG4>-O|1^N{c^RR4FQHnH$Hl?3SciZW7C~jvN+g z?P#=(RA!lM;$-Nk%=6gfQQ{J(BM08C6STXZ-E#iB)Wgqv-G0=7TyCA&@?i0$RY?i_ z)2<t;v6YqgR=ijyV7Os-R?C8JHw~-omW7kuY-&QhG}yke_kNh+t!(q`631a>5K}QJ zVgEIb_oY$~nWL3!PF>)Tzrp3nw$1IwfomM{H^8ED_f9njmF(L1iAnd@9SPBZo-oG4 z8loTg)XjIPvwh>}72vtfanzvKfafYl{9=(wJUP7rJeN3*I`kUwT<B>3$0f+Td%hdT zudJ3u{caLf*+-o9TRY6&trTo}{i*Y#i}w6$9EUY~D=bzD7;f;-XnC;1O`|Wf<>3l9 z8=1fki5u;vP6Zy|k+%W|Ww~$KE<A5)r4(<h7VNT>O1!aFkbk#`65BVwUV%3kIF6R} z8pvGfXm9_dAb9qV11rn-P=`W)R-W?E1K$IU1axbnSy{G+I1~o6@|cG_c-X_^@;EM- z@nEQEKujRx;Z)Iz9V*Nh*NKNZ6gaYqJP$ok;KwR=?v%rssqde5IQdMS{WR0{%#`Sm z0|w5la>q_P_)HcKaWDvE6`34zz#x*fCh_0(FLIN=6jzoe1<gLOhUp;7ZU@7AdLLYG z>s3gczVzHaMRDgYLp66riK&YNdd>t^T$s1GLLx8p$Q5fHcf}i%7YDpK7bsEoR6}lC zw5XwL{^>vttLGYWR*|BHy7f!SS|2#~IeoNqf0(#0RB-e0+cK?>eESZ4uyX(S;nJ!O z&eX|Umz}((RlUk1SmNFDB_9jUuj;UT=Gf=-!N7fC#gxS#Bvjo$emJtKgY#u(pVJ2& z_k|Vn7JvAm?fx(~H}uGxuUhVk6>}E{+&LR4aqpSNp72Of!>fDG2Ufg48>nITOv5H` z+qVf%r2N0#nYri#Q^`hdme{vUuI9TBd}l5@=*%CzaZ<LEZLvtx=WSkU*E{&0Y4Efc ziSW0t?OO4FqD<?9jJ`w38)aG_R`fl3*b*vu`Qb^K)(0VdhaR7iX?^I^cj$WFYNcft ziY>VgHp;X<a_T!&VCeo(u`_qCh;PA7J%N~w+#=y`nGT!oe(-RYufW#*iQ*i2w^+NP z%NieUi+zx|*jHfnDe2t~2A9lc9LX|1rDxEyja#nmCd<YvJR7)K{=Q{$wcmZ<!galh z7s<j$eqFw;C&06fTV(TFro%eBA0#gG74SaKv)jSou3mu8W^SI~w@vMaW{zvDg5NM5 zP1$|G;G|x~j11vP0=M)&BwW+0ka)h--}>Zx&ON8*m3Yp3qLr9krf<8Eb6ZOPf+=r> z!d5pVOy$xDTh)-*%4MSy-q@e2#jzoTRdL!11*dY+BNgkev?OhQ<ltT5ChG7ZgjK{p z<Uq3=WO$Q5RNz4)m(!ha$ChfXj>2$F%fm@apR8%I_Tq9Yh-R%h6vTK~Q?z2oLMHj^ zp#l$PaXH0=I<{zQbreQw?riV%I=NR!PgC>A_H7{trb~(%%n5I7FV^}{7{<zYZiRx2 z_)_uTHx}Gryrx~&QQ9S&xHjo{#NkWQPwUsNe}6;Fd6Ri}%gv^%|G#Zt`!6|Jd2RL| z<N5DrYqRkit!6vAdey-XhNe=prMz}%?Yk6j#<4Dxx9fFi^TVK<A0|EKStV8DwpL2S zc{SVN4Ocw+Ha}b5<+x#6R)EeLDV}bj#k!t-mrYM97;@|i=k1bvTcJIrx6hZyHdxp4 z(h`&ICmznL*^X{lb<p6CdflT_ZpXhRt=u<hh3?bErG7;|JY9OB%?qQ8UL1LGV_!?$ z%4srH4zpZ~UNnX_Cly>xm@z}f%Fs&f{H&PYFYUMg+FzG(H{{TzX`kv@PG9=3F>U$( zk2iJxo<Dr*i@p5nOaGa4JpXfVT=w*R)L->~@yD;_{@n9x*UBuxJri}hwof|x!Eckz zp6NRB@+#T~+)r|S+|(KF5m9>9-u$Zhv<-{rGI5lxYDk*SB~iBez+pMjA2(JvG5ih@ zc;sNc?@Wl}&pqpYpKK60?)xHfIw$j-UoZ2c{<1UPo@TH=_M82|rc?j-aEJeUZoA{t z{`R9&|JUlQ{BwT#j8p#=r)~W`J?#JA^G}Z-w=y$P-GBJv#-+ZO=UZ>fJoKji-~6@z zPHKJH$+-9bPxH0^+5?W7${BxrR{t+|^?!YLv6H{UCViImT>1F?+bd6QYn}9sRCyoo zd9FD#O{TV5b*E<c6K3s`l@>m|i{GzOF;AUX<D_cIpHchxg|#+F?fg*BbIw8MEq?WR z6$Oi(Ob?s%d4Z8h-@dJr?o4t0^m6JG8y%jW#rZ42TBB4euT0E8@O5!M#HcLQ$~zNx zzi_`F;hA?z>F1PsKWiPq`I9dR<)5%xqu8}%@@D1kz1wakK2LMsc0%dr60f3tfoA=- z>%nGsPJL1{Ilb&g>eMI8bSCkyQ+Y1jaWnCG_@vpJJnZ&Onv=if4BzerV5NmqpVX{Q zDZ9}MR@%Q-<@s#c&4=|Mjwn&JyuWUSY*``Lvx~J({`5QYW`j34B;+GK&zVc6wZ}$* z9oVtv@F(3pg>Nh)+f7|2mQ<9bd<<#4nK(Ti?7R(LMVBVdlr3`w8|1vH>9bs_O59A* zI|8nk7DfiGUwrd=SgI#)po;8d)u+}uk5{bTyrfNI(zz3p*ksSzZBz5k>QKs@uakM> z;+yzvuoT~^Np7-d_ifb!OYPUmymRqQ_-lyNk94_<oS`+JUsRp`fBr`FanDUMW%K0k zuDf0qxv73qPUF!@si&*&?e6kevwQQs>=o}c_r6<K7kZW1ZpPyBE&KLferL#M3<8&> z)6&w?=JlRfbcW}|pX6oQb@$_4+}vE)RO+lho()=1yh|<qfY|;^jYp5L{VQCv$f;oR z`3qi*+d@9Ja-0iYJSi#Z^PjHiH~wTLiCkYjLrhucgLYiY(W@M6>q0gL_G~z^zCute zRC&gZsH16yhjjKoa-9*|oA5A7H2Nq<@!u}-#6;7kUhk_7&7ZF}baP*I;1Vy+`SzA| z)}EsOm&8?ed{d3K4sG(h^GJAC){Yqyr`Ak*A-s3bnyjzKRdz^sM6PQ4**IhAtcb(c znNue|+GOv}ruVa1P48E;nBI?Ov%LDBcaO{uYg)Q0pEu*4+V-F3FCQ$kpB3D*?W+7) zO}E>R`ky`8XFn^tXWL2nv$}4#U-VBqWLv)_#PC)XnA~;E<q^M>^p8bpPCwNTy8M&1 zs5@QW?xWXxc&)ux&H9ZOW-Xih`qtGgTb9hWx^8On?9xw@*=big*1YVF*=Jk7fBz)G zGb?^pK5};qyFVv4^MpxCH|O~^59e-5I3cphavDe4)enA=U7P>tT<tnvIw#@j^Gk21 zR|-x3!q#M1#e6hm@4*8Zdk-FAdg~XUbGeAwd+VJePP*GSc%05XbD@a+^42>?O?3Se zKRtJ1UUh!!wJX=3Pnw}?woXWS#^Mx_;;Cm2*r*yC#-G&D2{4ilo_gk>l4|kB9nac3 z?ZqYXe|axiVmJ9n5UXND*yrh;`zK6P`L$Z$AcN>4i4{(4Y%3>p9@I)n>`;0ya$5Ar z0WMa<jX{n&Yk~x%SBoAwD8*W67~~lALS6l)%Dp-@b(Va)$zuM~u3oAYReJG%CsW{^ z;G;$b;jKP*!W6mBi&QSJSgXJmr`gdtoh#{KYtxO2bqaiPnjPKkT$2tppRb$nW1Yh# zT?wtV`(kR<d;Y|TEp?VUoK-jVN2b6M>!qJdO?AJTw$3Win|?O&$n!%h!ah~>FXk6_ z-zucX*QK0QH}S{DDdI&lj~y%FtIhtAWAPwEr()vgmufvi{q}Bq4_0mA+Bnhg%`z{I z&Q>qCf{>FMeVQ{HAA6-eYMB&ivGNvc*G8?BggIO@CDuEMsjZmM+o|;=VG`HQ8Ec$0 zeFURfo&PZJuhE-+grQL4Yhlw_UMs(iv8Q=!?jD#P&!lg5GI-M&(N!~lf0@}QoHHqR z&mqlinL8U}H=PmnnrR)Yd|+A5wyoQiz3Wyvw?gq?r0Eh1b-9$arIm4!f7bejn@oAI z%VPc7ODbj^vM!>+doCqj<9xlL(cCKM(OSOfitjtC_G@g*_;%|+7_aF@UuWH%>jBc% zCRYyX@s<__ZFbju6C&n5ZM^~8D}#dy9~XRis8W?_>FBS!{KdkjY`d~|cIrjtEnJ=V zU4!lQhDQGRnHxI$t#Tgj<-0Ah>h`u~MXNW9`uUb$_+9+)Kz{CZ&G;3XTb^F@os+fI z%vAN~nwqk?H>OFPS}JWZH(0&f!(x_puZNf5b5CWXNk?{WVG^9<ar%;u+RP(@ys8x% z3(uPFsi}Cr&1KSUBh?qdtCxxVHM!zY&ueP9AZ*Q6rLTO)_D%9yo6uAjbmqBlTqDmU zwYWnp&80JvI`}p-2kwfOSstBb=;K+L*?%dZsZ+}9W6-6qQ&%=xOJzM;*A`W=VxgH_ zr*M<d)8IQ%HdA>TT;E-NVvrhIX=)kMuKCWRs*lU;Lqxl;&Ba7+|I!=BbPJaSAKF>d zsH=JHDwl1yx%bI!=dUL3W+^Ml_S`h>=$*jdr;qp_+OTZjvZ?;Be*S!?Qsx%PQFfK< z_2dbvostvfnr2Rv>x!Hx$Gmy*CZCPwr;-xtryM;pQKP$caiEy=@kKg(VlI&}KHZy^ z7{uDFDVEK?E!7o#%W|ue_UDYk7O$i=7VCan9JJ6~F7bb-O7qsaTrYk0Ez`VwW74|g zEFbrzUYz7zE#~tlCSut$t7})E-_WaxywkmN)3b9jZ#VgVow2;Z_vS8r1J^eUHYfU( z%2u42E-H8U=vlv2Q=jbdxtY<}8pQlNbaw8_^UL=i@rnHSA^z&4nX1}y|5nG?6fZe? zd7awylh;#c&(5ASL;9h@4Ecu(W{5vbU|(SISJ(Q+0t+u^&aiBaqguSC8)Ez_1vg8@ zsH}DDPn#66W+98W$&{p(ZBh|o+PeCFvoF2<S^aXly9Gy>i$%oA6|y;N650jARu|7q z{j6VlGnG>;TjS{N^H;7Mm~ei{*=yN9d`;h8j!=GGc|&Bm-t5)ciOv@a3jcgAY!VEb zmwRqY3fI>+4`ejY%H^+`(-(B)n?|;o_R+{0$3L4^%GGXBs%oF5e`!v?;Q7jDR-ZnX zt#J=kyf(8=ZBDP&LX~COk_UNI7tdIk!j`5vv-74`T4LX%n=@9Y@IBL<+5OXN+99UU zi5ow<iuS5)3^>UlrYU(;MAi7iyX{(Oi)I_iMgP~D*{kRE?7`%sYCSuxNG|!h)fz_3 z!XdMbCsi!<x};FC*6Y#{mr&No8B3hZ)K*VuUa0jXX%*K}1K+ZcqAT-yr+oF?^K)&V z@Sdsf5^LMOvInQ`6^*XnV!Cac>C?+IlwPO3mcArw{n0UF)0DJNYhT9bb$;I;8r&qe zscwynW>waT#<)j9%@>#XZJisH{i#xB-PE`F!Rpf<J8$~ezwpwY7Ymr4cMC6O-jtci zdObb*(hJ?StC#uJTsjrL>353jv^=ltsW)!4+s*eh3s`n^z5knuPY>oR-q4*r&vaRK z(bk)NKbQGvUpuv|@9m|Wo`kE{z8kBmyjb2Q@;5a(S+Gi1kU8b+wJW#otUEaSV&3GZ zw+}CUbyI7#Tv~?KvHOcU?uYd4kKA@IsV&Fua!`imlUXy;C$DLmvHS*;*(tC6H>DH0 zg>Q@HtoR%E=yswv_qEeT*C*+2(7k@eY-5+KhW6f7jR_O(IT%Ld8(fIJc6>`o-rAMN zC2ulLKYLBAyn4l&*(Wx+RtHZkUp@89`kQ;gwPRDKKATz*>zphUTQcLp<O;bZU7B{U zHZ<~ky^&q>J9X{N!)xU3Yd@~q{nf8Ca{8Pj(Xwh4iw}#eFTHU<`{T9+LVBi;ZhJYu z^r%pb+o35L_4;GXp1P=}oS(C`Hypjq80oETS#<N3$-Jw7LLa3|HHZIUxBor+h`#Bv zPuKWrmwEMkU$xY>N@-r+<7Gm%I(Jpyzlw17&D9HTKfGz*$`=`HPe1Nh6R-csCjP69 z!1?DtEe_V|F5jVkw(jSr2e<c!2RHHZW_fLNb>@AOG2u(t+8GM3pGPtOy;BkCesaBF zh3%moQ4fFFFV5Ly6voGK>;&7=Yh_0#GcHcuo6cF4`*OvaUtVm}ZchAk<mQ1hw|;X( zzJGS`(LSE(pD)=)c*;!P+RLSGvF@pe`j$HfJax?ry{?sS-==N)w{er>`mM6_IYPcY z_>vwd*Hk-Eu50r|Ip*g|wrc0RzpvQ2EJD6EHJ$y`m26(|B_B8Yn(p*jC|u?J_<7dn z9LBgiPWqX}iF{^%j~HY=;;E8#^naNcxFqM=>V+bEkDiX`se1g`_2z}St2H-t9!`qX z>AO)B(5fNUHGM_b3GD;kn`)n2+0B^lIQL1y>S_N{BjY0fZkqA=waW30*UzU*e|o7u zd54m8<SMqN)td}=*F=4vB_$LS?%XB4>7Vlbm94&ovy60SsA}u>?Umi98C_L$D(PIv z(Jx*_C3AKBdp7Y&Nv9sK-50#i=9$m>%Y{vun~vPBkY4w`Wo79ko9$2c-fmldLb=Gz zF!I{6uFt<!la7AowzfIxnWWSAV^YQ<nUC7l!XX_Ad$<C7f)$yQLr)x$5Z$yPOozX( zEBw{9PS(g>H>Ms5+L$;+;-Hc0;)r!AY+)KRJEwZ3B~HCD>jvX#Dd(4~{%U<(a4ubH zZ`V`N9YH#BX1=Q*i?@ZZ7C6{t^^ol#)BiO-LZ?Mq5_XzczTY>0_oGw$Iyl~~6gXNd zYV^UO)#pxtB6oktiDSv4MIT&RV{Aefip;xu>U3w)-bF0DYXSv?y+w~4;$hub7(9`g zeY?n!qq(e}5BsKknE9}nRk32Bf{3`tkprBphJ`^g&9;Z0dvCQ+_2c6@>V4+uX78L$ zA`cZTgdZjdFXdpLt=1VlVN&zim*-9|y1vcyO1hNP9{%hH6)nvVl3JP{O|p3ZRp#l% zvaL53|FAeH^)V;x;O>1#{hx@QPm2}1F6qd9aH<CP(W@HWjoF*_>PAPXPe1aNgZ<!P z4)&ufto9y0;8AE5P*M>t?4F@<uz+`|#Il8KZC!h-8{=<gp4gJ|>FtKFIntFg;!6(+ z6t;X$*r(Qi@X>`AhIL0dnpJFfmM2+tHfp&TIBc`z-`KjLV$sSY9QQOOk5;Q1e{ekM zQxjsutv~gQoA^^Zix;a>^mb`Ra@`Geb-NfZ+N*Za`6Ne`mZYn`s_}=dS=U!~nm&5$ zp_=xvW75qVOH=szG-q}{_L_D`^`qYrCF2YJTdUb#PYv;uT4Nognz?BGswSN;fr`@e zRg(9&%j^kK6ki{z(Q1C_l;`r<(YGJ&s(3lu_+R4P8238@@1+vA7%jVVEwSmhmCwhm ze9{$ay2WkLuRn%JZ7tgSymO1!p4YbhM}LRdKAC%{c8SNfl1EP#GEF~MU@P#x@MB_r z><&LOhhKVZcY|{l_WHV6ZF#V6%_gnuU3y*R>qJh4R~EgL+IA#b|MivA(<}2%dM&G& z`Fxqwri)=V<uNa2WM)oZnVNjm^y9X9PRF0k^6`$1);sTS8hcfm<5$P?b${8q?o~B6 z##J?U&Z}x}m8)v*eYeRdW8F#9PfLBxO;uj3Y7>dgYT3N8wNLkk!n)PmOJAFvPhG1} zkW{^~B5m=T2kP6*Pu^Pb{>V4?kA4>N>OU4d&3Q7_^wFu#x8{#JbMFW1OCJrszArs) zTh@xkxsN*EIvo$o5%bRxU6sBzzRQ2D=$_5j^q(|5xcvOkO&`8L;wc*=%S@)8&sjfJ zmj9pe?dX)R;kKLidd{o(c;;JJ<kKJLa`GqV&nXYQ$0T<<b#GC&b$-+i=XpEI7Ym*L z5tbP^-QPQZ;xp^Zw{D$z;Fh`1Lg#4Q`ku4js^+daSvg^=hs@M#Gu*jfUaNT~e`C`V zrzPzVYL>J=idk~}z)g?-PUA~iC!TE1$@lmEzO826pDAnVIp%2JS6jR6)6P%Amu8*V zbS?1JdH&bDEbU*|x|*w+8=0$`JO6H4xFhStmMzEaGA*BEedfIx6FY1Ewfow-$3JEM zwUzSP5bdm~*Kz%yg>QIQ!0AZNEK6+%-Snr+pRW0Fq<gX5yQ%Yj+(=zml9;rfM^xYE zO`u{}g4U5|osXtoQ2G@0x-2q#ZOn`a?KpFN+b1tv?<w})xppZ0x#ycJ0Zp+|r;OGv zWGg$p>qE`2)7!RuZ#sB(Q_OV3gKDzNZmc-WX7*x3=U1zo#JPO8Z)mR8exJp=pZm|W zHIqKe8tL}#(DdD2wDW13$=y#+r`bM=e5aIZxPE0))!WB?IX5)o^KNR-=KQI*J!YD$ z+rHDf(^HIhe|kEt`;qWXnX2j0eJ7N~^taDh|8TvO)}cq~mDjiZ_Ingv*ZNiA<$_LE zZq1xIoSHcyoSI^O?IP04ow}MQE2_5cWo+FqSQo3%{by>o#0>$Hz&o6-#v7ED%$Ok= zq;rOMX>zikN1=h{k{uP2Uh1v$c&~DuG&4_+wz_U6c75{J9rq$~=cb13GCMmxmtT7S z{2zWC+pk@J6qvmA?bNR_*%xchO*)dyt6H&Wp@_ff6y3R|SB`u>mbIBTc%Qj`%Us?) zN*O!&gn2F}b}h8ZdEnd3Hd|s*cW&YazS|N@4)d{@g;g&X(%WzPd=8hOOsHa4vR2B2 z9b7Xl7CMRftrD=-2|aP}<*`>mOPeAVAD5JT;NLxGDsz)^@6~LLx(P>^SruPg_w&>E zrQOlU$d#0|peaJ)ir=ivYoAYkKX!oC(x5PqPbX#)v-I?*2M&r_78Hi^1?^B{y{V#h zn(?4V=FQL7@7v@}5WcoogX5?(tKkO^N1u}LCkLGDE0<}?nRqldUN1^`G*u>|LX+Jr z?nGnr^P&fjX38x2uto9C(d*Uvdn9$TOKWQ0EBA1Iz4tn=Z43AJeZ8#zX6^hG{A~NJ z(D%7hKAijM6s_BqC9Jq(t#6Bn@XOoltWImpmO6b?VqF_cwDe);)MVBF%C}ZZWUrW% z^ZEMAsgLJPNV$Ey`=;Dd-<AhU%qCvi*Pkc;PH(m}_q{Ky$E8F%9_-)>oD-nPtR8ye zh^FYKf`HbXIbn+I*F#PmjTH5Ixa@tZq3e<R2QLJiP7sbZdAwL)YVrG>m-u<FF0Bx_ zx_qy&TXIWVh}nk4>1#y9{BJ&-TcA^PBdTBTQp8eo`#sasR&eZ{`*Vq5#pPe&y-~~u zv-dVW>Mf`d;r;XHbadjkqY^8peU(X6cz#FwHRGnYRVzZSy_ZsDeJmLfxqtehpvd#0 zyE6GABkLy|)0+BKC9|z2)iyd=W1i17X%4%WydwIkslHvQceln?$lZCh_oedvsL9@o zXRnbIJ+0iSyzjemtHSKhClhuG?_S%+BHrrC)?WF3Zo=Kxd7IC0RGs(~mEyT(!qq@Q zA)RpNuBBNS2^*zmMJ#F)+c)iMC%a~q*7r*e`l`kkqfhF52{e*EJmt(mwp6>=HQ}2? zuC0{tO<Fria8005*Hy2ygsGEeMreK$KWe^1r7)tEgKwq4QAbgu0*6+gH{puhze7$O zixVx{;2v>!+Jx;KZx=0OiEVA2*RN(6+RnM`rNPk>Su+FYcHc8s61g{<{C2(Q>&(07 zYwSO+U}0O6LftP{0;KnvTyf0jExj1-96Up5@zUs)$v4(6WRX6qx5DG6XHTrwiS@_d zg*Z3KW_fIk<>k!@b{0Mz^83-&=ao`k2Jz0CVi_wMTcxs+CK~Lii;RDCboR{cD|nAy z|C}Q>t?o~Z(E27{B~9Lm?Jcb-l{GeZ0``7;s;;y7?9=pMHxsu?j$hoN534J(mKx0X zHE(Xz!Zwl9S1%m6RQ7Pussqoq_2umT{e`XRZx!=V#=Qp*I4U;p%YN;BWkSM6sUV#o zXXeu_KA{PP`}tq;uDSM=txLJ8xpDE^AJ(_J+3K=|><{i)wy^5l&C5J%zRhj>ro!ES zS@Gnt_6<)S1V<EYG?-Jv<t@#$^MhaUd9GVOBHw;ZJb7fshLpsbh)sr;I+inprp5Sh zduyIq;q^!R{+~q$XJvIxRk`M{x;pZTMqjIH*tNuyM;2~KNetb(qI*(vbK>-F=0wfb zznTAJo4StJzdxdO^`%4J-u`NNaTDf#mb1s09g7R%FT~st-Xij@&FFFH%c~P6UVq8z zXj~Y4LFbNuiS%{WUfn0ov%aqlcU0b?lfkCPIjgn1DJ^;R0?P=|OFztyg|%@Ve9&s( zY%nWAFT-pa=d9+XP0x}#7cAXivE<|VeR?7$O{@!fX4y1%vnU^}aWppczo2tQ)I_?N z<?PWMNAJWi4P&wMXTD9CST9)Czd-VaZbpu&YKB=E=d9+rP16qbO*m#RzuWf$M_9X2 z?q{ETksgs~ma|9F95-*&T=G%>NFGP-k%!VVquv^OD;=#;+|*gxl$N}9!OjTf49z=S znSTy%*zA0}G}80Dhza{;R^8T%ioNv?HH#KR&QQqUJH{EsmE3&S`2UV?dnWXXU+vm( z_Q0+UDM`5zMH{Sic1DO!3yP4uv*f$($EUoFT92cIKC>zxHF7jI(6u;HF<&@d>4%Pw zc#WADx1D%5i*75cVsCtooJxksUDmTM=8l^$hF*v%;mq7~f3Dyu<Nuq!g*Y02Fud_) z&-u>tLN#Ldxb39hvz$FB?O6OV>OxS3=%pX+7W27gwQg^EmXMNBU-{j}=|Yf%+@*?e zo87S+4pzh#>L2tljJUw_MZiRundPigz2oMK{ugAvh?<Dkvgo$nTNw7P^k(?0CbxU3 z-&gWyv-xq_38%B3JyhwqxzO=~OpJ($_;eQC*2Sd<eX3)>O`9;W{N{C*vj=<~jSIam z=-d%Ak^atl_8_xkabe_zpc_(`euy76=a!Pza<YETE85PYeDutPjYsxt+r?e*@ewiM z{@wCLMn=FyoZWe5VP)F9mYy0PNs%nMKh}>Ivz$F7;ken*??Q~vD*il+qvm&XQ=ZIM ztCu^)^Iz+ywqvrkv}5syzzY%m;qnr)Kb~xMk5H<R?mAg)Zj!TjhCmGGhq#Ziu^vW- zmNFB0=O>;#c4Nbn1@Rkfc<gd|xxYEZct1)s*v%%tt%`dhZ;zOX@Z_em#~&OH(?6KL zc=-a$7kU|bcAS--4{JRhUv4mOa{CZ+K|_vP%9_XN_EYD39*)U-S1z!WP|VQdYu|Qo z_JJn>J3suor}u-$N3cfCjLVMOn)U3lSjXZ5_X{z11h$B*W9N@O9KEZAv#*lvf6Aws z0V#H7^8`%9@3!pFEaA<psrW6R<zTmGuegcudzQ1uq8*bT*LiG?cwC{F!E(MimhJka z9m*1#?>J{QW;dlh+O=S#>zV~OcW7ql<+U3<<_$dW<Ywn`SKNe~pY`m)WXIx<E*F9- zq;736ukqms6_(dp#yP8VWm8&W*8<BKDj9leZ6;<R32`foKehGCHBC&Kvp`ZpJ45Xl z$E?<iO=-zf7VMPJ%+TcF$ke>Ht%^&^QQt9HcEtk87s?rGzqn?#)-|OquK&;?VE@-Z zLr0K@@8}cNU78jqADXK?ES5DcOgwpH!-kZ^iik~xCUf?5>n3Wpo;`RhLoM>3IhWMz z`z+EL*C$2jN!$#IxNu{U|AiZ?{4eON5j2rr&3g8rmSge8pbJ3}f|q_UZ1uUo@vN=v zT=brEVm%_Cn-@2obYIKbl$Nw`!A94`6Xx|wHa$yNwqU7&_L7f3&&oI|&k(vLnBP|H zY7lfr*o65r>)9hrj+-|GT*%Q8GGXs#J$qEh(K|6y<MO?Og;ps~+>fg|8W#j!@QD#H z*)~JaMEW_4ZmY3k@BD+-su?Wm&NIJF>$PT4KFaB6To7}?=M1N*<h~pmwI4D$!dpbP zwVT*odnaPj6x-zXaVxmYQTxU*t95NtTJrn_mN)b>^!Qp#>K47<us~3TQ*?{DO1xN) z$nmDh@{`v~@pIY<v$LK(7U$^wFvsI@_x8-DV{Y+|n+*+a+@1YrPTcnd@w3v`eoW|n zf9q<3{Mp&3pA`5AUp_pk+5KUMo*awnJ)L)Ls@HzAM$a>6w3dr`|Dml&TjuhC(;JS6 z6(*iM^m@aQX$6U$x(0`WxRjf$Pez|OnXs>d*-~~*`TE)~ylTf*|BbO&)+(EL^4PWw zPZB_thPKYq4b~bTFS9T=&3ur~+19Swm6&+)z<~`ZkK!yUx%CfC>RtD+gD<W<Rxhq? zsmMH5)#*?F1x6^%kjQy?Z_9_Q8*YXcGq~+EWrU{r$Z)!E*=Vn0c|&?y%o|Q`%^KMp zrhAd?;s=i%US8?kw0y!(o&1c5O$ItT8!Iy-iaw~!+0&h#sM-4bYJN^?6xW}4tLp*5 z)8ED1UU~J{a{Vh)WR<m|j=%T#v0x#~`~55KY!P1c=aBxrmj(x;LvP)Ce)xXIiq7S$ zmQ+quDb!eekVV~SYPGMbMW=DpxnE4W%Pp2ZW%JA0+4(mr@8N=Jw=EVtU9&TLXLoJX zyhC!aAA@2px-QT8bG67!EZg#Epssm=&uL$o>qXqxx7;~as#{**d^+~dl}{phFT1WE zNR2H~?z|o4SKxkH^Ig`?#_FiNNBgElS1fpHwk~UDb9>agM-!(l{}2(rM@{eLqS>A{ z7mJ#fNBMp9In8Txy+~Mp%bi2sy4yc`oxT~cPFs#!y!6H~R@t(Gxc1mNmlMsx&xOb( z3Kl;9RTAvGTxFWuY>sIub#s4IwsfvNo4kV~?N~wJnU04>2DWXdk8F|9;|@;Vk>k_( zu*kr&?ex(l66-|N+`<KpK6<g_hKG@2TX#YYkND9Y5_-&=lXm#@^gi6Q!KUr>u`UTc zcJ1UHF>AUWdL@>Yuu4XV%oUODzILQQa82*SMG@kMQxj@=rg!oh#)z36E4UdUcKGPE za>E!sv*QIzH>mDv^3mz>>*`I5PTs+>?Px(@PX9xr4a#k&kDQax<KCULBd138@Y96y zl7&$b0=b(E?QcCvh}&}V=$(vUu41F9(|>vRM84lRL4*6?^0KMBkBd%IiF2#sh;ymZ zc;{NhQ*zd&(#NL5=lG$&9{s($C(5yxE7|5elYE)D`FxpRsN+XNElIOaww+%#%*<HQ ze%4LdmQBvB%7>?Cm7dwX-p(&KBxGL}8faa5oRqRey;U&Ky|s})aQC@mJ01qkPEx+J z!Gr(k1&{tl#ffsl&C0f7Y_3%~HvM<X*_o9t7hbf#G}&qYid6<5?X;|OOkPWG)ij&- zwew5Bm%c9&FGOD&UNpXxywH8gakuXt{mprSt{D$wL+&R(&Yg1f;B1ZV?(2cmMB+l@ z-37Loq)Ho?-kI#5bV7WhTGPRJjkfannw@cmF*zcCVz+cJO7Gdi^QGgV(M8*~(@xtZ z^rT~xcgWQAZ0y&wJ5sRp!@u&0qArFSR?#^w)#7^{&6e%$eYmJX_;Bh1?~>O>1_rlM zk{q_2bo!q$xwr138hh<xU%g*0vx8pzJsPiGx6r(Fo$sSi8R>(k^|kJ=ynJJl#08P# z?icK*^)HIoncui?V~yIpBe(duYm=6yFAmq4-#l+)jb7D}PfIWC)pXk$xTj<D?l&B7 z#nLywk$5Y0`ncQ82s`HWkGI${pMQMA$EG`Z^95DEn>Qtdjz3E%keS`>SeT>9)03E; zw0htDqZ>B9`W0JZYO-<hws;+R>#XFT8g{9Ve(>;gOqGjnI`V1b&U~Huy$3he<k<9X zmCteMeVUw{rRKIZu%vskt=+m~H+AGAlYid0A$9urG_As@`Hk-ibJVJiY>a#%dHk5w z6ALr;y^n9)@Q^+}&FTLAP_?7JFWJsN|6DcwRlHlI%$`e8d%C}^U#!ETUl$%3a6;$a z)8Ef0$~C3m@~ssAG~XrC$L4BY=X%LW7V<9@EyCyauCnoz=`|CS=`;(R(_toQA(LxV zsBtUhVZxS^#~L#xH~+n;CVqX9ujZZ3HKJXcCvt9#dzH7P^FiE}&PR1yP9A8^nB3WZ zQH{HQk*}GY`)rvzeP%m%h~|1dOiNiSVIe$o!o(xJ8Nvs@W(XfWnlZU?^+h$|@I}62 zdhWAxV!F&McL?MbZM3~L<xt;=D8oW4BSquR+>2^WYcHyG#a>inj(4B!^QO-%@`iBk zrj6#eo+PBFL`GSNzT8-7bm?(I{F38GKYI9c9bYAE*4pkbIw?ZtwEKn^<@-JVXx*BA z<V=NIljO%9k;{)Id40OmO$<%vT|6|U!cBVeV@cUFed#3|Rp%MDp52%=At`YU%gq-% zH0MoBNVZWv+E(Gl{Q9w^@0#xPEgQ_{T|73a!i_!qk!0+ezI30&&^1yf8x+Eta#Ug^ z&wFf8nl~}2(q?gE>c<|nX~&GCBxKKLB)ixwZVvp|qvv+ac$tAo81JkZ^4gAOulV}Y zRV2jDdl;(CyLjM6g`4o>N0Pcd{pni_73W<%cqHO!ZepCy{N9}#YxtfW`LuI}$m!{a z*4z)hr}-*+-}NefCA+`BPi0#+pE=+zYV^^sRj1_dTBe&jR(Cyp(8{&5Vx5zw&F|`$ zeS-fqo+Ya)S1d^pDPOhzEw3T7{?v~?F+s;R8+_WXb?le0s(0dsrjN@Fe``oOuD>64 z@1b~F&V$f5EcL%W?MYJq5j^9$NRNGBW!yxy`c0pn+&^vn*f!D9ccb_p&(oj1j!mob zIwmILmG*GKq?<REr|`|wn%SN1HSLfS>tlZF_hCj&xm{9U=6$%cvd3d%$jKXf+)w)C z1Q~J9o_gk(m}>Ec(33Yl1)aRHD(K*k=KA2NJ!ij)wm!3!t?-hZm^5$F#+MT&&D^mp zMJ!KaW^c6Dvj?4%c2=xN(Ub`?`1j&bq^h#T@)QyADQ6D2swUSioD><cB*m;xbC=-1 z2agL?iw!+yrg5o@eEeZNaru;<2U`~1*0OV5-Q!W{c9LgKsFCpVDQ6DFx!ylLf76}? zJ<lJln6$LO^Wcxm)51lp-|vliyv<1IXsD`jf$vG5m~f*q70sEwv%Q`@n%49&-Q>N7 z<Uu{v#S!aM*vvF$cJ_LuCC*<|^fP$Q?5Q7b+=@LJbYoS*pIZ6bQ+g8Wzjm`FPCFu( z>eBVrD=ndY(##txQ^fc*xZ3O^cKJ!3KX~2iS<?2VkAJO|=4XBi4}BA=DEvF*#GyLT zO@$7vGBP2G;_V?Ct%pC!F0Rq52|UTM>-2BG_rCqMQ_dU+t$bRMU-xEZPx`}6MsZww zML+(Svu*vPnJ<>7i2c%@*~{qlEMdW<oiCOp)M(kboaAUbrLHkY^`k?eXN{JP&&(B# z553Zorc8>ISes&YOk-wu^{JX=1@Q-elzd+}Nzi6uzxf>1k8zR{AGIwq{rK`-tg7)x zubInQuY08}?p~DeW6HP5MsZy7B0cZ#C;sf3dHuep=%x+stvNE`itNWjI?jrQXtXMS z+WRf*`9>S5^>diDQXaH$ZQR+%b#upJCqB6q0bKo0c76|I-Tw6Ak0by0am}n)=p+`m zYC`XGttSuWaqZl(+DS8JnzG&M{hIgF-}9<UhhF4~30LF}4?S^ARJ3SAd~1wO;6f3x z)5Y(7_bxxZ_~Xp)HKIm_;jKDn0u`k<hn_g7BU)q_*7xH}MHiRkj5SVbYAYwSc50<0 zH*r}?tas8owlaXr_-Tj#^8I0oX0yW-w*{@L%hv0Na1K!v77sabNZ0bw<a@lIaz0qT zxBY4H(6@5>%0+=|f)$ywLr)x$65X^Rsx@a#kRrQw=!v6TqF#wV^R?q|p71;;tzP(x zdu`)`GvZVEk9+fa#`G2l#B>(~yy-63Fiq&8L80=Y#D{4uhmWo0Xm7qP$R}Q|B%^uf zs<_qB$XX$j&T2uvrr&~mUF?E<%>2qSYI3d?IyQX;H!MUS7Hlv+^e`#EMY+}Xmy>yc z-~5(|N8WL;AG|Ke*A*+s$9!8^Ms1s`h0dFff*Uua9wsDLwH!X$$l=b#{<Ssl(H=Wa z<4$KmzNW*1d|k?de9Z33GHQLU7CJKh1ve}N9~Kk@#V3gAz2G&|d&SGA_mWpn?=`Px z%u2iY%Eli)s&^V*RBO6;_4(>4%T&~k76|(EJxmJTETPA&oV3Hor~Bb1P$TSEkAxn( zaq^CsGoZFqSqW=kPnTa;uUm1_4vuG_##;A7BLn-k(?^;l^tic`cjWN&J}ffOX*+%N zgM{8To33>V%*u-=Cn;Z_B%#-|)G$WmbkYtUo&JYL8<pBlA37zWXI9zwuxNu-+v%f= zB-V*sa|xf&x$>gt#=yK>9`S>_B=owz8pg1h9VwW3L*j61VldD2-m``=eA`^aSG8`u zs3!e*k#A0~Om2~(;;ku%j_B7-_>pJ*kMZ!W7~kUO*Pea5cVDN?{IA8wTFw>cw=9=f z)~42G_SfX2v*5GKzAvrps$Lnq-d#H5tBh4nlFQ|Il?M;4o@Hb|u%CS6iqa|;>F9ma zf0RY|CT;OilTh_+PHJ{B-4M5ER)l(>?wYA9%)-1w*t1nub#D|hZ8cOh4L|Ok)Z(Hl zp&6)o%rk`f@ZYSq`n^-_e_6OJ65^S<V$Da75awppRh^DPR}VRKW+gYclwODrDfV15 zVFin}s@74h&a8w^7t@U~i=rab0&{hyt>ByXD|A_ERK-uf$tzfbRke;*bY>-VxtJP8 zE{d9=5}4~VWd)yFhnDtzU7@K7t6i4fPz$`-6trm84V}Q7hXNPfx}g~;`zA}XJht>K z>%BFzi`O`>@lKD5n*3werS+T>62gObuKsd3N9gv`hJ@(L--YjUGEIvR`a3Jt%JF}i z;=*%r`^vhaA2cMmU-lQW=V-iEX8N;4@9u;nZoH{mFMhduWPVsiY;4=xQ=X2>JCrg+ zrn8<suyUEa+P^)ke*U_Dm&<9x@|XI;^@_?loNJv}*hOCkz6ljz{w;FkNFD3O0td$& znP35SchMt91z9~GZfFtt{o0(%=|h;K#y!oB#_PWI^L`lHKa^LLzo65>!aQ61xSy-f zql(g!wJTV}RkV(}c4j@8G=sUzF^TU+g+iB_U-w1bIfAaz>8=+KS_YOBI*9~Z$Y<2> z?))<S&*OV@qd%?54pwYBtL3uMv-QRtvxXmMdj3B9yj5kFxwe3#x#*%7tDV?>u~f|y zN|K%>`C{cMtAjlb$Ad3Ume6NsdHkdyX^o78gz{apqa`cz5@v;@XN#@OOY92SZWy`B zdWOo?Sf44cG<{b1c>b*l-nDFDv90w!vDXF%l}z)JZT~yTszqG*viQh%mOFQ1uFpB* zt*X33PT~cVPN#I1M?o;JX5Q+BjoGLE1ndbgVm?3h%n@VN%^yNe=GX)pvG-3sb5vW^ z`{AlL7VqxdI<hQ(dq~HFKCZw!p^D7kLrxs272Wi~p*6=QM3KF}MeW4*>7Uzf`EWmD zSCgze!#=4}%F8g^?`D5Vt<c_CSEnr4-WK%bN&vI%toms`PBWx_KJ2xA&XHGYvg#2_ zpNeR2xpTm)ar?H@n|De^&%6FHvA%Pfu+G(@uGFZ!gq<g5&$_fFXnApq*7}0Dm77av zB!w*y*YLCW`Q-NPt^8RY9|Czb?N%;q)N3?cKed1D)|=bJ>~6;iXWX)L*$~#Mac!kQ z^gVX92)QK2u)`JlZdw~2JzSBUYIivzL-+jNvd{jXSY4ae-=3Q87i!pfR%mL%WS6Bk zGy-qhINy;tsuyUoAy~xsjeslnYuAg%$^uI^_=?2lh#nQ$)>3@`P3-6CD_GKRP4&O_ z<BH0<6Ddunjb=WY<YQJ4xXHC^v(VK8mYrFT_PA^<a9niDLN!n}X8H=fb)F$+|F&q$ z%g3bV_BWRJ?h821zuPv;V?#KT^%8Hx{1u9`c|*GNCZGM#>ZW!&{7CdHRilG-GkrGt zt4ZIGo>uI+&Fk37QzF;Y&7T(dZ4l10*S^u2Zm)bpbNhU;H+!N_Kb(?azT@wn1aq6; zt<Q?Y4}P_}AN6Ba(+11PrQF<t*D_ZLyfzYj8F(i|fO)&fkt4aR8w;Erb8JEd*vmzZ z9JOZke7Lto#J#VV>rj;=>%tpr6xh;Ov-&3bEZ#n~HE3=^)zdpZ4*p5=WCYGkU*=*M zyh?h8;#FUtiLb<#d6r5CFWuFAGwAM7nU(Vn-Emla`hw52|Le|*O{!Sz#Ik<riV3ON zGsCr9K5Y6K-Z?*P;`e2x|Bh*IbIHohKDGQO$F8+IZC-O!N~9cq_U+UhzouMn>shD& zMsz%XWu3TO%jo_4S^Ew!raq}*6c3%5pS?DvE<5$dcbl5?lOq(mSiD^(CT-M^iV(@D zJbLNNG-=S3?^>Avo5{@EesIY+tVvGOn*Ua%&>%uK^wQ3GyzVoWba)ha2u>7H_6u=Y zVzH*fqgzm2LrKbFQAdV|vL9c_y6xMZxjSrJ*&puQRG8&q7{<%X6X-0QeC5KS4Aw7v z8w0aE41#zy-Bv7Ye3+GyG(##%;#Q1Z;F-jY%J&sASYEUC`W|FXUbp;X@s;dUqk~d2 zeKtm^Nk@oge3%z==8l)8LWCl_$mypC4j3iQ=h5Lcaa~us&euGp_~p5;GrxJtTup3B zw(=>AY3H3IVDu`M!|%~58G)FI%w4C85*`F47<_b?c0XdP_o~fD`$CrA(7nq0W~I!N zIOoUqS>5cf-iTQ$&RDD<QY?DpKn<&*p|_*XnQYDee8)raysMp9VnaI?&E}GPvCc`& zF0}2Yz@`h%)5_OBp0QGa#aN^zX;+g(!~z91F|CeP*G;xbEmPzCMU`GGbrRVddcr|o z)aYV(tIn6zcO)NdbuaU&4FCKttV&|OPl3N$@SW)=+2lfQRfp!CQvDdPO4w%7E0O-C zyBgJF&TqJxUpsI8<4aqN<9)6^s#AV#bg1gGIP10pr_-eh*MFSeIbWmjdCe}taH$(f zCMhQqLX>Zx-4NA%v3E@g>rL<HdCJ+6iBBe4_u6~@QE-_h{gY|R`8D56{wRG+7JaIn zEc5vE(+SGmhLhfkzR#1qEup8qZ_=8hxeM3)2-*}Bw!LN3r{sM9cigG25s|&Zr@B6D zlG2|dI(=_R9#^IM(oMS*r-*)>dNpt1nwl%xs~<Z>3WkLVtK8O}cJ;_Hmq+|vi#_(o zyi|Ame`mhRznR~SJ0DKH&!VigU(+qDPFf=LXKqr-)9tN>Q}3G{3#+r12><yuspe@p zm++~`1rh<%GKGY-_eYjT|MdO%;q<3`W_|7bzI#^u+4f=2r`yLipUP+V*WMp%v+|GM z!;jOK>PSdL+?z7-$l^^$6)Hl1`d(cA$ne7MPq$sxpUUU9)!v``WyPPe3wuA^cHMsJ zy-3{j%?U{Xk!Cj~LVo&wSUHDv@}uOZ+Z*|(-dDR9UKd>v{4@7)?$hnf<xhPhC1Uid zrE5>y%kpUcFEQNm$GA0dXTI9M3ICsb-{x~RjEUv)ru$xh0yQTb;fn7_*s1Tb@r-!V zXRY`XM}*=}97>8maZD-x#6hR{6GxfiHClgO?bT~|c+tmoW<XTG*-wEc2g6I81h zwsxPu>WKJ`(6#zUw62RMU46~H^upFzhRnvRI<{`Uu6tF$QCxnp#A9wriMQM{C7yCe zO1$L0De;ioQsN!=PKjsSOD`;+WhiXCx?}4;#eD(?6XH9PCg>mGla4=egdzTfQ+<5L zR^#{+j{9F7)9qQymUCtuo6MP&Y%yzAu?0oU{9F0R{h+jJ_Uuii_s$rfw?Dn{mvj_! zwDJpH5$&&R+w^`O)4!px$jIbl%oAl9mbHR>UHZy0Y(@Kh{+K*@U>>zi^j4AX(o|=~ zQ%)DYtv+)8%QTgLn?3js){DPf_~X5@E!)3#kN(bj$(I{H^iPzN{-3+#_`!PNmxUig zm#DY?w_2s8am!ux@UE@@wJte-h<B^f_}A^x-}v9=Qu3nWCC8o2E+rrC_+qhOqMW$O zrO8f4tF(AZI=tFSpZu>|(*EFn_m_Y_AocsZzs&eiu52szuX4%pWB1*vWd5jKdi*F~ z$yW1^$to?Mk{+)%-dOv-F9LrwE;)akK2eU@zURw~ACXIrA3CpWYxYXXmak4Qv_;Iy zH8SUq^OU0p>t#|4KhAfF4Eo_;KljJZOOrnPc&_>Bwxv7at@%HzkKG#KLbo5?;P`fY zW1vh&vT=by-`OJzEzG#vAK%D%)16$r!MyM6(RmizM9Pk@(C#WV6iPmP`+8vx%kD=v zG|G-`l)NE$JT0kOW_Ih-!W^?>M>kqZNFRTe<RcT!wK)08)W)xdLdlQsudpy{npl`4 zqWtIv4^Mxxv7t`i*+UmB%%q(k-H_qwOD;Cl=+kZ8XZY&Wkv56Wjf|W8WuzV7TbOml z73Q#29osnbh4}GfV$%w9%$^<FXelAExI{On=i#P}nr){KK9kTBk51YVbmLd=d)0ly zMIYCv{tZ&I{xMbI`bX}}dezCCb$|aJDR<uV{p;%a9;HoDijwcO!*z{rvg|)@qwzV` z>rqv=h`^l(*OgTiI@P(H3cMXP<TN`P+qse+H8w@;Sg&CAPP3zVH`k*_eN9UWqT?(? z!k-4-@Tl^2<S5hTI2y-lxWV7i=S{c(H@nD@V~(ta1s;wuGGP-$`c7~kKj2#_Vpbv> zD$tb7<+Rb=k!MbTfUvsgkwcoS8w;ZxW#$A5h^LFHv=)ClWcV>cuC-V6xoFFSrlx?H z5CP`tB1euCvTppawdux=H41#|PLy9c<ZZd(qZ6Nu&2(n*^G_67m+Su770eJ4KXa-} ze}0C6V|m;Epw7uZ>`P`msK1`rRloQ!+rMyGvyb~<Y-s)eKV2$kad+8ynLqtjZyv?J zEa3V-d0B|&u9w@+TAlbG+0I$F{;<gZsLhYp?0@sCcm9gcX%Ffz2MGV4-xl?w-t@|` z|BDy${mbVq{aBxw!S#Q6LEIj%pPuhuKI$yq-<WXA{Krz?t%{wIf_zOk1^K!x1^JjC zE6b>{xmoC(=`Of2L;hjG28BZplM-8$TU~!SEuXQ<ze$$8TUmz1TS-R5TUmx}+DqfC zgsyuDNt^B^Bu=`Qki6*LgM_Ag50cvMO*nKW|Bp{{!sHk78I}jX*RRv`s5|&|b`|r% z`7d}y>Q|Uc{7Bw=@QD0NUa@_v&2RiD-h1$%`4=|v|9$r+9J0SS&E)9!Eu0&eAJ2cq z%Tm9<Jm8P<-h&6?Gd^c1EPSfAZ}C&HeQTeZEqKcJ&*yaPpOw>=f6#rXv~2$8z{mDo z1p<HC4_Q8)pxk+Wkq*mz_ehPbMLKL}G`c(Y_ic(;(ZA`2hkK-qiA!XRiCbh)hIrsV zk^hb^N^d+)x<qo+iKj07p>!%~fu+)Rwje=1;k=f^O~Ma1B)VJ3L<sVU*ULZjc)0z( zXN~scwQpnQXJ(uzdFHRY?^LTwQ+l1|>z(u3RhzEwe6RLzi&pAS-u?b8y$>?`*01-9 zN}skdZQlNKFU3mEe!jV_rR1FWt*nc>|Ce{#KbvvCdD@Z3bM!qvXdHh(Uz;_O>*uUn zYX7E9-Q%M6V)paXI%@S3{kAVFe)?ABqB|c))$^ac{yZs@Uxfbjy|8)C>ZF5TKCs{1 zrTss>)5Y+G=!wH~?0pK<+mFouP>}7rH@!kYgyY@we>NY}=LN){O`X0+L8xYx#qCw+ z`WJb8IJld$r!%Mdz4m_2dsDlon9o$X_p6yD|5T;E$oHpl3O)tfBbX)c$Ey6fESKDE zDlmo9ugmDa#Zk?fJ_WlX`XuW%O{`y)m^0hn$Kag!Gx>*7@*KiGA6kEwPrb%=h~;!` zV*AI`MuUTwie}8eno<*_;A3!6{Mr3|(Lx-;I$57rnFiaOKgq-0%yckm|AEO7k|G?} zre1#X+-~N>$@*uMOY-0I3xE04T6yssZwu$IpNIDN*#ElpIQ7=m<CQb(J`~0++gm%Q z{_tvlg)@9#%+~jxm?GEaRkrT%yr%2&KbDwm6WjCe!1C0nTc2x>?K{*je4l^9G^gLQ zmTfc1pY!|bga-{$K{6rE%<We$9C^sJe|;EJcY9u(FQ;6V#!+Qn(+?5OzBVU6TTiXs zvTs?y<jjK;o628=fA#T}?Q6?kuu`u7u<3=6`?E5AH_QEuRh&|yclU&RS#>-|h;Cx& zZ^aoKzTbFzJmJuisIBvxj`}c#-d^g<8M!*dxhpR#W5I5zSuZY%X*|;Uvg%vq1}3H{ z54N=h&AA%D{M_`)k)sJ$Cly`&mc<>S`*GE_^yn3A5m)!|UUyiUmCv?%+LYDb!VXHe zoUZ!u^Yr1|MwZ(CIJvCH<*T}nY%AEOyJ77q=IQLIR;Le7dE}z7;J4i3JNKXUUgW91 zJTv9_Eg!}EQ!<Y>dv)tG{^L029GG%^wt`u0qcm&X?dpIvM<;tZhF<;UQ*EQ$QWSV4 z`s13QCe?uZE0-Sm^is`ceTh=ynU~#8J4KkMES^5Kd0opUi|PDNXV`D<3~#+8@hUv~ z<F2BXX;1WTN+@KA)Uuv+@OL!67<)md=1jY(^n2E`2dy28KW?&ExiV{KN%bDie3ki! ze<qxq?De;w<C@m{W{zVWN=GvsQ=Xb>Mf{%^(LM3tukRso|NkD|sd4@PjfB6G1OD>! zU)#@LY^4+NKlRkb3kiQeyBq1Tzn(Ahy53{MzwRtuHummGAAao@UBiCp_5Yp)k~_3B z)ZTH<YW>}m_ITw2%N=?ddh1wT&;Rc6)=*(iX4rpb?xiyY_ZuBbclv!Qc<CaC4cp(| z?#!RY`abz|wG-FDcMXTsJ*=I%4)!%1Qun;=%yn>E!y$FAXlJg2(;5z`d(U>}I;i&F z@59eK#}+tjI4FPoch0>z6Pygp7ko%5YZYml&Di?>=a&gi2FeRQ?A;|8@WU>KUz6oJ z6W9EVcwSAGa3-$*pUys=;8bvOy~I6sCTFgLtqq61mw0(7&X5WCF;9+DljS)R*ZRks z6<Z(pI6PchXtiVmtFObur^QN31Z4O%_SE#XiZm@|Y}I$W)-KYto|)_azKe|$oC>rS zd?<Q%$!>)}$!Bdf{pWIut-1xwT>8%$6<Zh7J3M?^b9;a3<msR1HXJ(rGtWbDhj>7R z<$Zol7Jeo!{pan9tq%en9zOkJu|&XzQ)ADZ{8o{s^ncD1(w|obC{#QYuSknyV{Hnr zYfOJy8j!%9z5jsmCo_!=tvll%T(z~**bsP5|HG*|c@d7VpWoLNuhZ*X`E!Ajf$ow3 zAAU`?v?<dcE&U$eqoL33y?&j997iw@cMC6%u7B`e_w1kEOp_#(GFX~fdlzkAASt1e zp~l8FtJN-dY2Za^53S|uY18~Iexx=<KAl+}`t8`nn?Z9E`dpqLKb5l5&%l4t=j5b) zA?gtd7b}}%G_G=rd6wL>5%(@_QWctOer;*c+=Q7f&v}bGLejTtUaT~h)w;^r)_L_% zckvD%H3`LKk9zx+ms%av=sfp(#YLgH*H1~TJohWn(0b2jY4ypR$GVODYnpu2W@wz+ z!=!#PrKx$*nd(WgMl;U}xy%f|mp9XAgZq@qt8b^CWcmGRX<xhiyR&D^4KxIJw7x6c zlTMrTLY_mIN5h|GbKI$;M{iF1^yH5EwWQ+8qIbbRpVS0b7Rg=7-nem(0$)>GRF1}Z zlhaG)CG1@gxJKB7Ih*zD5hcgX8=@}ctPwI{*JeF?wCj`R<W0}MF7Nf7=@e@n!zCWM zO|SRqQ&+#pC&I5%A1=AsQk5B@y@Aj1f`%CPtVYwOw4{I?U%0`0zPR7Dd*5eX^YnpV z1v^&``#aY11v|WUOXqMb4ZSrt!Fk1+OL^>@gRW(7w4O25D1rCk>j!dz_XNr~rH=YJ z8gFpE;FBY6!hM?sv{obewTfeLq3#U<j|GY`9MhEJe=jXGjp^H?7{jT4R_f5x4acMo zsX8v+p^?GX$2qHW^TSK}<}JbxFReFe5q~KAfcIfVWoS&z!}RA))83Xm=gH^Wd|Le- z%aPUfuG0HEy&NO2Z(dO27w~|`Pj~)BE{FV1Td!H`%o$(Zu$+2ik6GRJu1TAZJoo;5 zR@UbJ<tZ=4KidY+dLLA8wP|fh3(LKLP5W!sDST*}#Qb#P+5dGy*Vx}rc~KK$6|%?f z+4b2)H6FG)%r!Z7L2_zM?=>v7CP!*(h3{84PbvPB>d$;?x%FzL=;96~SNlMd3)^*` z8SC~w&3t|1ThobS^?^$yl)KoDZPkCfPW{)iP0UXldaH^Y3?uk>cqTFnJ3l>eXv4Rq zhfgv8Q<7Pykn?Vdf{3!{kpnBfE#3BH;wMMjeYKej^tm^m;@zlHalOQF;a_>xf1bhC z+v4*7K0acfo8_*dw!LanZ*6>?`p30ub%7h7q;YH2t-Ptf(N%o^nm3+jZ%*@3oc;Pv z)9t2fUD1Dnj4vCxz4S4XHj#cc+iIip?C<tMho(7jIT;%Jcvx<Y<Z?2IcGP(GA+cxj zxv!;b8oaEl=g2Qnoch3JUa5<#Y0cRh=YO+1?Y{)5b&6hPIC#n{NHVHUG+Jo&kC@df zs=_Z`|8O-@)n~)3`IFYStiFCR{PRDD3!K-Ucw0wk>eh8Ol|P9+wL4Dt_@%4eI~9ZW ztU36&hGVIlR^hXc7ngeMDQwJT|NOJ^+=63WV%*xQ(bG2Pgv2YXU|rV7t$KP>)60b^ zo`yFy7qWCrf0N?)^rYXTbdBz;d)0-Xk1TL7P*k{}a*Um|Ns@KjCq2$JIT1?XKcns} z7l`53(wQl(*!m!Csn6l>R%=29no6g(9og0Q^(w=`PhN8#{fL?A@Yrdo%Bhy={_=KT zIab9l+Me*V_1fwWi;sLiq!k@=<*G@dvb<Q+8}BbGwk-Y>vvAJz;)T2-uUAED=(jvy zx;o)$W#u&fXGep*D{qRN;(l29^N6^m>&A%3=~`c3a<VLEW?H=}*s<|J%F<(-mrB(~ zuROcR>4Vye4JmQ$B2D2_mG9S|KatkywPES1U+<)DY6Ws`3zc$G?0kEb;UHiB`^v<M z(+5<xeY_p9is|4tne(Zk37;oUIP^?OvGq}chhc%nk{K2<K{_&=nr3}%BJ9VVy1E}L zs<sLroV(zL;Fb-C>?MOZ{8~l2E-M~AFf-v0TNxXx@b0Fj*7eOzy>}fC9-Oz}K~jEz zN8)_*NntE?>pyf~o8a<c&(!kHI?c}NCbxp{3mWe@W;Nb!N?Y`Ldg56pbH~kvQ5~-z zEMBnlheC$t9{%@IM>lWiz52k~QMp1pLnNQ&?19%G)Oc)oz18NmsB&*VG^y7;@#Mk7 z8=gFJjPQE6&%8YA{52L!ySZ8CyOQ0`O%Ae%o{}|r#+m!OkJfGUnswy;k0krbzx|m{ z-3|YrJ@6vz{NviJbyq)Ev|4Y~SzhqK+f4A`{R;`w&*$cT%of|ab<JsuzuBxx7K@!k z(nC)i*crcBbqdeZOF3+Z-V4wCSYE|`@C*n0>^4^mo-@C8$E(#XDoNS9`&XTCqGE+W z>cSoJsfGpb&Fy{vT=?DQIg|a9;kSI#83{dnn_pc|G<*I(+Uypa!FlQb64!plpXNNs z%DT{EnF5>M-&wk~tdE{5pX7=7Ev>3PXMJ$-sh?G*<`(n6NneYdeqF%SHz%lWfpdVO zg;bCRU%QA|P^*YAyEB*cN++(@*POXtU;pcs8@*4stSn)1apcPE@XMDbrM17&>-5?X zzGzdU&myTC>Vdv*-XE~q*A=yAZ(-o_4Q?9(E@+5x%xe7El$JDaLF5d{3!8M`erA<< zb7I*u$F+U?XY5s;Jux)peVJ!h;lCeWO}~EKsq(CpC!6InYwy$O3p_d^Cc@n;AZCuv z%NMH;SIv96RQBeBn7|uOd65nY-8-K;HYT_#OyrTC=H4z+*3mA~wNdftfgKZ)l4?9Q z8tCjO?3(I$@W84C2}z{^8w?aRZb-<9$n<b<>AA7Biny_|Y?F9-Ur|AT=Zn0Yk4I97 zhoXdRkjAkV5$0s)uFgWmqlbPxIHt+Q%F5i_+|;S)c<|7L1qsO!0R;v&8apHeMS@<) zosir=InU|9CB@#o|C+qhUvD`2-Xs0<jXRCs52!ATHJkpk{oLE^TUMJ2zt*Z-CKm7W ztJyJaf=by6f!V)T3mjeUx5s$e!gIba+nCm^SR_@k#7S-2$_cG&yK0k*!&wbCcsu&k zyg1VC!>Re~Uz^gR*4tejVTO-<U0tNNiyS%V$6EL?&@pI-y82qrlAr9BiWNHgcfzi* znXME!=q9@8#W(&-W*4IJn8QN@d2Ip}g{4DI9I84R7i<$Ez<gTt$Pw$x&~4Lh9WZru z;pP_+^v->-OKDN>Z>~oPo11pLSheE3$h@*oy}$nG@n>zm__@|S(DZ|2fb6rq8cQA+ zyl3sqdbnr7C+_p=Dq2SiJF^}%xR@GxFN%`TUGe8osePx{#qdQ^FO&m)zf4;pwyQ1l z{kg3#g{CIWaxpasT{LTkQlPGnSjhX8f}LK5af_s8C<OZYOj#kO#;LXcVq%+1YA|1C zR>C$HQ$zPfQ8Tnx{Bi3%Dl|2z)5UZ{$f8*hYJs{u0wHzjzT%x;7kn25l}um3R^<^Q zY^%DeHLmGY{<jzUft+@&{Z7k*c&1eF@=RKx#^xQu?aVUuKBGy<A|am1D?|>fu4)t% zx_ZQ;Gb?e*f={<2_`E`zoQ0;YJ)*LzQCaBfk-*NZhcgy@vU|0}Mb$zzP}9#lggISh zRp(}*tA{KdpW0qm?er>$Tom+X`U<vf9wEZoDyv#&KP-E8S8<oto3HPLVs!&GuX%(p zXREI2EET$X=z7zs>YaCmrY7{eEWM!}crz+|(JTq&z{psRrw4xTlNOr#V3*6%9cqER zF;iElt@8-sp3XY;d&hJYt%I(eUWJZ}q%8CUeQhSM5VQMr^}cA9)ZWd4x2<dJKg#Yu zC4a}~dh+c0+Jj%8<<u@yJbdCBpS|aw1wJ+E#@TzVb*^s@4;Icgy>iG(^=saW>j6!^ zQeGP)oqcnz25{e=GG+VX^`=u2wzmbnxfme)+vLijI@PDTuQ#*_ow*#)<tcS4%~&cc zan+<xhLzLWgw9+G=(3c`N?6yn$#P;_)Qt5@YIx$8EM)06opLtUWXjn>lPPCQO|Bd& zQhl2I&y_b-+ktm!#ma?j^RiZSx=Up}TsY~I<so5S)rw^cMb4XEIbh3c`q9Z*cTZ4| z-SUd{3t85iPI<JVP0C`~Lbbl^6|KTvr=BaMn@)K!r!8pC^?+?jR|16HO|BeL75(~- z*?#dtmgS~X9!+VJvRJuL?Ox`J*6m)W+*h+pc@@MvYlda6Xq+mQmDJuA6|rzhjn3?q z3t5a!rX=lZlbW$?p_*FuidJW@Q|`NBrMxx-J8OnzuV{QLm6g=p7IovozvG?nPiwq4 zyS8Fs=iaQ0hYehxlz%Ufnia92O-$_5Z^qLTe8s!NqpN<eSDzZvR3|j`(P9-=W_guW zoq4kVbS7%7zR9%j-G{kHwx4<!V>MszLFfNPp>}s~?5yR!#vP?St@MZEqur^!^-I<+ z+qbUo{;`|u7mEn+Ok(ajSd{Q!V|`N$)1OaY)mQp`2%Mz;e%d0vNxBu=#pX^tp71)r z(xAYL&u7jQX72E(2aYjY7HkNe7b{-<M4|PaY^ti$N5@^9r>-?#nxb*=x@>JXzr07% z#wG!uK!L84TuBL&nl@-IZi<+(RKe_-Mo05bu1Ak%G%YEJiL(%~esbV|l%>JONIo5z zsm#*uPYxVRv@9s};0wB;pDW6C&%0mV?1fjU*&VM^>HH<Tn%#r$9@Sns&#C?-U&_y1 zNnzEq=BM*dNW6?!`t2YTE83E<vngOrfPmQp?T*e+uB61RO*bMIEAU;@>gX=znsg|r z@?ika1ZL*gCkKwG*G2E)(D^d;_=bz_d@^4qF^k(iQD~i)7!ZCrD&zFhi`VOmnb^N> z^~`yp(7_`8S2@`;rC6)e%fNe4(3xo~)=ZwXLhYGX2>0hBJ%KqwuFS<Q6Mc`kTs$Na zxWzD3MAk>xRs6E6VXLImyW-OLgoGWdJ+^-^_J1L{j``oTnQ{;38OMhozQ1+ik*x*U zx`Iu4T^1tZB84}Cd>nn|yne9TEmH05&0i+bsVfBzriw1wvBZh3Z}o&uVWW^a?q9jY zW9B_wyIn)*i=b;6Pxqq6?uWn5Y_=&8o$Fh1_h9+%e)VmqO+-EfcqmLh;vJ|`q1ctP zQNN3AUgx6D<xMkullEx{=?J)XsV>S$*r+ipLPU)FtK=CzanFTz-K`G~E?>KK-?9nE zLjK3P|2d^MJHb!br0L~9m+mN`Y}bhib2Wn2h`TaNyIeft7Pw`D=Lx~1JdVa2{4Ydk zi<)q&vz|Ss=~!G4bs=Vs;1-c{ZCkIYY~zqRxb4HakGtjberj*j5V|Ac+9kax<H0VC zSr+<TV(Wg08_o0B7<*!A<J_jSr0EMHcjzxke>`u2<qqu(y>}c@T-&XG<mF_{KPPJS z{C4Wi|1z7?ejcko?=P|WQsvYA`buYf_gqO7KW{SQ>a*yx$11Pxx#nvAF{V9s&x<Fs zSt@pHIlujH@nJ269gpP$Y8qT0`rr5DIH=3IuwsqJ*#p9?hK0csXSGWI`x!Dd;Lg<d zy^Xs+NvOR$nY>|}I(tr}COhA|6Wbp?T4>|O)xV^{v~79O0k4Rts6Pt(wRCmsmc$1N zbZzgt!m}q>KzO?7%E$`kyY<&tZKl8HeI5D4&}Ywt;~PE%@#XB9#LRyE$?bxVQF6+y z=CZZvg}1gRcqrWIS}41J=8wbEOxF6EZ~AHU-=)~_z_XKA8D`H8V`ej(&h&EHFO^;O zk(>fCPx!4wm_^iAGcv13P5d!AX~E|2c757ME4FQaIQOVXWkW)^sl&%o(}aX_%?G&^ z?wXAGhpksLc12!oXq*|hptIt(<pb3RtQ>q{%p&cZ>Sz6UoV38$`Uv0j8QYh}E>+p1 z+q;fU!>#t@_fy;=-(M|pkM25JdCB_-x4@iLY#Qf6nb%}^G3H0xdUICX-t}ba0`Y|4 z`&kDLM6S2k>yZ9FRpWWr$F8H53uh#4w8#%*7HRJ46zSd^4{}K;$R*mV8O=&pu<@Mv z`t1gH$+vr=pARhFne>zIThJFKmcy$U%WhQc{J@lx8>w`!t$K>k2eUidr53!1=aqQz zmeoqc``fDNv&0p4gz*N%tY_n~(O}*4_Kc?LgWTuKrZek16t0mnC^(`Wsej>Sb?L=< zNqdq`%IPnQ(7Bm>mEq{ctOnH}t_taU@m>dRfB2TwkmPEbkgzj+Q`~|b4~^shX?)y! zZI{ok;#lWoU#scsPG7yc)%$ww#Jq#Y*GC)|P3nCq=4My(_4ASbnj_WPmFw1Sy)Y*~ zCo|hG>41F}FAMWmwrd?<*qHVIFTIxV=;8i9uaD^0w8fa6mMy&*)Au*Adh)p~{?{M> z4X>a5L%VnFR9`M5-QGW<yW;=)KAOI0^TK5Tm!J55d>j7X=41BU^|PKx@7$_-TWQI! zU#sgU{_t(tI_raW{oSk2jK22f2%eD9t@wF$y^y``<Xt(%#lGIPxzYP}w&`w^e15*^ z@zL=5sXro51Z&>E#Qb>2zpJ1z+1Z<xip;D1I{65{(+11CF^l~7C!M|`pqu)>v;Oke zm)}ZnPIJ<`?UG$Ivn^+xvu~e$;>R2D>2<-1x96<%Hs2(gf3Nzrg<R&`onNNszI;^o z_+j{dzdtdL)@0{urOf)t?R%r|@xkzYZmOkQ*JpY?s*{mExYxqW%(FY$xZrqw$giB4 zzjTT>#qL>awqa62TlBxE&yusa_O#?_Z3@iD%00VO+DNwBweIoic$GSxTR%b+Zy(ft z_4Je1M46(?`;v-lE=K0K?bKPORdL*DVcYTfkL>rYiYYtd^Y7L4&iNhJp3Ul8YdUw< zCet;O=Zd5%&X7o5IAg(<CznjWu2GsKA)l%!A)dNWLi_&DqW*{Xe;R(8c5%|#?J1kr zo-v5Z%g7DCe5g+)P2%Z$nbmFE3VQqgbgeb2-N&PS{o;R#YaDF<m8138x2pL4i4)-T z>ry(Z8E8@vCE`0r(3LyAUjCe*wx8m3wqu;LIzKk0B{nU%IYT3ZPmOa{x8{GXHA^pi zzRzO4x@O_B3)A#v9lpMF+O_ULTaVyE=4~&u%>Q+*t$$IVbE}5g`{+&ED_mXYwyj#& zUVmfd`OSr`yhpdbj1ih%r?1X3U9{y<SChn!RSIhBG&@>fb0sD3Z?d@YvHHG5`26Qf z<EQJrzvO3{!p&ov!p&!z!YyEGvhn$Md*44BxTJ4Iz2cgg<8A3(T{<ISK3{Oo|G(RX z@2`0zwrv`xW{%_M-|toSJq^F{>P>vRN>g59=c4S0q~I?bQXU0cRC3E7p47{qc=F)y z4No4$MtD8U&8WXIODAQK=N?XPmi32)j;`L2@*pw7sL)s^a);=&oI8BpeD7LR#mf%| zaea6CeOPR&;^c#B%88v193vL(5S+$i!{x0e*RIO#e`r$g^2Cz|H*a|Is4~Lqp=(CH zj|}I`Gb;adliy3K9iOO{_OJb&<=&{7QzI*jFTH#>&ujkA%{T9={J!zx-P^ng&Y7xN zmYXjWo>1Hwlesn7g8BX4@As<nIp6R7-e$n!AaSUf#c@XWnpb%tsWpOE?bAA**X}>l z^~1>MQMb<J*PBlyW{B<NIjz+HF!G79Qa6)ZTHuKlI$aWTT@8~rX(W0qvuAWSc6973 zjC^8X*Bx?mf~%bH<`d~bVyr&r7EREM6uGG0owO?@(CNBQy;EOm(;=C=n@%jO7Tfvd zgi`;!nVa2XPE43FFMi6T7P)v4-3M7)SFOldwfK6xSm-9rHF-7BS6#36ZvC3oe)o2g zY+P-eiFaCV-_!cYkm4+><BPwn-z&9O>(|<QPdl%!?p=TN_n(BXjQ(rwp17~>uSolv zb!+RdmbLK_mtJo;G%arGKf`U8S3f=;wfD5e>hBWyU+sj~*d;8w+U~RISI5aPdE;BJ zgqPjA^m@~!X>nVB_->vXx3=Z-+Iy*OSF6u#shS@dCU0>2l`~s(txJA%l}(svxJTsM z&9{~@U%OFqB5HPDMEYgJ(>YcTwNKA^cIkT2hF!0ub8aOr_T8PcDYO5q+1t%Wmoaa< zRdT{+cHfM&%Z8V8trFW*m&^UtVPCx|dzr8GnN69~Z<@U|Jie^<*{zZTA+z~r=3f@% z&ap~c=&P=?>B*Jl`=2I+{?gr+*{*8#cGHz*R}W=fw%e{_+^!{^e`|}@$6TvNZNA52 zw!du2j-OF1WpLn<erR1?vgLLy*Y&ryoEDw^ZAZ>!yXiSr53*<T?aa8ms$$E_8K2GO z7Mz)Mc|zl~Ije*!=0Cl&O6FevWj*$sTaOp`{+8MFvf;3qY|)Wr%6+#=&cx03v&cJ{ zc|^h6Z?TNJ&htJQzgt^QYtH^=k$c%LJ;&<78sGLgn_qT_o5_B@v`jcIvPjDK#-z&@ z?$h^g%4lC__V&`*WwyI+Z}I1!%~z54vP|aE>9Q?apL491_4}%S`Kw**CwwfbXx5V# z>6Yb>F5F)hD;K?MZpEFAne*T0SUp(h+kR*B%Z}e>vY!tu6PBwB{PM<P@$6NzU%!a_ zb1q(LLap)oU90-`%{*I|TAM8Ucb`U8-Rwhcx4O(<Nnf@6UH|d8?7tOPOv3iYyRYA` zQFVLwf#`dltCJsyyPl8dUKbqu^}N(7?Wr<fj^2OoFwy6}@cLwrXA}NZFD(^)X&qI{ zbN0#7(6UvhUnkB~+xD&Ghq&weiCXtIF8X%%*Rj5pQWHa`=KhrY>6&@`)vHk9*Z0@v zh0K+k>KW`@>l<7fTXkiMkF?aQ*|UQ8=^1Y~xo);1M?^2W@2lAB524F$tC}6>n_YGz z^RnLVoLkA;eSg2%__ATCnXJ+EWy;TPmYk`X?H7@7a^-2Z(+9J}w_jK$D|U0s>7?1; zB9boK73Wwb%=B&7+5WOa)J%5srDeilkwvdIUM$*h>*(5TneC=#Z;SLlYix9>e)H?h zqS~_CB?shY^WDt8EciReDs8{7y3CI=bAsplPFu4g_KxG}omchpmiz9O*_PR_Z1%SJ z$};A@n<Xa-XZKkop7c79cG`mHX3|E%Y+bXo*+<oK{x5pLo04k1GW7YAbl24zqr$aT zU+#Z+<$CBcZqL87^EU-@ulN^PTpAl%8hZJ6*27iFSJVG5IDB7|^Tmw|OWuiduKw+E zNH4ov_}Vwl#a+vmy(;+{_dW7rQ|OnU%3Hrk^hA~MxcSawd%W>d!W9QIiBdyew^)zn z&Kp{@f646azHhE?#8=C6Z0Y)g6<>@c=I5~q8yrZu5_Ub|O555Wm(6pRC7)itr-)}; zRl8!?d!vwVzNWhJ%F<zf3R{x?Z=Tlh@Th#CHfP0V<>>#5R=miZTw3!zGO+aOvCpZG zr#;%V(Rb<mrWKFBrMo`O&h@=(y>@<eR@RFfpU%t7?#_;}Jdqgu@p)NSvz6)f{egku zU*mj3Ki_`2`ufI=KZNC67tY+kdsP0U7T4+fZ+TxHFW;y2FC?Mx$m__)uWiS~{dv|H zZ+Y?d`=w{kZ%?V~%Uk>K>!tc_bq5N33%7MANon6t*!u9tu~*NIb<Nr}WrNo2<A;ui zdR>ZeSaW9Et^=DBwKqv-{Ji74{LA9*v%b7nuZCJKo6cVp5oS{o&mMa9T3CqL^*zyN zFXgavGrrva$#%`k>TcDe^LJLs28aI*t1b3zUG?B|?)i;7VhdL}<b=;TeW>hcrH;lt zORIfX4gYUnyz2F<qAwcrIJ<kC{hJG4rW(lYwb#BSvP>;j>DP-33*V=^-rg=YBYB2{ z?7Z4l!PDEBzE-FN%s<Y=TcLDe!h2S!kIfFr^SDeN9{1nMxBJWG-OJ3l_`e9R_<PWw zskBlrpkJKnYo+FehHxg{mr7f77Oi$j{>5#QxU8<#cGt^=tGec^2DIP&#aYAl!XRM* zpEKJ_gQN|7$!#wT5?8QYUccmDE1T@IzpmT9zU*IIe>rkFUpVWlBG(0S(^+2?doEy% z=Qeq=$U#|;!{pf>2jO$2*R0+cg}>h*wKe^#@QT1{w*`93Szi@;EwE!}mD&)zKyf#V z)W+xqhSe;yHiW<5a9n2Z;jAm~F8eQVdt3LH#cuQ0LSX~%c17b-2}9?0!~W741#3Us zzxhz^{h+#aK8Mwpof<NHrw={t(6Q+|b?EsF9j3n1N>5sJl=x04J)5E<<X0cJrPb5* zS7?jXxth2TJ+Tkfy`f)UUQgjGIVbZmF1hN+&hy=?E;0GpZ;p)*U+s0~b5qUvm1{Dx zYS#C0&ll(KShoGr{uR@c-A@{52DCe?>fdv^dg}Lm);AYo59!@id2=!JkX@|GnrHP3 zYqO;F1GZL+ueh~^JLK(E)>YeHFojwdHy)cKlHqjzf1C}|tb19%xz3$F=Q@9<zEJDm zRa#rMD+2YN@Xnjgz4FQOBE?Xf*D)cfVyz*e;#;2`|KyneVM|Sbs{IuHRgbEkFIukb z>Uj0%^Dj0pV?sVpd-X^CY~`JuE1v1{X8bj=>bDYq%h{bIWff*}HFVG6!{=Q6MZ9WC zi*5ONd{5lw+IoCvzD-PP!TREh>QB3Kf=i2ob8CzL{np)TDw{oPXSRpy@?C$zUhRHV zvh4rbH5nSW=8Nrf`Tq5JYO{>m6#k-_5q2k%gMKQ^KRI9g?a533w@v$>wYz@#=989p z%&ewI&+RsQlqG-X%8H`3%g)bMJ-+3`w7EC7AHBZv!KEkLw>)V(YPIG~j7hS2$r=0J zEX#tjk126$WS)umpE;^E_tNueOO`&qExPsW%W3<}u7@1obE$Zn<?_Ch^QWvhcB=fU zP5j^GD`y4X@>sg+a`wbkFPBzk2CoZ0zU7?j>?M1Gp9eGV?pwKZnP=|J&x`l<O`Jbv z#xuWHdDWlKR+XOF+~2L$(AM`pbV)q--anofzs#uHxcuvB_UEY!y-XrkX!(k*3Ygq_ z-ZPSA&V<$|{uIH&H9vNn^}hU`RU~tD#^K1`oiSHu95<KxymQ&chS^fwJC|<kxPA0~ zgYW*gH-F7t-FLNhxmB>0c<$kDrdjv=_j}*`l)B){?A*EkYuoE{d$Rvd>gSqs<)?kw zkMd&&7U{FBywN$gdtcuyzq+;j%~`9puhv_Kh3|_Ej=mXl`@+g?D`#;YJ?as>-295< z<&ykAE(iEt+iA`cxK+?MSE>AlYSDCVdG9;P{Sxmthd*Kby(DednkgHOb8-IGnO<-p zh_ijo)Pf^noX2w}7aaQb=;hLet093?)9UtnTFU%bl2N4@Xem~kQ^d#JdoH!{V&xfg ztNE2~;s)Q7oyBALW>q~eJh7yPZ+6H5(aBshQ?lHSxJ>SvnGnSJ*j{hehFQ|uuV!6x zZ_1h{7r$qfXy>7S*>jFs^=yrpZYcKJ@K#c-TV&1whi=}NH+N?E>TWvw-aO>;>Wbwz z??ham@ND_JwN~@~ZF*r=yM5EnPj^rCiSk-jiC-7Yy|b|YMC`AwJB#O^sQuM#lhAO& zxk@DeN_767eMh~sUh7_e{&-QX$L+Ib+kWhfyq#<2w?OYP>nlUY1$M%$QU)u3d`x`Z zdnG!5?eRF-UvDla9;lOTlev(1#8LKG%*EML4E|i+_MZ3ZzRABAd~BB4{(o2QR~?0^ zd(@?hf5av0+5aiM_;Svlm-~LkyC!M|wZHpYI&G!woAob0Z0B0Dc$MzeWB<OI+}XHt z=dw?8zkmL5;`PzJJ2!5-@MLoR<WxRo(`8!ay(>RP9^%TiTVUAUELCjz+kEZawN=W0 zjpbH7oBOAb&GL+%oA1=Ey`nvTHJa}w_=trXS#_^^G28WO&zVK$k`rC?4Q;ybrA3Hc zH8AP^7vGk0(VFMqic*!OD=tfCnpb`~y=X`I)iU+t3m!QKKQZ{Q_?phPMKY^RpKb6; zUgdOQh8DlcvMlCaR-MZcCxUuieXd8GN$OSAIaPKx)xk{XFVDndGGZBLQuiIRd6s!5 zecdspXBj#v+m0!vW$L6YJ0_HtvisRZd+BFScdRmO|GNK-(ezTO&k?H)=bK7#N37jA zAyle6V&%peqEg-w^JEv>{oQo;L$S_jxmVUYS7)3S>aFy-Jmb7lFRRX#h!ae`u4k@C zoU!RuJ#)59Hz8zOwqu{=O=o6P`^+<`(~jAsWt~ZHJI1suTPJ1XF{Qq2oo6$S3H7Dz z7TaWZd#l0miEkDzJe=6OQ|8i);|Je(yR12VkIgW9vqqvX+uns@{bzRGE4VSg^5mE2 z-P<$IB(6Rdvn}IH^5$bTW|=w(i;p>(W#}YLIhOP*LuX<6wrsuMn-a6Gy<TeAK2NIn z<wC>$cT%5UF5B3!PKx{GqKzHlGbes(oAos=OXs2MH>XvnbgHdPU*A0~w<>M#e3NIN ze3Iqtv(7wUd5o#<Ld1#hQr#7cHqJOM<y|r5?X2_RH`lF<e4qF&!1T54g&C)7dn;|O z&p03Q)|6>khEB?+V@hh7I%$iJ38|&9ryO{fGh^1*W7$5T|D=i~R~z;lN`01GyRl)P z6u0EcjU9qg-ID7zwj|zM*ZSsE+serN6~|=SGR~xKIA+6^8n2X;E_U?IiA61MB|~N= zCx}_{oY<4Hbk<kDni;8l>h@=3UR|=UHVa*zKJk42|9G~Qrux<ECMZXW6q<BjIW*ao z^SFJ^-H9Pr#kR@q&+5+1(XdgsnB&UVzUjo%1hL9D=alBhMv81O?snRi9(ZJ%PFLi& z)!Vk2o2~E_i|%s#)j99%(gTT?*GJWJFJdTKb4s9ns^p_hO3pUZ(mT#y<Ke6b`1MFn z+Pm?1ThM}KYk~we>=S8Oqs=Oq?d^DGR?vhEyI8I~xA^*N*75@nzqB9C^|#k7vUHYN zm(p?iD$mCsYR)z5QauiQy(C_48O&vKZW`14;-v}?YBV`4L%5XmrZvr2@9lVKDgXbn zcD}U>cJ)m^8kx1GYQFSQ#`mG0ABC?iFD(uIEdAa*#KiRDpYXN(b3|6x>|V5P-L7q# ze~s<zPdcrgxha5qxz3eWn%rAkPANT^sH3#2R;z2q()ru=%@0<&WPkDCcKK7so-R9B zamPqvzAl^ahC>NXVNyOvw3<63@0M*1UYyqEI)9s;jjv<v-Pxx3rCDoE*el2W$b9{K zo!82oJ)zODJ9fS5F)h`}_^oTYG`cRozV7zp4PU-*$hxn4X3pMQb|&-xUA%IKeXIPF z88^Nqte9%)^DBO>zu2vazMEDh604o3@3ac}ogw?{%=N?rOtNh{R}zmX$sW_WmUu{L z?R34#rq2vrlUFreia21>+v;;Y;s{Ug%DelH38ke*{Q8l-@|euDRIBOrIzL{mH+^xz zwZG)$D(C(@t1mCt9d3}b;(599a7Ua~&&#!kTkN9rW2a@GNp3w>6PBfuF!h+zuS}gs zmA8v8hlzc5O6Jt}p7eUjbZz(hljc2HI4kh~uCMc|d5d}e$6J+3C<aWQ$n;f0H6Zj4 zmq|j8gYz>ElSfM&lKnVM9&Wjp7%KPbLUIFNE!!)Dgb94kY_AQHI{1>?UIk2j9XaEk zM|#PN0<+ua|Ew(uUBIW#@~X&pf!uZ0SH;c?81HkLJXz+ToX2VMY@37dyBD%$mdl;{ zKU;m-x!`a^w-wLNsCL2WB@)Gv?VRCW3qLzn<<~BEZr{E1@0r6g@tju@n;k+kwFCNn znZDlCxzM1?#CuaKV5_)5#+kB4wKo^6-xT?`%Y83>@!6q0>-eI>6HKi_uI}VZzV^}} zu~ux^_iI_QR&P#yGp^5<6<cvwuyL1+=!)ZpjlX2XGY-tXbkoA$c^Rik(k_SOG!B!* zw3k%@73^8t=dt$NT79{>`fx+770=D}hdY?9dMsBQZgF0E;jhBp?P*iZ>{|IYd;gx< zZn=!>O5#d~*lC<0Zyi`)ZFX9qxSB<3W7LAI-z&-wrS|dJK6`cH`2l$o34{24p2!7< zJ6f%LBIdNdT)WY)o$vML*i-kVYFS=g2w$N0m*v&P_yu;%EK&vm3ly7Kqzoe#7&^1e zG6;FU;dHpwmm3S5=QrQvo_tU0@Rt)4<g9vLtUNp;&dTRSEZ1KCOVNvW^U1bN|7Ofz z>?5$^ut?)B9l;gHT^oPZ=w6s`nyGh((uEnTtJGuMUR+3C!dF{1HLg*Ft>>Du^RX8O zNo)9okEJJ8Wyf4zc=&71^iSK5)izq$2&_2m+*oBJwBmenW7{8%3mxf9p_kX)<D4#S z_2oxcyWQ^1C;i%g>)IMUY2s7fcRBIU-k=xt6Z+ohT9w?0y0z5i%tp@zeC;f+ihLHx z9cO)2?6g2|IjdB$TmHJ!X0lZ|*B73jcWKKqgOv4hk{k88FHfxWYv+5unfGk}nY;V1 ztvoE(xNDBU3a@DnSD92VOjya(8=-Vz#>@TJtR7y9ZI`nxS&=m*hwJjho8J9AFV`OK zu(R@c5zf{8=fs?q&h3#)V^1BH$!2-AA#8!(YnE3V;}+P(bDAXGxc|Z=?Z^F$v!#(M zz8_4U$XBa3ZJBAS;_0s^I;KX>=sRP0Gu+?(*^V6N&&r!uJIKmSy>_zl)u)IBa`v28 zp6_%}mg6#6Hv3-8^p93wW-M@??|n06>EYaMa*~G2McRH&yc*liXX|x&QoH3h4wDB{ zz@4Foi~c3q?JZgHPF(ibm-C0dWW`@yczD;F)6ds`lWJTQ?YuzmGwZ56-o{@!0vQL~ z8r^b)GS28Vs=c|g``IRkcDe4-7n@z$6}3wxHhQ%iuJ%rxBCmJ5WW}@-IzH=9i^^KX zXuVCBTlLj(0iQeTt0I>La_y|Iiaizx{$`ch?4Q5x^mVH*7Ex7)mtJ^2gU|Wg>vs1! z+O36lYpXXKoPEH*RQj@rRZYBA`;&!-&s?&5y7F{LW0lXv_|s22|3%5Eds$C)nm>7| z!O89QAulZ_*Zh7y)$g2U$&BD#Jx>;D&0%@9$$x>I`<KhR&RknKOMkPk?``{!{73uO zU1m44EZbVUZ~IisH#)Y-iCi^Nx6X^K@?PXTH9)q?=GwyZ=2kov3l2}HwhDQ=mM=N) zwZX%%+v-ix3s3W{eJ&Sm@@#WtGNZGp*r%ZC-VSl8?#gu=Thb+`^(~w&Rs6&3^3Fdp zMn=UuKON3$;frm1eIa=UU+u1!1_>RIa%lozGTSSIL<hCWFFW?F`x=vb!Q`2-r?pwa zqGL{K89GUOjwKz-(n&1wTzvP7Y>Uj_%?5kp+`Y?KD_;~WNeK^V;M>jeYE$q6IqNT% zcgb8^=>C6FwH<#g+n<F`mi$~}?W0$_(x!B#N<e?-()FP`T$sJ%R~PiMy}XcKps8bX zTXK=}{Dn(5Y|xck?L5D5>4puyHy1e1?_Ihf!2F%gO!mvmi!FCe=~@3L*d=c28lK8& z$;p{kK`#uv+VvinyeRZ+&yu^6c)(Y-?atN2Bf+xAa;_yFdN;{jKlp`_|LdK{cT6&O z4t{1Fm&_<^B4*@Y-P_?R)g8HVW6M^_Y4^gbO`dJAzr6F<ms2`=d$qZhYrFVj!(LuU z-pY6N$Hj%S{(7f9wBeCld${AERnN?&hg){eTs`4l3j3CM)pM@QIIr2uI_GMH$N5P= zbyEdvO~p3HCvzHm2|avzTzL9Eop}aa2FG_yy0z4SS&iG|QIzN0umzmvUPe=v+i90b z7<uJ~otdHI(|+dq&27()pE>;I^>h6X{7k(+0vB-Fr_P=lH__TE<oi0l<ag5#eW}do z>yFR5@X#rf{gc7*Z<n?_%RFG%*d`;Iam2AvEl2BY;=`Ny;<9WdR})W|$R5kNns{iN zj?ep_pKr1(3I7md#`sfvhtlmoe?;#nT$m8PG<?I;lWzqY)p}0E&G@qG+*N65gZOqu z?h=X3A?=)}y%HZ*zWI7--!>bi+Ne#;S{EARS*1StEHKn(ne|~)%EHsZTR%-xTkzpY z$t1q3Yu6s`$h7L2xz5>iYf7ROQ(2nug&ArOB3>>%b-Cd&6R)M>g&CKbd@UB6A6&eg zulCmqg9YpPl-XVcTs>grBN4*IecrZwirRv&fhn1vKbs_Mc5vRtVUo7nLAdON?}r_0 zoaeV%@kA^++_BWECvw^0mZy<3`WoKtKW}1U&-Cjb|6H~&!Wka*jmLNdG7cU1ztHsH z;}`Ln7nZlnNnQwU7u;JSaXGr3v(_u|;m;@CmpnIosQFa+azjR?o#mb_{<`PY-8oEB zmN+Q8afZCD`%<=Y??OIiw$}zJ;Ck91F+yya@`L3$OqW6*M4B=F41Mq}{-w!-c@EC+ zxJ@24Ita^6>uWf4`KV!4GV{Lc%FcPO4W9M!3GdTxZFTRn2ZhjykC~ZFpA3#)zVz(* z$|xz8RnyoS-TtUtXn9_>yGHJ%!Gn!_&huUvJe$TRTzBHopW*{KOqT>d)S5B=6f{^- z!tgxvfKg+cj(Elqu12*rI(u)lrq9#=a*S=ym4#ZCB@&li?bDsE2Srp^{aUhRy{1oi z<E3fOG7khbw&jRqoKb63%eglD!A7rkyVa!<8{FCjvr8p5`?Yhv-fSSt*uR-G!$)|7 z_U%7^j?UA(FrkpCS5p5%3ul$E&9)a8(pU2_Z+mHww3{!v?1e#Mx~7gzd|k+_29Z@P zKKi+J^VrS^WSod-Tot>*K{)NT?}r&HoI^QZ1%x(P^~_jwc*aUApBd9yUvm7=o89n- zBVtN!T^);#SjGvV#$!1G8Hc`H`n~moNp0Ufy{+OG5)atOx}CY6c!o(<P3MpNQS0}I z(h9D{r_Mb5=2F-)o#Q;RRytP~o;H%L(z(9yR>^CFgr$7WVJ{7mw(=!kdts2c_L}!} zOR3K@RvXT*lnS10)VtDl<}oF)ES<E8$ArYvBdm<BXP!y)Tvq&ZYvu+%*|rxKQYY}) z9(!>ieGMP;v6luZd-#;qUKpe;;uBWO+*=~K%CJ9B>a*lZ!_cW&Iw?DjDYa$#Tzw}M zJhhrrJ7>On_L)R4&*z_Xj%UhR&AGVnbfj$6oXZQ(SIV-*Tu40OD(fb5Iq{6DtXj;q zs+UHg$#R!Xo*73aGsb7@JlSzf$uC3a*^*;IeyI`v_7?V9#+)tDt3RJ}Vc}sn*<Cr8 z79LNN{grbu@j#etTh8T$Q721RgcZrIni}(Z>1lJR&pVbG&VMb%y<_3V3HhdCg~7>& zyG^Ej2<6naDTr8a*nU@a?&-}k?j<h_g4^}{|1W2=HG6p>eKQ~PwigB|tNE0-y);PM z%_m&;=X{QS?6(Zt?>AN(&aai?zOjDe1ZJsjixnGZI7@k3%t`#2o;cHN`S&yHe4c;$ zekM`YO6KCi(}A*8GM5*gFO*%CJC)Bl?X^KtA7ApbR|bjmu6a+tEA{!tV#E2pQrtI| zZ=CQ~s@r10#u?30-WDN;xcW1mWuHlO^n4yAcVW$yg@?6dcdfa$@VJ!huQgW_4_L{z zt+}3fB-|>*bt9kfx2(M-kt+=QU8O!puG!e2D#abSYGX&FRCnaMjV+>*)9#yQoOzhH ztoUd3Gj>_4I~Nz8?zj3<vCMh?{1S-|G3|=_B@!Ql+6~v2%($@hN$ft6U3V^5y(^4Q zw&OPu`w)<<*l!~CF(TQ}-(=c{T25`7E3cw1Jv(H)Hc$2a^KCYlb_HB|Y*Vshh4b|F zrJ#DnPQ65;z_nd5yj0?2FJJPymj(}aT`Qh`TI#dKO2hfVQrs46H%>5?>b6+DaYnI} zx5fO#m$t>u$$a<ItfuczUpMtl?B@#)ck#vMy}0l=TK3nSD~ShmW!vstOFW_~d#q;p z;g;R1)o(<0-MLcruFyN#Zo8?NL0q!p^sU!Bb}ZUBW3`m`k7X^Qa?JNG&p7;d(z&OR zeS57+ZY+16{@3b@1*l<b#bdGL@PxxwJr;`&&sc2bW3lY(rKgR@Y~-@eJfC=sNiJLG zN#`-8eb*z-6!xmVIl1A>yqjwc+uzMR_w@ZtZmW>ZGx@IOEOYL+w)!F&R8;&d@j!rV z8_(s$BL%X@dM+d$Ix)#`p3`*UsPk8ImKmDP&h$B(CKbGS=CLbquIien%{x_d&)MHk zDFNpR5m_srs|!z?$X5AWUwEEJmhH@yM2~V=HyhoZw<;w*FXj`TmuXurx%lgy<Tb}? zj%Dg3Y=E>c79C4c%hX9MYI}PB`spo}d(-EogTk;Xt!VQ8^s1K^mTu>>{q^F)^7VYo zzg`+F*$=8f4AK_x3A1I|mRBzPdgt-dV>NM^It#kX_DxtP)%{}G#u@TkKC6EDF@Np; zfVkl6bN;R0_3>=iDW{fOPyS!ak=6UN?d$b6mf4SY_*%<s&fIFgHM9S&+1t(6mob04 zQF20WcHfQ6%Z9&mtsXY0F4udm%f8!^@79*XinGfsb1uvM&bjq`kuST=#+MV0o5_AW zu`F5cR>>h|Z$H~}k$m5Z?rhI&uQq#IbbXoazRj8Qt<7W$ZZC7ryIFF?cXr>;Ys-Z5 zB8pxWUn|-$|M4zg>zK`%)AMs~JznMeJ7(j{hSO%UMJJaj@7tE5`k?1%+njATI)cTd zi!UV!`~BJW)xZ7ax8_x^ZgqVx_R*1kZ18gX+Ip7L5|fk5ek!zEK5dS9HjV$&jBvXX z>2*IBG_XExb`qP$-xU#Jr;!%+b3sdC>iVwJPd2FsX&=7v$&oMl6#LE|J^3ew`)Vef zfAq<b(fSm7YmUCW$i}rb0cWm#a^#FY6(`!Snd-mf+O)Gzn`O+V@)z9%jc_~vRG7d1 z6g%r0eR&b1RW$)ec71X*%s$Pox<<1)v}V~f+lf(g!$9*qp>`)yQ-3P-i#~0xnKqrD zD<aHJBPH|af)3TxVo8IwIX)-8Y%&xyK6UKK86D}#1`oHdt^X6$vGVN3r{-qw3m%xS ztq=11V!7=$v&^=e%rV<;G22|b!CZ6gCNtBuTg*;jx0#i~ZZaqB+r+MtvmxzP>i(}R zSzB*q@COtxvOSQx+4ey4UfToD7uYI1m}RT*M7rAHNNBag8PRHoL#f%zuFgAK#k7?v zb`!frO)h`I&J6w!I}-RmSmyI{SfulFSZ4EgSS0gzSmyG3Sfrlaaz5@g=ap4Om*gH4 z9FlubbVu$%;TgFH#aHA63XaGL6y1;$C_FK1d4p}3{HEKll*=M(&-ktOzwvQ){q2YG z619oCCk;2q2J$u6eSUBC=X6n^rQ3FOpV0enIg2H4&ok&Re9QS+^8UOH4V-T|xo6&( zx1q!HEoZmn)p;9Q>fY`M(`&w6Xtedymt6lD&hx*u?EI4FKg0e1x0atidHxXxPJL@> zU6bJ-apcptmZLh^{t<^RmBncHE55au8MS-b7WFfUh1G{*#I~xRNlvUjR3o-UT_>Tg z`jAuAR&|}Exavbm&$g-SB>Gven|l62*&Ls>b(?PsKQmZuo2X}eTlksbV%tQ!%eRG> zeX|C+?bf^v9hz@X<Q>>h=F-ZO?;dibqs--KPmX)Yp@#br-;%e=Un$QzSNQY#(<B+a zzqd9?>K=Jw5p8$kVaZR0>Ff4uN<aCy<<HETIvshD4{K@yPWV4<R+=}RzpFCPPUB(f z&DD=SmEIIyIrUTMPla~9RN-}DC*@DgKe;&ir}57ceR+`!i)#Wr&VO<gygxO+;>^`g zj-2<W?A4MJKRwT5ZRhn<?3OY5@=uBu*GxFR{b_Se-ZXx$9ies_Ps)BS=&*j;eC6D( znt(%hH-#U4?sQXl<<<9bKNZ@4KW&bYo5KI8BEs&(^T3}A8je40cA7VZzw5`o96jc9 z(|b8BBhAzvh1{Gm!#Fir=-l65@nt`RgMDgu9kcz>YwHwy_5PpLeE+YAO?(`-Q=mQn zbn6bDzqvC7`t6=N)%=>q&G{l+Pi0B{&IuiiPo0w3rg3{pe2K397yEAN$vIIc*``;@ zZtdgM6kqhM>Zy}W+f?q4l5u(}2`)P)Oqlr8Nhxm1Y40<OixfHgPxsXK?@skwbZw3L zQzw~y`r?aLbrdPC+N3SM=+&+w#Z{}c#TR9P7>l&Ug+6bH=~(sb)RX(qcN?h(uD-mw zNO4u4w(){1r><;`=~(sfRO<?^buk^Q?w@L1@oH_1hs$~+WA_7djdt?xj8jv3<TkTo z#_Uujp}hak`mO&2+AZ34t#<LERjambTexfE#P{|8_^tlTRk{~*z`yR^uj%}v>(;KU zUcPeYqMgCPeaANyRH$%IZ{PHxLV<h!@1u(rZrrzX-==+LxmWilU5tp0jQyNfnymG1 zS{K{ay*X?AkCyMsIM;1`bkWLP>sBpVx$&3(W%CcW{z;^CukhQv^2opVt^d9r5t>-H z>}|NKrH`-k@vc+lH-4{PG{w9$D=T2xx_`FcrnHDx{I$NLmlybX_EghS)3biTU*{Kj z3*>2?`@84s=4mTe<Yug?nJ$}KUuv9czk21Wwa;$P-~LbY#;slDTG{tku6um!{D05( z`T&Qo`By`hB`<l|Y|gdc^44SK$;B^z9-LqDBl+f2iIi!4&1WyAc0^Y3n0n7oOp`RY zqvPy0BlU>K<{rt^X`G9DZx+8yEs@*Xdv1CEMb<B-yC&4RiC?_`B8g36Zj{cy)o(8K zNB0}bOs{)WwMH@XXMcSB|MhM!3l8hV?lbl7pPlxy__Pjl-t5#9vYSJ0S8ndvnLUkj zde6*K$@DX8j#n)HyffV<{Xpts?wv^`g5kY-&!iW7?@%kd=h+_G_dD(7hX?z&)fog% zx11Nb=CHrm>rZ!*Y~v!<oIk*S#w=m)(YBh@je^oTW@(F$s^tj1-@GR@d!yxPx&AYk zo^Om~voSn9;r&^oN9>z>Dzm0>+RsX{63jJIRh-+oZN{hR^Si!9tvPJhU3xQrqa3@= zwdV_tvdL_^G2yV7bm5Vt<i4n!Lx#mlvD;=XJ#M!7%gwxLjMit2QkF-$y)imH<8Io_ z4Sv&J=0AIGkvEM`{mGxFseLcSUK<=vicO1Jb6ltU>&)DZjKw-;DeI29o!NY22B+BU z4F~3UOrM<g((t}ct=g>A11g(&X68&23_fF&wklH1$M|GPUR1_3zHXnNr_cMY*1eY4 zdvw>Dtr7i;KY2~xzUtqWh|tEUHLDzT!$KE|t$r2I?JJ?No}txVdc%!5sr{;HFE?M& zVV*WK^+eI;o`}S0hT3P15~u21j#mh;*0bbnjrWz;dA|PX^~=X2)~vd&8+LWE*lMky z?yoZwHZlt9nx#xS>gKcU#tcod*#=kVcx<&d^~Qov&$ySi^4oH?ndf{jpShH{OSAsx z&X@n{4WmO3Mz7KG)(yM5RBSa@aChj`l}A@yx{?%{o3+u<TsJIq`TwIcJf=%N)2X&G zm0$U7O&U6$S>QkIrM~2<-kFiBYG=;bzvs+FXSwXk*B34)#a2bGIj+$i+Pd=Ss#8~z zLNl{At}@#i5jrO-=a5|GfhA$NiDx6jtRpsmsmz?lxc-dMlJ1?3g0W|fmd%b-D=|M= zl6Nb6T2_48srb4)tGtb?nzl!TPK#P|T(kSDW$s4CbRDxNYmU0j*?eQhXR+A@2j_Tf zZ8i1Y+HC5*wb9gjYrU!W)>>2Vt<|QdXXK`>{I+hBPraehao5cyKcddX$E{+zmlVpH zzj2k$)`-yQQERk(bi+cIiLLeu?(UtDxp9@n)`-x#vxHx6I;3-z@0!k4wre_9|6SF& z`t7RD)pu8QlAq09dTaNr6f5(!X5L%%ji2h<o9AwfXkRP#dXxLT%TL!HFaLiwr}kY` z&H>wQ-ktdy1^IQ%o-I78X7elmn9C~NHI}F4UZ1&?zBiJsCUu&k^ckb1#gWHyj83<l z-Q-h0)A)F@CFjrkqE9<PA^P!Bl5AY$8t>`$=F$D@#9m)Mo5Z{;I>&>*yZ1%rM#H^2 zW(&X1mll5cX|LsJIr|w)&j)Yj`EgOldEU&_BeI)&D)Xjs>iZbYo&9UZqzUJI#eI~O z?9JzHifF$q_PXd;lI^*uHRmn6c`focDzfXEJz8}1SWWIm&go|&%Pdo-$+e%o^t>aI zO~&-}gyb~I!aF+2elt=Jc}6bV-!SX(rbw%piJzxWx6F%PbBjM}&1spRcITt}cZ$6> zKA*(=EIQ`|Q+IDf#zw<#9kaxZHPOqiJzZsaTJHXgrRPmI^X$l*rf7b~=u!0Mo}HP~ zIJbk`I1TJZZ(mSe%GSM>IQQtTHQOWl*XvwMZ$8SFv*pGFUoq*8H<OaLMdTa`D^`k~ zHgoCmqRn3-6Q?n1pEXL^7U{Oe==6-MX)`ytO?#<-_L)TTG`_#!eEv}EwZWmJShpxp zs{AUMyOFV0*DPhtQMaDWH)ebkn{9A#j>q(WX)g^A=+v^!N<E;knMX2bnxOL;qqG%~ zYCNEPJ}YAy-(+w;pZ#>JPQL8E>E*eVuRk>(t+Lq~F<)NS?7_^VZGTcW3ZB<Bd)9hX zZO@eZ`@PnlPAQ&dyKKhN^C6pgW~5D1ynNOusV(x@8I#j3k(+w#J)SQMFA@rRdBIgq zzjEf{^+#7#o=v)XHf!UnysZ(XyQ9|Ziq{RhyFqOAyNK@4?Q4#%st#MH9`yRsRUO-1 zGne|8Z|12;+E<}yd)D3UixDWN&%AJ4<?_y#yH+~Byi*djPx^C^=m)XYS{2=)sVk4J z+I1x<G%;%<<8qy_smsJxX9ahM9$k5K)vGHQ8R+x`?zEL<>(f@6t%qhH%gEd_XD&VL z1EtD~&ileYUrU^MbeGQdh<;JA*PAaTF^5IwoXG0#jY!^Tn5}D;IJf5fvTIMRi>KMX zo3-@3?Pi`G`O_5n&lo*g7<tUb=yc0*P>J-~*VE(qvi0C#wbr?oxcum@H=82*?~1+N zd_0NyTU5>oyYAi_`5O({b<7fHSx(fGK65E~apbQYqtgvb(<C<?)luFyEA@=oW}h4X z?k_ptvwZ%N(}ss$$he(S_l@oIOnYf?Qzv%WjHSmzHh+=Ko5pzgtWio^q}v&j(=#H| zW*Xd{VLLt0?YZHt7d6KuVh=<t=9Ww^5q#XMm)3Mq)yHH<iPWs*624+y&(r=+!v8l1 zuBx3MxoU3@9(<<WEcJZqJj2a$pL@@xH(q4bG1)c2)lGckjTcGNBw`Ome!Q%k_v>nt z%;uwKo-92)=fBR`o2)aFR~}tebs{O$Hf7@~xlIwF{E=%`wd;gkbrxIwO0hfi>x83f zJSOUwQrAURoiX;F&zUB<;ed{_*sRneN}GEkbEa{6`xwpbi2J9$xTNCie4d}D{atH) zZk|2wTC00)>HMQrU$#fgXB3nEaOl#r369f}mD!?m&Iok-N@She<SoAW%ZsdOc6ZMh zE!Z8|_Ql|I$GkMj%cpgOt4<rmek$TI_HKVz^zW0=@tW>Zi{y=Z>AKe*&pG;Q&h{G( z++xy27n79tZM!kUTWog0%{d;^1=C&{Uec*`o0)nbV>6Fr@-)HZvqouiBGr1#PnP8E zN}9$O?hDG_<vQ0MT6dT3%-$&XUFX{KeMi}BHr$xdE++l)L{hR`bj~5+Vx`!9hTi?z zX)lZK>M;AwPCc<bO|tN^PIBJN)I+(E%i?uUY&@<bd+qek)2C%_>t0K2Kf3G9mWcjX zvDce#Cox}(%sCO)-FqW_qv3B|vxg0q6ZPU}E<Mh+`OD3WX^gYa7^Q5EbjvY3J!5U! z%nfHjd1gVRm5dRnFuyxhd&-{D8F?G^jCHOhFFX3{%%&R+H^roljwdNUi^@4;)9pJ$ zUwpyJQp=okn~n=^{$iOmjq&&yqbEBe-R2mao-sLXW`WnVm*Lz+Iuj;O_}|3J{S?&J z2o-z1;Z{=YwTLyxqq@IFrf+0?t!wr~ySw*B!bU@BU9-f=mJ{{5&s<9082L-b@N`3F zn&hT4I?B^#r=H>3>=ThW>E#`hJ36vepmxw~wx~6S6}n3$b2iF3>s(7;ag>c`^Nk7b z#H0-mB_+E><s8x|R*J2fwbWgI^Ou*o(->>d7%f>J>Gs9=^o)OLGYuZtd!Ao(Db(P2 z#^PcL<@qM1mdj=>Jsh;TWM|4Wx#?#wJ@1NSi!nVtA^(igqgj#1V$4ssNFRH%v&Yn` zztHWu@x2#JY7((0To!lFNGUO#+^d(^b#UqB3)|hE7hImO<&Vmj3p%oLGnbxD-u$H^ zX`0>r*{KKk(<DD#(NV55IXxpiZRUr`hShr8d(J)HcJb#O!(9#Ud-R@UFYexvUSjyY zSMOoti%VB^j~6d4w$M@2eSbynypHU*SxZmbZT@m2f0`Zp8KVaaBHLt)PIsJ5lPo@> zBitvo`T3@!FKo6+%{_0nnEOUviK2CnUefZ5N8cFjYPric{ha0To^ua(C}#e&eBKmk z6=UK(T{<ao{`6I^1n-|b=^grI#?n=lXN*#IM6T*Ot`mANdD^ObKlPWr**V7`?b_od z7k|z%+SPE`O}yyX3#D^Xv1cq7dt2!5`XW7L)j7f6^ZrX|CLdYybndF+GnW!)Meg!3 z_wJWWdue=GhdFI#>WQ4qJu{N085W;4N}Oj{z3QByRp@hxxvPqM{H|KMEq^7mxLYEp z1S%Y3_56&vRXc0jlBdt-EU!<S!e>8g>1pH5Uw)j_shu}F^#J!~p32N=g6q!&O=V1* zdEx2|+v$34&p$pm?{n_?!i%gnM!O~)cN713<VDgx^Ia|SF4OCbjoi&Q*8g1JekoSQ zvT}NIL!=dtiT8BJw3mh_b!xxOPCdZ5na46?nqd1Gqh}i;)np9Q&M$4hSoy`oYJQxX z_=VdqoPJ5fy2LN;ewkjv`IlF3ZgHQ~+{1>>mp&DrtK3|&BVn4J_}NR3vp0X)nKF%W z`dOnVU6F1vrl)7*pD}tk%dlGSc<;H#Ef;^zG1=7+>?U4x>xI%eiP$rCi@hz>cYTrW zw_GPZ_b{(vX65wc)<~;0Cf?IS(_U`8rBi!tM(Tm6%{-Cm(*$3iHA-6;sg`4qcK&Jf z;?F-$y{HkBj6IOFm^(75M9{leFKy;URUPvkCFgEtl<>{w@jQLra`)LwiT#ng-k5s# zpH7OLINfgX8KZ<Pk!?MOr#m*LNgAKi5kA&k>Yx>CCT;wB<Ixv2+oWO-m@VeMnO7oc z-J_Sb{G#d`q1a!($7F<$?0dfd+({R(8>dBDoiX#CZkhJd@VZXzvze&}YBuvkCQK9L zK5LXVF;Y#(EbV;iq>Gh4W>)hx-NX&9zHnM58GEE;akpe<38yiy-ha`W#l;a>9#M7Y zDi!Ufp7d^?p7!$7DIMEgGvY5VUmeL-VtjhSyEMs*hjo&xW~Cnb{^<FV;Fp`u%!urd zb9?@|`Qsc$|6aW(?u)xC(n<{NC1Vd2UtD@W;LOG7H_rdEXMGWzJgwZaZPwDm2AfMH z^QOrupShIY7Re@Ke0sv;G|9q4I>~;sQV(e+e~bAgHP_vK@#mNMC5+5HdMOJoy7Cz9 znsLx=y1|hLmn@%exoA1ZP(|1Nd5ocV`|7lppL!#!VvN1#zfO}ZIIQEm&-8T1>@>;Z z(>lU=(wm<bU3+13PIB(~%EjC}5=s=sd-Wb=FYex%Qo`x(I=$|*!ExEe#XtOfqV7G7 z$ezac`pl)&y^*V8+arJF7@ThCO_SVoQb*ZrcIp|i%|17>&dfU<yZG~t^b)(<J$ebP z7hBhu?CJ=06W@I6h0ryL7^~+x=2q=*!MTJv?Pb9Qomjq^OOGdR{$iOljq&c${QJ*R zJ<K=vyvUkn7<<O(;nW}HwLvd89i9={KiBQ~=F=~j%A{jY$Sv-^kzHc=yGJka|NOsy z`JTicpVilEpS@<qs;o1Gf1g{G?zr|%{c~4F-)Et+9h!;L6Zc>6UmK?Rkkxh_*Olj8 z4$OMoCQl|gTxHR{Fr%BvcgKW<p`vF+E%j>G1-GA`KfTP-zxd9i4Tp0%ci)+~;dr^w zx1HLFri`8zOXjyI|MIp-YiJQ><Eky+sl>9|lFjo*;)a&kHjf+0D_UxQd08avXmNJ) zut-|clI-Sbk+|hTxv5`kg8p#{PV1hj8@$6gtN;9%*-$&r)8c_TXS>bxf+O6V$M;Ox zFpJCn^6z(_doSeJyNh#L+lczT-CZ(yk?k(;8_U~Un5(=jmP~I^uJX26*54xB#(CZL z!7KrpClLyX?X5y(kt&J(M}@vcDm`piD#RD5_ORorP~S}bhb>c`?mMsJKA6}SDpVGs zn>gK6=v#z#;`~w}z6kw?6J84SN$7u3d$h<Qd7o&;p|j_s|F6nu47+Z)r6jO={e~_+ z!4<Q9)dgN!$8`3h_aQ#}DeD%ozPaRVvGue<V-=6cit`MOY+uAzM47QjT?}9FicKiP z={=9H@yx}$9b|W<8ckeoS$8HyssCz^QsQ)Gp>H=d61OH!EI1G@#22amu%lF{FH$=( zbkp=}qLpjJ7#F_J5{=cJ<Ggm!YLz#ef)2@PtGwA9bBOV@%9?jtobEak3(h2Qs_O{- zdUw(F#qKCqajxe9t6wm2?mjbp!*LtV-)E*49FXB`KQpc1hz{rRGgAr<@hp6Ax4tD| zYnR^C4TmE+ch8x);dmzJ?>UnS4tR35&zVqgq>%Ht%;bVYfeYU=>bE9r?UI|g;cz17 zYTe~6wtQYU(kHhtfAh9Tncs4Cn)e~YYLz(~qF+>>;8u)SyJ)w{n+?H-vgEZA``-$E zyQ%iDVXY9~O{Iq&XPxqu=5d=mQ*TtW$^L!&4(qD0i}Q}2b}YUf7qTErKguR@OVC#F zsT&Ska_*iZ>bLgWBBoH&eGXT_vY@3_qAPB7bA`NhWnJ}ck>sqjpin;f*H;!kKfW~f z++~^b^_mIo^Htt_aycX?zO?wa%(Q|7f}HI#Qwxq5avqnNUT}zW;rmrqLQPk-s)7#j zEmwI{6m>{0UFA)2*dfN}Dl$cJhXl{Jl&lW@GC^eShpiXNx5kT{TNrlH`;g@ot*XdF zS;qQ_rTiWdxs0l7%G`y*q}e&$?@ZXBbx?IpR>8vec6UL+c@L2}(l~$XOx>_*o{G$- zm_vfvDl(gc4sot-*~eZY+H_TGSJWZCY?U{g!Vby3R(Z2I?$D~NX$2?PINfum6r54x zRM!#wC3ne{BW(2xF;458sT)q4ajp&xIb>(8BC{d*km7C?nT^qhRy7G&Nq&4a-Kw`z z^FoXGex0b8*`K4Y)Gp0-KP~oki^J8Ph+Q$;@&ft2Zai#giT%dCyv$NFpkJ8jtEJwB zhGeGD)twH?eH<pwHaH0LrDn`JDU;6f>cUrjuibN&Th2=}ns_{mUq5mBd(}6E&WG&! zRb@VS98%<0mHFs!$nd-BoC5zByS>F1zRxP$t3AhAcinx@2;WUY-*)IG&W{%2+oAbz zLcXfZM#n>j-70f71iaXNTw5_hcOAFN8-w^mdY@I^7=|CRD^`&)h(4saSw+S$_>iHo z${d5(7rW1UgA`s?d1DZBNH19BjbY>=yU8jt27!kZg;it>;|>`<R+(cE_M-X#H%MW! z${T~ILwbu<-WUcQvQt)(F$g`RSgk6v(fyF&Zq+#(ykAtGC<ZCCR(-R<`H<di)i)b` z57|Yl%4~2wq&QnuW~1jJL+MsO&=P_f=}f*qK8mY$XYVSG{nNew^27Smi`!<ky*hQP zJmSww`_~`(Z+SdEUo<s8{K{36&@0#Wgoei+-Q)j1uzmmZi@m14@1M-bHsC9^%Y7Tr z?KyjL^0pS)wAx?CW&e2`wygWH^oZ;}@tvoWCsv>N8S%(2*kv7CUi8}FBe7{-YroG> zOq_prK8HoZCK1_7{#7SC{t5L-Dm-j?C!fB~y)?Hp)i*TP^s?Q*<FfziHfC1*{=4j1 z*E2s~pYw880^(P%OZxn~=4*HTjfd~WW*-u?Osmo1YM;5OVCK}^54*EULJR*-zBKXq zl)gRH^QV~UUTvLNem&ue(mc=I!4j3_DsKvYZN4NJaKLg|onB$Prc(J%#iIV-O5ZCL zJ~g!G=Cg<vPFKy%cNI(Q=MCC&UYL{p%%p-7KAi4nCTxi6Qki4$_Vbyq8Pb*gcKWiF z=Uz|zZc!a@`$Y77$x6T1Cw~7c4qUM-R7}r*Gp}CH9(j9x*~+|^C#t7qMy=vjey`qK zSTV0sb-Tf*IFB0-Q`1;P3i{T&yxS1_BuiVPsDG`}_nS(e8qNk8UzPJXB==kO&1U~Y zjMb_#o7@j&E!TWFBUZ@o#>~BPxyt#$X-{^pcfWV+jbpBIer(#4ookiz-P0Pja<p&W zRA4zHw;=IJ?eEB!Cq8?*-}`djYxcBPhfd5+GvEt0zT6xxQ5mfA#=z3}xoAOOpi;Sn zX3=y(rSCHoisp+d@ki*HUQV6VVyotLBYj5;^D%FWlsPTR$Gj}kdRm0t($~A+J`w#5 zWO7{po~1XNZ@JyOb>_t9DEE7h&bvI^;31}Gyb0uW)|nHZPw(6FbLO(8y9_=(lk7Qs z=ZyBx)`{lshxqOqD=%m$o+GsL@O7o~of<{`+)Cdq^*=Qf2N}nTdEI#2)>6C9+v35j z7Uz8v3yy?x9*>z=aOmk9P^hOHE1$2o{d6vcKTEnY+erC*zHH@mBjz=(7I&t!o?e^N z%&q+1FYO8IQswt~%Q9E$_3Wu;dt6}u*~>BUiS2|9hYz3O(0Cwo+vT0X1Mbe<Uj%m^ zKe~U)j`M{R+2{QCUN}>)f629Kn`s+XeO8hAu(!pzZbHEkMb6`ICKMd{2DbnCzfC1i zSl7GXTj8@&ZcFc;t1~BxZ|U13IKSgi;trjdwp6Y^3k*KBrIkq}zC0m42^{VDJqK4@ zHT&$9nE1qZ@`l4-{Jq>A7@tQd6t%Z1l}D-+^&eIG{!;f-gZRw35%X57I%n-Y?!)=} z%%p+?Ih^fhCKeot;XHn3a>1cLU>BS>uD<=@>xti*o451ccDuLg%n9yj_j~;_b#uB4 zDzvz#%Wsm@?b-9*PEWQn@a2hWh!fs#JZNEaYEMvzL?yrKn-2<~OBOd2e>v0B!&Lr4 zv1s}`rSC7)isr9V;(sw|=Z4C6Me$jCkK1zo{xaQ9=z{+t#adOFi|&UE^_Ncfc`;S8 zGVu0^>Zy{I7hg{_R|O~jnIN&O*)wlNwP-b`EPS;f$DT|1z0=8Tb8*`Z(I2^QTIl?D zef?c8%D47-+pSq$ySzfrZL^)5Q`nlgJ>(FdcU@r3&*;LwgYsT?5+|I9W$U_=+;HOR z34xtbQu179ODdJN-g|s$KKG6EB_)#@1cmq{^&WO43iU~9J#0~wPg@syd&BRS=Z&wg zTKI48t7EHveScN-<>!SbGF9p4e*7wo(r+$&G1GE>u!&w(iqySNE7tCAk=>QL&j0n| zZA+EHAA3B}>vny&(c_67x2xO+hpc6w=2^+a4d+*%Dafq6@-66)qP~jE$CyKgwM(b_ zL`>TomisuV?pu^-Z+E5Ja<jsj#{24Sm>y4%NlQQXT&%Y{a%S6h8xCpR#CFM<uP^^n z$k}s3_E_hg)HNq;k9FKhUv`4IEM=YB>E;bDgI?P%^SqJ1s)c!(hegV!7G*V0i?l^8 z!fGk&LvQccF=3|uokfL(_g=`H`&;=^GTy$+D%*fB-RSw}u46}E`lLn61<#$d;qXa& z{k?W;`&aUH+)3PjBKBM7oyP^wer>l~c1!yh`<scgUKTkWk~^;Yrr75Yqr0k1k;@^$ zc2${Tk3*d7o3F3RjmbG3w|Y_G#=9?M%F@pL_~m#}uetEXT+8|KCVKl$o7nuHqI}$# z)B4Yhop!8q9`8FL`>ylO({(3o_lfO1FFTR_&a_!CKZP8Uv-i01yqc5UW@5pKWKMUR zNd;$|In`}W#I4>|Sg2jSw(HnY-^Im0%!-d)?=Q@g=sEoL%+IDpg@wA8Km0N7kh$-1 z<6+jbUm^v4dG^zHIEPQ%T_U*i`06t?fe-BTUDui2nwe>JK6ahQ+V4Ab66bdd@k!kL z=J{Po=92dzL0eUs%g%>5@7B-T8Mfa1)=X1-4JmPZ$+?F&pE>pAm*VN%=D^)=&-^^J zsPLn++Gbt;gniRD9G-mUYi2D&vHO#(I>DW%x18CLQOjT)`ou2SMb5x-^0#U12K`H~ zRohJ3aNe1d-DX0;iCRwgJCh5}#B!?FOb4fcOP~ao5#wWG)y_F{;<sOdCn}l)uf{*C z3B0&NV)n_L(~l<_3YAIB-D$VB-<_@VPU3<Sv1}c87Vke%`>WGt!TKvc(*uRRz0ggZ zFDS$(q4#h?qEMfN*25W!LVgl+KsGG$2d6RanZJK;Ew-2;*${g5s;u<AXAaMfy@|N^ zBdpizYTZQZH^Mtl-{b!u*S<gYh_v>s)i)<|=B=sOzH!yAnRn&4)va088g8z+>-FJl z^B-ocC|#W;wOV`j>eX47j~iXJbgRjl!l!&TC^mcZ7m3_ycFA_Rf9Fh}v~}mPSN5sT z+v~R<{(rA6>(#xNR}S>?-=3#(b=NDNtETV6%)`vhHvKI%|GX&f<M*52tTem+t$BMW zPxmRWY;@JWm?wO*<Kr(xZC@E2>|7cf`qw(As#Y;;^}UMK$+c6hj$iRNePQ6!p5^}S z<!e5zA9<yzeZ8)8^$gm1m+DV8GS#nL;@IvfpW0vc)607C@v7dRYc59|i0W-!b1~wG z#oN;4W7$5rAMLWLm&Dw*V!CoB;(J(WXl<<NWc#eY+J5Uxs~&1wnO?uX@yFx(dk^Kg zw-q$WEM9Xj@xt*>H?Lk@vo7hw>*aS<zwMp1>e=>Uo{gF93oq@QJ$shbwb1?3lV=>Y z;@KWCT~O?`;iaTnwy2x~8Jh9B-|pVhI_44Uw|AFjR<`8tkNaPJ@Q>a)>)WhZ(yO(t z#Fp(^_RFs~%T_x4%P-KpvFWQF*EQz`m2BO7EpgS+RZ+`MTnoFh($eAXdtcG5t<eRY zN3G`kdhykF-n>t1Us-M6w5aj2{NLGp|Mzs5hnSeHP7c0%W#z(!`vSktH<sT!uYs#L z=;`eIrS9`}?Xtb6UDA9y=Y;IJ?mJJLPT1;o-Fcopkv-<ui?1y=K79MVH8c42jz597 zng8E9cIZpw*RtB;<Y41)yG4iZXNCHnEqzn*w_xA4j6R#66B}grX&&;uy7kr9Pp2<! zT~s4laQf<gJ??gCSKpkxH7l}TMO?mf=}4-pZdTphMfu%vyLhedOxbXHZT~;l_WDar z)}|tExz5R7XJ>uX)49CbE^~II^}guP&w0L9OTu1XNc1&avu<_8;%&S7ROfGby7BSu zuXAVZ{9Co`-H*KBU2LY$BjRf^7_DlSI#1`FzlHDFHSTX|%Xgi+Rn9H`((iU^-l`Dg zy-Rs#+hxwJ4xYvL+sdr|(yxs#mwli8>3H7HC-LP!(km~;ybd#2$s-!J?bNYXt7bhr zwSBer{f7tD|8G0If5Y(=tsi#xM|Cf}w4y|E|LtqFPp<_1O-pTF6=w9|?U&zy(j_l8 zY+T#CKCv&Bsq}_!z*YvXE6M8}YQJ%sB<y!^=HoDVw7?;mkJIGghI@(AeXCZ?e%#?8 z+sAd~=?n+kKJF{eTO63*ZLPid)|7Xr%7qSLrd~_+3oYIIuUNg=7@6O+{2BXSmU)Mn z8+Y9iUvd08)7PB}7aBe@@mgwM=s3;PyHo2zOa2$W-#Hhp-#p*3Z2p1r9ZCVycQbvh z&<L16nTgjz^}>Y9OuZJ$7iPR?nN{HazTiOE-ouW4w|{XmwMR3R?$iwE&xh>usb-Nf zTD{p%IgQ&St<ORDS=lu!nN7a$3uYwGt82QDxX&S0jN?l3!hcDEx4%ZJq_y)2m(^X_ zby@d7pXk>7YvyGksb?3RTicy?(Bz-aU5CAq(f{STt;J=pTrk_ZI<nsLqvLvCtC#ox zhrU+25fice-QQRL-+ajDUa;>WbEt9Xitv@|^TYnfN8Z0NV_(YYSI1WC|4(kOS8w09 z((KLFqZ?!E{{Jue!T&Vr@};$Ixs0z~Wbv(Ez5epKdvCw{wf|o&`*3~ygQwH~ySLYe z*0`kB9`6$^4b3mfdKGbT37^iI3txWx-T(f>`qmw@c71s=S8CSktXZELZR_txtxaKi z9ewV~>+k<J9iGp@D7)Hvo6DDT`@%xM?w<O!^lb0fthIS-0+k=^?K-x_>BZ;Y|92nW zZ_a9Vy?o8eHFc{u?ppb>_f}P?<QHA(wQNqyUpXy*`Th6*3lHy0EYc3G4ZFNC>Z;eO z>tFuYrY-51_&)5)Gl>iL0@@qj@`g@+J^d}u*B#$eUr%%7U%PTu_{CMPzrS_V^45BB zaQe*C5h6=YC5V3faQd3l|56v<&_3?{lXu)o__J>70lkNoWv34%uGfkAcJfg2ew`Y= zQ%Vme+=!aKS}8nu&xzV|oiU+$O8k~;pE_if`fqA}YKh&SeK%ywr)9fJj!q~z!@{ZV zBeEe%Ud{7H;;fd~W!^WEkG(fy_B^Yeb*pOku0^YMZQS-xcPr1-&H(RA@1wSVYwM7C ze5%z#M&q+p)<kXLg<^Y*1nsm>xv+dWcQ0yY$Apck=L8K``?#EWVkF4<xc>S!&#Z2b z^h>W+S$+Gn?rY?k?C|Bw+71<;vD(J{GH+dOfUwU)){xSFzB?y$bU$@U(woZdxg$o+ zMf836saA^^ZShA%dt*9|_ZBJIZ9mn@az{^GsAzeN$C1B9iiZ5BT2*8;g%_1^xu0&e zSfek#Xxq`JPBv!KxIf+u(Njq%-Z^1{?o%hFZU5GNHT3ZI2wXWOIrZDUtj(o4zhjsE zJ83VXw`T3j&0W*#tz!Ne1<Q)Dmu0Q1cb*#hvpwYH$=5q3trhM%WV|qZe@=DRqSmlw z#SYC{*M6u)+laZZT(xeWp8V;p`e$WV{hL{}ch##^%f7$XvdqqWc>Dd**i+jL*Y3)T zh_n8io^AW<?ev+Qt2EYa{KT6Vb?$P+-8&J1!PABEYHw~^t#PEZW_5CL=w9KX+X+hV zBz(@~HGAGrmNq{g*Ialb-9Ya*@3F@X2Ui50NMI_H^f{5(+-Z?yVCc@P_Hahd6`NX{ zYSYX0%dangD)@?Xb-Crw)Mwj*%Y%a#OP$FwnSZG-xA5i0xw9<h#!ln5s}<k+bmzO7 zMuL3p`*Lc#7wInA_~~w!T4?ZftE}DM**(LT2Rp9{|L2&UIJ<1GRoEXz<EKydT9vy# zwJz29@BI4qOkK188+I+)v~$_MzSQ~?CVM@^>Ugp;XL7WwrYgmVP4D~=5u$b|y=rDh z18b_1)3g7In>}^AGV1m(oK;zWqGxis_H6C@Yu`j%oRGZkqU9MwtLZo0o*SNiQIjSW zdw^##cjS-uGt=C9r>rVrHru*HAir9^!b|M`|JJ$JC(F7{YY!Kc-ne<z&71e%_?<cY z?!JNX)S0RBOU-<ao7`P{TQ_3fuTD{6!$+C-1%kLGpX@!z)$+mZM1u_nCqEPC6W*eR zJ>O5f)4aSrZ=Ti7&lUUhwPUZYJrx`q`q#nxvH<`5KEVx#)RUz?_tw2hoO?p_T31YR z?+M<p?mNeoCbCzAJyDz|RN2z1)W6Z;3FkBK%L_hk44LD1?X$}|1J_l@5;nKg=1els z4N#FuTHK<%W>P^9uaMt{xEDVHZmlnV^Jwe3Khjz9H`smqlGjLw?w>vVamm@u+qgup z^{l#YzQ&n9oOM-!>jJ6itXTz~3+&EunjE;9b=)K@eBr@WT3-yeaxwd?JS1Sf%HYs8 zt)7jWxrAd@AMoQZJ|3d5^g;3u3+v2(-?&4P=R5Fz<GONOu959V?AxYekF-oGHgeVO z320^97LwS(EOTv^{2{*A9l4qBB!2~5pYSekjm*^wLsh@kyRL2f-FCh0Nx04HHqMt1 zW6!>nZ<yYnwZ~`M_Sbn<xnkiNI+IfhYme=|)^&f<mIqN*tLi@Q+!Fi!@>0qCh?5Sh zK1pAeoy-5I^j?yGcJQx?##ecgZSU83S80}NZIAps>C`v9D82_%1AAAm{(bqqwzz!o zJu5deF8kihH#N;43r;M}h<&xf|FL`f$HFU#Niu~qSnN4sPt1F|_+I4ZdkaL$c1}5# zH{IyQ;~=|lyFwaj^+X>n@pj$uGRQD*XGqg+KG)OFt@V}`TwiMPZs!!o`;YmaCa>{k ztvIyQsi$})$KzP7V=U9X3@$FL;oom@?5Va-QSMU1n@f3??GTAoj?p?`GM&rt?oy>Q z1uG?tW3>+Iv~$^<DbsAV)LUwJeJRhgom2Xy`)2Yp#cUT7+36+ECK>zlqxk&AcP(}W zh5vU?3%4@6^y5MzQ;oumE!lF{ta}bwE##j0@k5ihrcRM&@AKGG4=krIQr+O~T5)Wt zkj-0(X~&|DyOl)EeHg^W9qX0c=q-7sTvPsYjMjme>0E}#mnxkpTPd+QM(d!@aU01s zg*j9HP1c_t6!9=f>U8X>gqhwyE3PkP(kcCN_juNEw~}=g$2SHxg!c8m2>G}zd9lEI zYoC_yGHS<W^G$wm^y1XZF27cu-R@?7Z@RC%!upT>*?ybDGE*o1vF&(yZRW}inUhP- z?4EMSXZocXPlMPt$DTSaGksC=y`@fPidS-cUVF4)p_HA3a_-Ff+X)LxS6hd_u@3X+ z4365j$RcdT?uv&WekJoQEjYZ?q^?l2dA8ou$J4x7cf1W!e77T{Wp{t*@+}Wv`+r}e z`&skt=4-!KYTaKOVRP%L$h`uq-s@|h>uKrhRP6Y6qk&aqo`h)vV{aj|xPgRy0i(R| znk$Px9&NwACb;YCr9-P1OMjlR?$_sE>m$_Lk1h99k6Ib~)8*yl#ZvFTe%~>V|ES9A z<3W{S8JkLl7cRdRn9V1&$7Yw4Gru>B*UOy_re+*h9_(_cjS<dhSk1&+=(<2qkK5#! zYNOhYh@}(TxtT&O)B<{onM^IT1LV_Lq#kT>aGoQS(K4B-x6o+;XJ2^cn}>TGMAf3V z+ZU}e^jyH^&voUvOC#G1g$n|YS)~%^I3(LBUSKg_wb;YvOXz7euJ0|Iq{>;d3fvdi z<#C%F&~0qnp?QI0KZnUN{>9Soi&D1iwcQ&zdEL})yO$pPp7-*x^>&^OXLX%(N>6j# z-<8^vf5+&c*zzSQrIVwzYMxG$ir=;Lpm^TP$Hm)uKFo}6`*B5Ax#rO{L%XumEVJiM z61uVeZqUQ@?N@%B)a9)!@s@YLYji+xJ5S*aU1gb~(-Q1=jSliHU($V%aeK&(_tT_) z-?^0V{>8DU@%!JbGrc-X{?mqZhSeKp|9@0{N&R1#UEETx_naY*Ya6B32x+8RGx2V4 zTcCK2%jA$%<FN?+3oO#BrUX6bXIb@Op@Zo(jw=a`4z)TW84b%>q#k89x>dL>Fq|iv z(e!-PluLJ?v##3Uu|P_n<H`fq#$ORS7Z~(8O^(zwx>ZCj*wP}B(G<RVir<0toFNat z9+&>FH1VQ@_9}yepN`-3U$OkZx=Z^hX+KZ*GqdwnewY@Wwdd_Lw)Z=h_Akwod=R^x zXX6=N<(!h!67oA!n|%E|kKaw(9`Yk%%1=&?`4RoIQa0^wZ#k&<ddheE+_#6VXIWWW zS+#!;2rutj_x@p&dv>sJs;b<y>H5}RoLfboRtuKCNZjinY8Ik>AtJJs?S1IN{_s@> z2UcnEY~01Ayk_MgiR{$|2X}G(;huYa)daun&=ngua`D=&a+06D%HY5=EuN2sqL-W= z*Z;n+btb`G{OyvTqRR}<-?K=SI~Jz+^u*M)rq9A=9Gt`T*>LwErZcNH2pDVY9O~if zE_|POPUy?WqAkhCLT4P}+o$_>+FMaK?iXB(ON(1C7VSKgF*PP}i$&dz|EoDAy~|IS z%UsmIcF*XxY_yh7qWb-i$Ju>6`yQP*K3#_ON7G_~`Nbj+jr)>nP6amkODvkZp<6lX zSHY5v?aEOxg-?>@-5I|Xi#%d*7p&Xxe8cXgcMos;HGBJCpNAE#nR9+rJD1)(ebeGc z!C}ueW+fhP^>c0I_&7rzZg4Q|<GAu*g+uKhjSCFdrTmw$@_u}#94hs2t^2F@6-!qK z@aNxlcsSSnSG?u9#`e&D6CP_-xot&DHuNg@zPDH;FYIo&tym<1-CeP)NF-6*-LR~9 zN&>%h|Dmj~j<z4F?p(huF5Ngk@gQSg&7T8-4b3vG7DobG4$B<1I272lILAgRJ3=u^ z@9&9;hx}zS|D2h4(6FyY=DEfJ&OWC*5(fiXuDjo`HLYm=diS`M8`GL}l_P9!N;L;O zdiW(hH(a#aU^?xVYsNIYB$q^CWvSVchCgNq%Fj0tdJyd9RCCOu<-BC)M^<IddE3|% zKdg&Y`TJQ)nTuQUV#I-tgEek7XOdM8#JD+~NmMzc;+AwKN#&qVwSe8Uo30ugXB_M} zRN`i`%rMDds-S$Z1gF8wi2|D?7cS`+^!g#OFy*|QOT*K$i48{fZW^zXTa4`7G;Sxi z7}>gMoK99bBIKsAJGsTk+D&8iW_H1()>xG#BK?A1?<FoqobEWN=~go*P33^3o70?B zl|z<pNpsRw4zgAY2!`EqRpJ+y4BT;~<5;R2&pLxgkF}Ib)~2c)DRoo2lb~|U(@p5k z7IwkMw!1yvJQP#r+Aeu<$Kj5Hv2HbYl2sh;-_H?B(oq(im!NXY!cC}WeSNK(GUvVR zv%PG5Hkf;fs2MH#sG`g^S>ofy=~rbQXuCPpob_nY?K$$JZ6eEkyP*HhkzQSMZXT9a zR$2GqS;sHsr@eO$dqryOQRnXco|?G9OUy2AvTK9sCXS6^VuIIBDjlneRJoyWuH#tr zBes{hc98*II^15}sg5f*>S)+bV_kY;(*l!7u_;=on=VO5s{HVoBiOwCDDR7;y#Zoe z+$jqU<HguMr#wtvr^9q+B5SN*s$k+Yog|+ru1%7UdVW;6-)UI)=W^;o179(z;M7C| zS1~)a(@F<aB3mkg#01k$DIE*mv_N%^g)-x`F6j$Kk0c{CY_z$1pQj{#*rQXUGs(3< zJVo%4YotqrPPc^qNu`5Ag>FuJwDTOYCrwXX_@PV3ByMW!!K{sMIZnICCGR{Tyhb8$ ze|pr1fBV1ADUoOmR+f6V?CPiLH4)!3l4sq!6q22_@@l~?ueniH(S^ru%{aBi?ncjM zv7FpjtG0f5aO%V{pEZkDe_p@CYSY6swuN6f`?A9}MxFjEcP`RWMzQsCnES4k%HnIx zGIP$}_1$qI(Q1o#?}qdjAFe6#SL}#s<qBUF@Hk6UDrU8l{PoonibA-}w%#j`cjtfC zn_@7xGv3Vvv>L?ri)|KT>&AYwGfv;G@6`P2KVR&~VeMYwSxT+pmX|7em7A|ye)`Df z&Uo*M#*t_l*NWMTCEgcINqFf|ZNn9Ovm&G8{ie4ot{C;1Oe;?Lz~XMFXMWGpJFr1p zrgg`uz?N*8qdU$8Hc5Xy|Kw@MBv&)JsNO$^wk<9_;oE1DSC~>TTUox`f~#QqVu9}# zT^Ek0-Ak~t-d1xaLFIsto70&jl|wmhFIdiaG`;=SxVG@*JHE4*_^Op>Et%Vs@h0g= zg1NHYHv^#rePzXOhC+$<%7%OflOC{F3$Q(YqqOCW)rpQnif$%+#z_S&g7Wu`g&w%O zIsG}}(K27Mv#@6(%kj64KP2+S7f!v%=_cZ5w5YI4u=#S&4~xSd4V!yfERK7$eC|22 z<A6ug*>4I=J{!6(B&De;PvJFm$`nwZ^55W5vZ?Zvj|NUF`IM(THgG!0t32iEjb;wR zi+WD4`}rjnva|`Fiji12rB(1$s08PRCc#sF5(~Gq2%d76Sm<&@&ZR~Dt<uEy^OAu- zngo0GB@KUc3ChPC3ng$XEAC5FIkdg!$c<ATO}XC`l6*FGACcRa?DB9$EnBzgGb5*! zLdtf}jD->yl@-N|gdS?TCE1+yXi7iT|6-}i!Ia&nJKNLW_6oH=m$+ClPq5jw=SM~3 zL<W5$p(I0P!Dj|S$(+iZadI~|yjpAT{vdJ92~oYP|HBs?we^wJ<T~{(WualL7@Kj* z!{lW;OlKysKHa^k<HIDKq@3xlO|p-AGJ1r~7rcJ$7pd`PQtPq2NQpNSTl@EJ;@IFX zrugl&(jmskBR?F)IQhDalMLeSH0WJxPgz(HvF7A)mWk{$G>W{QxymI@I+5%nR@tO^ zweOkbowLVsjD=m<N>Wmsi^6R}A3wa-p%uDAH=x&=$#h5dMUzaQ{mDP~ezo?Sd`y1r zH_gnPb){tw=S`IqUHQcS+9F$T_WEZY4`*C;nZ+l!-Ds7Ptj)nhD@paYxz$+~q3VZs zM`!uItv~v*YBK9Zi{Sgxtc%`E4YHXddvktz^qgl8=Y)EGQ@y<Jm+!q}?K57qs1>!U z%e}n6SZw+H{Mztnr^I$0lWnIDJ*bZS@xxDy(QdkH$J$K`POsBRs!{G{iGF2nv*!3- z1HU<fRtsx&ueqpiS)by#nkQ1CMv+_oc8a5AtB%Ij39P9Sn-;h@i%rSzFm_TmDRjH^ z#(9mVuTzTS&JG<7)rqbBi#IJ`aTS}g{6y0w(a3;nO59#?M<f>tZ~l?JNO<#!mq&Cy zaa14qmHbAmvMKha?)GnGTvab!F5HgFmb_+NlCi$McV12g>w5z!d5fNdZ2Gm^S7&V! z_W$+h)+c-Z2#3U@2Uty}@x~l@u-AUMd|8pm3!n3u*8eREIHR)uGz&>t|8wL#_2d5x zyG!@(#a$1RU-tK8uIMtp1k+_a3A}3mHElJdbxKdae*5B>YpAG}s5r~Q;KDcoHPPoG zzj_U$zdVywc$>J_d_s7H`GV^a)(gxd9{+v*;QqB+R_)*J-M@M9+U3aCZx3uVoKyPn zx#54)AK#DM^2v`b_WnJgeb2pZ*PfL{C7pRKt)kufQ*Y_V5Z9IexaOB>wx-8;J-)fm zaSMZIXnt{UbiTj-TC<GAkKVsNi7w_czpOvw$(FTSO`G@T%G&OId40z5KWQKKr>r`A zxYH=WB69sI-}}@3(*4t?w+AO1R22ASM%nJUXTCyEW6w474nNh>3(+;27Z1Pu(rhTY zu3%aHs@KKS)^TRGCnnYYcv`Y--CE}Jg-c&W_pV>{Z|%JI(qDe(gip?Tzi0j0&Rd5T zZ8^Muv!btI+#BgH?f*D6D$-vE><Kt(+p_%gs+G<W2UdqwUM;Sa)mmNUC%QcJ{5$C{ zyASR^S7<)>mCczXm&9ehm-00X7Hu$4-LL6UwQa%IPZF~)MBP*ixhU%<@^0DuQzuWv zM@Q|7$~wByMZ9%0-{OLGbs42q7V&Ic$6x>6zj=ng)s0!V<uZ=0ep>Tob;+z{OWXhL zzg;1*ER1{kWb>u@7wY-{F(2RicCN4Ut-?Z{^()dP)TSTz_Y2+Yy#9mPr@v;M<sM6A zt2X^<{dRea^Y=^nuL`5SJQsT1@Acbw;hl+df4-}qDcGuNws7w^A!V-a-)w)~H!53q z-?i^kj+$re659LJW8r$4=<wp5zxA*COR9$M2)YE?-N+QWBh23DMNs)(9+A8yj^*8Q zw~FSs>(2e^@bI<Kg@|}Iws<i|Z}-jZdt<*kB-Tr2ywPJmCHqO?%&ng)>lJuK?DUv> z&!#49Sjn-e%#43EJJ;+}4v*&=8B|zv-wM5U&OMSf(qZ*)qYFD?*x06bDP%vtxu|K) z+~=np9{)5lh?v5<b<eBrNY=;&g$sFj&XxZw`>_9+qke4rc~QO9HGYAvVL#Ux8C2v- zu>H5<ud?P@`*>UWHtYM|>6^SZIXo<p%$TFa+&tNJLhq{#v%irG3YPGg@J(qvcx25( zofmIEtaw;kdH83Z^~CEl-fw%Hx?tmS9-cbArZo@yySO&BnNMpxcFD*fVy^idw#vim z#=jHn9w|rmMlLAW!DGTVwejFVr4v&7ruAxbi>#Z@s4IH<K!Vq`0<kB&_4Cc=^#5>( zOSXGdnz~@)dLEu{Vvg57c)PMj9zK2AA=y<@qGqajOy7?K8|tP1tY)7zt4cm+_11*! zTPNAOA6Jw{)yrwD(PWOAvGjPj+=YovE02any3g~ReVVy-`RRsfzNrZx5^qhkdGR*j z%Eb3Z(K5HfH(%>={v9PL5p#alI{91Mxu=`%U9v(cyDCUB!$+UFIWzL|&%%3aU%c6> zyZLC`{@GU}-h8!AU9eF>I&I_i=i-jnKI}DGa5*zD`r3!qOA>3&O6A>no&9N1-L(&2 z_GCzD_-Hfto=i<B(0)H@zUiObK*wtzW~VOr7$zy9b57cid6tRP$7_lErT(11TmO51 z`$zx(`~lv~EFugH3>*xs@jg*kle#(&FfcIKFfuT3GH^2F<d@{@W#%Opg@&*)Fnian zNze5^*mcN(XYc#)C;Kc?mX*D#+S(DfW<|B$!edf%{1RnE|5Qb9tuFlR!PNi#&}l!Z zkEJt%mlWM=D|)&50Pk;)ymz;5Pi+pHX;|<~;mH%fz$p8<K`Z)-QZGm<+*o(8<gxI{ z>eu$iA|mp;{*-Tv7Sq0PN+lt{-z~#^SNQ*Y{{<XD-Rj#*L*^=k+DpB)@Z8K<ul&uD z?ck!)-_ton`M!!QE$9pR%x=GcKU1K{?!wWdrRB|spFLV^a(D5meKKh_75n61{$&9B z_pU-y_dgy61`y_e`L`$~5$;`$t@?ixVoaB&GpuSekq&s!cP!W7gu!vnzQTDc9-Nq` zd`#k?fG?X(;tsaCtXwrwYqtaxtzGE#yNF%v!y$o>2hK~(=3ii*a#e0(N6QD9CAKGv z3?r`u-7V4z$@acds$@5haW3OjM)f;StKQFhbIf+}weAF$C#j1?H%lF4Q+c|MUr^h> zw4nQo6O-qv*m$PUq`!IBa;{ff%<*+&RW~^#d2>pM$0w)t(-oR``&#%tJg;ng`>XON z=S~may>=~|eXrWy(`&lrt!Q}rt9(%U-wx(U4I$2Qg8m*^TV8v6NJ%xeO>^_In^xz4 z``d0^nIm&tvTlFX*_XTjLfO7j*{v6{9zNWmy)Y<a2~+38b>E%bq%W!;S*fC^)Om4T zykfBEyw6{=wmsZudqnnRr2ZtQ)(lrx!{ZN6Oq}(Vzrd=0KAY?W>GHkqi!>BVt!7U? zS8-o(X6E(&fO{)^XNv_@WqdUM=Do1vE)!Rwm7@IVjL(0~&PM%UH9d8x;mMBImY<xL zYAqB@|GaXM-^w~OWf8Hxw%m^jwp7fH{?zVOtFrX^<djuGpQbF>a3jy&`@Yyc*FCm* z3q}1-oBX{g5|?P%c;k|5ZlpupntX>(+))?K-e`XHkn?#3v)zFov6;eb^Ui8yRUG<L zX29{W<9L0-uGcjmD_L~zUpX6mW$xkhsi*g8PCYlzH$7zgw~*Vp>I*rJOY5tqpM3wR z@YDHwy;_-n*k`6redAR1yX)P#3V~0nrRp~_E%SAnIMuDOF@3Y=V@m^pm6=vNOh&h- z2fM4Vsx04mVt48D`*%(6*RI;xzWAHP1PR~MCL)j5@@?qk?0l&=(=~2~@8X*;-$`xC zxN78BHrH)(rbPb63xyVS9zNRwcO}*^>ZWn7ES2P4DpB8bO|1Bo4^!0BjO7<i438Yw ziOP6uBzZTZqMA1{BiGQ`<813`1L<S_mgWbxvh*cBoe<^2KQmK$+2I76jz2kWp)Rj- zelVmR@K9O2*7p9}vh2xc73KOrG9KIgc1b;Nj*8{mUmQ!yY`G^-%e~(HqU<kM&sVv+ z^5;KF%(-snUbi>6w*J!@x%bO$<!;?ooFG-cmxJkM=Jjr?du6+Gz7*{|Ah_gXP@RTo zQ1V`nIt`wuFW!n>sof&IGxz%P7dyP1Rkp{jZ#o<hwf~&H>dcGl<~vN&Te|l1tB17* z4YxR??Rf2LHT!~xZ0i&URYktN^IA1`XWR+j9;{$`=j%6-B!TaJ(w>Y$CttLmittym zp6lCQX>rY9ZrN0ChDq&Oyz3QLs{Y&;%Oc~rq<8xD&5>6scsV1E*6mJP^gYsor)`t3 z$j0DJoT{5M^~Al735MuwNfSI`eB}?*DVtV{J7J;g+k?NSL<`7N-nC*rb*Jimbg0$r zE3<v4J?=MJEB=JH@Sj8e;b;SozMr1k7Osu%E^n{!W?R!;wc16$?OSN(#K+zq{g2Nd zm2O~EXgIS;=kX3NH%pIqu|dbWC9LD_{^yIm@?YuM!^v_lr{52}7qgG!W1Of`ko#l% z8nea1X1PCl->>`7{+;_(1<zIcGfA)WzKc39oA{-6_WMP9@*MjdneH*nGuKS#F5<hd z_~hrC?m+3d$KNitl)sk_Ij&<jd!pC#oWMVi*<WM?oLjs+`@+*}uPb`KuXVkD*Ib9u zJ>Yo%gegTQ?Ot7|3j4PB(#-0L@7&k=_B$PLn;c}3YhAcLU2>~rzG?dXuq9_^+J`JX z!?my5cSE^r+-^S2h=j&@?%75AE1h$S?7N;eK3Sfte~-zLNg<!B{C(b^!XLbnw<cUF zbiCs}aq~mdl(dsM)fESK@7?o!;{8C?i9JSj%+{GZf;;R}ZXT<Bxc1TUhwddMmrJCK zR%hMc_F1b=(tpvXU7H?UyL<Tl-6T`NgT32b4p`-WedwG}zvyz9G=I|(`GO**O-6!` zJX2<Os4sZ@oa@PB?*x;+_X;1`J$%b2rG^~t<XpLMmLUJ5k28*oUwCM3CfD+ZpZ~q! zBhQWsCO?ONN4TE&dYt+8Q8n*W*AK>&WxF%nOy?~4b!~y5y>;EG(@QVw{miuum1=&q zSgkN(Qat06dGQ^g!oP(-iDc!k)3Nw{O(W>-owkM_f}5&-^hj**<vH8u7*L${JZi~D z?I@AVNzZKWPPAO!u|4L%Chl#2%WU>6Wtw);B(SS5=vHiApweZJS=HzIw?`dtnRjlh zg{zXv#W|B7>xyisJ$xapFng|T@j1Tyr46alN&d&8)f47k5}EiXS}kF^AE$|{ibVd@ zhO;@oR?8pgO+D@E);>qKo9}+(Lxb6Erwc-EPmo!9>4WUTW6P~)-Jkn_<5R^M>GJ0b zJKDcX%=|OY>Fmc3a*?Hf>lf-x?XZxMDqqcbPOMy}>x}NFif&e&!yzje<Qbb*t}x0u zqq%g>OhLhkD!y&ZOq>ENk23c0tokf;;G#uWlSJQP3ART9i)IwJ2sHI{bab4!V8PC$ zc<P#1fPvtHy=%(_!cWII9=AJowm5#bdC%3G&Sz9AQwlyktV`#*&A3=eTl2}INe@L$ z&CGi4g-__+W?J=TUexLBDpS%J&)@iHczwyaD^7fYxn+NNmzD}hUSL}ty6kb4kIv2v z3x@}6dxE{@%IxzzDtFA;x#%7L%xM!>Ic4ut2)y<#l)GqUwnw=(+kC-e9+wlY^ay?w zo?H8{U#W$aYmU9thsG<$>o#c`9CNg^{+rmA>#?qkHJJHvgz0nT4yFg9^H&_(6j)^z zAjD^}_>=m&TN#QUCaZ}u`z!U>zBQWd_^z(&2uJDlR^7m9b2fPxtYm%k+vk+K=)(+~ z^^d+TJ@a*yw#>;JPfwj$u$|%e+Dl)#xMZz%?YR~=>q_G*&1DP|PEEA!IQP_Wm0p)% zjF;bCJ@vrMszcL#mmA#K;`MRmb)Sub%ICiv&NgA2|Iy{cwvFNL&!12DF{!w8^ENpT z2czDQkS!k{T#uZ@cKLx|?|zrv+Yi{X%HKI>Tz=|OS&2bLkm$7%r9(&Fb(SC5&iZ5S z*V6w9=Z|`HY**Bfnv`K7Ic04wbFd-H<F~D;KfcU(%u(=JbGKFaV`+gmGeh*xGqkfU zzO7o>D0OQ>`^sdyx(SB+-ZmL5)l}=hwC#M|%lv}OIga`UYB3gTzn)<WweEG@`H(-v zVO4uant#ZWJl!YTmsqzPU(R8uwJ>(c4#)6S9=>0D)O%Pgm(*O0eHaq=W91g!(xPuy z4l->|5mrf2%4?dRK6QT8UUt`;I~7!Z=htKfwy`ekvduV}yKsX3vhW$(>i<{IdSKA8 zs4o5KBKb!b*ME$C9kpW3g^w&(_r_&?sn+OaEbu!2dHKV=Tc#w>Iipx(sayG4#WVHI zjU!w?mR=6w;b`DG=aXSmCM{B2{@3BD*J}U7pKoUB)I7iHW0!w-dC2^*Lwey$T=S0i z?Alc$Y5T<F<L*Ai^oMGqli&T*@~@F7m%X9TXmaCN&ei4rO=5cX+U@nZwCo(il@Gt2 z&uu(-d4vC_-WN8<f|P#9vTu!-<KxbI^r*C6sAt<==NWePyH5W9mCCwyjUfNu--kV0 z&hDM^beG?hLb1s~+G(rQjjor-7C)3~mtA&>E%T|nyWOU*Z*ELVxq7j|(Q9W<PA;S9 z&HD}wchWbWc(9RYxnkex9Nw6F^|qCUNn96mE}wa0<CxCD%#*Eb+Wn7#U-vMF?B4g5 z&-fYz66aS*niMi7w$&Y0`)3d&<9X+#(JimLlJ1MLi}V<|GuYb9bK5zzAOHFGbdp%z zPKQadE_c%`s$G3^&#&`e=v-%7T6Kd{>Cll#O@o@yrGnv6UuGuTDT?0Is^7r2+xYIf z*ZRjbVl#Et^(D?*Wzn#@oag+hEk-7fc1$=DU6wELsZY>go-2=I)10*H2R5pPG4G2i zuaWfFni$_?@Idio>cWF1JGxD^jvZ;5QU9hQ=9KHs{a!X6a}=)3+ahi?PfJ|3Rn7C* zE6?h#=5HSteNU+5ezvRWh{k_I<-gkQC;m0KYIwZ4Z_YQdEHX{Fenxk1Yp|=t=ZxxG zt&$F6%ir=_E{XlOoBPk}FDh@(Rm2>!W7+e2llVPbukDw%9&2n^F0DAh?0?L`?)@dX z4JAM2LT*^rKHH>KHiP%t!CNy8Dqa~&pE$79=>2{1*Q)+HN3Sz@I~`e-T3fyEg>S*e z=PZ%_uGi<NzF-tM>cQ&Rdx?#`W378i!rt{&1&wui?r~P0X*D+)HKlxnCw}^5l(oF& z$juiER*QG?-Pxre_NJoz@#2-Lo?ojUozQyUtJv7K?fIsXP`PujCAqVFeBCy#{nHb& zy(O)Dqvvj^yS7G?wG4w-UFR)4yXCXZ^7wuYixQdU*D<2Xtp^hynHx1szss@7BY#y# ziJ_y=%-0TGz2{}OrH870Rh93aE~(XYZSsY!=OgcMTwb}r;_FS%&EFR~yg8JWcd2&S z=hA7rBoZa}y*}Gq9Jj=fJ?(Up;uVv3OQ$pDttvhE(W&{B&C&$7T92xG1+O!|*}nWU zqppzS$m98rGCPI4|2&kQog3O?T41~Sr0X?F<~ykj%cB@!oh3-;P|wqpV+9if!!J$- z23`h8=P<q~B~dS{I6n{GV|qI!*8jGFNZb3LqV7}G8e2YJ?02X>uF>Opq0embWPz?Z zH<sR$vEDq_srASE&A|cAk(%YZC3a>^-E+ROHfR60!+bZE8!l=MVcXZWGQ`r%r^}6B zPHXL@{?v^DZ_3i%Jip4_a`!NYX>*!zox!;yfA^K1u(5a~<HmQvV9~5qma1}VR>d@~ z&N?hOGkIbllekW>(65qnMyu`@WWHHn)wT3Vf$P+`vfDe7ihWeh*rva2-H>hFb*uWr z&XhS0>J}Zl?sBN*ne1V>U0iV^+jZ{(Zt=f2Os}rn{e9!Yb5}nuH)*}_DRI5<*FzUJ z&b6vmE8S`k+~?C6)VgQ|?<$d|&ghO?8mVmimM=9>y>X7|`khLfb=EScZH_lt2#4mn zI;}|Cu5^&;b8DKEK%&(0IchA@Hcvn4E%fqaeX%{HQ!jUC)RhHUs*|nPtdhInugm(w zcxLe1$7$UGect~cEvdJW`LQikuh-?ul$ONA2^rghBGZij*GTZMmOt<3_FG54Lg?4L zyV><SZ>+d%nIpU4*Phx*q6^>i2)k~0&Q`Z^&DAY;Y-B$L73x|)wX;5uC@|xCsezgQ z?V_lneGU0Cx4)QZy(#pxpFF*Y<?+)^5&x>DKIQ7#_>Yx&PIz3E;+Z#@2eXsI9&9LR zceLgav`TGi`g>T_eDA%j6VxuUp1m<8u!uRsPTA(B(^~!u>0N1UXERNY?XudmFwyev z*_jur`8ck1o=n*Dd&yewk7=1j+XB1Hy%(QeyubF}q13LOwhzoQpO^Sq@?7AQT71&X zB5h7x6}MgamESetFT$>WJaf17{pPhBqifky=LNAAaiuNOP`lc<ZTicS^N;J^dac!p zI}#vvetF&99b$({Un<+>ANU_y!&NT+?=nN_o;-<lueXY@#tH0McYn_GevRCO?Z5JR zFP%O2SROTP-^sfoqQ%F+P$<mE03Qe_Pf0^0>)6+q7F+QB-S01Ka6>Ww@?8Cpv-9V! zy1T0O-_?nY1%jLl4^G+~ZEHKXVPfBt;+n$~{@eWf`hNevf6v$cX#c+O8~?B1-@kk9 z%?|!N_Ww%m3a6Lc{};T!xBbh{<Khb!6|b$o^1Wc8vgy=YHD}LG51AozFQ#&L%!~b7 z?#b3_zVv^*YBskN$DZ{ZuC>MCO$#2|$2^Ev{r@5E(C*70<W^)X6{!@SS$}!u*Xk9{ zPq~)vaex2xtSF1)+5OG+7U7@b57w`JzCY}o{f_mA_iwu%xA4+4c7NS{)=Ty;Ox6pL zue9HC{O;rbFYL<s+mfsA&gI~HUH<)-{i^48AOGL6ue|@ea`k=NfBkRjzyG#h#eT2< z!3w(y`=-TJ5C3xXy=woiY_UrHUh{(+`zqL*D)W7oXPbo_68I`?I(y-_u6+{)e^vA6 zSnas2-ul|wGVA1b{`rnSt*6!|d~p!dvsE&R{x{*FSSfR}`3==4tgfZYU;TPocXj{E zUDK3HU%1)qsrnT?`L2BM#DY!hW0ow7S?pKbzdTX-zEHn2Pf6iBo8+SlB*ZyGSlrhY zOsGt0aLqYw!xi&ua;*rfEQ3o=+~&R(-ta5Orx>*6O}5)u^r=r<O!acc{PiW3qO;B@ zAFAkx%Fw$q>0q0l-iNt&OeI{N%E&UVIqB1I@c9OV*Bx9EsS8T?w8WTf|FymHNy>{` zywfTr-WIBC<JL>L*Il%m_pgQ7ZG+ndlGhqH_4Rby?elW{ap>`zH`R-$E#r7=A(yw+ z@b8{y>om@<ZT;W0QGhG4@0YHr^YkMd@2bAH_;dAf$-6gFZn-gQqD>RTd86Jm{M-8E z>OB37-S=I8+iHEj^Qq8Amuq9C_;HDU<=-xLew|Srk{A*Gbk=9ai&yXN;M~hF|D?4W z!_vdyO<@~u8ojT$@u7I>BkSWq;RlpHn}jbp{bB9w$D4&k_C1qLWXL%(W9P05e3J^d zDBnCU!#v{}+nTA9In$)|=ABj56!^lN!jtN{^r3XEo0HB_@!OqSSIpZxOW<OG{}15; zc{ibgZ45fQCdAn9+O~GzPVb)uu9c2%l`mBlr_ET$@qF8+X{K*(&#-oUz4b#+^ZcvQ z6`T)cEV``NB(GnV?aO)eILr2f-f71bZrkskRn&f=9kuV<j+xgDZ`?SrvOJ^jAm_e` zm)HF?Sl)4BFZ1tL$pwM)z0N%TcYKyw#lH_n+}qY%%{*MIs(tu)pwX}IzYEKMojaJx zbC>h`rhdn^sowHT@0>#m9faO&tt<=|K6Zg|H3vh(#7XkU^f(1R2lAXfVdv7tn|E+} z#C47O4g0s;54YI6Cpvq{heP^bP8x2Q$-gt8H_-0WBMbe*N*8mhB*cru4A!`CyZ>#r z>6&_XP9tmQu{wnXi~_zojQzX`y~`HPOldYd#H6)rgEare|K9z!?&qwZYn2Bczb5^1 zYTM^KyN+>&%rRVh#yf?fzRgJK@tmWgmlC&{tSG3H?dN-c{bNRPz3fchf9oH==9Rq4 z@UG*}hLve+n6{qr{V*fopo#Og5`(kT7PK5Pz0fGwvUg>8lt*W2jYM04L~&Wd?7o(D z>kON{+(q99WNJT_wTqNh{VE!sJtw*N#EV^SPt@a6H0nFb+X@4=r3+7g7u@adRrQl$ z;iNJ<hZXA<^KY1S@e2El%@?oF)i0B)z9VuhHM&4D__9TESg6Uld8J={Bu}WtuU3;y zdoTO0A^GaW`C^wZo)Bv7Q<`S6<>!7Em5p~FawgT@+ra32rZ4--tuyl$1|)y8k^XgN zUb<UC*~6@?quHS`dl{9_EIS{<G}mWF{ye#O0k&<sOSCsiZ(Ny?plp^Jo8yw{q%FPi zn_K+L<v!)x^Mte9tTk4|+!R!|_G>=3uI<NG<@2IE#W&WZzI$rhuh-4_e%V{6S%H3M zx4nNoWABAq>if;Nb637+s{F2<TYqSGnSK(-1*!K2OPtmoPR*I~LnwK%lgRROclSCM z^qZX8@Yzu>%WSg*3vYDKf%WbORA+wQ+IcU-y6Ewl`bHb+XZt%8g`Yj%RQFl=_~GZf zKi2bA^lq+cG?&iPpAen;Wwx!d?CiD5rgqaeZ0XNb&uZNNvfSeBk$cvT@BW_ZIg}!D zI>|I@O2gcLlSL>0*$^G9^StQtiaTM~wm&|%V12x!-nJPtk3N|GcKK0`xSo?cmxSKi ze;{|xcl`<JhkuJ3rW~q{*=98PG~?RBKK&1Ahu^Rkt*)8Zx6i3Yy)Rw-iNN&7M(3-~ zShyMA`N#6}zk9FK!r;R63!By`oL|)&*?BN4+qnCI>;LnrYd`&C&3n8D<ehsU@7&XO zW3RcVbz3~6w;1G=H2n#)pMHM9v_qnQ+pgL*vh#)QLcg(BobBbm|3Fl7E^ATaMJfLa ze;ir%DqmshTlnPDI)_cmFUGkVIrlHp5cy!HvuEA~<L&}?hNW81gzq)B`FGUK>2$p4 zR+b_oF?;8~oZ_5$bJ@Nqin^=1d|zYv{LgjQ^tJEWLOIi-#ZNc)Z@HTvaztWUWJc0! zr=`24q_4*&CY68Qc(Jzfu4nBR@nbKi+y0vF@^i1&pE%Zk`YX=w`gFnWg65tHg3}+A z_Vepq`?O*v&%*F}H=}>4&vYkjDU1$28+K=rTF#lBW^M`w_pWX3kbe9^c9GwL+#L=l zFF&8~m^aV-oWlPuzus$V?|8eXFZ?TcrP3+Q{y^cUTW8okCcS*l?6XPfj->y@x`r(2 z{pZic9C_;PQ={DfLjT^*xji?tIf9C+c$@iVU%!y?v4XeXkWIWIxP>YI=6bH^<RiOe zdM|RH(fP<XXNks_A0EtN{~Epj7|U*5{-Mn5`?G(S4D;SaK8u`sWV_t%SvP)^o7*x^ zpSy4K{lqmF7csnAbZpPguaoa)EZ=kSG5>^K%WU3gtGf5cHMAERw0)YJ#+7^Z%grPC z89dn@AuAf*-K<zXKlol(0mre4lOz_n_AFX-W|8QYrF~PUM>cdnzu{dsZ$tAFhMH+R zG?llU^pRYx8F%hhb?4-78+zSkzg_5zG3f|?urTiZj;>AS-FvDM-z>ELv|xSsy<Y41 z|6$?hrHr_5MIKJ`w#?R0y*p>a?HBz9x59a2pEUINO-|e=o#SNe9TO(9*(J?i-@E79 zl}#=g(c1I$`*pr>zI*xRaEha{cgVIc8;_`GE-txy<S4_wdoo6u0g`vuEfS2%jXfhU zGvKI=_cjy5^D}f^&lIP}@~`k+V{su|j(gUO&J#O&GMpXK{1lnoJk_HXYe_DwTswu) zeray%+U%y|9cITQH!bTd+{U6i<%sUqt!pf|-w-Lv@MljnQvQ_FGjW}a?cZ9~<qNkh zSXtWg>EhLL(G<}}@vkdQmqj1fl7B04p6_QzDev)g`|!S*SKdb-VcYs!Y;)$_eXj3y zv%l$9-LCrj_+!yqrC&b<MQR(2{}z_5S`*Fq{8LB6ou)e0C8w2{%A&2r4D|as0xKNP z>-qC;2)@$onc6Qm*J$DOkBei>LM+ZrFwO1Vtg7Kwn*MA~bPkuL$%^Ka$}Maq2DM8r zHt{W!+_bbgbK9Di3FmapT4k19W!(1dqjRI&+>jlm*>;XmmG;T1ulD}mT=`GV(JF5L zaixzpG_RhqzV=IK|J|x%pBuDqL{#|iQ(Lo8qf&O+u@ze_rHmCee4g|3o3_aNikTZs z|1PxW<LCV3!%*$$e_wGMuXEA5E)9`L?MD-TR3H0$A~)mBhZ%Z1m8Gk6rU@*0wxPwb z|DceR?mw@HHygIUmabE3yK-i3wg1^$JL_$aotx;9WBdH%v2u?yg<n^lxN>g0kpJ%! zTO)6!J3h#myu>%wpizJGp@2JUFD0noR}D^7b6<1Dt1m7g<&<Su_~#nWBC~VXFV5gG z^<p`&qVeLzOr3onGoFO>)%83}c~;k>E;--cO>N^JmcII))#fP^ezr_{wfT2e(_bc~ zQdW)`JS!a>p0ldX`Or2i_C{4Q+tq-HN!-W2nk-$}$|_f?sv{tI=^)eW?TeaNH{BDF z-@KHu=h}>~IY;K&rQL7!h-GI|bM_LrF!N$l!&>t;8L43Ad$;?(8z+VJH=R2ok;yuB zmsVJTWY94#C*xNU2d_(;tPrsBh}TyuF+8|vU0Y__n~a26s*OL@*DR~=SY@8v|Gq>@ z-E;ndmj<hXpZ*c*H+w(5<4r+*=UMJQ*E4=jKT#FTX4+uxCuSg&aL(bhzGBez#)gv< zgjh=Mb&0X$>@{r8+9A}&rQWoTjZNm&nfkONeD~+y+<54dVg3!5s=UQjQnT_FWc^rf z*}T}2BjD@j&k@PjgWoOQ^R2Ix>HmRq4=Wf`n~k0rda?8~&Cbu8s(yOPj!!|qJ_X(V zx#e^EpQia8)9as~_5HRjbormMciHcwH<zw|Z50{2?c=-m7UoAK_m_V?_WRl$lhD~* z(QCF>+?+5|xNPtKkNZrGJtKuL`revfTxa=VYx&`wGkdmAe%^NcT3WHOWc%DtYpzMZ zS1V?IulmRD_MDX5k4OGr<KYz#y%Bt*fA7*mw{@!5rIecfxHoJ0lfTzeu4+fl<=MCW znd-_zAI_~VvdZ$CHuK>3YiBh2XEuH}I(t<z``r54^hv9CEH+>9B<sUo)9}OZ&Oa7> zbYJScOLEko4|^P3PJYm6m}y$az5J*ab8OeGwF^3fuSs2-pz)i3Pt%(Z*|T&_Z8>fG zUp??r&n{?|KNWD$VR^x)cR$))U+(6Vc_opP;$+YNW9|84=AMN&f>_fPG`p-zH7bIp z`n@b{$X@uUuKU&e*N;~9t7tYA+FE=OR9_>r=I6C@|BloLo!8i~qWmt8MumJazy1%8 zm(gn)kLUzkvf+KBKkxprIX16D9xrq{qq&#u?#1|%HsL3~YZT3ui&K4d^M+Dp?s?;R zX3rV@XRdrwBT^o<U-W8m&kT2cPTTBri|cIUmL8q$vv!5f%M*!HexJ1Y^|8D<TS{Kf zw|MU#@c?f|CJ|=DociBMAxEs37#QT)85r0Zco{%|k%57sp%KJNNiNW<$ju22;e^bu zgXY-LP2dZ<a?^{EfgzKLfq@&vgbXGI@Em=7aY<>CUKw6f+T;QrdonUGEM{V0;6pLx z2{Vc*87cUkvtnh@Oj$+-1_?%lK_IU*G$ye^4Jt@1O3WqbuWOf%I7KipFmNz3Fo-~1 z1Tkm}8`Pl8fQ-sw|AG>|qSS(%#7ev#oUo<)$W{pkhI3vF3|uJ2xwAuz(<{zMEJ(#` z$n|3nRhP3cFqrCs)<hu3maYQSkcyOKyhfZ`bT|2uD+9v`S44zEyv3{vGa?VK3H7c= z0;icXFibQ@I09-yHq?Yv(AWglG{XR1*<cnab!5600|N+ipajN=MNlIOQj&1E0%8RF zM&0@zeFg>)Mm3`EEYyhNl0>{lyz+kV-I9TU0fbSb#PcuIh?KMnobG^(J4%EuR2F1l zVBlv!kJrsGLy~i{aT)^g%=I8HH{R0>3?Pi^nXfQIiU=6t^e)xvq67m22%~z&@FXKR z8|vld<>VFP4Uv1Zm>Cp#8NiqmC0>jlK@F)$B9OqczxDmy>CMRSwt@{Up|`w;nvs%P zhSMRCP(@wpgPhRwteGLc$;<;S{K1pRP?yg@%z&iRI2))L;Kejpjes}<bx;{%1f+EA z_JkOLG{lU@9Ml0kWOLGeAm-?Shx1U3U}XcjR+K@MVI?aA!+|Jf20aE>lQOgXsC-NP p%&-(s^WxI{9OJ~aAXm4_lHf#3&wz@+qP*fTb3=bKlhV{=TL4N+oFo7M literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_power_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_power_routed.rpt new file mode 100644 index 0000000..bdee281 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_power_routed.rpt @@ -0,0 +1,148 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:36 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +| Design : loto +| Device : xc7a100tcsg324-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.132 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.035 | +| Device Static (W) | 0.097 | +| Effective TJA (C/W) | 4.6 | +| Max Ambient (C) | 84.4 | +| Junction Temperature (C) | 25.6 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | <0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 199 | --- | --- | +| LUT as Logic | <0.001 | 73 | 63400 | 0.12 | +| Register | <0.001 | 89 | 126800 | 0.07 | +| CARRY4 | <0.001 | 7 | 15850 | 0.04 | +| BUFG | <0.001 | 1 | 32 | 3.13 | +| Others | 0.000 | 9 | --- | --- | +| Signals | 0.001 | 165 | --- | --- | +| I/O | 0.032 | 21 | 210 | 10.00 | +| Static Power | 0.097 | | | | +| Total | 0.132 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.018 | 0.003 | 0.015 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.019 | 0.001 | 0.018 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.013 | 0.009 | 0.004 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 4.6 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------------+------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------------+------------+-----------------+ +| sys_clk_pin | I_clk_100m | 10.0 | ++-------------+------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------------+-----------+ +| Name | Power (W) | ++------------+-----------+ +| loto | 0.035 | +| tirage_1 | 0.001 | ++------------+-----------+ + + diff --git a/LOTO/LOTO.runs/impl_1/loto_power_routed.rpx b/LOTO/LOTO.runs/impl_1/loto_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..ab132756f634355b07b9eebcd17849a4b1abfe73 GIT binary patch literal 68256 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^ZsKBO;9?MB$;mItm)gq7!63jO!Jxpb z@sZJ#fq{X+g25F;`GSbh|Nrajqa3){!jh9S^GZY+7#i$lXPhjvQMLcAXRQlTVhZBg zf+QR`JQxBPVnKqbAfgmR)Pe}835lf@qG@T*>}6jspJJo>&~~;J!~_tRI1}=XjYW-( zgB@h^g_^BZWgd&#KuiE}i8R5;RFr{1!9f<}E>#c#F#*IP(gZ^bh%Z1|$TR`uh6DEG zxWSQ&JuEpn-_Y1p^z4~6WEla8&U`~7(OENRl4XK37iU;<a$;#kd}cn`VFB?;Qc+?q zG+D_tS|SDK47o;2LJ{J~#qR4K;uw~k3^9U?7=am4QbKlUK*BRIB^eSFT-O)dAo&Bt zCE$-FhAd?+wxayflGGH@O_QeD$uclB*r|F>QnA(I3Mk4?DNQcP%+D)Yz{thN#paxo zpPXF`&a(_$!d%?JIho0+3O@PinaN;5RxU9v9-q(<g~Vd0=!*Bu5{wFLTtZwNL8<AP z#U-gl0vGPFOE4<1bMbSrIXeagMVbh_s+M3>;O64tVsQ#}a~DvMU{v4-N&A;%q!xkf zV^p{YQqLZonVy%J0}^3SVC3TAV)oSc7r4YI!Jr@y&h1T%iNOV_$(d=D3NER|ndx~7 z0r};rMG8)(De0*t3Z=!FdFeU|#i=Fn`30#(i6xnN>G8?=c`2D7PZlfarhqlY7l1Uy zCqXpXlqKerrYdCSDTF7MloZ=3ZDQgI<r1h!HcvD(FephbPB%6((KQs}O3u&CO)W~! zOw5sDFR3iZOis*ElH=ksGB7mKH89sTG!#M#Q7M)(Lp=lCx@oyirVkzb94$B;IE1<x zc|qw&l!4)dqpbbu6;7(mm(MurN-<4xFilI_=3w!Q(MdcvH6^n&S3$!ULI)J(r)B1( zYWf-*Pj(1kh%)DrFf=O3H#AkyFf>vq$yYEmRq#oyOf4$b6cT{xH8fDFFf>rolm*$O zz@fomz>&a_!CAmr!O_6T(ZR?ufssQ>n~O1Ah%roxslZK%(NT-pKO@%^RM>)(l<U$f z8Nt3FZYYRQlH=kot}KpE&dH81$jlSs@&q#t4GeN+85k5CwWf8jaD5TrVi01Gdd0}a zpORUYS)5v06rWa<S{QFAgf5^Yz`-KK0}c%V25@1eB*4LpA;KiY!`sj=V<DgbQsbl~ zz`=+i!T{3c8fXPJ$H75KkmEkP5D%}w_XLO#1A~$P2dfYduekDFa{(1u1_l8qB|(mR zAVr|q5MTnC?Vu#U!6wARTVUC4D!>TJCH6`J9Na=Yy#I_s%>~$H85kab)PQs`>4=yM zNXarVTyT^+!^p*%o1apelW!7lB!pm+;K8@1ZI%LD;9!J$5LpE39pf#BEx|s7dq?W$ zR|^4NSq6pxM<oFckh=uxlFU$jqxJNi1<Yt<FT*_u4m<`12C&f%4oKcHi#LRM$1L8E z1n*q9Io(2l6BNuKcY)#ySp@1GseWlR?<Bj-NAph3&nKn=%(4s&4xoSsMNZv@*>IzY z^3G>YE{>ASqQvynctasLOX@l!7gu6wNq%l(2}nX1rbHhhh||M+<*%C|5(p@Po=KFn zKqL^5hY!1&SO{={l9eMYIaa71w*Ut+JpOc9qbvjjWf>ScK;Z<6zuwc$W(b2o9^T#U ziDVF#B#Rz@M;N(4<y1ilDDN2xA$*pcp9>Yl=`(O<fM-UK&sZ-oSO~DmGB6x~#Q>*x zm^n-Y8kHxvTcY`lZ+^1501qhQU_J|Lh%kj|6W~B|G&Ip8Stj+EkxKyX;<Ch?%#?UT zAxU^Np-bWPl6JMK8NyzWmn?2wF%w_`<!_LeK#}$Qw6HlMkATaLSoV+RsF9VaxZGTT zPnLlp0p_JG^VHE&XUUU=NCqJy3uk7#%E-l;lbRA=P@WraC?o{;9$XBkzc8a}<KGYF zup|d5C6Ps-Q6(F(5-q9{4Wlej{k8SqG^8K{CBm*icX;|HD%Txj<l-&@w+4z*i{p)i zMBqL{5+%WJyRY$B3HZq}Fw6nf03au2imbL2(2`|f&;S+X;5f6aN<u5||G2HTL}&wL zun?b9Ca@X+S29Gl4B5BvGy}3<@c&y&0Z&;5hDjh7fs8KMsckM`CCk8&0df(m5HIiD zn9r61?V!kKcZQV$m#$4jawKLAh&^YR{@1h;$dhGYxC62cl!~iXt6K@A%Q7&W1Brm@ z&6V#&&}u1>NvF&OB4rsEHi6V&)@10q1UZ<5czOLFwpj_x1-V+*899nTA`PG_QC^6L zS9Lv$t$?U31H%eXZU6<yt*IJT0<n+)K@K%&JpfIAQNjGSuzV%R!6?MbtHa%4C9o7^ zxGKmxejy&-e9gn~0#=X%6p~#>jjaTZgA}=g6oCTC%`g=bkPZ$)N7y)+h4!;?2}4?s z3PFh_sR|mtB}Mwhnp|wE3Lcs18C+~`iFx^@C0sm^HZ7FL3U2Xoae<q-3L%vRsY2mg zoJNLvCI)84MkZXGh8B7z=Ei0grd*6>T#UwCtS+Uwxs_bZZf*(^tP-3ssKBhjWD2So ztR&!R9Z{F)yG9$t3gvQf80i@pSsIyJa&Z{y8JZaynwW!)HNk6aWrUcil>yvX^s-Ms zI4IsZzcjBTwJ5$QH9guOHr^0!osprrnVA8|I%88~Qxk|UjPP2w$3c)3>x^RK4dB*U z8k!gxnS!jdFfcHO`VPN!A7wd6v<~dMXf6(8Jp%)COG_g(>kRSw&WP`%iIp7gz(mB9 zv9YD4DQQ7>&1Q-T2{C1e9CTO{27bRVI>eD^9VqA|7$q1b7$8uANr6d$QGro`L4iSN zvKfcGP@fqWho`<jI4f}RfLOsLiFqlBMJZf-E=8GTsS3d*MX7n|B^g{?o_PhOB?<wV zdBt4Z{-q^w4wqAAN@fv6g5T3$!N=d-(^(<dHOR#^n2W>HU%|!I$1#$N$I~g)O~J=M zTp=Jl2xeMHYEdqi0Ms<k+=A4U#JuEGE-r9BRq#v9P37W-ur+)=sx-0Zc}|zal0<MZ zz$FMZ$~7-BDJNAyLsgSY0Az=POJ*@b1nd$N5vVqw{KOOmjRH4KE-uJ0m4Ze%gq>Jg z0cP{UM=QWwLC^?_f`6WFaz<u>0$51IwW1`oC@(Qb0c3GzULvS3$|VX`sG#7VmIhS| zQY`dHkc-J9h>OD~%-PpJ*w~nh)6huI01UVo4Y(N1K_ru3J{PlpS{fIK!Q$ud=gP$w z<PsDX4`PA|E@nS{M=lNnm{v{`3q4~HFymr%436}3=HfCmfXgD(5y3JvHZVpq8KlF| z!o<jk3*rzEAM9MAnN0Y-Vo0V}jLr2dObm>TjVShtfuV^RnpX^r49pDBy#g!cvCv3$ z0J5xtyauD`&j|w7RwDlK=EbS$xv6<2E}6vzIf<3gX0bF28xs>f3rjO&Gs>gcz|b5$ znhlIhOiZa1Hvd|+v4)K)?ZU>`LeI?1#Ms1?8tKLoBi$GpP%CVnY!k*BHYT(S8&e}a zOH)vbgz~U4GBCji8w(3#Dy17|A1|z7V@$)aG1fCRF}E-`q(<0amN%A$W>gBB?8!b@ z!^Vh)VPmdmVQFDvYEFf)F~TTsj15hxm2Ps3VzGveAq~UENYB*R#Ms<`3Snblgqf$P zR!<ph@xU532C>Lh8fk0=c?|~B)=3L(tOPMCK%tMq_*(`RWVQ^9OkwREN*g%_=4KXX zA#P}3VTswunNFKV4!jjbdD<~BFhKJOxOqmUG6yseWhIP=;^5Sr)Z~(A^H`zLkYQlJ z5;A6^A%i2$n2v@Fj*u~l6`Dbta)#3s-U+0*$TS2E#lVdNmtaQbM%1dn>sjrsv1BLX z(V#KK5i~}lL4zx33`c_oSI|)0=&NV7x3LmHZxIN!p%-A>x<-a3<|Y=#W+oO~jNri_ zMg#DO7JO)nBPb*UGMoh&TS6byGBnaNg*0ZMg%`Nc0f}I3@nFhg^i<LGLac@B!_x%j zz%B<K2ijxaW@g3i8J`4NT_e;!!UKZCGd`)bq$EF2Xet##f(L0)iUQK0rXgru4!kmg zPV>MclDOnyM!n?0j6Zo;SaBiN(+Ks@G+YpYz#QxnL|jPV=t3ZjLa?ZlWxx#~R?qmN z;u4{5^x!}n5(gI@ppkHRxdCwlfgy1YGd*KVb4zneDs-_xb6)Vo1_=ve6ALPJv4Xk} zTUxRE$EO#irsfHC(kL`6^~?>;j4Y@y$Yo$`j*+TNjV!4Y8jjT>R#vS3@kOaAkU1=@ zBz%SoG1moB2b$-C&Uk_6yuf@01qSdu7=#4Ph`}&}0+Ub=D+hy6J1ZBzTTyCZX=+|_ zr2=H;iOs1rEiJW(OB^yC1>q?m%`@>r*^pUjE-t6k90l04b8u!!YB3i#gsTtYaj}4B zpZ_zX6k^~S52dCAi!d2ka4}kfra%qB06g6z6ibX&P)%zHnlc4dbp{4tof2rplLCVV z6L`HgO0kqgRpSkk=37|tBTBt^&>WmlCW;;4)uLS3yl)1Y3F9!<GdDCbvM|H#i3g7@ ztgJ-PY>5XgLKP|`&nh<eocyH39Q5*BfmMUq^dHAz3oA)%w#8@W6_;QQX3#<g<X{FT zSa|YPU;-yj2Jj>;lLCX#4n__Zq0Nlg=RHYY>ky2QQ9+|cOcoYgpcIC@;FF8p%}oKc z@)u>*0}E0*DJm`j$05%7<vk6I985yn8mQ&?JWvE0>lquESXh{IahjRy85$ZJgK~x; zs67c=so|&Zh~j1@4JOkU3|$shdhk^MNUM_c5e--9bX~l^Q>a_CX{=Bp7pFOVfDwtw zXvD>cSmS`rI-95M7FJ5|Ria3CK!(d8Rv5$zfxTp^XK85wnotFG&W#NW49!hJ{$WHc z2Eb-V%I0<wy=08XO9Vr3&YgTBykrPk^}=asp=W7sWMX8&1)7F7Gc+(XF(Sf8%Q$jL z^bvTG4X354p1HZHrKJTIr?H8ifu)Isr3n$%Wlc>Z(K?9lER6LGjLl6f&A2#?&GalS zObm?7h_G(j(<l<HGXe*ug{hvgv4N$z0T-u{k)Da6xuvlI5!QYD973XXW?<{g%=OHS zj7^M8xj4<u^vo?R&5SIGu<o~w5{cHCfKwx4I0`v~5loFHYb1zBjmEJ;aa^EG1+Ds! zm`p|nTug?D<z3iv7>BTcg_SHM7n{W!Vq13wDkI4B!n^$}M0mj{R>&Vz>_HowhREJw zGPLAkG=;Bz!sZ43<xCb<d=M{~#2e`cf>v(%Asd1mCWfYj%yU{X#~jr>!&spzE=~(W zJ#!Ota|=r@PE$)ga}#4DV{<M>6XXSS*qkT9*=lZOO-iwB77MOf<1^z;;`8DSgd)Hz z_6#jdOe{>n-ZC^WH!w5eVlp%bHJI?#385G2&5>5`!I#+?LP9Byi__2?<P}R3Q!Y*u zBRvZPQxjujE@Dzn{MtHmJShjX4os+;i_^$b&%)Tm%)$ula%dt2rAkIKys_H;Cz8Zq zGeHZs8ZJ&_BRz9-V{>Crl$q&SnwpuJTXHcO8i5ul;tRIQC!yw6Hsr;bp&>ZZs<=2U z&GalR49!6+E{!eq3@r>TEs3f0dSydM^tmzI=f+^W6S+7|O!Z8RjEsyS3C76C%*4=y zi_wBmrT#<D)7(l4?s25mp20!!!6A+zuJMqSk)Xf@HB-zDOpH-umSEsc`(#gk;2MBE zSjEL@VyI_fX<%w@2u{M529_qKMxd-npw;rZ)Q-f!MdU_Pu-&O#oW_QFrk2J==8(XJ z=0?yeHdDMwx--wl+)51|xX2AOwB@dbu|nS9MRL%QK%@eU(HK-y;Y+;iH<Zk+c%UP5 z;MS{940054B4(69r59qIF1FH3f3FfemxBsdG>3xL<$}sab7MVAL(l>?E>2?$JwtN~ za|=UoY#8GWk;YObvSY&-92>D*oJOEkfTjippb`eu;Wsz8v>+yv-<c*0_ZpU}&oDOL zP$&ymy~GI4$@rqdWQ~Nml@>g<;oBcTRcvxWNqkOfN-=0{Gsqk9pen1Hi__RZ&)me) z5L8~7Sm;@r8kmENc>_>2gU_z3{{+bnM+=YxgnV!%H*ljCpWTTKeCAfXu<=hwdW+!V zu+XzGH8VFd12>Q1J~8KFGBm`SBzR;vaMoCcu|i2;^UO>QOwEkBIL!?7jEyYK%nd;0 z8lxc>H`2f<r2mYxlu-fHN%DUD+RRE89xGT1I0I061~*6zjV+DAwW6VsxrG@qEyRF| zJ7%QS^``Km+Xx&F(OjH{272ZeW=1APpg=S*H3pa51Y3)<Bd#NR43XTxqe|e;m{2B4 zF@R{(fr<hAv2suGs2TBz*$~|9HMG<-GBq#(SAV8@rbea)<`$q44gw{#!qmNHgsULK zSa6|cre|zoU}9<pZf96pSb}OJ$Y2n@B&(pY+YC?B#V9u3NGJ%JAuJ4yP#dIXhFnZW z#3Z!_pPgn_+`-P^J*D8$8BinAK+nS1(h_7QsAXhnY-$89&<S*eZIiZ;n6M16BrNF6 z5~wu<ZEG8VT0)G*L}Z**J7$_$iJ|R))z2?2i8hQCO5x%(G}bdSG&MG}04HE$b2Agr zP6<m;#>5vDKlgT;S*c>pkIwO_d9XSXw9*)qFO3cKER2jSOf9%LEe-U{j4UmU!38ma z;7h4#Co%XCjY%_bz68~v=6a^4CWgjF;4;C)#MHtJ+@2y}_YKfQ8MKFmr3MAJlf$_< zO!Q2R4Gk^Kkh3Te4SZwc7BgH8e4|*QY;g5xX<%k#YJhAqB##g-F8y*!%&gSVV?8)1 zJ{hq&2NXW>pi~gUg_wTeFx4|MFgGwJCUKXl=bKr{!_xqA(FL9!fD~SlT%5+Hdd4Qk zM&J^~NYBX7*vyoe*k`<uWo9J>Hy^pocaG1A4+3>lK!YmA=H_NtLJ42;{rWe<jO>mA zEM;+6=ox_el_uZ@fRUk@DX0r(Xbftv<FowE_E-YNm;tEo4m!@n)W{sv6#zAwO-#*A z4M9c|D2HPd0*EMwA!*FmK+o9R*w_N4D?n@-b3W}!WEwMy6-ovtXk!awV?$7n)j-e4 z!pO*gm{gGA>11Z50#607lBGD_NFP*1rW=DAW6`Fu@!$r4si~fUv4thbM`k8^mWHOF z72psb;fs=pt7c>uG>`^u8Mw1=WNK+)X3oWFVXkLlX<}kbOyi>>P0Gy59IFT2f_>wQ z!81K2nTa{^u)bWhVQhS6yeTLHgYy8WLuYJYXwJoHVytIiVr*;#ZgvnT;5Ld&lAj06 z!Io!(J8`DwCKjgV<P=lOXNwS-giOKh24i#B8VgVeLpxcZA#ePxAoFj6_%fU!sNIl^ zT>l~U+n5Z&jZ}PzXGW)>nUyj;0^x%-(5>S7!OroCDMg^JLK4^#Gc!w53()wpk)EZY zp%JJdL9o6(xSpLDI}E`iUZ56=v4Odv1!kP!^GCTnBiWe%k{&?)dlNllBSUcO(a=QC z)Y8Nh6oBCLh|lhp)BjCLYk?#B_mJ{90<@#m$jsCf)c8lVk(mt5xIl4;&vMUuzf5se z#>U_fH8#{UFtoHZhoo}Y$N@oL`JDSnwyz)|ngeal8W<X*G%+AW8sUb(mIdETt<;HW z2pAd0f(sJ^Jwr<qQ!`6&hYi{RgxH2J2$yMmHYK}4g$$Qwav_ayAmu>9BPTp2FHMQ> z<v^-FLnA#)V{-!&NQ^?qHzEEc9I9JS+%hGud1VReQgN8+nH!jyniz0#8e8fa85miB zYI1^ke$TpVrbLu0MzKQBRAOvs1gZoOiJw^i?0J2e$W&rz3@M0>^ejwF%t57?g}I)o zkr`;f17aJ#RC0ahWm8xO4ojQb08|h|{bFEbU<n!-LUee*xs7n#EX^g_FXrHewjro> zYH4harL-h$+sZGeiA)J*u|m<{?yixEskwnEWRSqb#DtiZ_FRJ#@NmO2<YfSD{D3wU z8XFmcX8$ZK^guf#4ZzdE1R4U*IF6E7N+AZWAz2qxc^c`NfF_E~xHv7rn}k6PSHe}Z zU&#?ue62eJaO=((<iS!<kQrN;nu6veNNHf{wC*t_r-212fk9m{Q$0%~0}Ifg80mRS z=iY82Q;0D*g@D(QqK{rcvKHaw7`A$cDfZsJ0j!iTH?lA^AgjSIp|FzJQUY$9siCQ* z3F^QFk@+~!ZyB++nZW#JYG7yp8e<?mmX1jiZJPzcHUk4tJxRK4nG(d>W@HpA6v@SD zWUOawZeeC%%Ef5}8cMM+GzJGFft;PgzQ7bu4>}AqG-GD0XJl+{2#O>VOFat{Lrc*4 zB4E?;73Hj~b4e^T5JRSr9up{I8R{7tm>WZ<M@g)N4SvrcGGm#<3WbBlIE=tO29!oI zcrgY(e@bkbU}}Xp><KZ*Q4ntspP2_T*ig^d$lMIn?L&0Gm_YN@mUu_ZJNLBWY;~G| zYgt26Jre_SGh@)GnUS7_sR3vj6jBu6bFxcSGQ76HUdtMQ2AB*@^bAamj6tmu(o?Yd zCT}7GA6m&8gGT&~%*d+JUzs@)X&F3kni*Ocl2@Y}yf7oS+%bfg1ZD;n(7pkQiMUso zXxk9&JOdL$^6H{*4yMHV%?$200|Qgg7!>JgWRWq^wpk+CW&~PJM7nLujEJ?(2vIv3 z7#J9vpcDw;f{$=Td(4n%+l-O?W`M0vPT02X#M@>PD^$tFX=tKn1{sRtFwrwGHa4_? zY-_<kPSVM1Ok$aCgf?3N8W4icR$v*CCG64F3fe^Gbc0yXv>3Rt1j=$KaY%6P6KstZ ze8dJ(sDnnxG3I!UV}-zNPa^|ELr_&kO55{5vnE+>PfO_d8i$#lA!ugVl#A2CLeIj$ z64d7+Tm?Mp6EU^Yg?kWlNDAYaE(1_aW}s(gVE}4caGJnJXo;xF_;Q4B)?}ujMlFY> zo+W5SjS+af5H$1)svf~v4PQleVl@xEBE!<{F#^r>gC<@<eQFCcBSX+^40tjTT&ojk z8@cVcYXa+wVlf=t7cB#IIZQ3hjX;Ahq(nP&;5HL03wZb=4_f0L(=~+5CMV-)tq_s0 zSDPSB%i&De(clIKhoPRixsfSo8rIYR)Di=&P6PGX@UI~DT@;UeY#FlWz$5aYgS~{x zK+F0KEzL{~L6L5(XJlk-WJ%0;|BDGO<OLn1RT%@a*Vx#|m{ea`{&U9bD`U_AF4%PJ zVTQkG4P9l9*L3i)btRxp6sG3Jpp%U_O!O?wEsaghLCb~+B;`j_^i0U<;6j#=l!8Lc z(A*SsI0$GunCO_Q)g;ea$apO{ffyN?nu4l(LlP2*>UmZ25{MzVOa<p@12bbwPy!(( zPiyKckY_Jsj0Bu?$Vrqx-)juAXz8k!hcLOZ0eA!>pphUT3&#z<!nVq|6$sObiJ z7G~gyCD5{Y*sw5obO~Px&hz~VUegVr^Uvg&p7UZ8UegWXrkk3YnOPX33~3W|`}}=t z@S1J{H{Ha<&<r%=h}38$Xu4s{3cRKpL>qznfE)&TW+oPvMxezD@RentBH9pd-?GMf z0$$UNpl&zR!;)qQ*2{9;EqF~gg{K)T;ZD%>;4Ae6Ob0I_!WlC7E3XFY8oZ_(Lqo<u z4|}ZQ5BKzoMR-j&gvY9}F?d-q@;nE@G*c>RfY)>*0;c14yGyq^Uek@?rW;w9SQwyo zn+TRO-$dRR;V)-k>D|!C(!>z7{tz*jO)zA(6u-o4x*@c|W1webWME-}ItoG1^!0^@ z@S1KA4e25ng4VVe8Gx2HlTe=}oIYSgPVEh;R>0M$p{0qLr8#&p7tyu%gj;)!$ZDcO zmU72{cIz3MnOcJEC8gk7FR}}7U>bn}6Kp#6sKTF!@9}TPYq}xabaIl}^<SItnr;A3 zW}q2x@XBVy{1w4u*0!r3ujwWTw_^=={2{ZVxCgK4#&FZIWN(6nc3)>DUeitCg@=Kq zxe;ir3^7&;s?P8i+Vip_@S1K64|f9#V-xUDHVK8tLum)Rrkfy4H?gz;uOo!_jtRT{ z;w2%xrW=E{bAr=5mNY{!+?PtT;5FS89;?{H9e<kn<^94CU!iS;2pKaoBTz|z7&jms zGJVS*;Wga=9x?_rFx?PgI%b+7818x2lkmFT6cjS4pfb|H#K;_+B1uRy!TWj*t<<p& zAAoz<Cg537(3&@ROUnRj(!?LV&;RG*^@I^9h;Vs=U=WpF62<EYQxs3+fQDK?EnQ1v zq`?o!NEhL8L+}wDn)sKwfDWV2j5h>#0&~GJV_;-q2|WYV&=kH=mPp&Q2-s!_8XHBm z&Hyxg2sx3%06rE%E$cw*q0p=|Fhrcx3EKutCF_hp>(rsv<$way%*@El6dahw;AP9u zVGAOyBNTO@W!=cO8JL)WHj;qC(#Qm{(Sl00S%8*Tf)fhP^8@y+VdP*H+OdWaa#RND zIq=8_#N)dMLa3UH*(Wpv^-u{`$KuMoWG<{b$Ds#3uu5=(k9f$Ryv!U&%Mr)P0icDW zdBr91nem1~HMlM3GBg0KDMdTW0L}JpVNz{J%m5qW_d1q6`e>Hl_`85auY(dS%<K5V z9lV7IZBILz<wBQck!U$6a}s4ami_x^mP_xRL89fLf(T|g{z%7i+5?*HS7uBk(RRdI zAw!{j+(`~;i#>vgX8Fh73Ue!M#Ex-9B1b;D0y&LSXyLA0Vk|^XSp|4}Xo_Vc3YrgZ z{LV7B(!u6KlmkEzVQMN=h|flN?*p;463xbG8A;|=x&&=RO2Ec=^DeeC8qll^+Z0b? z8UhvnuoR3pgt6=^L$mzdlMr|agQk5DX$U2R5qSo`cd_jiN3(JI9XArai-=xhA$;D& zwj3VK@}CV(#CR8BqY>UrYGkR0zI+kQLh%w?Vk|^d1txft6Sy=++t7(-<NqTzB!(}- zawGhfW7+hFX8Gc)mLyt^C@qZeMlNU@rUB}#CYs&%=9s{<E}o=}lywQ%h-JAEnw4`V zn80Hfw6q9E?m^^NQ~Uvp<!BBx8^5?1kr==T|C-=U%ZNcMoE6T*x%xy@IEb>sP$-kQ z(im<xns31tBDPgxoxg?b{=wgZ!nP6^%_@EoeG&r}QAps=vnE)!fS_6KeNB%<%Mr0^ zhBrxJ+i8ks`E*Y$5-mrhXEULA%3^rha}9GVX+(XCIGBN$c*S;J1DfxekE)aCJ4DbD zsBW+<`bV?ex?P>L^1%pijzOGwftV*jvr$Q1-ki{ihiFg^jmMv3uq}f{vx+NLj>M2f zR5ZpyWq8sJwo_lwEMGK9%-qTdJ|u*+85Y-q5>Q1$z|)|8rRayTpxL`!lb=LSBa)LT zzCI?_Lw?XKSI*=%$1z8Nqvk<aZiqj=v27GZv%P)?Cy8E1loQ56Re0hX%kn%lyYB{( zYBwm2L)yqjLJ7F-MqIUqd^`f0?FE+XxC$v~s>-5<4TLH>s9hA6xFU57aL<66Nk}Cw z)B=3HM0ib&bl@UdXmvgPX-2qPil{ve@s<Ukb|3oj8)z23n()Ppw6XxyCniu9VB6w| zX7A1EZ*lEZCYT+~@fP&h)^Va)K0){m@`)4Jn?|5OCg4wOn`6<e@@9BJqCXKC-yCn5 z2RiczeGfdE<vs~d%*ZbDOocLVXG{(=J&YX?XqHFZcuY8uL7t5_#M=<Ub~+uJRdI_R zn!y@EXxS2)TJhGH7J3+m387iA$>JV4xdeYrjqOkdG<zEg?~rIOsOXM26pF(Wby$v? zK(p3y_61zw2{j+zs3*3g(a<cI>w1R7T!E;Jjf6tEupFQa8|wyVf3!VsXtskcVzv@M zI+X`})*{}n063?k9bt%O>Kci?B>D-I_#xG-iBK}`2;(%d)H61;Ff{`0`GuW%f@XPw z-45jQQ;?c;{_#1WGsOuF({mbwM(NRx@<X%a!L#k;7bgZnX?VP7WUgmnVPa+qx);_$ z&%)Tq*u)&o_A`-daU4eC4>^h^GcO(NOMJszphD9a?Vva`dv4|~HiM0uf(jFY)sZFM zl!NU&H#8dqj?5!5<sfo{1>QQs7|RZPG|Q9s&m})n@uyoubI?L?&`s8$1I-}kL7~}x zId&G#bX#1KUz7@tQG6pISdL0Vv!k-3*9_^*MMP~6n*UA#pDY9}0Kit^nbd$}5wycm z(Cj*<)k}V8nhWJ{u{k>i1x1=*pT{#Y)iX3PG&BSqs9>mPWMXM)X^G~58E>o2tW*ii zCqvt+srWObfr+^R=xj~+E>SeAKJg`(St(-cMuN(mbkMC1go6~cr3mfJB{XZ?gu}=W zQZu1)+@9pH(8Jh}foA#Ik49!zCU8F@FKNcrSBA7WjPO~^X=tH`al8+j&8^;gW>&_e z+iZ%jN5EmBhq3br&E9Sv^6a(5+g!wQ6eOCxGj?bqHy7dCjKJqngAU6qOU!`{YvGSm zj0O2<HZ?BOATLf$@b^0`^f1n6MzeX7hZ<o&LNh16j-;W19>&2xXjUB)QZuvCh9@6* zaKaA~g74ab1u7m3vF*7+vyb7a5;3(VD04uXJVt~HJ3~{@HUTqGtH;DZ&%oTm(8vVM z(zC~92p4vsR#v>BP#&Ig0Lz&wXf}n)NDv#G&=zt$7n7SC)?%EfVUw-@#NbVE(Ci3e zqyfCt$pExvk#Y+NjGDl#!q*YRa%dr1*j?HzOgPm;Lk*uzMtT@WGNIXYcBTMfo1p!A zJZ%aNV?6@{b5Og5!%)w{z`z`%Em|tVZHBa{4kLGgS{CV`HHY8|5T8{>dd9|<mZk=v zjZ?;^#-=8wXnuOLlY_8Tpw)FmSY>HwVg$O<35Tz)mNOH!3bfV{Y!#j^HHU>B#^EYx z-ui!>k*w+s@7NCqsCQ&;W`;X7CowY;_9AE*E!d0rBhJ9c(#YHr6mg&n<}uR9*EJta z38oPf&}oC96;!mh$`oc5zC4Cy*DhMbJvDt|iZn?APx>hJG-$FS-Vkq#0Lw`iXf{oF z@|dtq(9#{xcm%d>&uBJr3OzPO8YhGM307I+YU+WjY62}iEN2;^+3qfR)0CW+9^o3) zK+n|B+`<HOJ|JlIj){eZ5t`kfZk;kE*j<3EVHCnMPLJi#S2T-O=N&P%(tx)|kUL?J zo&xALB1AVV7k@{{&_K_?*vtfUmISD6U|?WufL?Cu-abHZ`+u|{wAvxUIs+pU(1B<W z>kJGn(EMkkMvQf!etW#35HZ#n7=kvHLaj3}M6=FRiU{kV3u}c+@icvm_0Ts7quKP; zVLw@^(*o~;2}96nvEcKean8oJyj*2UWD6FY4?%;TxLQOAOOOtCK=bI<f7481gUDD~ zT!x@acBo}OXj}=iMVEoI$w;*OiD}Rog3h48=@TO6k5_7UnvyhU1Zzj)8*l)R+oB!6 zffhprmhGliinzx%Agl6?2xc$HsUo0`1$;&h%_i;K2*QPj5wz|}!X0hcPOC<<%Dp_) z6zSw^EF-r?sp&#RU^|d|4p=Y$1D_s^aSXErC-{aw*i!HBMdo;e5gc1avBcYHWT6LM zr;mJ03!0sc)AR`2X-JBlSkBQyv$LvChOnK+u|jyV9TAI0w*C`CZlxe9Hnj6!K%3T5 zz_EyO?;~v50^6x<Xeni<F|R41@itIeNyTG}p`M9>nK9_}bVCb06LVuT3-o%^)Qiy+ z``rsj!|Whyh)$A5SWad`i>lw>|C!*++2AB;g16ivVy?Td^MwiN<(47d6k<qt)W>b* zZRCSg;H^vK7Bkp`26(F&BIZHAg<Ug&Rbg1F7*g_=p}8K$S=?xmChT*CaHN^yk2Etq zL-cd$(NcYw++i{zjg;aG+o7#!UggW$N!Y7qv3Q4GvFzwZ^J+cM4ij=Z0w(yznmG(X zhcKgV=|;0x!eqS(S%cgL#McqnP6$K`WQF}J2?w${p+LqseFn|5oFz-i3S=`OJoP-b zn@`Z}y;;7*1Xn!|ndu^_P&LGI2qIc|N-!@Z9G(^=)VbKsxkj_o!fg&=J1t{{iotah z5$zd6BRz~$X3^{vJ22D4N(pzf5L~tz8jxlw#-WdBmTKh8Ai`2Z(kwMaKl>5Q(r>$_ z6Je<liI$=t^^In!Z9FlS8k1-#`dPkcma-cVW2p&=mKtEL3jy5_4r>@+5+}w|Q&KHO zJ7E*er{&zlSZYS9rD#W<qgkrUN{prEq*{u0VltYgzZi+J)Pht?(T)^FvowZ*7)wbk zkw6PbKu3)scOQ`xQrG`!g!3ur=ulFMK~n>?iyP2<sz8*bq?SkqXy;O+S^D}PF+L@= zL_$AG70uEML|IB|iDY1adZH?trH6^Kl;jf0z`y|cNOLqxcN1l)DQR^$_~dFlef6y% zOYsb|fa_BO{JkQ`5Q_ntEu0dZpeyUa8_vPD5Hnn5980iyi*_<3TFcAxZWDRUTVtU- za5DvKhn<Ky_@qY-Cd7?kf`imBmVkYb6;!xKFb}P-BE>!fLII0$q9R(r9=V@EUced( zrQ-}(Y`2D@*}LjTC~+NX!&sqMd=_C`xrS!Z33X?D{b}&U^|%I7v0c=HX1o`h5-IUz z6pJs|2rtOq`cDiw*l>?jnSkyx!Q(GNE5E@OX=0h{K^qbSr+O2-z9PK3pzFgeV?y(h zV2khvAK}FXT_2)|v&cAB2=_oAwsUgPl7+XowlUHO9P*ko$hrcscMNE3iy=|AU>rh< z<`?aQ40!!wL>F5i_xc&&O=*PZqxF0lh)Vz_1fmAxoJ_PpyYu{x5xxWfIkJgR0-)3u z$eBv`ZNYNZDw<!iZ!g2^7s#bN;QM27mN=B#0!hq<coP8Or4Oye%|=8OHKuqI0G4A| z(E{z@wJN-U2FW#q0uAG^R}yW3<QhV@U>wVeW($LUI9|U%5;LuBfh1-^wqP97isl!a z-CB740!hq91Y)03TOf&<kS!R8!lL=*Q3wNGzd+I$t!;s%F+#RroFa+l7ZJTXhJ*`D zTH69iV+3tMKQtE2FE+bZ;q?n7jp1)&U^$wWL|Y(fjF2rDXSSl*@+hPMuU{Z(jMlb5 z(ilNoOpHuWPFY3si-=wfUcW%fF<RRKNn-?UK|l5w%`Y5M9(er%Nn`k%F$Q`Vr{0oi z3nYyZvIXO;Q8ZhMGQ{xu1(L>SZ3`rg5wr#UbWk+EII}b2^$R48(b^VB8Y5^6`Z=m- zewoL7)&O6b3`t{zT1ptFwUTHHB#jZY1^vKNG+TB^&cf>#NE)NHEs!)u*cP-iV$uAf zZPbF-FOW1wYg-^`%rsWWi;K;_BqOz`80%IE(4m~5HDLx^h^ayYsD~IN7{CLG;PYCU z!<?OkB8W5#ZYnmDgwZDw-ThpIqKGt^fGaV~2Dv(fI%f0c=BJeA<bzf&Qr}e2ViJ(4 zF+{o*ZwQOSM~09VyMaPEj!4r9gfdq1L9S1sj`^~1_rsU+z!r*wY>B0gEn;w65Ce5# z&dw4HV8|%JsKB7W1jbB4pV}BX7=_-nF>>KMB9@EIEio^@w1kT%I43hXRRPLlb<W98 z&gSCs$xqKrRtTvqNEONhWjD}%aI{lfp$GBex}}9tgUR#-Lzjh>9^CDygIDIosp+|? zc_k>vBbtJ)wMHD9hIBRx7yfg<LDtzkZMU#eLL_~}W^LqSJPkl2>s*EgSk5FR!b>Te z+e!42F&;1BJ?k3er8#%<iSUwPtWYi&rzMutZHe&FGLBplePjT!&Q#Cb+|<(2f{WAG zM9;v|#KMx$^=Kd;Wlc>Z(K?9lEU=tlON8&HJ&hvKI`BX~C-{6ww9|Ttu<qmM5E893 z0|zFy(@lx6?zfE+iPo8bQzLw?8YP1fOpPXMB#20j#-NppSQn{tF&P<fF&P@;ykZEH zKsba2Eb!l-XDF12H19>47vAk>A;Jqru|oc!Vh^-Y1~e6nY#o!KB^RS9UN7)3XR@&3 zLli`TpwsmIkPSf!9`HSg_|0=#F~=O$Ji}Pf70VV_j=AJwG~r^zAHouxt>#wNq!i1b zttyb6x{%9ZBDg@i)-iTCb1@m3b1_=t4V%yl_2yO*aLeJfrlCGKl;XfQIhvaoTbh`1 zahe$ESs0j_7#kCna^lz4nd3=0hM>#dtGPI_9p3^@m5gS1UEcmDlEh#G?Fm2*wi+(1 zhtqK}85(gBu)Oj~sJWF5JlKe+N)d5p2tINilwh!(DojK%(<>W7qR&D5Y9T&12H%U2 z$i<0mF9{c;1*l%f*M|6^=V^}Abwp|<1_#9lhd73~#>ay%IRphRsF{LxYz;AiJMEJ_ z`GE_$wy27W6WgJOpsdJ<`wkjVdH1>0j>N!4#H=Yea8tQBu^qF-#b{2bpz6%CF-JNL z1vyor?MO0=74qid#I`R7>_tPoIfwm*lDQR+XM7T<aT)LF6zV1vgHjqIwpM^jFB80m z>F-s7=W<X72hE`dpvzmUxHz$$XbN_!F<#RfOO?ou4P$U@#By<BJ6MRAba-c)EZl3L zUKX0y3_)JY!c{LZf-gbEmz7M`NSIp@eLJy1tWY(03z0eKo?y@^Gw^!?nG6j;)eJtn zuKp7wI~*a`hx*`3Zg{VR1Ldg120n8uUeEZX(vp(=JV<(r09^xPVQOX$y5QUd$tUJq zOosSVFOLid&Kk=wRwxO4`y<AoaiDUI(U6O~xUx7tIVU^5ATy7P*-zgQwB`vq)WE3# za;^8{*Jf6TZX}ih&Hz+&gBzr12bL32bO%)2F(a+62W{7e6y1<JgFzP|8|ax^m>HQE zfdbLM)EIFw3ce&TJK{RB#}GYN(82}CQA<MMT%1N&wjqIPL!?HGo0|egu<ez<Zidv3 zKngZjP-`m_rF=oOcR}R~{^-7^c+`yeTw(}rPh;C}1TKE@7ySxT_u@Fk47qLt-%818 ziscFdBH~{`W49TeHjz<mypd24G^e30h~{E4Gvs12A|?$t`0O;Z;zl||51eALoN`Zu zi*1v(keFgYJATnqEOgBw@^VUOq9U9lSM8W-W+jF`^p{^+0=mL81$-N#nW3q%nFSZ( zC^JyAmq11Tb8jc|fh0&NHrP2nH4j$#g2qHZ!H4a@aUy~*rKX+4;6t=hAr~lvs%9)V zia-lAd^!Gxc^f>jVX2zI4e)T#W$LEJhK3eq$XS$#o`bP*3v!M}={XpIR;hvNU5ve_ z&>$lm>wY;UW>#wGu?||LmS37zl3D~>oCK=i<3Xt)26UI0v8A~s=*$mOJtG5i17l;T zRro@vR6QU0h&$vm5pnW?P$U;8w#~vsn9q12%gjm&-c3MFV>$6b;40lj&%hY%m^C7d z{`xn=jO-o=EM;+EId2rw_p-p-EW5Kk7M`-Ow2X{EUAsgsPHd;B64A$qQ3xQS9EPMZ zW6)s*#>N)L$f=i@>*PRnz4K{LBGZ^rtWYwfA&GvhFA-6d;pt>%r2<a{u#%-X-bf!* z_ol-pkK(~C3v4Hr6JbfjRWq^+8c0jI3_OZ}arPY%c2}fH;W{J}*YPZ%!^Ywv{UuPd z7SvNjJH3$zdpC+plAj06L8XUKHh6#oV>>O>a>B*b^4TIpCLvSMJ>Q(z?(!j`C^!Em zh%dt#g4zwq$n_t}h?W7!27Kk<j7~u_D`j{Jg_q^9xszb$_{5YVP(v;WYzf8*cSO{; z2iLO`V+Uj?4Lm4hU~XuEnXU2pqg<Ym>`VYj51@eqEcco~(*r)cTTcHsC9P?X7&w5G z#}QnhL$b_FO)XJMGbTebE>IT_pXHwSewiXQzu^%G8pbmQ4^Cn`_nin|`JDSnwyz)| zngealqV14^+D$lzZCUWm)JmP0hJcYFtdDGHX<}-I(np3=3HX9=nZ{>RvMW@`IBX^t z;{G+1gbQwq;Io{^<fSR`y&Oo@hi%I*(V@Ea#4UoSsDKK{_-IQ|mkQgC2_o|Ro^{tu zi6~c$Vuhfo1bx3N(f--<`ZAHJ#LyU05M#OJ25K9=h`K)WvMH<showzz04j)~elbAX z=Lt2PaEf1=OSE6i!3}L}rz8+<+sZGeiA)J*u|m<{@p|;T;h=Wm3%9ujC*a|RrNw9f zYB5%EabmmB3RHP9;vXb<#&MLyQVKC54#~Qp$`i|Ra75VcS8~J@U+WICn93OB!BWtG z4aVt<M1-DB>mE}aH^Aa;U_naYY;dt;X=Gpl8f`-wd;!le5>Agg_jVJRLX5#F1UxK_ zK6(KufC*a`wt9yt_TIh$tdzhwbO0KHgbkNaSV?Rt0k_Q*eLFnSw&nRPBi1$(nBPnd z&^BrlZQC(vqHVK4*oL-+m}uKFC5W}n$S4-ngT{7<64W+)<wg$s0#iIa=rGXG47NMH zh%lO!buNj824XB0(qjT;EG!2oLG31-91VWYATnc_#0rIj#yBvx_kjlf2^5YJTPB!V zNx~cVh(V5mc!T)NJdnXyHdt{n8JdD>czlu5xu+FptJ4Hr%Nk<2YZ4kf_zZTbN`}`K z*lSq>aL>&|&%o5k*aUeiGt_j#fv>*Fo5;Y2R<c-5bs^faS7wexS_aRX7^j>NZJEIf zGh)jfLwHGme!L0Mw)F}VZ5yJUXMlF_2GO>Cb1)^=Z)R}68K9j*L9}g)jET0*63I3r zlmjS;wr!aav9^KEr+~FG4GfG;P&yk#*6PO$iMGuc$#19!F%a#y?Zn$=5-U{6#fjza zJg8;(3XV=*V-m}B&?&RXvlXBLA?R!cS;fj~1#Kd8I{2J;9CP>tCso1LXkjVTK_lb^ zCGk0_DaD8(a%0d*ZyZ=Im>?oZ4>W6%)%LUmbsvRtL1P-|r*VU)eh|wFu+1k{Mu?eO z8NmGqAJBl0NWt$&0QnMhx~L&&cq$uINg9G?$W6I8EiCjb3@ptpEQs*sqdpN+D_v6T zGXPbbST?2-Q*rX;2;r<aO+hOVK?6aCsHdfa0}U~Oi7n9fI0%vwXrN(wP@qL~VY@_v zh(J5Bng?D@W9ifxffh)B69D?*_C)yQqbvuBegP){(Db;ao)OxO+C=!pZO2^`SjQfV zU%(yvXf7<*4}krG=$>MW7bCutCRTE|6Bl@jybRPi#JKZ@2$wSlZZknTAsu;o0dMEf z5VD{k8I)zPv@3}yf>slKW(7DEpx>qd4mtcO`kKuY6B3F6(3B=9MaO_n{x>%_G6kK} zZE66z>dL^(2;7-R*oSTPhwq|z<d{MZOYl?;IE{flgSAY<p9~ls;z;xi*t#;1XACXT z4$>haVZ4~&LSDjv^lrd`h;fJn5rJs=&lztZ8WV^jL?~j5qR>_5cufbdJ}d#{RP@u+ zi3qYsQ}j&88EAp5ktzkpl)0%fsQqVXtY>6oY-9;u2S%WaR;x*#wUBuOa00<N5Qqrh zs-9ORFM$|>>vnL7g>j=35%y~8E0AX|WUP+tgqa?}hd1dM!4oFN0Uh9EZ-{qNT=UKw zW290ZqwFw&nvUhj46y0=OD3N0Pw<*<09^+`p6NL+HsLkh5N<lgnG!^}eg3{RcuhBf zn~rh*0uiPg#;m|=x&i2T?-(u)EN6RwO~)UrHP#dGnr;MjyP+PIGz0F6;p?x+b+_O( z-4vc?u!K87(}S<n6EGdTm<?yh;7^ea)-`xdH-?6cfgbi)#UJkJ7mM(kZU~Q6jMFs0 zv5MdArIH4CO*bN7I)1mibgSbv-572<#_1Vgx8pbco5&j@{N;=hXzYyx%P9b0)A5JQ zmg1LqO*f1NkL+<^Imdzs)7KXs!fU!gG^DGF<v?yC>Xn4k2aL$6y&=^KxEeLIG(kO& zn+R(s+}dkIRs$TeR{>l!VI0>%Okj%a!W)=Ipuhy1ZiIfY3D|V}$?P8gcD$w=!cE6G zYyxaL{$zIj*CxEC8^Dv9A^PDTM3~;Ts~@lFCJ48inOa(!ql}*s?D(uG?!jxiG2C=4 z*_&XY-Pc)(*K|{O;em1d1`#1MFFOLS>BjJIH$XoSg9y_fN;}{+-2`De`mqi~n11n+ z5MI+kcf$#R(>s<lLonQzO0(cK-4q_H*ux!vZu;f@!Vq7fZG;FJ^us%d2${a+kMNof zy6zAXG8l(;P{DN2wS!R8G1CmeaL==zgxBq+ppZ!gHQx+O(2ma_!tKHPdJU0o`onCL zgNF}IV<DT?z=_8IYtqCYz0d#W;`IdR5=bFjo*)=RrI$qUdIEN02gDP>;IM)m%>h5` zfs4t)f{V$>0(A!ryPKPWPiP3{b`J2t9bBIAMa3nM4LdoY(J2!POCw8Tr12tRC-uR` zY2shQ1scQ6j5h@LEOQ}VFtV^TgRl%ujm)4mG2xj#ux(ldY=d4ehisjJp@k{LIs*fE zx1CznffoCr`p&?>&<JLo0hN6Ry<QINyBv@uW@biarr^Ld2CvB{Eieg19ca%2)N^3l z3`|T+&5S|z8W@=%wkHu8mV|7B+<6G{n*^f-Blye>(19~d3QP)&3ZSz$7>o+J7=#$4 z7?e_qQVa5nO5zLh%TtRKbkj0(QWbLYOY$KC@kRNiC8;TTMFk}ax&=wdGR392xrs%U WFck$!3c5uF704>!dMk862@U`_pJ>Yf literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_power_summary_routed.pb b/LOTO/LOTO.runs/impl_1/loto_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..afc2030e126e637b24e62a96dfcb3f6f16e9e253 GIT binary patch literal 868 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0FpFjNR@ikLr3B+o`+^47;433PlF<+in$RV7TDeD(PmJ zYIRkTfq}tcsk{z%ht*ek1_lR*qpDp;jjg7tfyAF`GcYhPY}Emgtwtd7DwteqQOtDQ z_NxU0!xX2ZmLT?2D-ips6-doiYY=(V4n$712a!)*K;%|e5ZUSmBCmqUR&OvHOfC%s zvA=@Jqd_3{)L;;~Gz>(31(Qd^LF}m!Ao3}g+!_gDw?=`;t6*|zG>H8bOdgE^v8Tp@ z$fsa(YaEE(8V@3`g2|-`Aof=<c{CBko|*(ApMuG)$sl%X3W&T4CXc3p*i+L%<Wn%Y zH3P(6ngt@ig2|%=AokQk5c#wWL~bnyk*yUV@+z48S_xtwtpbs)wak~#I4*5wteckW zbhMN4p@W~}(|*SP|Lf~pr!h(~O>$T|hY_Uk&>}|0@CPdx85-6zG922?$n29}{^%eh zi$`X9#->Az0xqe=ndx~7nZ*i4`K2YPDNQH9N-mvXR0}OmEm9~hNKMX6%S=sC04J4_ zjKn+zb5m7?{4|B+oc!eM;zj2e*?dz|GD~wmontih%`Zw-04sv1$;>M#Eh$z=EJ{_# z%`7g?%u81&MKU%SoG1@nff+IBDx)4wYmHz=mZTQtCFUsP<)@?;Ke`3=%WXy(m(;S% b<Wz;+{FKxjh@AmN`6;EzpoHJ>kdXlZ$3y(1 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_route_status.pb b/LOTO/LOTO.runs/impl_1/loto_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..0034f199fa1f1ff36696f979252c0acb5c961500 GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXBua1@a648Nv-> literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_route_status.rpt b/LOTO/LOTO.runs/impl_1/loto_route_status.rpt new file mode 100644 index 0000000..6030b44 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 243 : + # of nets not needing routing.......... : 74 : + # of internally routed nets........ : 74 : + # of routable nets..................... : 169 : + # of fully routed nets............. : 169 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/LOTO/LOTO.runs/impl_1/loto_routed.dcp b/LOTO/LOTO.runs/impl_1/loto_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..714b422954b13127acf7aad1c27fc3cc27da9507 GIT binary patch literal 234176 zcmWIWW@Zs#U|`^25Q_JSI+-Y>5X8j5ki*Wvz|O$Qkdj=WSCN|&8p6uJoLaXgeeYD? z;@JiQZRfwPzfh3HuPC8jHn(sRV@q<71CRW+;-r)b*-||c6IT9x-<^EN<z<T7&MyaC zfA2fLu1wW%=l%TR3lmnI%P7?Tn(Df1|7+hKUe9^nvqX8$oHq=e+Avvk%dV*+FV}rf zUF1HEH`%<X<TAV7y-Cq8qa=BcKVDc;+2gN#yD#1))+B<VcKTDz7by*5364Pz9xw-l zUie>UEOAdG`N08!6<t-OhbruvCJL7IR9<^9rRkZ(^xqF&e|VKNbz}Zqk?=K_-%b{M zr_JU4YCiMVSBvgXbuqXds-lp7^R-jXlO5|eKT#<;oBAVR*T*|wn9sgDa!OO|(x&JW znv0j4&bV4JRXF$fiq<c8tL~QdmM@fb&Tba#d2{~c?vCckUALAfR&Kv1YTX>ycXL)) zbe#R!BBn#mm2cW!Mw?Z2G#gx6YVLZ}^!C~(%VZ^d55H-(l<Hx>zWCz(hkF{&Ech#! zIQdzUfd6OH^p`he79SD4cj_(Y?|Pp5Uvl1dDyQ6g7O;5INm0$>8iB_;3qOh}y}#^o zM&gXg`6p|>vQ2k6v!~?elGYh#Tdqwpo>Zdy>`R(I<CWx$bGnCnV$T}hp4?DV$E=yz z^(%N!85`SqjmuLd5?Ahj|Ho^~*VrO?SH<~zgnaArj+aO}>t6Yxx90o&BNAptb$__M zKBWf+d^i_ntdsUetKh8b@78UiE%LnEHHt1ij9T}w_}&^1EyLCPKYK$~K5&y`esb*n zox<1C>aT`;DAQ4>x2)@q<2%li&avoQV{O-Sv99J5g(mZ>W1i%GF8r)Eef|H7yW`&T zqozN;per}M7#SEcnHU(j88{ho@=NmLi%UwA^vb|#Fr{uydhG;9@52rPt?z$|7Kg0J zjam{~t@Z6vbHu6+1=XCg<tHzl^}8|m%Cq~sXNP$R>`}4Ke_s7wbuy<-@Lj9eDLj{t zxBtAWGxzL+VCmfR$~Ph`+ol?B=;oFydvS4YK<{Kv`5qsZ_lZmW4ta%qShQ3*;<2aq zm;aKk%fAOzA6WHFOe?6@@yE3oC*MBDg(inMucbU(aCoXnuRH&~tuc%E6@+L0V9Diw z;oy+hV&mkOZZV<x?6&OPrW!U*x;DxzMG0$i53trOxZK9|;fh7)^c@crro8IN6O(J{ zoz%C);QG_TqTIZWmuZuq@*3a#@GvsZL+-KTtYkCa%Ubj1J{MGBSby_Sa^S+JTfc1; ze7iz@??T6ufrTp`tuE;JRwikY`?_s$rMPiGQcV1h-nZF`c70b0=Inpw|MH*z<bQ{f zHWa+Py8AsB-?McujT~3&?N|NDh#K~7asiJ$85tNBGchpmp@w}%3Zw{t27mS;Xz;JO z(Bk3}T`a__ny_)jlzY?8rb&rkzq?`g#%K4-l`=xNY;SzOx3XWn&A_xYJ9X>F348YO z9Im_b{_ymWAo+QU*Oi(&gEqWj;hXm2!n=(<O&>1ZsI+>_p!RfLcBASZ??m1+1^TSJ z{>y1bM&w1G*sSB-eC*-JuCUjVv-R(N()6D6P+``Y=a~WvzFpeBpvPPxmf=I<ns)UC z+zRQgkM~|QU|ad{jlX|@<i^?qEw?tQ^p*2(DE+4wxnbhrEcdl(m#%hgUt-kzfAYrj zIlJO?Rz4K)`n-5$8PDG6&s?HidJh}ROO9t}K9WAn87j6>`XQIlYgu-k{?`{zuD#*m zf3VlJV4voJn)%b8-i!7u7RogBJ$`hW=rf0S?qrdoxp5xnW?bEqvh~d&S;yGeRqNyf zuNfBegxfA*&X@LSX3}1{dspTMZq(3Uv9f5UEF%Mh1S3-D7bF%X=E4hLQ0OyCFh2hm zky8<7XyNLl00M@Ii6BbB(7>R<Q)S!HZHIq&dL1~sQrxC`4(B!(rWpY;Tm6&UnyMUc zTg|FkexIrTm-dQlZ+n0An7?E{B2h8z+Kh63&Jc;V>0zbZu4$DwHf{MGawm0$+7VON z->afi)D8TW<t2K~{PW6dL(qy7kBjCp>T)zpXJ7JudFt-=59M5&EM8l^U3ssZZAQ@{ zlj=(Q(B^F?5=1$KzO%_*Z)mmq>N<Df=5xtqv#l7J9&eDmoVhq=$zpEN84Fe~e!O$7 z%gI$;k6pUb%-)`Mw4e7xT|s44#}9|BD<-ASKUWrPyLHHYQtjG3+ao_d2)p^O+3E3= zInEy!ulwg6=@q!?=u`cYd^6E)lU#q;viTPYl%R&_wM$2wB0x18BLjm7EInriWK<UW z7nJA~r55BQR>BLF$6Sv$ah%ri_Bk1@VQgezbkR7(V^zos53RFjJOfXh59aXq4gBD{ zCd9xf$Y9F`BeTs$8$v#mat1Q26rIFya@SHdO$nw{-nBjlH#tZKv$E;Enw6d5kX($G z#U^a&KC)GUf#IAN0|OV-NqWT@i3O=(hk$C>xiOVBx35n8?!WEH^7*R2f2v#0R8i4T zY(JTKvhQ&LOG;#)Z_eq6A`b>-8Fv*mzd1Mf1pJs858d5zVcP1JDRKcE7nr{_)CH}( zs~g^*`#<CV%XjH7x89v5r`VcjZvFY*@0aBYkw!loOpV|FPP=FL{_LK>Ikg8jZL+Vq zeq%#wN=eFj(}(xoTzz=u+`_##3w~dCa$(!T&NrtDYI5E;ew-+4t$z68F^^&kGb<^v zH!c-6))Qs-YwfQ6`sdT8O`BAI)Zf(7|JM<-Z_bNNo4(G^pXBo9F1O0Xl|OHsyuZI_ z(<_s*Q-2mqn!epwqI3D){jHW4XJ>ln@N6<Vd|&?cNuKvIQa2CJOk-hVu{JTZ*<vf5 zB6s-yyjPRYny$IC;+5C7)LoO_cD}oDEh{YXMU!|A@8?y|LKf|}owzE|Zn2hT=9^1m zNmJc?`=-xVJk}%S8f~<{HF&<_GG)J6riE;)jaDtq^cHcL$obkY?@ibBO$!7~0-c1C zu1?cidOyL`ZELVd(jBvyn`s-OT4p*2D>1t|ZR}i?s;IoeCCF&{RmshdHip<-o0k!O zdTREn?WQxG#pM;vxY=)sAC}8ymVLXj_vJZVMzh-qPTLH7c_eu3jXgyQ%#L%cn0Ahv z-NWEIhenh_)=irjF4L+jH>UDzy3AvrJM%%-&6=EWX91yeVhjA*ACxF3xQA@su<-e^ z`(cO0Ch@O`RJpzCrc%zfjp-Wwg0?){W^7xnTU?mFX?N^`23^BfDcRxf?Q0c}OpBV= zw5<Bq<4&n<K2>ub@m`zonM0Lpqw!U#JfHYE9cqtXMwEBxnrG>Rt?`|(cEMDIg!rsj z+33uI>^W~Qrrf@^?`F<a!J>*uQ_d%?<~_{#?uElgnQg~blpl6IopUXtWzEb~pGw<q ziLHJ+1LR%5^07+BNHv>2jHxc1b;$bB%YW}8*W5I?wehUxy2R|GT3=IAqIt6gYrH<r zS$o*m?Dk~l%yUt#4om?L(qHrHbFo>aZQk4?Ao$Y9UuUY{7j4<iH*U5mK3)0l#<Lco z>zj%%ES~b&Y*O;IO~tyNE?#C5j(^SslsB$UeQv2bQNVhB*&1Wv_dQ>~sqHe};jbvR z`P_1;6$ft>wHunx+%dyv*;?(nuika3TV$IvsX6;a9v5Oe&l$2k>sn*q?W?;Zd6Z&L zn^~zhwePuXDLAF%;)d<F)QcK}n}lz=2;a<_e?sP3?v>lAmL}=8ZVNWwwTipC=K6); z=wREEajzoJPHMY1vukVe;)LHFx&1TWw5oa6$tL|x*`<DY{)>+Ce4z;f-j*q!<UB8L zZM<h7ApDqn1LK~e6Z;Ee7`H_lnVgU+6ResfrK{)2dpjvaG1YHDSFWkn?nyIsYv)Sn z=$U=_$Ctfkx<GdC*PzKu4PWG3_^?o^Ff;a9%+J24X@%=-y!4irzT2?=&xGJ6?fqvZ z{|fDWTW6M2v77yptZd!3*nqvZb5eU778tE8sSlS?FKrDJ?f?3{oA=eLubXAt%Dp$) z@4I@wOzPgeMqSypb!JK{OY6#(F1Z%IDb}rW)&AXGy;4&@zGJk~o%2@gl;)9brRvEq zy|x|KIL;uQkj&<sxg^zFMKqzhm}lKTyUCqNr+1t*Q8rFAT6@BWYni5&$eZ(qD;{V~ zR%8vI&7-;FSV~l|m36`omdnBk*R?EP@ojj`a`}>uNc{ZdfRDUt!bjhkcfHd+Ya9AT zZE?_~wO4bzggM<*Zgu@#ee<lDSovSElWx~9?OA<ut(jOfzgJmqi<)T4_RI`@&EIS{ z-%b*aIQQ;Ck5*+vb<)Q=o}6}*Pr)azZnQT1I&q!CU8$2=Wz*AsJV`XVad+0!;JGEb zXH&mtRMfBDe7!&1Non%CBj<bP9(Z^4gP2KjV7bx0&P7$5a>aK08)q$*oL#}VJKp%2 z!X9qj`c2d4*SZ;N{R#{?&6mt{tu6SRM*GE^>86Kox7~Ei@2K@NUX{FZ?cR_7j=0U7 z`12<7jjj6krmjfiKXvrb-3;GYllIy;<5!bvU;2dWNBJyPE!pj{Y;p5u{RcrOWBa#k z`M&+yX8kO-{!&$=+PgF7`Q&(qbA|7iXPe>~yll$m_Kn6_r&jbVooBl!a7l??K!fcn z$*IhHXID)Y|61knc|)1Jb<LNjlSAdgBvXU^|NNZLfBqr6>Ax**_WzfCWH+gk{lD$l z{$(G3{z>i4f8hAfvUmTAkHrhl9Osk$r&s#TAne%b1v)WmoVqb#3#(6k`uAbOCJpPl zDL%SS_Pg8uF_)5m=AXG|?tI^6+df}6sdM@By|_1L-^!alQ)e$Tne6abF7wXnWT|zX z^IfuMEt%>fweS0p=(>Fq|NA|5{$p=a=k(bA@{h`z9`~R5rvK(A9owFD=e+!-ANEg8 zbIt||#ij0e;BT^LlI;JF>=_l}dJl?Bwm0&B;Hs1Ky?$PK%Ob^pX1p(Jcc1La^zk|* zuJ`$+=$Caf-Z8UZIJ0ct64m>s_pkq`_m5xdf7h}2t3UcpWR3^+{`Y$9pY?~gw|-*S zW0AG{auvE`m_N2$&3eN2$n^VR@861?jTe13q&0O4Bq*`W;As3fBcY#D&8Y#*Uv`IO z`fRW`qncBKmQO(|T<TbM(_Xb19E@P467xB~%}h|*a`cx{)UTKS6+E<^&F6k|Upec} zBL9DyoGs@z&62I3Gv}#pZ~2Gwlk?+_Syvj@$0{GseDXeH&-~vnPQ)FYd|5`Y&}^&F z`7~wgf5BEt6)ek5{&gLjfAPdOL*DhB>L&Zz;?HmXGh1rjG{-nkEAD%C_g?19@A*;l zM%{nEQ>^&!8&_7pm;3(nhVy-=SgYAp&t52ATBlg~YG41}C;RQ@G_#+w<&`R%yY@N1 zo!q*sg&T7$Cj4UaUwkd9Y~ONWcgwG{4j(vMKKF|!^Ztr=j+YzK+t|`9dG@?B_^`&b zIsgCTcDu|4HEnEZ);xP28hofZcR;`HyVj&b4o7)-8x9|s&Bt8+WZH`pi_gz8{;;UA zD~Kl`!;!gI>QpY<zoUr{EVJ3<YjUJMoV{=$roFMgRN}|u^Zqkt|5^IIUyFIFv5CR? z#0U3f*#1p1E;uraarVN-%Q9^H-WwED=kYJwSAL@;_&*QtnR1DW(}@q5v)SJNdD1eg z(axEfTZZjknZ%Fca|fn8bqzH(DcE)RfHfbp`WoLlbMxi{JiINkZ1+kfeq1p6P;sui z$=GDWg~SK8?Tz~*yuU0>e&)Gu-oi##K4$v@35(3L5Be?5*UntnXe`54_rl;q_~~xB z^Jg2*UpSD|-Y9R$Q<EaO!E9$)8{0Gso<BDdA0%gYt|?A9bKyWxdt-gM#E;pg%-Q@- z#wHuiBtB5*V=j-nzV+#{Qe%?~4-7s8w>P@?&okn+nRffhFG(qj%ZU&8_4fy4N>=<! zPwL!L!uKTg`P5n3rIED)vyS}cFby@ySh3P6^VekO%h%-vvmIyMvn%SlmSp8SmCv`n zEaTTF;iOATUS8kQd^tOb&$qrXW7pTWci!*`S?*Qa?|j*v&sX1W#_YSrE`IA*-dgxF zpU-#szt<~PzTEL;=1Y^p_GSM|GpeTU^7dUXdOhUzg)eU&zQ19z_gg_;nZ1QZdi#@V zmnxRZSDG<<iyZ$=+aTKXCtqoA(;wN1c8>czQkE+GZ}(8UKHp=%LcRYIy%V=P)(Ab3 z@8IG1)bGN^`crRb&{l={>Y2R?^`FJ~+PObnS6Mr?Ei;wZ@n6>xMNWmBxeD{u#Mg>H zDd({Eo={)Ov2-(QWs`@%lXjPLf={N$TR(eT%vw3+MCBzZO>5r?`hu466Y?iKWG$ND zGEeWsdI1F;-pM5kcLqD&jXN><x9j@QJL{kBh@ZOi@2?-{!k5`Ade}LvvdvSUFke>o zlh*<z2Ce)es};_b^O}2}+<n5hR5Si<K;5Z@Hs@=bpG<a{wydVr`RBsjZ%=)e;ZTWJ z-(>PMm+$F!t0~Vdw|ndh-1X_R>?!-l0rQ?$Iet=A@(!+B=lnCV{gcghg-P{)UmOma zx_<kV#dnVP?fduX<f@`?Yuv6snX6v=WsAzskM4!?zG<(T+t*H8c|1q=`1@V=kI8KO zZkdujvnWIP_(Asd=XnwitxplQ4Ene1@%}x>mp$rq&vE{{d+$@v=<W~oEB)9k3T;w^ z=e9HXmZ+po=1F^W<dmA#BGy?M*(sBGVv?Sx2<L`2F3Y#ckbYPG=FH}@XO-5)XU^?O zNZ*&4zArWXg!WT`6ax`I?b#>1xlR7c@_YFm{eM%^%4ySNp3X-tC)KQiSZBQmIjtu7 z@5sXGz9px)O>Dny?qBow@20tyWf#njs=w70VYBVg;OY-@`*=Zj@qri90$WN>YlQ4d z6*0bR#5FHZ(lu~<&!LskZiQEM7c;z`7PzGJw8oQNsUp>PjkpfwNxCL)?>V$7+U?^_ z-Ng=Xrv)A<JFQW&J5}WHT_dh%d6KTB+j|b}j&>`&r@Oe}{j|U*<)<}R3r=f@7o676 zEI6%UU2s~%x8Sr!e8K4zLF%a?2PYe8-Ly<OvBNN=VX~3d8P1t2j##Et%!`?!xoWlN z&DWNaqBmrW__fQESH!5LhPX}swj%G@gvy9kZsk=8LEn~X3O_YyKXdrXj$(<xJClsG zsywShl#5Q-oH_YB<*e7K71LCI2Yx)I_}O5ob-UnF4b8<uQ)QN?`k#E^Ev4=jGGj@P zmVc1jQnmIohyUd9i1sb%;qtm9w|9=L5&z{_i9r5IMyrH9XKtP6^(-+ok7sJ-lAcrc zMX@VH9!#i=IM6D1Ra$fLs=hbw?~~il9B$I<(KzKHDVn{cXO%JE%=XaTh9TJ#jaD7> zsQ&Vx{DjS#W37U!BASaYbxr7u>T-UTc&Alxs-WiLuFf~<Yr7{^My%yl=8_9?YZb8c z%l<#*%wZmJw=0HAdR|FinrCXS`gy|yZsk+LL2jwsru*;MY0PZDy3=6MD}~gM-U)wK z`~kUWFSqig3eC-vHjBI5TgLPz_K{HNnct7nf_ZH9Q^K{ER=KyxvhKJ(k4LWZ@TKBE zn$@R^%ZmGNCj`5@HZ7B=(()`0)BCnBBK2~U?BABTKc~u;t=~~7VytRpcJxkWdfrCk z^4pT94n1{P^W`0%^#e2O;^}iOQ_|%`UN4uZGWS>-7WQpN?bi7l(<|lg`a1{k>`hMz z*Jk`ZZ-OSn-eoEWPHnw+hVg~H+P<u$AACQb-hQ-t*{`B9pDt6um{Uimz84iQT)IQ< z@1skrgu*=oWIjk0zR5b8$iXSTmjA>OKCMHkyipEnrC)ldCusC9e&5n57-=7()h1M% zaOBD<jm(g;)NQ?eyzjY!-Kz>4<Y(J5{xo2eo%yNZi^U43Wl9S7l>`C|4zj2!$C(AJ zm8j}+=xw@qnr%nc!p)3ZPgF2pN<Fy1P<Mj){=n&H*33Tf?#A4^#y9PZHkOyXIG}pA zIq~cS##;#%PySAxoLqfmhUWdo30G7nX|4$7d3rCPzeiu8IDf`ciBo$M&3MxqdGdbx zxLr(HyGOa_R7%?M3bSsp=cjMq=7^LHx_R-<hbO8N9S!BY?lDeL{3JGG@iFI})@MSw zy>42aSscl}ME+nVms=eBXHn;SEZic_=4^A;U7Jv3z_D7)>r#TE(NxCDONoII??mtN z6|foUDCmnttoe08vr)BcVUO%It+pWFh-DI|k{3FzTo%lmu64C!LgLP(7a!TQ4;9_| z64N|2(K6U-%LcE5FDwpcEuF=uyCzV##N9#J=wE})ZGk|8UCIhxXP6`(eSUlGmg7eA zML#E3Usj58jX8CB)i1G(+$q1krfZt4Y+b@`VWPa4{mELs?5hbcAGJ)|a7THUT;klU zh12!7tP)-HhV`0qu;>I$@i|K+PAz_rb2MNR({qhBBPNS*!zq0S*p3GDF+SI96JoH4 zHx#+5xJ-iU>V;(zUHb*Xd00a;%o8ivotoVCIxKVhd2M!BN#hxp&!(3bT|If^#)aKR zhZpZQnt1Hk-6YkQv)cJq#xLpkDPqF;S1I}Ib)WX!eLR0Ex8=;ebAf5?5-WxI`!*Qr z_$%y9S~&Tb$b|DTFSxv?FQ3I1BQWi@TR+>479Rx#<M0<@-ENsyUo_f^cwdC_h-xUT z6babSxNk#=j8?#b*2GGm6>5xsyB2maEfH~E%I%@uwo24sfyAn?18GMCwle7j@rXJl zct`9~oT`_wI%{DChj{x_pO=Xo(>2=SS_)Psz0l-n?pj!G8naBI%EnnuC%nCgeMijJ zfQVMbqZejNY}0I$%QILbQFZok+0lTRjGGd7Dts|((GKBW>axMv@QYI0+^&V`COsN$ z_xcK!O7V$GCQQ#-_=>4VtL+rmhUkMU${4CcxJ5Y<d<;Wa8}@cBTqOI3`}Ew!Q4Bq+ z#a7Kb=n}C`L-b67k&bNVytNzR53Z<U3)hsez4kE6l&MPhuql_@`4o!<5?ZSdZe7Kn zT3awTYvC#8nDr8;PCjVcut!f*y;=IIgXZ?;*%J~SBRiWlduKHnO>dpuxti-#4R4;} zIq50yGj>ef;SjK|=;4Bs7o&ypjDKJB7RwXQH8m19+jH_vMVYm%)kn9J#V<5wotv)T zWwTb~UiOpf8z0J2kEyF2p0!-?^2+P{iPv0QujS9@n77*f%KGO=+S|%^)hVmrn(^Jd z=#_11YU<~g`X)bb+~(g~qNGu@vEJ_Iqs|1&-J!P^te-6-DSvC?S(j@)>E%5>K1t_& zO3te5$$T`QY8a5>_RYs9&CSSn4^y4V<#)Q<-o^cRxcvRTX}=%X>aJRU$97xo{}&P5 z-}Eo2XBkIwR(rin^4&Dkc*iEsc-7q|(~UNH2!B8P)YAC!m&|Qv-P*mQzh>m=wte&O zdn-3fWs~yN$um#9yWPLnz0J*d<v$-SS#NcNeG?4hSj}C(i2ls+JU(d|$C|d|%p2CW z$O%UGE2Mb6NYpK92|t|5{k1ZTU#7Gs=l{HuZ*~OqbVy0)Ii^qcRc}AYWcBgM+XI@P zqHY)-b`5DZd~l4d_TsmRtKHN#{XT9S-jn`4QQd|2HjhgbTO~)7H-j1PC##6vZuuv8 zQ%!c;ZvOu2?xCkHQo761&#sl*w{Y#H>CcWn-ulStd{g?CslO)KWJsO7can!o?aa=z zZOgqFE<aP0c(D5D!K6tS9qriXY4eFicg%Wz=(-of<%td-Y<^S~ZjfNp`<hv>#-$)- z!uj^+-Yn+)pIASvnO1DMOFt)XP2c^O8@BIocDZPNxZs>uZD!$u3%dlSow+da>Ob8k z>1*d#EUqj$cfcSbVb!xsx^14nPx1D@y=Uzid{Xyr=!)qZve|zM{pi`|6CaVXYek9X zoXDELN-GK_*J$sn6Wq$fTi|wa;VkP4wL4Egbo@yuoiXk3UDbxG8es=&RU5AUjNtq} zogwUbvgn;)nVfn3S3lf*lpy#y;Iq_@?G`smX1P^ta50cu#v!98*pnyN%D%<_;fWiY zIXCZSN<4JuW7>^4hD5DM?(hZQpKYFZre_uR9FwgFwt9cP%>D4iBe&KX*<};GxeltS z9Y40&DN<V|VvWAW1nm`5TGqF7b2W#sJWF@<Pn^KD@=wkSJ)WSKJofCn&Rux^y#MU7 zt;LB86x^Q5)-cC}2rM?@t&_{q>o8=mwOhiS6k>HWd4g7l;q;BXzqpgm>^%PPP}7W3 zuFHJ2OI?L+bU7;)9${|SxRu%N`^(9X)AkA01swX@-TbPhP4w5KMHUYi#0u!CuH9O+ zZR;PV6HR$Du6ljso>lbF%yH3%?=!7$^3LXJ_PPG5@k!3!Z^D_P?_1YCf4O#kOm57R ziEmrq3tHaP@IRz{RG76m_1A;U+^zf8Y%o0asLW*6^f#>^T7@163g6@Bf9##^nz-X_ zSMWl0)_ARhPFJ=}Xw#p@kZ<&m`9lEbhcw}Rl5*ON`>wUbv-D51iQW1y`hmyE*btTb zT>aB;9qr)~KODHWB_;K)!hV)((;0GPpG-d#smgGj|C6J|?jM!M*iWTy*!80_Z>sl% zM%VOL-JjZ38Rc(oZvOD6Xh*GEcI)@+0ycrCq8_fwYhL!~fJR!wVFB%ZEHg54+NWNA zbVhhyYpSZmF_u?c7WuobNaSCX-LQYhDvrKf^(|8vj(nAFeD>&NUdYns@I#j}({u7p z=|#lJv<u6%iXNToaq}K8clYZfcW*PV?t1*;MbE)mk1T8~s~2vZl8|yWVo{Aek7#&r zdajJp(vZ$I7UEBZ4W_LY^1XaCOttHi=Z(-i;sxD5>n!#+{GU1fX6T0XJGQ%=uxeW0 zc2xDo(aDv(bE269{=Q<!(J*lk?O)gWk%{B7`TFM0N5_;ZuB~g&aNc-FX5$~W9cv4& z=}s<|y4hRscX>s|gNFPj&9y(ida5lm*^xIb?(wQ8A7-20*tF&X!_&<T&kDQQwr4H4 zzAArZuBAwq^|P0y*UG)OZEKdwi3u_&Hwu1t+vLU3iJe88*M5F0Rl-&>O={+uW&Cf> z7Uj3b9kbP#`2Uo*(y7ybt^QVP`})Q>Z~QY=SS0+#F8@Eu?6!BJ7l-%u<#eaJySMf+ zn04;IeeaC+%(#me<@^iQEBq3=qu=q5CEeqKMFm6WyT+21*ONMm`c-D_@E1C}Cq^iH zr&_02TqBF`gz&%i0Vs<It{;1-x}1f9!BiKttN=2Jt5=bd44>oJ8x@=X$W5g7-M_Ah zFOoUs>L<FsYEHJ^t&tFuaCz0mtS4LBSy&A=`AUmC-GALIN8~{A>$qhufgBqj%H1!1 zCUaj=^ZX5~&g(WOx1|_uyO~@6VAH;GYo+xMbM`&hdoFm-lT7u1tsVMJzUeQlzMXiT z^7@<7xkqN_%`VzRq`sZH>Tien!(esaLw(2Nx2-(a<~Zx)lUJ%-o<aL=UJXtE9$S0K z=2hUNJ!>Y1Zg{dczPA3&m*a;n-#_8J!&7MAsfZ(&e?ETod-<2gPoL-~eZH!rw*F?> z+3I>rGfl^UO*7VavH#oDH+jwV?|<@xC$ati@OM2Y|Dt<0w$AC|T6@iRLzUWo;jBMy ze|~m}1bklpS5P|O)ke+KO6lBb^8c3pnAPHM@4s_ql^MsvE~kosgWKO&zwSQ$XP<ja z)};31k23zv(|oyEezs0`fBeM;Piy($dXt$^^OAdIjdpBrU);HDZojwtL#C+)0jl>{ z7r$KIbg|Yfw7lsgzdU!^Cv{T?4qp+cg$Xr(JEptJ*d5MzIj?_3q+UV9b&ZGxLh}Pv zqjZzRr#p8oKgbj^QR~Dcd)BCj8LQ$gZfy{Gv2yXvX6scNhu7C1E_gI2TqF3q2mixI zGoNmlX5bmXnRi<wRK;Y@%eJ2fd!Cze+iv~r_1QuxWM_~_>lSae%ehfbQP0<G|9|#= zshGO=r(M=ZHR|?FEE8}I(tE_j=X-ujMpf2Dt+U|`$L83p^~|#>k$cI<voNK5-+A%B zjFz6W7%O+SxE>CSJ+M&o+#*NQE5)`yLngZEc|84F_HeNj`#NV|vo^*lImgP6xj%E) zc_`RZ`St(zL>{d<tcSB6$ZS&EfA!J7plbhj{ZX1nFQvvOa1{R25;=XnxiRI4obb8+ z^Z89G2Lq&^HXUr;92&tp$8+1RpQ@~zZyNnq?tJ#LN-!s}wD4Hu<IGj+pG<BuIbJTP z(++v@z=3nU@-^R|$rmlsuWx66`divZViwEcT!A$rGc2UnJ&e${)XQ6<<re#!t&K1J zxYI1#TNmuP-pQXkbAE{=pYZb+rY5sh&wfw-zHL(a4Zay_2k-Jv`+V@Orz>-40dt{U zz1sPL87Ec<e9r06l{OQ~++iYET(coN^r8*#Et^=U6$dQa4j)nV<~@G&U4KKeI2-SD zHK)G!vt})Co~^DJ_(0}x;-jRcZOO5F`tlPm6a*?gsaRoVa-PB5-)R<DtE(69@nsdV zhuIut#g(1<*x8vATtE91d{$Y)IVah`hgn>6gVd$Z4RO^+pNEDzZL(oy^D9!SP*U~% z(bO(k!(gN*#gQ=uq+t4d&#+>j#0M$rPRz$2yga@mLt#On*ZJn8=nYo<I{vMRlVvy# zw>@iQmw&vdvcWa;(W3=zNvlLxSA0MC_N7E#r4*06o>z-W^&-of`wk!8BztuT8=Nhe zvcqTP!bt7#O$otgKb1(>#LVc36uW!y{crYpbv~SpOlgvRLesTObuK3b`%XD|pkDA$ zYv+vQi+QK_RX=!ne98okguaIwg&Tv87y7ErR>+BsnZcnc*I{=2`&njw`&iCQrezX+ zLgJdGBI41yS9!`4FBDiRrBvKFP#}KCn$wdh4J4~R{~t4~RAT@yjnWD(d458hfdPa$ zU~{(xDM|2BO=GM6-vv6XObjOBj9v0~9YYnkJWpA=-rg1(dwo;x?b+TX)fyLbuPw@X zcE;tLPr@SKD9PJ*@2+zW37r~~scCFHJ4Dc6|1!seAF^3lKZI9qJ-&3Q<|UUe*B`v! z?pwJ3_g>8~WkpTalg#g!d00N)<3DV`b9mpwTWb#&+bt{!*3G^sZWK^!!gV#|GJmV$ zzw)48D*QrKf9ij|jO_dW|Nr0rvn%w3LE!Qw5SRo8LPnY(koms9(O?q$#Cyt#26jyM zPPo6G_~FEfQyV{+3hi@qRgkIaZaKL9L~rA#vwJRO{dRod?UGV2H>v%RVui<#H`~{9 z<;N}DYp($U9xqC)=K4Lq_x{*c?nm9hv%VcXJahN%-MfFcsxQ|NX}dc=(^K>0-@COh z7r*iRq%im8F(0)vDQq*V_n-gxE9}G9wGQojZ$?T<$zGZ$7&!6zosO5+*mhd1)mpP= zwT9NSDFT5a?<N-LXdCLT^>BA{Rl0ZQ*0x<LNxuc6MVUUH+!{as-T(isd4HVD`}RpL z$ZvZ-n{n04)>ZKuzm6L0mv|!1{3q_l`_}L3%lAAe+8Lua-BTV6<P^Z*$$2oi*Z*0s z*Y#n*)bnZoUkJ79t`5<f>h<*9OAxsEJDzuyZ-i}=j=^ld^vN@Q)Fz));RgYKp5tkz zTRd15o_u<q-sz$=(L<#u9R%3hl8sjc&FVM0dHM3>CywuAN+-QP{`g~s&8rt6@aC`H z;f*&hmoi!2y?gg=rOeBB@7}%hC@=qh{v-%|Y<D}Gr7~Ni(t3rO@9zhUxvO8#yct%0 z%4$MyXKpDk^X*l?pKiT6SC20yTG}KdH8D0aG|<=6HMQYT!NZf9T(x;$Z-iX_9M)gN zW2~#GswgWdD#**p8d+erJ&G|S_TO&t@4I(PO_en+Gmz?aTYT}wJ^5Crg#j8OT3?*z zpMU=OXN}$LS+kn%EnBusjXOU$_IhdTbyMHV=cX^q-1YO)XPfh%ZH!xsTEp3@p3V=C z+gBv>d(Vc|H8by&uY4Zswa?3DeUQ*6UneIkD<dN<ty`6yefkso_}~6@aul0mY4QHH ziCTC5=i2+<O+l7#jL;G5UiW&}I&T%B&La;CEM)i^%~!ut`=8r<V0LKfGJ~J`yT3nv zd(}6Qi%a5$V;bv%`AhpZJ@4k&=DL-ye)Zv1(_T$|^?FlS$f`}X3x4hLlzVb6P@Vnx z-BrfB=PhkEFxWTq`mdRrrmf0}f8pc*&-%{q?O8gzjwGJWOBATsoK$d#Z<nS|;-ob8 z+SU6*pM~8OS$5{frsh{+wX5I#bGo?V=48FeQGuDct%6hiYGllOpWV#0nvy#A<-1qs zg`#hF?lRlG&1#u!vDMV1ONKhe?_KsByt-1s(fz1T*5+vDjb+=zj;_h(-e~qUY1xeC z*@vduKHZS{=v~&&Fy`v7fojt&{{8<yn}t(I#bbiUrHdf&@yuBe=#?-`I?^Fn{a<9> zwab-S{*N_n=ZZajlCd+=k8j%K$;F+8g+(zkvf;;%78V{B>uX#6FlC1%Ut9OX2jBkv zEm1!3G-l@N{qLPOT<_m_UHm}Y{vV7tw0jh}&($vyw>!puAg<eBn&>18{Ye(yX~IAM z{nvA9;Sf}EdGq&u^dGkU7xTZ~{-d^kuVi=L=Gn7nOTP`<y>sW0ZM$^ynr}bZwzD@c zTY6iL$?Y>@o3G{=NuN2k?K|`QbsVm9^gOmCENp7w64#BWvE&xf-g7Brg=c?Uo>I^p zg*wq@TfWnVvPvu*5p06Z3mnfVIIKCE{rQ&Ep0M?6*RH&pwPDs8v(-nlw#<ssE{)>y zPG1@F>&k%>M~b+PavGY8<XsX@auq&ez1w8vtuJp^gqhhc^X$yJoILBw|GW49+RvB< z0^7b@|9;r~=i%Y@yZ_kvO$7GMw5@PxzL;eq#mnpK=4`$A{FBX_fAQE^TN_V4n`L~9 z$87f5B;(BwgwHU&I%GAafxCJ2>i{O-BhsAa8y832+T!!ethP63>tE55gvgswVb>Vn zU0CSMo;-1lTJy=2O*3-Tl$DD+lRrt=nz8lwo=r|Z9F`;2eKcWXl#kfrqeo<Zta)_m z;!)d|&z`s)@x8nxOKgs}{L+{xnWE<p_x;<yr^mIv{C_<(J*&S=ER>K@Yd@Qqn2?Z= zaO~0bKdkjf<G+OeRjsex>9YRT>F#f{_9p4;uTQ)!T7ELNIQ;Ha*7DM~e;qW0`9ykM zc%ve7<ejv%R_l7@By%$!s-5D#^?&^%_T-Ou@87+9|L^|J?Un@(4m2{itB8ZZ^?UnG z^G`-cf4p|BO+w?mv{{aUm}$m^1&zJU#vk0`u72CP>A@Nc?-@sv5<%eYUuiGl&NZ3) z*q6VUzOd54+W7Z(CF$1!-{Mk|lM)l+|NVO!_y51+{;u^8R<FL!#StC3F*LL&R8)FS zR{beeuaiL_uz8Azwfoh;f)E*3_mhE#R_wL^(KE}n*yF(U6)QutLd{QPc07I%8xj*7 zxI>T6K>X6gz=<7e7S3IuvAj)%zbHNH^k3PvIxAOdd@nEm{=I&=O(MSt2!seRv++n6 zB>Yfn+W4OJ+unvR-#PhC9r)E&H*5a99@phJIm;%^O<I1dv#e-Vrlpko;^P{#TS`(w zTtb|aHF%aTG#069*`(JK%UDpj?&F>$-N?Y7qM=u>=<f}^y7{Mu^B0f5{yVSi-@p6! z@AsgRu`aT)a_#TjTlvENpmI@pZtLU;eLY=m6&bt!D%qYd{=GTy8Rs#h4{X!=H@$xI z`G})}&hg{EvrIBCrEI=`h>b&P!Cr-Z4*MJ`98agDDH%)JO+H&E&2mia&Iz$KVk-`B zKQJ}9V%-8JCDtOI^CBFY65Bqr2p<tXAk3nE<IVOBI*lwsI|7<?dGsPTrJS6kI{UBU z-(t6dNfF`xet}$S1*w;&pGgy9znL@H;>7pz^78ygcQpbRxpWGtdQMXDoTS1j#M!Ij z7Nk6JqQcCH3YJ0go&6WwpD2Hr`16F|qTjr}`$N)IotfHl^r|Z?T(dtENS&Rhz&XKp z35&}FgPD#VVJE(n|Nme9|GV0}3mt;WZaos3K}$R)D!FzDC_1%pOjLAk<B>FaA{xXk zXvDp+^?*|ghhddBJ7*AkV>1h<63?UDIpNRGRu;+4aevPFxos_Xw!xNEA<g5n9-fx; zo>DVu?><3+Nqfb5&&^YrR2idIILqVNrp}ao|9Ai1&CJNe!Xcn=Qr^Dq&ySDEo9`d; z1hoYOT?7t(=oZWodwF6-M@EM_$LV;6<cscaoxd^uUQm0+E=k@lCW(L7@jK4%0>5`u zAF!>;+4eN>b4TR?n+!Rl{+aCjWykwut;^orc(Z@iu29=^;s)EQQdpx`Pl^un7d%tY z<9sA7WxeYpd$D;Z;=0>p47+<=RfPHsIKF%bf%{e^FD@)}b~keGld&v%^59@2GdrJ* zg<19EW4+Smc{$(WrOgtgxA01D?7h{-o4oOuOi%We71>u-JQVtvW@BR`sef$o#}8)S z%A8>L_hnu%iCM;Y+2YcTH)YQk7su}1SGHl(x)n=G!v0+EGBi+Bni5nqVeh`>zRfxo zwNn)9R;l?~HyKEEXUE0AfB*jfzjsrPpPONre5^-ucBuu(%#4ooh#IDxZC_QEC@)r8 z^pO96#OWES7mQLQH}FWhN^;y^bNI3IhMQu3PF6-*QjZ#wFBm?PDCDtpGdz~Ie`(`~ z-aY3IKju!@oGa7P`1S0bONaRnr0wqrefxoN!_BvEE^~&oC3AecIw#8ap#R}LdzF@- zF;_BEs8)TJamd0`-un3ew})D}#r0ygWL#Y2+AU^~aG-&a`B=BOe%zjlhl^Mzww_5! z3*6igc|<2eOsDNFS0(EnrMSTL3&PK6_i0CnYK5!_SeUdNl)}11s{gN!&iy66{&?Tq zXS1hV6`2!t>vsQMp>Fwuaoh<d-&A_vC$G1icRa3JzfZP0cVFM$f6s4de=d9@TKV|j zzkl!F|9?M4-KCL<l}kj!Z;nObqa&QcYCbaz5|iH7zkgx<BX3{#`*%-vTPI)S+cx=a zhPCzX8|TicUb|RY5F2BD{p!im<GZ%8#5(B(E^>)U(ks+?xSs2(+N>Xp+Gpo(3VoCR z#?;gFX-$>%W>Aw$RFRXl_R>$&Z@>JREtA*#H|+gq^ph`Py@iJ)qxzhJrava#SNWwF z4{r>~lX6;hb(^JBL}C-CTF$rGDf|%+&aLxX#hMc<p&yfS;O)P^YgesUwyS4t&|Pzf z?|)fxzAfA;d52LqC%5#HUczgqM21x@LS7B#3zFBZUHi`WmTFG#MQ#^Qg~pcIX3PR1 z4Yo@jB(?~;t<X<Ul1pq9RO(PTB(wFv%sY#ibZ>3R{Jg}W?Pm{Ddd`gvoldF(E|+~9 z^jZX*GMpOM#(ErJ<xpr4aF=ap>e{NwX}x1r^6oX=4tHmnW-ka}%V1c%u;JT5cKI`0 zD}-h{9yr6yZ?j;wkA~9p3WrWUs}~M^(-;ogJa4e%vv}~p(n;nngK5^43m47eOqnk& z@%)^_wQyx7qjb&&#*(v}Uj6;xvYY#&SuXeP+1b(`ucdvTQucC--TQa%qFX;6IvRFY zbc55;u={uK-tCv!v17-*wQI|>-``*0sGXfJ_WIPSu2tF2zhA3&zRxyL-P3t`NpNh` z8g{J<oRTRM9D`$G8d&EFWle7j-?pCbzWagoP8%d+)b26~FFv$OYIf08m$^z?5`_=- zJ2-I$1<GtObYwB()M#uGba`;#_J*6U>p7}f|FK_O;MmN@JIgrz+#Ji|V?C0_X=i3= z1~2oOX_RXAyB-y|8tU>zrG+>y{dhi_r$r*?+y8(6no6XkWmkA^Ze*8V!+5Y~7O#~D z^PyS%HZKy^r&$C{PGdGoIWa-eIqeKM?}7_f!=ytkpad#mj|J?%)qi}Zk;I>cjt*>6 z4Vs_J*_e5a92jO9C!Cpb@Bu%lIy}(u^V8GQ)Ai%`RD67Nw0pW<?5>iRmzJ)_1~U1% zX6nc7`q3(A-1gpETtE598`B<nLHQZ_ak~m0f^%^6ww#-rQoF@;qqbyRoTTbKO($~G zhmY8Rt+vBt*3+WeQQHb$b~hdMnx<VFvn}JKP%HmwQSFEg2?v{4PfyhjUl+48>1daz zcG#Mbl|f6rrfOAV1p!I-SZ2wdnCG)F=&MTDFRkjo_it-Y)znX2f4*na_3O7^+fUhR zS6To6|Nr`W1xR^elzM84X7Dmdp`m#KQvaoHUcVd@xKH_dEAsqR_PUt*-tx0dw}VV0 z{<3C?o!PSF3;T`N);F~;P6(L5n*MqAo3FeZu9wf1`Ko_Idw1*m_wWAwfB$Zu6Qnfp zpJ!9~>B-47`}A{@Ha^l`z0+%1*YaH}o@rP*^Ry=JQxHBH6?#A^xZ5j~uec!YMyq_% zmJQOWzyC3A`>j`aW7mc7AJGPes%yQp+gEEW<o5Tw|6%jPnHKk(_SW@Z2;XpBT}p^+ z(}Ve2TJHRmnR7j&q|<rX_wWBfURJwzp;K7hZ;r)7M>EbzrYls~b|;xLG_<%da5_sf zFt7zm9F_3Ndd(-oz#S5$(qQ2rFp*iQX{L(6aRJRqOB5I!7#bJ_9C)1kEMGj~ZH|8- z9$L!e{YKI;%7K~r+v)GAFS>2>%=Rfho3ydw;FjgtVLr!dbLA?Q+Dv?O{#?WH$BG{r zN*^^|F13kpdH;D{n~Gb<I}SOASkJjKua7MAZ<AF_6G>PjuKxSw9p}9-_HEkzvu8(x zn`M>XtiGjN&agjTIAg|&f|IXb1V4D3rzqETvXg81p6hC#Cg0NAFKQ(FB>LE~`CM8O z{Vv}cuiAR9dGX{rFJHaUs$&lpJhCfVRR7;`rk{|u)z8xA!}EJq8CtB^(Ld+K{dma> zhn*g%t82;p+~pd6`sanO-%Nj)%B<MoF2lxWZ|HG2A>g50;UW9~4Kvh)v}JzoYCP=E zbICv=WQY44_Plbbj$;QFJTfaf#GB5hFu@_`X+uXaBjfxQreoF%ic%S5R5Ai=I9`0W zPGAl?@V?S9;=ac{*|%?vcJ0~C{;PFO@vU5;CN{zUky0@yO<pYG6BGY;gjM;cSn08Y zS6?>!i3#uWzjDsv1Z%XDUZC8Kr?m_IN%ymNZ10HZyBz%}`hIGs*V#?8JOe}5m{zQ7 zoU<plP+B1A;j$tZfkn}2t+lJZT}@;YV646I-e`gLzQhlS6N=Am+^wLq?D^N#9KN@m zbQN}Z6hGsWo^q}7RBGPcaxodT&e`ojocCB`0%9YdyLhKQUM&>2C8;*u&&V^)Kx&a@ z?!G^oJL8zQY__=m>h_`Ei}@NC&1UksHSbs6VoTN)2Ykh%Wb_r>Bik(Z@3*uL7GI-z zVTH@p;$58fL2l>t-yP>G$<@y~^7m2w9l?%Q!C~&-Zt1@IFEed9-~8L3%_e_Le6IFf zuHZ;WajcxT{a2yYdU9s-e{n}0^*p(Av(B44f7q+SA~lz9%WzSRpL0X(i|5jy=hHQO z_sp$-S-iO}|DjpLX|4Egb4}y#eQ;Oi`kpMlYuj|wqPjx+R|?_xwr>ypZ)xFgYLlDZ z+x~gmjv4i>`esf)ADnGf`1rG;O6u`}hX<Q$_gL5ST78~*JLm2B>DGTPx*XhpqjBBa zrG0ba@AS*Q==#1k_i8=g`Cxwk+dmIJoTF>^Z2pJt-T<o#YekP5yYusoSyWU6Jb&nR zN!@VvBY|@B-S(5#8eOvtw66Wk?U!~IV&wlDtq>#sAREc+f4g$_9$vd+^Ir(}uCUp0 zU(umPZoZ#?jlrLUr1|g9#W$zb6_ro>`}u6UompU^ebK_LyCVYMY5z+W-}NcBdiAgK z?Ukz^23OxR%kS?0ss1NPc?$n4$9ET3EiskUOWS$A_3NUrPv#b}DT-mD@6r^0gq|_@ zc2H2MLXx3w@BFv}&C?=tFHJ~w2>hKr;oAF#iQ6t;G;q3B^?qjvD?i)YjbfYk%dD2G zz1?<cQ|qGV5!YYzz5Q~TDcS$z#JhjYX1sA|o5=fY66+GiIsN+rI=^Y3-Y72m@JsdU zqn=BS+_AUI3i+%a5U%6Ww?ypLncSk~-=_UCXMg;&Hd17k1dC7aq;z*P+5A(y%P%E} zo7^<Xc;|E@XBm5jrSiG<S-WaBI<@VMme{d+yYAV96&4!r4tL2f=y%E9G~3{0q2u4c zb*B%oT>IXzn@wN6<Q`AZ`!6})F3qxjcKT&)*T&_4ReFqB)9&_aaV?mp{FmuY>gvC1 z{`VAw#7*DxDevE`dDF~3W$+6hxOn!Pe`)cByx$JTI`V|Fc_&M%ZU6GRw6$EfwtR2O z6a$l88x1w4-JZCl<DBi!uPa$UeDO_ZxshGNblqX^p+>I$efANB1~-jne0A37>%7!? zq+*uUgCDD2R<$NyTs3*uAs-Q~XP&404@!JL^iQJVL;c3nCpmO`$~gQOCu+=4T4|^K z=|G;PUQTIYx=El&U9!A^=jWO=Jk65sQ574XiuA55|NPJQmTkXT$>TfA(=v;brE+{8 zT=4n!+(7PIljr=tt(&5>9#_6JSh7d_-BxDJ&E-MfX`HLOdn1xfrkUh<__f~n8uy|s z^gYM#yxV(>lp3b<FrU2fvwT<7mFw(ReHkBA>SgyI*>EBCuXm2Au>D6VhdoKHj%HP| z5AtVKbHx>f&Jzzi_NC#f)Zru3>QWz6Of`++)t6n~Q?5TJb>53(wKvyjWX*X{<1#-| z;nlT*T+2$+6!y5*^30CNy$7Q&WpenuKlF6L%)W*D{Nj#s`;;9vU2DGl!AiEDx?fz{ zS8}UW2+UxTIW9L%Ylfr3i#NX)<TuIQaxJ;}_-d=mg_KmbxU_9$JN|tMI~2eD_nNEk zlb=8Mxsl&${ighAmy>InzFywoV$~^p{L|Tq{o=R1RtdQ%sAe2l@0o14VjahZU$t^~ z9QG|zFNl<J(P^#<C`oR5zP%=gp+n!(x-D>d%AdHG377pZgtJ%&JQ8hCobdXYkzwfB z0N!lA7n^^sR=d%9vXgtlZ$;0(d1rHWykU9Y{{5Wa)LtVspXoYv6D~Ks{gj*%aG$rF zBX0iXLoXgVsor|e^V~0N%J229Qs%erA6}Yu`&wB{(3A8{Th<gmbg6#&?zV_$=XX&( zql+rbVim4ky87qvZnZ`0_D|o+%psws9{o3QFV_}VtJ-DF`g?+&^*-P6QF)PF*2XJl z)%y=E(R7o3;Z>kMe|2^4x&Cc7GZeSS_uM=uXK7p5!=QimRK>S{zn9L5*fuXNH`~4R zk<e$mW=+Lqve6a1ziTCbrk_pses@H_rmAJDl!wPg8@2h)T0ynJEfX7moR;=lpgVQP z_KfWZUj!;%KQ8;FN<aI|-$VOvIIb^W+7t8Vl1^i?;kCNDwL5)xbslha|0X<NQ%~df zURRNMrx#y-_+a*p<vgp~e4<1*>%7><lC31qFVO#QbwKB0w;3+UU!@~+E^evUm_OP0 z;mJDpi2~Z1)0`zNL>3*nz+tee?u5jo5<S6tZT(435l&1j0tap>mN_oe>8vq5a`vC$ z8`lYG4i`@Uzf>s9awM-lWB-@@|2E0n_e&}NQColX?(81j_xzQ!tqy3r_Xbt+Xsg{? zxV_b9fx#lqx+fJJ`zNU6y|eJ<PiAI1$ip17?vz<iYRVQD)_-TuFi)wI6kD)7j9G43 z$JF-o3;JHCFH$)Eb16rXL**{fIvsbG)eBuEnmR?rk87Q}pv?YjhTFY+KB~7@f4j1I z(sWB^M?u5=w<4eNh2Eb2Zq2Ug$<qG{)h}E*aN2Xj)(tBDyBrKyj@L>ZtuGeYA~kEr zR?+VP&*nd@|JP^fyY$1&biU`Ddqgkj)@6ipHg0L=H~i-_{~F6y8Aq>$o@vJ>b%}1) zI{W-u_5sTYVSgEaPY!tcdG7(H;NqA)O{|MFS+4VCy6<LY@N`sDbo}J?ZuQCq)^`|R z=o+83a(wBM-S^%q<FDF<m1lE*+}0C#ruSB1Rv&}5pu*(7*As;j?w84Ze_7@)9M0$S zdogp=B$mALHe=bqiRViH)vXje)1*^a6!Bg@>e2n=(=)F#nKM|{$@45a%6KN=kW15* zCl(PwZ%<@xS8L{rn!B_=Dbrmy({tO2q8+9UwdI;Gd~<oq{drS1uVzwvcvg6W+oCtA z%l;jb37fv2OLf{?Vc!%^#{;jveEW6bP-|=6iIe;0ZLo;gFVW^3Vz@wl?vxL97nl@v zM14Yy59-NwP7rBfyjYX2G;{fq>uni71H<)|n=+1tDH)2cGDx%7c74OeH*YVhXFh&g z=C<I3Q+m&n)RwRv%o`LZPFxyg8?}DT2CWrpGcP4Cma|gY`u@#40}bV=Y&)1{?m43# zF23x_@#qZYcfbGmKDlY+<KkYm@$JsrUqo8s+1GCp51At06uZ`h*(>XS)6u;%mi@Nk z+u?t2f53KqxsAzpx)&U&%M#O(5>ix}Q?)Im#x^og%qG;Nq~@FGT;11?o(BH@T0YsZ zn!mvD+tx6j<y(#|oOvghbH^^7`%O)3+t&Z~aB{8P`gN)GYPEV9|B^(7pfe3&AJ#69 zT)3_I$4aKC(uw8nw`R@XTosVBRHx*^J@2l40`IJjs|m^oEnlS}B(~^p^mq2vX7($t zSZHWZb{0?FxZf_)TgK1$amdfpD(&53g{kcwlQbV*i3}4>eDBLU!A~JA>aE|3n#&8P zzJ9p!$igKh<~467Iv(FAsa2b;dtE7_R%pkj{mRo%C~D-W3$wbgM9$c1u&vPU#Pi-g zZjZR*AGomR%v*dlP~V!3gH6h@WSfi#kNVDE4S{nT{Mdsv=JFLeE-mYS{V8U`4dWU7 zp(0gtd9V7dsR+8GB%X6syiuGxd2`m`yD_|C37Lt0BIo>E1OFNqJvkr#{BZ8~*opf4 zL^OW}#@5(A%VW~C_m5ifV*2^u__-_CrtEe7%+bHgR)2<nfLY3gS1*oMX5QQu;l$|S zsvC7lD8Q8WrSF@X+fl-AeqE~!{XgqKb{Ny*7Zs1D9uN|0JC>vw)5ft`^xF9qy93VN zT3LGbo8dA4GtZviZdmPBW!Wmpo-=jEn%KlQ=1DQHGv{Sw?klKzu{nkLM0ohIP_e5S z>ia!+9u>_m`*pGW&)=ig8=u)8@#sCcXO5b|#&iq$RTf`l6I~xKbTr)?bzyzClH4+{ z=)gnws_y!}wElH!_T*1o5BFbQ%(s*|@bc=P<>@8gew=$Mz4&CviRu2iqKWI4q*e?6 zKN>B&K|itm+2;C3I>w64*XJC&_+wgavxU|Zr#5?^$Ct9aAHO)ithtrj!F58)?Iqi# znS08z{O;C=`p%u`dhctqYjeqrpG?p5H;5RW*4*j3HqY#m;L?RUv#Rqx-S)p7cg>gC z^oajzSDPq*=amPVL=B%Tk7Iono%TiOSgP?N<(t<tW%Ky6_1E^u3FzNk|6s;PUD1ZL zgRh$_w8g7lxmZ42x%i9CL;f>?2NMi+I0~Eh<=!pmF;j@RdRMT*PxSp}m-|s4&n-LN z6J>sQZ}_$xdx6&D%@beD*IK_@;NjHS#s4Qq7?p08eB1GU&h1{4M19+xa*sD9JbN^~ zva0hy`h|4$O3}JB7h^F$mB@e&PJu_O*mzX<cU}#B9<cEB>QLoRLfbSxaJ>6^Z(iKq z^X&pFZyIE;T$SFqHKT1#bB;^9;ywQ7;noS8w>%U{Sii2(tY6cvB!JJ+Tyjx(`lhI2 z&6T~|h2OD;zF5j{$->lVa@1)0%)gtTK3k}DeR{&5%d2Yk%$Uxdwt1F)!e&WdjbMug zzc0I+bDcy!KD3y=ME&-$zb;FC`S!izDB*c@x2=Zhsp0#(>A!NPYj5)VAaU$T@=NB= zk;0eq4sVs1B3j(=pJRE<`*L?_<t>)&690489V-!6T6|TsNcHVqdl%EMCo8k2#xFEp z(f03U#vIX>qSBKdy259(61{9|ocv#Z-F4jf?71Bus?1!Tvd`FCrB}3UY0AFmmg0;n z?>4fj%U$GC4r)$OeN-=Ges4B+gmv_}*%#YObyJ?rf1UPDY~RD<6GSd9s{3oa;?EY{ zUaqzp)n~VFoUdFzBh-0ot8VS-vr~9Gr*0^<_@MCO#m%W^7nT(NV3ji3bl&UeW}e5J zI0aPnzNSTp%4ANcm3@6+x8&pCYgcOC?>N6==j`8;pO(!LuhL%BUYGDPQBpkm^nZ`$ z{w;?$<r!T2|9^7iMd>q(Cn-yP{O2ez!?RiDZf5PG?@x~`DcVt3KfnAUpGx~;+0=h} z*W!Nr99ScBjX#iKXGni@T6pOB=eu%NGyXJVE&VV*?&;@--9o=t3BMKKbGXcDaakx~ zk%P{%tmDanVS)EkIX0)}KeDX5b13n4UO?`)?rjw%H!Tce4o7&MmEEc-_GY7KXlYQE z1^?a)Svec3`Rg@&b1te%+`ZOgcFj-pglob-@tudR9^^8}Yu$bQuE!$Tu=g=3_R`lk zUBC3u+1RB&Z_~m5ArJEVtyg?~G3VS{nRBkw`(?!@Gp8?d)I0g-YT)D>&i8d!Utjg^ z-W1n!PaKTXR|WrYbK9>|X&pTGRlLcWwVDwt_S~AGc5ij<ziEYDGmnJ*Wn{lUFRWaJ z&v5gR^abhnW@Tqzzo+t|yZ`pL@AlEF`ribX7woosyS8NAVVzS~_wNjwW7IaYRk=Gd z>ft}PX&2QBMQ?;8c&^!fgYRBeKmc3g+nq;+WOB?l{V>`+Z?Z%C`$HTZ>x}BAPu6O& z-rAGDbT@~5)XrVkw2$1ZKYSxsM25q7-CeW(Eu7L$^ZIXA8~MyEklgcb`sboW5_%z5 zc{_EgR~6h}BwW2q@ufhc*vtyXa_xWJf8A0)RqUJj;@MR_rtZllhHP_%)GhN<RxO*b zpe9x0`iG#+trNxT7uGLLnJ+(S^OiR$Y|e9zFSxC9s`%Jl&O@Jg)OXiB-|_UA?WSJk z(iZ(M6R+Oc7bWrjY=zQYiF*$o{9xXGHRD-MfyM0`w~e!o-eIZu9{%5b?VUAJY+q)n z8cPRjALp1Ab^C?m$)c_2Vq!QIw=nv|o?W}=O@4ge=Oep(8?uaVsb_@VDb{v69lP7v zzRBdeflK*Ul~ps-UdUD!-kH^F)A#O%$$sX9eGEJm3R5`m=B^exTbTFDeNDih%l3|y zaS?KRf4vP@nC3a(qQPz1L5<X)Tv?Yiz23;Sn-7>9{-51)_Kn8fN#C<S?4S0xG5Q$e zhC|HCNj|gxRQ#KH{%VZ#*{kz6$edl2kYmRB|9&4Ee}GGTvD3+E>$nvpc2DqocI}Bj zE63|ij2bEH9tgWJl?(k2UzW0L%1PM^`8(wbUjI;fc+G^Z<mN6Rhn%g)Be#06G@Ui@ zjpC_RYy5FzQC-9DO|vgt-+1r_bMA>VjeB&rO;wQ5c$a^B+C&r884V&SZ6({%cia(H z5Xhd^cl+YJLRSOsJKsN~__p)*{E?ogdg_3T?#Dx4^}e1~%ei{JaT-@r;L1C?!5m$F z$+H=!N{DFKtbTCo?!z8li8J3`d|lJ888`c0i>@xK58pqYTDjAg?E<sdxuYMun>H^m z*`jmz$>*v_v-Ar-7HLy{t=j$fRbMjy)rX=y-|J^CSj4Ym;CQ`TBHcvdRd_eUbA`*{ zvv1A0erNUDrqX5M=7twf%LqM+`*~7a(K2dNjrF?q$4>t-Ieopb#Zqf&-m5#@&hb}| zHg#@^_&2%#)vI}zq+|X*>QEJsjlObtuF{45*I#O;^o74!=XPd|74tWviCfNTsun$2 zf1@+)`mNorEdC4DET6>~zovFlht1>yd!K+k69SKwY&aBbwr{S#_-c{<ceYQi=BXMU zKO%6x<<KsN83h+x=T1#}E~9#=u6uG+Nyz)R^LgvIcb=|G*mywgpW5O-3(o!**zid2 zwybOG88aVCxxf3@%{=QFH~)$J))2MjEA+LLBvn7(+q8Y-*Vn<VVO5*<=w7<%tk|3L zDr&+Hi9c&yTQ=Qu%HC>!?9z{Zk*#^z#&O&)f=)M0j(WbKbel}#y5<$EpP$UMyKr^6 z*9ptuv?#BLWp6VxH#Xnd`I=GXvfd#f*~<$ro(km(vEH&{<C&Qkg1)#dITrj$=fb7_ z4wj6ptG+sW(`D6|*G)56Dl7l_-Lnse=R`HXV0rP5dFgcbYOxrjs*nlnt2f#E9e;Yz zOK0DSd`_E=8I0fd9NIOd!MSm-_QJBOm8=^SRJA9*&7b9cU*aX}>-Ko&caOI%N&OWy zXGXzI{j-TX68^PF&f6hT!>~iYa$EA%H$f&`vaP4gvzi`RD}DT_*CJ<K>Xn%1-SIkC z!B>vy^~Z!Wrfxf@#X1_in{muF#&|=_G&Y<4mM>Rz{Ppckl~LnYn!fYP^RAm*F2*fv z-@gbfSj~NAnTV@d^t{H$A_wF3U!D1WJ<aSP^Ql?yw^~-;IrYf8`TA{_LlYV2{AfQs z!D5q&AH(Afa^FurRyfXW`6jrco<YUEZ_#P7gH<2?Pj<eN(9E7O>9F6w-(o9dY?Qd8 z`MQst<>kFAP+h`pl*d$jMJ-uk*~DjTn%h<FJ~UQW`5q2?uXFa>0%mK*9a)8^80Ra< z&CNPC(NC$1Z-<HdzgySdJbw@=7`&6QFzwC~LzTU}%iO>A8LZ>5@u<Ah^YFU3<kj!F zw~v%<pBS^HC%}^_+FIMY^>&(n>AHlC3icay`F|>JHd~w;_PMF%+%1P2O@=kTSruUi z=ld?GIPxg^{G6iazcw|-p4-Ga+w|s71s2~PSvHLg(FLIlCLPtgt>ZsgY*O}+-Ti!~ z8cU?=+h93oW$TZ3S8X~b_NLNBxh(Y1SI*LcYe5QP9K3fMwm#Xh<(=rGD<OCG9H=Vw zc-?%&=yDsMTTCg#7aK>n=NAg+%qm{HSJ6}2X<vHsjBC-E=Nu2QY<OOt!^@$c<#Hzc z)e2+nnID_7^!nU*qk^`IHudi9O0inkE|wpaqLcMl{O^i~67Fn2=kdM{n^(;GTts2Q zC2K2VnLCeSQx-hrnK*eyROs@3bHolW>~h|}*~uX1!r$zPjcvv*=aRBQ=l&{+;%V37 z`+JV-;0DL<>s`YboQ=hjtjm(^PU@z}UWiJYW|<;vrE>L|ZQ_)aMd^Dizes*@$h@^r zd5*>`#r3Kd;tju)cvp(*1$3&U>dtb!Vb_qo;imqxOP5pU#va<;ahiKq+)Ih%nwL%; z`}I%8FX54R;<VvpbFJvIoEb+sl8V&a_Sr8oNp4$lYUAg2rp6N@s+*l>R;22!ezX1P z!I+u;0_u9ZbmT8_&i0$lm;Yd`HG{6V=)XC)g@k;+nV%C>@$zx2l}VnM*&lxRM~$PX zSiAK0YQy6z7Hr`8rF-TMtMRkIXWeq&&)+NDJwxpytC+2ToxH&pDYnkKf{^Wo9deQ< zVvdDY&Dt<8If`HT`s7vD5A%Lop#SYc;5Q3LT}@dJ-TN2#WOp%6?k+6gOuArdX)#wK zWi$KXqYVGJ=ku=;WxrW@Fy{EdgW@jy%?D++%xzBHGUK5&x5A{o8f@9>vG2ugYL&l# z?p^eInnRqL<`>U~QxVV4|66zG(*w^3|LUK2>8qD4`CVM`@aptCjoj}xv&@cKx=kx> zGk4ibcde_-=P$hMwBYa~OP_+)9o_T)?Ek-Cs6O?CPuTLSv+}=O7A$_`?#IKDvBBuw ze-QZo|Nr;@@#pv45Hh#AG_m#Pi}eAr(bq~NukDJ-%S+z&FU>cP$D!%Z#Y;Jlll6L9 z#El=XxLGQDy^wu*Q-qO@*Nv1`^GVBD(qm`+E3NVTwfTopT3z9yALk8v4omcZl;}TL zRwVF$!oE7m_s94DR#UQg%|4fnLAYJ=-YPjJF6$R-rCRM4rWJ<EtbM3vY1X}UK|tlT zqT6#<@EniL+pTQBb=D%|zd2Qx`06hjFARx_h>eat@@>cFcGVWyCA)VUGdiu>dV4xE zS3m>PyrLYo)!H*-ICg1rtem#NwC=`%b`d5cg@bJ>PD)1$c+VV?xWL)`B>F+iMW!XU z`U*KdWGcq9<{iqpB+xV0@2!Gh%A_}3O9D?cw(3SHz3@(+Rj4$<gZa3Iqt-9clC3t* z7K@b=7@x>U)jnDfn-IL0<FcmG*8=5UX4NVyWfix=aM-e6$cc!4kG+jAxiT=EaAg3W zhzL57POl;_6m}va1E<5c{YVFL85$WFfDhy{F-<Zse)J{za#PFZIW0${FDVDJY1}Z6 zTEUw<+dnG#GL!zT&xV^${?K4~m(6~Kv73wQ`PQS?cZ4VVPUzTiOtxRs+?V}kL81J! zWX_k*nKwzi?kZaLszFM}YnfSziqvn-gR|x9Uv2vIj$=lSjNBC!zb*R`;*E0m1;40M z_>gxgf%SEb`-=M^(sd{H+{k;hWV*SS{){cn`k8{aSQfoqk$L#3^10IyGQE9I%#Kfc zeD6}S<fh)xM-Atcj9(n=u`xNGdUb;Iym#U!694#5KKc50?w4ZcIg(v}?(nBFn>8-n z|0<t*`L^rlQueCq-EmO+sp{J=s?XJ|uy)%9>AObL7H*rY;F%xFX3$i8qEv{FQQ`cX z{B4@*b3eR2RP*wI$m-aczs_uG<y;*wxzm5b>kU6{-;H|o*?j-;Gs#nYx|XldOP?fF z?I4==DBtzRefu@iQ_miabCTPA!8R{!p4ErskR6sn>l=?Z&+UlwwbrR|e{NgH@!{?k zq0(-ZUFH?_qKZqKe>r_-*s{;&NZ0wShsHeZDT<}y)5;!L3R^F};cfKpx7?eh%ibLe z40HH3DT?(tm!5U0Oj>|SneA$hm|(f}-=wcCcs^}1hqK(DDfi;kPIfTPvkk6m%&BF# zl#{&k(O#xkzh}0tGd*&`a_6(lf(;#PZT@aYyG_Ns=dkSO)|*;sc}etfkM@V+nt*q` z?-!i=sBQYkBwPFMoIb7;t)sDdeJX2b2{6|i#C)02ygzK|G=t=?opW4tjQUr189J+p z=kRX7Ct&}o^6K?n;Tuyn<b2|DYJ6t?$*?S2D`H7%QhocowYR$bj$LRy@ax;Uh0mEy zcFkyzI<-;R@rrd`fvvjDIdSQt%Uc_N|F3Y|wRrFS&!M^>R?cCyKBJPR@KoF2){k!z zNeq*A_FK-1s$OVWo_=zMwY1TprZ-IIa>e(Zo7VJJ_R}i{-|Gh-$#VAxWi6AxQYN0# zFV(m8kh+zq?Dg3*>fZ(J(2BmrT*v#2C&235;}g59)Z6C&{btN@E-HP-g4azsZc{e; z{r+Y6R*V13*`|bu-Q^-ub3R+Jc}rZ){4p;m?5E4_x$mxB-raqvD&FAj+>?syy(Qx# z@3HlXUs(9-_5OW!%TK>~b$Qm7CVQLOq#(U>t5hFdlwygH_4@L1)r||+-HRJEuP#-N zzWhR&ElJ??`?7!2jvfBfQ>ggr$+kS@-0xp*ojia08biFpwlxto?na*9HWakqSM2=G zwSO&-in&nom7an_{I2)zET417sU^Mj#H^O~DG?H0d93kOr!@AwzuhZ*Te$V`J#8Te zp(V3Ul>gc>>CN`M-BU`_L}KKw9^I;z5WuK-O6u{%_3Esh&nNb;xa6s~m-*o-o``^J zF1q~2^LXt`O@29x^hYlX^S{4ky21Akijwc%OD+)kxW2t|a)AN^oAj#h2QRH(shoM@ zaZ-8oSFMG=`j~8z&Qyv`y2c<V&116R)P8^6{|`Eu^R3v*S83`RH9k~3*0$8AwKDq0 zj+|FwjggZwj_b51oflu#G1tj<zUiEdmsh_``?0=x@g>IPRYDfZ@)yG+9xJLy{r$K} z!G*hE;)65o|0^H<sT7rA|LPI{?AV%^3@^Rl+w-4YtvmkgqlJF4h3-}>)4zeI?Vjqi zu@@(1wVX>g^>E&sKgYGAz+ls)itf8|R*!3sx;?w!YRhSAcyjs4j|tP>$=;A%P>~X% zbn5oh7dM^+=*Na>e{+6hyd=@cGfwKf<m4p>#V*?RcD8MBnQ=?1fO9wN@`VeT!*0X| z2c3z&!#BaodCMMEhBxVlSL{2(q2_<8P+n2#kJRk9!u;IpW-N<2etZW%i@x`k$zF_i zOwFEb*m84Q@a#|jO!-f;*0xVrcf;B0LjmU;&!2a;`XBFR-kY-dMq}JrCTZF4wXZLn z`fogE_QtZ>=U%g{uk_hoEZ<p|^z!#~&4*0?)-jjvwE689(qou)IdHyq>!uVD{afM% zQjuC2mvT3}Z+I!NHGI#PIer&jB^C!n${$hKqbZjZl3)7%%lFxDL{on5RP>d(7+1-j zcagIwBUMCq)9<x=13rAs-7}l>fUAGF=w-fH4}Ng+Z+6(Xmsu_H$xB~{ZlmM!%zG{_ zHxBviRFKJR>$LBp(+kZk<^03C!mkn-J61fae>R)-^&gcr9g00?w**b&*W25uSZq;{ zlH5|8c*czHZQPL}Ch^igpB!JL{<jw^mMH!=C1?92!9V@{ljh#t<nz{{rt;u=o_9~4 zUWkf&Q*};}_w7C&^>y>|Ds@*sQQ=OVwoB)>HOrEEDHSi_Yo1QCyEpBtWcATupRSxK z?;&(w>`0-=mX8Vt*Je!eDBT+^A7NX6t!>$?RkLkeI@N5%bxvh8S+2G4dUfdN-%Xty zS-d;UMfV9#EAb24d(b|-?Z3Ld^_s`x+P{tGe>iuP^ZhNhg|9z5OU~2mjI9X1muk1% z{f<;~+oy-k|7Ys^%AeN%p5NKqu;1*`hq~Wx`T1w>>^d?#F@i^6OW`*CW9n1tYHx3< zk@dP$d%ESk&^7L<>-R*=G?Fd8sxediP4>Hnrbq9s4>KxGm+}x(`SkJs;w^TOGnoI+ z-;iOFIVI>_3d8dlJ+s})5iSf{IUZM-S(GazRP4TgzNYi?{`K|yWds^mTE1Z1?c)1j zv)!jB&i?8ZVe8v+ZRYmwR&<s14D7$J&}O!z?ddMrJ#SxfD&CqTkyANk|MDWA>XrQk zCNcA;cbm>)@VXaczIKADhi&`zt}FZBmOt^_?Q!+Ux4F$Wk3L8Qzbkg@j#0d-Y~^Ut z%RO!R&n1TZ+Q-|qwjRDWuYQZll$6XF1rlBj2V5(w8eFa{2>;>u<mFV$|7@XMYV(+S zCFU4UIZ*4s&&nyZ;PQbP8h@5_m{uK`$3Hu6O80}*El;Kt$p&OCxoxGzVb)jjgdz7{ z;+kJk8)mQlnRu!5>kjF|g8Y{+y4^?=I%xd=X(D@~q+xvL){IL5|0X^>b4c(?$;HH1 zilU1BN!8nC^G|N&<h&CYarDo((@e={Z2en|S^K`PTkL2%vuwsw@4Ne&&(C4LeEjbI z?!;Z$%w}9!%MUV&XDpKTs|+h_db)Os?4gKHammH=oLhv;HX8i+7Ff3DESCxI#3+M* zF<X9@9DlMlLHB-AaMZWw`&v!S+fHwnxz@OWck}eRzgyp#T@yLSY26l3>r_3d@8Q;1 z_Qj$O$3OKbNv35_4b9mbHLYvu3)3}RzklzQWK`GBGgU~swZ8FN_l3NzQVvYnuQsvP z@K{zq-MjYP`!lf_Qb(@HELw0SIl^XB$^HB9-!a6uytw-DiO42PR|V#qJLkLIm5fQL z;Sgk=nejhB&&Bjbr#3UA^Nz1Y;$JUK?^WGW+WyjQ7IV#HW>;04Ljtwln}xQiiJfYl z8Fr6*vEEMclUv@cIH|UE8jpkI`x(m{RUR0atdZF5ax(e<yx<v!92P!Z5XL^o{%7#& zY04XquiTl%^=z`4+OdB-Z|%=qtZ;RO!n#r+t5vs8tqS8Xy{>+r>C7&*Yl?N{rqQ!H zmmf^+IIQ-%FlD*UaS1;WJ=ec0x{QAB(p+&yeLwq#g&S9#ycD=uCA+uoZE?BNEvs9f z_Sx+fOpKH}_tI<r9QE#tsUlzgJt$MSsp>t!I;mo->P20L1L|xn3*$o$|Ize+S-UsT zII!*C9F6KcwpG_Hvj4jatyG>8@@dW8D2_dk=j+e=+E9_f!C4>h)q1vvWmA9d!q@L} z=Jxb|k65d{%GgIYbMopI$>`iWS5$teihi)?JCJW^eC>9~<Y!8IWhTC_yEy;5xSgHW zlH<244|2_4wYMcHH;S=6OY*i$&aZjPZhb0|R#~v;fAR;8KkE5KdsA)epEB`2+T9rK zdP;4RLD5I0Rgwp^^DY|&FIhj|__jaC(zCZV_0FAr-Tw4j_n=27oae><`m6b8?}mKK zJtwCJig5V8Tf6&_sL#@Wi|#qv<Z~{&GeQ4F@>a1wQ>&PH1Vrw>mo792XS}_3;+)$2 zqpA~<<D%AV;9a7%rH;+9`21<nK+y}O^6Duwnl(6-FY_klwJFZC3-?*EQrK~2!IPp_ zXM<<4w@sFxT)8MF?XOVh583k(8#udE&(C19PdSqOT*%_quf;06-fgmYw`NZs_skhz zYvO(`^V&4;NY?vWg;WuRrjU=7-kTi{?sH!%+B7}0=bw2fx8g7J$kwL$g-JHKenyHC z>(2;2Raq)@>eI@~mwUVCn%!BoZKC4(+z2K8gRiBRJTlp8RQBfhxtF&-*x!rF*ruAI z`f8=_&20x|6l*KvnmvEta!wT6o4CCG)`~y2*E6gAuYDDK9`rm=eR}zl#j(F0_2;D@ z7cYK)&5rM_=#d=)&Hb+VW||?<Vy|sWR&G?5sHrnwy0FQiRes_2iHEy&Cr$|u{^QAH zAk0*h{mk*@Z6?+SI&Y^YOgsFEdF!R;yI4d_*Ng7;er_pOvH8lEC%4`;ALi(-i(7Z> zx#`2YM(=_)4Xck?-FgQ9^bRSd89!)c@Lay|U10U%)S%oO>n~>+$K1%+Sm~9rEb>?T z^1|Tjp_kN!c=sfq-KA5#FXnF3<+$*53wpn*=KQ>TPu;m7dhU_LXy4=mhrixi<NLi~ ze~C=|F#+xHM2nJ$zbQv&y;*x|maW_~z81Inx1Bcs6Wp&n)8my;Os$Sdv(mXBH~o7B zyLM>LjpVv~p6OK7>crhqMM+P&qmqKP7tIg;tYo$;U4m(Q`fcxK#~&)%nhFO#@;<pI zWtFYjTV*Ynm$=v3pZ(RbN);jF&2{DyMsdGpFH4e`kpA57&peL#-3($oB^U!{X{_J8 zr~L+3=CU7_+T4uFUibF?iP20FDsI@`De!js#>ozT2gFVsnf^z69izqRgG%R%K32@# zXw>W-bHgnwq>o!M<Hs@YH*TvMOd@2gHk|LdSQ7bWmCUB!VTKnE$OcOaeqxO0i<>(u ziFL!irM4^P?OML*VcKrp^5jj&-#k$FvS_;anQeb2``-0ZB@XK@8Bb7?5)953nR1Wk zQ+dKGEAQw!$E*cj#wQkAn+m?0A>|?>)>>+tRbwlisLXO@8xt4%l^;7#MLt=~9?bpc z4d>hYH!iNyYF_W0<hs@6fFEP?E5*bu8}>J}?L4<waN|vj%oVNw*Ep;TFWda#>>Q~- z`dUs8su$~=Y@W0Cz2V%%Zl;BI)9Y?t*}R3XZgRYc!s^?NK7Yf47-w<p5U##v?9XkG zwdVS&j0G|4Ca_*QUb?vN+i`LCg%`@Xxvj5@oxaX->%arw74z4ZA8T7x&f3xCQM}=q z>Hhm3H>FN;3!e(w<Q^>4bHiroa*JQCAye)&v&%#>E9I&-?+cm#?|fL_{v-L}Q6{1~ zeV)#ehaL&tj8foxtJ<4ZEh$jT|9OGac_Y2BrIt#}-?uI8{JC|>_i)9JKdwu7detV* ztACjqw0@i8nR_0k8<Y;@+`h(?Gs(8sdfx4bry0*uKCD@GR?qC+G~;5Qd;cZvS!5+L ze%(6b*d(fJ<sPXvvo9?#>ZWFvtV2?hz^VPyI-dO$o~85G`O?iczRfasJ~k(2uDV+q z(r)T-txw?MpFe?<^wN8iO>Iw`ho&#H5X}`0?X)u7d0^S)&^>?TN@_kgzl)Mq`QShQ zTIm^qzhRzzI}S5OtS`A#DBF~H)$ZfeYquU}e&=8QRaABAKi-^;jNE$HnnS8*@&8uq z31M2&+F`e4o3~e%)6>^0OT;I$9h*Jts@Nkh?*iS<@GEj!8=nQtxPRcN#g~QGJQC`e z*A-6NaB6MU9=FLG(+(efv`jAV=yUZM4_x)XXx^!*ESC_rxgmS}=;D?3jjMIm-4p%k zYX9r+@5f@N_PqEMxV5eN^GbupY}OS57noMW8H!fllQ^NhSv4p9wzzVpnEZOLbot`Y z9~G<TN5s#mb(Q^MyX}G0mmM=7&7HOR`7M)uZ1dh;6Hv3vzkHVO)8B{u3*U=O@cEOe zmdgIvP=mqAI!r)oq1etFQm0p1GiR3>{khiMe{<(!hKwYi-Cv$<oMCWCsx~1iR^!3l z+xr5}>%MHcc=p0C=_}{eM0nku6>e6=_r~3>zMJi5^ZGsO4f`nq?`#w+7}ox0^EKV~ zx7)&D(F8%e3lGmV_Z_OgZm6ie+P%)MdHs@|Jr>9Jh`#=(CZFKGO6~hZ23?<-?b97@ zX>YeNxnuAyu>Q5z?k}H?c)aLb9@M@x?#dDE0?|XA{_pm#W?}#FX!C;_Zj11LH>@Ys zf8HSTWaHMob4m_H<b89w+~vI~a_^<Zx*g27JBsu5g`&$AJA63Gsh!kj#s2ctHR+b^ z&2imJ?|Zp1nwo2y{*1qWnK!P#C3};c_e$@tKE=0%lCsz2`7aB<dw^U1yM#w)<@r_L z4kf*w_TX!G!foz^jTd}A$r$8)TNz!IpSSm@YVyTbnv<DDgzV?UZkaPxGVkL0Oa0an zTW6RGBz_e+ucQ%N_BG?d&+jG`ef%dD9zHAS_dKU=N0K3n?@Wml(^FRKt<SA{*tlV# zkJ{vARqaVLK5q0#zLlK2is^-na&_&WiGRB$mD+t<e);?Ai}n9_7PFtbvhQ2p6kV<# zlUAfASua{Nc`fsacb_AL<o|45xn)`H`wsb?x}}m)6DIF1x@gGrc6Qp*Y|hR4tsjD3 z&#*tD>@2^>qV-hsT)WE(`AbT^TJ8|Px44~Es&bXu>PZ(Kb*-1b7+avq)a@*-6e(m< zv4pSZbjuR$RLjyBrPX#-CuT7=scqcsU@iEL@xTS1vdZj-_1Z@NSya0d?cZN&&tdv{ zd9Tve+xgL(<yyDI+OLeBpZqZV?12dZpO#m=Ow5RAXE^tF#g#Kv+cV>L_1<@^yL#-` zt#eCc*EFd=+ZVr4VA6}l$5z!m;O6nmU@j4<ckEde(0gg;)u#R7ELs*0!9VXMm-?-E zc>Si{6tj&}gHp4dx9sc9+HlZ!QG4Gmp(_%%18;v_u=>);Z^^IcDwIoXignm9&nZvI zFlM2GotW9t^+o%-&MYj{n?4~zI6x-j=bbM%ejnNV;+%O~<m%v}yZ-qKGbh^rik3MV zt;T4+j3IIUMy<c0=WHi#4{QtilaXul`0kUnY=;l(h(FWG3%A#~`(b6y;^?{)0z1Rr zc3tg_=Gm39dPmgi*l+yKt$xBE&A<BeWd5J={#C*z*UbXj{mXW#Dy@1lXZy{$i;v`$ zPw9Hu9y$5S`_@N`oAx}j74LcLyGc^3VB%H2uH!m#1)jbWm#^)vsZD(6eR+S=vYpqa z?C1Bo-~S`!Y(P|M)YBFD-6?K0HC_*z9~*qPGnUvX`D1#?s_P2^818A=>+Z@~@-92( zh>b^%;O3;Pm*%<t0dA~cmdbTs^H=LWAiFU1!K14WmKaH$5DMh%PVXz5``P}vjluQk zhThOsDl@BjZ>GsRJ)G^!Xuwxqc}lo$ceQN&H2*!TF7|j|5W06^QYGg_`_}sHd;G4x z2#VFW@_Mw)HleljrT<x%30#jJ)s=1I*<qcfmaSLjBVrsX$z`ol&6BfFE@^wpDyc;+ z0+ILm_eXZ#te?03*xO0dUmG+g2&fz@GL^Y_>*mxID^$WFibA$jX8(w`dT%tvI<uqO zL6?8tw}_2Bj*A+qChZCAD4W`mArW`0hcCUQ`mf?7R>?xYcC8t+4lSHlXFntB)wxKi zjyrOWmJhPHTK(2d=*oKStQgt!u_%&(H6Wu$OIXU_?Z-5i#c}QI%#JyQ$B)hyj=Pe+ zx$WMyr%yhd&?-oed^$nPD%8xVBHQB5<(jCc6Hk4Lo?E>l=H1ld8+#+C*S+zNFNpcU z@SgdRxshY*{B2xnFSgG9x?%g=CLz9eIUx+?hh5T7xSzZ9t!BEOr;4tALFBTp`N6@= z`E0kiKl``tG0ls9wo^*V>F|yT^DePW`0y}Ue~*3p&Le-$Z^~Znb-^%6@WRtV;dT15 zE*I8%zwNtM`CxWN{wEhV>!6vbamuq9EPqJqhn)ViOizD_)C&Rrr+>0HPPbgT=WoXm zRZYP?b?0qT_w9b;d6{{~d!=_~{A;)iYOdDIPm$WXWQ%FJadltXvV|Y@u3lZ=6JMmB zBz)rH>R=NFi6=Yu9Ob-Fe(OpBdxg+0nI&(2FnUi4_dWmZcG$O#w{8hq{=7M@vOQqt ziIN$QPaiF)ITKRloOyVKQQy4ftTLq~PHR<8dS}eFmVd)$Jh^(#vqSS%PI%(!CKy)S zv#-!F+d2QvjYF9oiuTW!eBLNI`{(^2hvzcOCU(YfdzuOwPpoTmTw@_svw_>j<l#E+ zrRyv@xuPAU{9divnW`zMy5>;<yZ9lEId<PCXq#AR2mbiro^kx5k@L4=Z%)S9?LC=) zcNpKU^7;BiXX_dEu504T6Kh0I#jkquZ@%5%S&ox03C+JQ#}xFl<XM@Mfk5Xpm8JT# zZf@6ca(`vK(@e8$SIwGhsb+89v#BPy$~1N?oTzkVah~2J+u1jFzdh#mVYA2cQ}1+) zigk`pojjXo+e@9#SI^Ax73#bY_oRK{|KP1TyQTF{CC|TEwB6Z?;gIN^vbP5IscgPy z&b~BI+Ui|-z98IeS8w#KXRdpvt`nPEH2L+7FVh3|rM`Br@rYEeE?*dR!Sr;_>uF|J zC0|*DSb1->&tZ(cC$as@CAlnpUPqQ~@t2kf9G@}W?<HTVxF^rOWAC!MHP0GuVz|P- zdBcrtzkN4+Ip$42F=yY*O?^(coxJNR3wZTru_nIy%$;}HWfFgd@sDU<ZJ)_j>3e&w zFt5p&R1^Dt>eR2jhwTrkCpj1de><lvTQ_m~L0M6`e0ip|8Oxf|E}K03JL&M*ZQ`N} zi{j=9nS9Q8wY*5=(*LfT6YSKo`t;&{s#jU?g`WvJxw~s~PROdWCfjsg%$~=x<>$pI zQ}drBeExCEFDK!?%FTevt&cx+ZRLvFCXw~G(uL)^R%)?HuFq?B4UZ-xp%aWpq8n10 z?ii<=T}$WBITG>1GH5Y({e>m|+G>-IuKvxyex`QI=7c<x8c%_FzJjsaI=OhPWmho^ zbeCx5ocr?EbsO9EN%3>9ORmjJk2&C~GVSnP14fIY>P?S0Hg|se@;d!VVD{ty>B$H0 z^?Gdj5E6OCMvGD9en9Zl(@%U^w=irl+Ns0z$^DVUiGZK$s@}40cqP34Aa7sJZ;67H zk5r7>jG~;^J$rRAGBdOP#Nxc~+`-wcOOFd)PV$OhBX&}l{cCOJ(GQjRVcvpA)-&FI zBy_=6Z9aPl&-cPj7Y{5cHoM9$>=WDBpm&G=x@qsN9ZawGU0-~zXV;X44L->y!fW_N zV{%WIm%2Nh)3|={XVF%{PJy0fGIbuNo=!|JzJ179r&@7_QK$3IhT`DgvkU(eo9vCV zsrv9GF!OJg2m7ZxI}L?`WQ1R(1x&mc+%NO~+XKrr7lT=%H-<hp<ov()H2=qk_uLtz zMD^w+UI<t7kGL4-wJ2}x&R~(FeD|7y=1r+{*q#5)G^+mI!)=T^(|5+E&2QYmy7zXM z$-#pQXJ1q(_h^&izxQ|Tk+Ny$=caG=$@iZu&tS=`==k_4_c!NVk9U6eVXN=)n;y=d z@I{kzQ;*tLWv&yGGCfontuBY?aXz<@cS@_%z3Y-0J*nlS)Qwvq&kZ{by4>DAG|Va! zHrsOXxZ$pk%2{?PnI)4pX6DO?TsW1J*04c*63g_N!ApeO<aVfZekwR7yxQx)oAp_x zhKH}6-u%GK!2I`)Xi3$I#*JDUEGIQLZHX>5-?eOkQ}^l*E^>$3A9->Z%K29Qys5ug z!z%NI=K&{=YchWGFHE0xeBb@!ex7G5KP-G$e?{@LZ*S)e-ID!c$_~nH6P_u=Kfj(L zT*m2nw83%eEVh8jht>u&cQsz+zP2hUNunY{s`2rMU2HrKn-`yc^<{xX>{E52maSRU zsar&BKgA^}Mep+XaW<)wduMI7{X&P}qn8UmJJq>&&ghcV*zfC?xF&9C+iSUceNl<! z^>V_Izj)s=dg*kzCVrlDNi<mdOm_X9+AF{P6k88EGVs+Kf2j)C?GnpbDtP)qG}Gjc zQu!(B!C4j&f+4qy1+5An-|x*?oOxw!0U!Ug#x=?rzu3!~JEZ0seBujYi&%F`zP{0) zCACstuz+!+Rl3WH%X1#jaN_LS_GN3W#`^`GiUv9?-##9g#3Zxh`pORGRoC7LwlFTy zedeEiiGej_;hhOj9@guvp0-aRRy}mqk6FHRChk@_WOmu}liJg_*S*7T3VeHbW9N_l z%+n330q%<u0_MHgbmymj$frfsas3S6-TvH~&GABYg0AAp94XyLasT=j<*Ri3SDJLg zcF%zddBGD)PpGLEl-)Ym;rTT9*_`EH?yH@AvM#oDnyZQR^wwm_y&J<SI97RQ&Ssx$ zAn77`_{ps4^2`zaEYtUi2=4VSiQegQMAu<~dU46^!j-m~tMkvhbj_Z0En989*5g!< z`0$LG=A{}>3{NLUP3H8t^#8<EZ+qj$X|b*Wl41^yy^l=mPwl_Xuhy)*$=<x;fpoyy z!tFCJT`d0)`~7}`{%p&7Y3tL`(~cPTCe^(!<Sl-}7MI|z^3g<f8mCC2p;x(^Ec^R6 z_m&;vuDd_8?fQ~DPW1xMxfd<jVme#+9170LeyuuE!jowhJAvr{L(!DK2RVF_t;Iat za^kJ{-QQP4G5^l(v+4bsaWBVKJ8PGal7!$SW*J4hDbE+@+=^sBaoqN0^v)HJ);1>{ z{Wt5DR@>zXy#0xvGCv(EOLg2j+azIYy2}4E1x)J4J{G@Xui2?*8KbwbwtwTBN@M=G z6>=_Ct9rJ!$8Wn~Q08vESUscIO#Wz;X33+O1|oa;nYIh>w&hqe{nUhY*SLa0&Q9&E zUJz?o{7B=8?^7?%mlL<mG-`GZdw)vn{PhL#%XVJfV7k?_V%8dU>9sjo2hLnm{%w9r z*!a1$$@4tR4Ph?FSH!7J^Ksar{kQafvzM#o?Q7@H9g~<EmaW5V`Fr~ow=Rw~hXZ%= zUSxe6Qq|e4KTkYt*)pY^?rib=8xx#c%APylzWMmG|L-#=f2?$#zw_nC?f(Qz&z&m2 zmOI_bY4+-Z*OTq@UH<YN_-8b$T0VI$=iQh;vSypC+1{Ly6j@cOe_2>H@|i~JvxVV$ zTlfR=t^WT$D8Blm+>@+`&9m=q4piK^_42!qOHNEz$WsWq_HtH3`|am8wbhaWPZeXu zxnvX;+3r8XFZ21c?T-iEb-$V7_~w6Jr*0!;*wT1dH0g_n_llIS+izOep9q}heMSG$ zn;nXBHLi1ArPPbAUJvX{EZw~32J3?5TyOqY^?XWW%-^+zz2{Uyp1G5kq(tA;b5(9p z?CbZP+G&ux%B#5bOfF~6>F<ZEub2nj?+p6#YkB{{JCa*&FKId)lV2v|wb$XXs7SY4 zSM;-2HzmT}UD|B#{pTc;OXc4M{y$u~KJ5JPtl&ntWoN!jzPQ}p&POlo_>1$5_3hU` zk~sczr(-ME!&t*34_=fzF)<hguCd)<IX&h2gFk!u4EL>1id(hkJX8Hau9&^g7F-qS z{^ET!{hQ(6zY`0t-h6+1zC~Hz6gf3((dnUfQM!|@H=a7N%)H1vx#sTr7jdq;GM-#} z>Sy)O)#glU?4s`LYH2GT_?LRsm|CbAzxvpB@c-_T+|)YFAiaby(>=LZ>*nt``oll; z=iy5sA-~wAQ?Eo#mM^MW)p_X6?t6b<$%#rT&0X*JKEZY7bE$yhgEx!bSTJ3zo**F? zS~2JHhu7Es7e?pr+uwZLV&}1-S=%DFUeK0&_CDdE!W>bC`XbI%z2@0(>=!R$-@C$K zox4`u-CuJhrB~W=R9s$bE2OwcR%F7}X(uPKtg+lyS~cluRa8d9N)LzSd2hwHmdaYa z-Rz{GYt%gP!7{nGfqb*3c-pW3e(ZnYM|XbnV?VZ;-ibWcv~f;z{EMg$pDjWIQW#F3 zd39Lqxudp?o$Na6q8$Gi%~xC2|2)1*`1)JlWA|nkw$80~TBelvafAN1Nk4_Ytakl% zD^0(xr|DH<wU3sb)#V5_HiosE)HF_<nY4DY_*(%r(M!22U2pDLuyc8y>(1*6k%`~E zjZYq9RD4&+Rnq?I!ui)m!pd6bPm5kmUMI|QaXPbp!H0dShaLH!m8s8tIXga8_G8^G zO`UV*u7`^!oVU<@H(e=FTS(@n-5)=z`?t=RPZE6YCT374aaM5(bIdG}{*O#6=5K2Z zj*hgk&A9yL%i^c<w;im6K2|$S-y?X;aM#ueGnQD~{WUk@_Wo(?ysN7O%J$YcaJ_Js ztNk1!lXO7f;K%PqzheszseM1CR=i&Kw=rK{Yqqkbqg0S{lH<Dv3qE*N+s^r`^~zZ6 zs3WV0UoGpEdwVzBWVm+X>ZL`Y{h7&;Yor9;_6UDI%X+reUFXacOXdp8ci-Z7?H3R- zotZAWa^@n3mSg*uP77{&|J$?b28VduGwx-KTrXAItQ+Istf*S#v?Z+EyOVda&|I01 z|6RT7c76T#bj|eDrtY^KJbKLoq>jv+;(c?aeY$bqC5ffMOKxcBOuX{U`dfcZ{Db)4 z?UH^Mi+NMFU;a7g?rD2T%c;Ceh5g0i<qznp1a1m;YIv0Q;zQx5{P=xywi!-Y#QwQ- z>Ho6g8{dRx^_=dwvdwp1dm7j8X+7VM=xtkcWOubw$DV73S#}4ziaxPkoOrB6eD^)U zIXfrM@n0PHZtt5{Rl6qe+RkV*J6*_q?ZnZ#h=#_##pdZ@eG&R?(_b8OlXWdT)38YM zQNV16N#QHSBTDYv`?GJFd*};=Vtr3#*N>(wK^r)(@k*~^)-FwcH*-nNgAQT8dtVou z9JTULU(8x~F-g~GR*qZSG?VN^i=^zW?kD7AIN7J3xs<81`;%SzI)+6CkKX*{4(+v= zeDeLF6LW-T?F#Z2vD?R6FkSO{n#SWDcQ01nHJT;K(=V^^=W29i4ZGvbW|f>9H~a5% zDe{Wxr6-CN&2!F~m3pg8R@dHF>*&>oo_k8fYNOd^ulxKn_2I`?H+QEwoAt=9yn1uL zQ;^W?o}lfmLHFk9NF?pd=r>!xx-sG1$CoZ?hZMq{gbqn6Uf16*`sfM!HT(9s%zFo1 z&B9Bb)^5JOY;)W^^D70954y~$t7My0{;eaBKU-r{dFYW18F^FWt^7@niU(C+F<!bo zjcK!4{#Ti~J5v4J7goJl@F}zVd(OhSf~rrZ74V%qHEGLnqvtw$>`BeDXYd$k=}1~V zozvg6eD;0TnK#s~eS2kj;;&ygr?v1>E2ZwE?$ejE$@V>7`tq8^zlCZ)R<6AA+Nz^k z;>E4UYc#5MF_*j4@BJ43bJtII)07~EV;QZbXZr4?R^EHADl;>4&+}TNk4CQ-^%*7o zi&x37PN}%w`*mvP(-fKS+~?vqY~cT*^J#IYf%QuJ%ZJ@fcj-*D;_3Fya1~iPRqThw z-+R9pdIBas37)v-$*1cpO!eY&@9^&2Fy*!F%oowddnTORy5uB>Y=~mgoSuR&k(008 zYEcvrJRQIw8r15%m+7fPO09F}C%uG_JoO3r`?oTFQgH9=*y^ahe6CPMl=q~GPw(+9 zUvYy)YniHUQC!TIE{>1|FE;-<wC#TSvi(<8cbt~AVfp-Ffm&L?j?jzmcIn3&%DQ#G zd2{=rdx*CCjukg|TyT=mbvJqxeDdFJuS)x6M^><_$}RcUv{>Ty$9oPZ7A;x7aeA@V zQKkR?U)(cl{kQ7VxxYv5-?P59VCy5>WOJbx9O-=~YeW9X%${9swBg>~;Qfp5$p3w~ z?Wp|wg-Ne9)6}~ko_Q2dyv#$P%<R(I8ENdrZw@<D$X!Y8Ru|Pf@y_|mlmIqH6_+56 z=-<C;Yxnl9KB{N1*DLbR^G&x*g1(<ilb^4Bf2T^M$XD5)nVQOvn(I&L_=yIU7W7Q; z6K`PX51VB0>+;$Y_nVZT>P)s+o}IrjhGFX24~n@LKZlph>tNfvUckph(6j2U4~M32 z#jMuYcV&4w(|=#(QBFSggm2!A5{4shTwUi(2-80I*}!4#TFD%RtW6g8PgnY>aEH%b zu<~YPTfEL+)7evY&3${`D0%i8JL|n!^=%y|%8uUNwm2c-=1V@ah~%y##};+(ySMOM zBh!+v`CeZZ|9P7ktm>aCIXN!)U&u$lOD`^Ha825#;kxrzy2qZIZ<ifh)y+^DylJU< zn}{N}uGPA9{mQpe={5at<rbSpCGLp2k~MdQxNr4QcCD$I>tnK5bJ&*noLJ>{_JqH& zVBVF=$l{7sr&Rtd_OCKLQ>@M2tJgL~j5jjHJyunHcWBGIeKFkk6j~!TuWt0_X6V*b zkX`J5PK3qgU;P5B>3(cFym#w^=dIpYXr<50C&z5xWZOD{=cUMm<4Mg)HD@}-FYNo6 z=Bl&HWb>h*qmR|H8vgVbCVra4F1C2-wa6`hpL{=+zUjrT1K+N&U#yzXm48%o$=9Hg znQxn}^f-U_t7TnspzBX#dDt?MfV!iM`#m09J{RigU3YJz;^iZGr`D%D$lR~=UzBl| z@itbb>$<$zdKp%pZ5o`i+v{e`IdMi!{ivpT$@{8scF{jiycTCF-LOsMHH;JTchPHY zlzW)2sq;GG@TS0$jlVza)Dw|k*`!dmpn?77XJ6%S**k?KK4cZVndc@Yc>1{X<v=fu z6C59o{?EJli`nKfBSTo0=BdNmUS~%9OwyHqpL?*PR_I02SMei%SnDo-vRb&|NsaD- zH|y_B4L#fRl6$I4$<MRT9sg-L7<Nm#|MQD~sM%59>AKkF<onq#er#UNp}2DLp_%KL zC(NpK`}>4zU&d6s|9(m@<R(;paap0Fy=;;{Pjk2N)+v%vi}lYvd9h>BtGV&L&V3gp z1E;S2-2TjU34inzx80ki=YQh+?;f6NvCL3!kG0J6s^FGqEmOGC@|!IaW<7XT)OP%# zM#pT)?Qbn~PRuLo;*ic@6pb&Od0g$~-6{L?68Fj;n^a?Uvv%{-4!J*~tgF8V+`V6W zX_wccaJ$d{r>ZzxJV?8}!&jqwefYx5k1|f!lyJ13d;4JOp6#5OH#OcmD5#{*cvBnk z|Ey5x|08>Pp7YN5bJtXFVcyrG-rEkTj_>mKTX&!6GdwQK`MG~(NO^p*>DzPMYrnIt z_ulj)Y{Lb`M>E!__}-7a=q6)Pw)^Denv=Ut#cmoT9-SrX>mAuAxlz&KO_A+oxu?g! z{oWm#RC!}*>QCA5rRfh=d=dCJVaiVT{bqA{=bn7ST;DvYYMRe-2I;hEp|?F=rkW+} z(l=YpH7$Kv`kZtBHRtcTU%2Ma<05ZWq5uEiy_mVqiF5Zvu4gZvrEdBEMWFtrlugf? z)4Ko8vCO_Q=NhZXb=OUPao^8<c%~8lc~<+X#N#dc^Eb9fcenWSl${B7^p3f?arM)j z+a6kf8pJo8eR0R<wTs%e{@-?vb{(#QN#B>nrI;U?q__3*RwqvF)7wNezgj*1!~N;$ ziG4SBY!{C<*6*0{$2sPs&Xvx3N0C=|PTm!H+Ir>5au+wXnLS$`U;1Uzc>a2!B=3v- zgw3nn+g}}h&2Gyoptxtvo}CjH+RRwKr+5Et6V`&JDY|D4wak#_xqI=+jp?TRWy{*L zQ-AE(^DaJ7GhclDnHVj;#M7HyG$NbbI?XQ#ixsbY!dx$HGyRXcUEromNk?{=+X}br zTKlanRjd5q1J?-7#A)jfKRLJikI~uY4vF;&j}`V+3$163eqc0RzddIY)1tOr)0$-p z=0=v8tAD%o>s2CiZNufS`nfLQHo4ahuzy`X$x7%I%kx)N)BRUjN!{jdzG~IPbo;=f zpB`C>Y{{libzS5v-_9&4l-62xxl%9tb<Cc+8C?ZyChDBGbglAOey*zP?>({GFYny= zHTh#?Zv4wj&sFoIZY$VJNZp)pmW6MEsqESB>Qg744O9|-`7ibo`(f?kr&A9{8JuFb zp5@9LbY;#uoi%SyRP(QxdikBLV!6s(F(H4UjJ_j>_)jxtX4@<OUs|;J$7vZBjiO7; zGq$s+bML;~XK{P)w{3x+u6tT%+4I|4i!iBvt;qiVA!g6sQyapME}EfxYu$OZq>hE< zA`7&ww$5DDw%&I8uG5>W(?q6dbNgP)T%Dk2%Fxfbz45$V<<G-X0gI3SUoka|Pb<MG zf2r|Lm+l|p=d-@<W7z#S^r^|aBe{}ZH{LG~<jZ`?)N??>{q=gixgS^=J^deTj^SDq zx^r96OA)d3M)}C(wJg7$=vlC=J!Lm{#Vn?`QF~{E-FvRk+Mm_meWFn7pYo4bsqpvV zm-a8(dUrn8Bb&{N(QJIy3;KT=^a{pooO2=Vi|)GX3-<23cS3B<<OjQMY}>=K%2&(7 zZLUFAj^c``|MYd;vnFbXs_$w0`D#~Op_f<PpOYJBaFm5Je|ytDO?G9sp3i3Yb$cS; zy<NS3R@b-F%bU-A5scN^V87?A$DFm+5gk{X*R7CtKEt{&NWJCrvqOy!C#?~D<YScn z_5PU;p?Oyq9$T`J@!T})^Z(36cIAt`ky;^jo3}*%SA{E!D|^dS_sAKKrhO?FY58_D z#ZAfl&STdvE4<<t-VtBun`t-QcU%4rL(@pTWd;hDe&;r%uISjKdy{Fu#?6bt3^V76 zTKH`af8mz0ZW+Ue;@A+4GYj5)x);FlsKLClVb!nug?HE2%-ZyHiNzhW*upQp3Y9vE zd3JuSzt3!nn|1$L+uYTGNwW9vT#J~zFy)-^goE!}INrpr7g{3XnDR|4_SBo#5lNgI z{AG;ot5&5nh@4A3zQ_FiY4`ez?)MuH$=&=Y@o81>+(-X)=XiGYt(<ghlJX6nYoAlZ zE*d&A^iSEtWa`}BP`a;q&a4^NH?Z!wcUsHe>esD>vJUdR#u<(AT`x_)DVZ)`6x(I? zx8};;Ck~c=J=~lkA306yR-S0%VAT7uvh>*miOfXLWiH`N`4?wOscrc_>qvZz>vMa} zbGjNS-OcvZGOzZrgs?YA2HbshGWuBO!%5peFtbb&%zX1_xqxP!Zl#QjbFHXzc<f(= zy&1emY~Rh5FKwH(kj1a#ljWAA86Sk6zw!(f%f7j@OUw0+*V?i@B3>sKvVSjHedcCq zntB1>@9HBvW?0CuFg-B49be|>u&}-3MCA*+?NPZ$CoXcW^1aUbj@3fc#3NysGE>Ki zYR<Qo{E3+}RkaVUlzKj~nzLGMldo&#Gl4kQwP`=}#1=kH>6`lK`|^L0Dl1+vX6BgO z+@ms?Wm`{rTG*kSiDs(I85dh6rWY+fTmMgY*3Un43s=0EBKcS(xajo56>FvZ{=a?t zYKislM@}~vF&trUy<OR%eo8m9?DA8yEy2H@ZQp%%>xG3oVubcp^D9`|&1w^u*j>~1 z`>*P%$s9}NYn<+L&b!j~A<&{jyy|aSu=CnmPF-3{MCwcr@vaYDUtp>6QRaQLg3&MI z<pJCJne=5gRV_bV;h3hkCGFp@9!5hu$=>sFf5Me=jwD8U2KOwwJyRs%+q(18i$A>Z z^9@OHG-H3_%ALgiT992`X-`n`*<YJ4&J6wjwkd-rT)FMx=AP9t)~_7e+h;O|ue>-f zi$Q$CkAekaAAROs$*%0*`Y@fdWVY)Wqvv6Qix(W+liaE!?SIPh<&kS07u=;^s7KE} zQF(MtlCIDnL*Ca6k1N=gzn@sNbXt#mY%9a=PAAj#D>ObHGpREFweY8OfZgedIdf0B z%gQzPJ-f28XV#82s#k){^ndeYm4AN3#Wink%5;+phIR8KOcG9qwwatcRZ;X_gG<i5 zl4W6x%ijwN{O2s17v~hIV{DPry-xD*&!9g-_vWtQ6mVQ~c5A1pIJ1X=Th?6PS`F<2 z&UK4|^!xu!o5EGUZocFkw#IhbCy(yvOqu*gz(M|sWw^cB6*G@bN&B55TxQ3a+!0qu z{%rJ$=fOUmdLa?6%f_!Kc{4f1*Rr0K{UfisU)TBe^G(06CMZob3D2Cv-*!Rf%U1Tp z1?lG7jo-Kj&z*Tiho9}$Y27*Ny62q<;c4}>c({MsLDz!0O77w>yJzfkV)hi#U)k5| z!8T3I`-Re!nVYSjhF_Wg_s5z^kuvHIk-SNVYyY!8ku!ZCQN8=4xIs;x_L8}`l$1+X zoa;Qxcs1Gh$t0)vqs?2?<uc!d=1yAsM!NNTqHA~7be3pg5xbe9hV%Pp?{Q4MXg9I$ zn4M_%!u_#+QVlnwW*I%>;a1tU%Wvr^^U{Ae`$FBWcs<-PX;BQP%gi)mgB?|eJeXcP z)=W8fBjB|q=Ye3+x`NQ#V(-*srq2HS>0fELt?Y-_sunN$dUj9Tc*2xBW^u@W#uusO zYL8FMta;CRcg6otbq7q0|4hgaRy^Zf!sMEDPVS`EwTP4Ie^<P)->|QL%7^n)+U3`l zCtLgvyS4ReghtLu*QTk0siywy;^opiR4*vTJ$Rg*$)ugV?aR`Zr^<oqT;B7ZXqCMC zQSom}x-_5r->m8FB`409ncn0+&z;(>e{zfQ#aqAs>e~4_SZ?3ssueir-rXgezVyeu z@D9&BEG2u7>4zLkNzvi+iTm;vublth+03@G%!hFcPxbVcvj>-J%({@nKF?wP&iC&- zUS$>OmEN<Oa((6fZ+})i%J}s9hTj?SDG!z{WITK7*>~~us>RWV|9i6><SP-_8}VIl zD{qnZF}vTlm%Ytj-~aN14ExR#i;`|%^^X>~@@u|CWs;o+>;1}{<$gb3yz}3*YE}9~ zKB;W3x6jh&3rM{E{3F9=TkX`QDLeY*CT}e~B;T@eVw%odeq+tMj1O5ePJP+`DnRwC z?%9?dCsZc-IBrxks$3r9!Wppe%#ry^Q@eIlwR5+qX+MwJ)VD0)O2Vb2U1#4osMPOs zTd;WJlf>3aUJV<knU*yl+z&skf2)&kwWmKo(UGh5B4?-w$J2km>oiZwUyICGDC+Pt z>GGN0T_0|Qh23PB>${?Vdi-Qnuc+)Y_C{77(~UgyypxV8ZV#0cN!AZzdb1|<QibLz zMa7jd8+aT3e_VGxdBt2Q{(D7xTU*6+_zf+~#GJ&p-?t84`tM>{_WGT5N<sU0-Obxm zzWiJDUCtpTsPoyw&5e^Jr!<_YeRU?iIfLQ(K69yO(!35!rsw=qtuKwZ+8yqx6?U6B z;EkNY5yq7l?}+T1>5_3mJ89R)PiFD0f1mGp?4k4c&Z3rdn^oH-jcnuuPTv$iY4z`) zK*6i+eB2vkTDX^lwswAhk$fp){)2~Bhw?A45P32uqViFWUPgjN_0*HAZ7eEl)~Bc} z3Gwt2^HPZZIq~PL8(Xiu2ryYWJ=L{h+iepOgQ9A;i3zgTjr(Qa*k`&wYSF0{l1sYt zLfE?g>hvRDwRu+B%zC%7_JB{NwArQF3Z0(4#~at*mlEnZ*m~?j;?K+flzp;y&$-Rp z#u}Ap5cu=NyB|)jzfXoPmDUv6-^krmFS9_+GdJULzU1LfwF0;N^FCS&qT8)5&lSAY z`tY2XM&SMq!D-u)vR}+-y?O1*lKKzAMuA77Ua@SL5@9IslN-yGuQ%Cc@$(INj>Srk zCHHb$CF)g}KTAkB{f~#?@GQHB@7Y^}4w{=p%W!2zaO+e@8f27s&HEg@xu_z=C|mWT z-TSB8rfpvIa@DMZrf(*ze*Lh>)USBQtdBoBU7G^y7#$`o)you!aNqtUu}n1OVCO{1 zaP`WtqKdQoUQA!LHu+(|y9dt{FI3($JR`7v&yEQ_FH&EyWC_a)XxXlKYuMQ3a?gBU z+&rd8`NN-XNK{pwJ?f+Cze#n+Es;whns@)+w2j(+C*SU)fx_d};!EGA3Ay;oiq|fm z{=|N3l3v8rp8`=HPqW`IG+mcaF1EOiFYnBg700hJ9=UU9!HJ1Ebw61oDo$VVGs^n& zd()B9=S_Qs+E_ww)o2~#zoppd9<BTILDlrU(>^oGCqKC5BeDMa((YXkdW_EKK4STM zILpf^+vKKC<t>MMRzD2cj<TLwwR+CWv#AZMRL_2y?j50j=t57;bFQ*N&WNXC`9<-% zQu?o-hF)X{+f&5r!fwMC-e6j-kiUECo%??d++GpK5_RssQT2jEPLa7*EfO;x?Ai4B zCeOd7^p_s}&kR$Ub|v4hn%fz4v1oDsf9_4{n{Ovv{<_!rM$hH7jqgN{xQov;{40Kk zW0uIq?V7r?Y^$zyS#Qg%nX_)Sur#0K?W^rmRoGs-X&!FAyP@{8px2+M8JuqKy*7L+ z{B$bHF!YDRlo^a#Y!gpRW_tMKSk(?~Ir|q9&#wqHy%b&borl}_$NM{n_dlEZx87pK zyft#+jd}H*Gk9k#uF&JH(+K>%W73h-eBJi!rfJ=4o^Z+ACNh{6q-|1VF`2)_Ol|J+ zx*I|Bw8}piSItW6I=8|-c9-YJmlnsG_)kCOk`&z$F1lG_|BWvh@BKI!vrfcbSk+o0 zeRTit(CmBRcHgu2+5L?1ds($c-_encQ$p$NwU!$TH{Q2QI;ywPw6O8_{M+;QcHVMU zy*`!o!DV-0!OI&%Hmg7PooN!b$i(?km4gqHv%m$`oo>5=wS%|%2+2KrD=|s6GG8|^ zCA=nPW62_}jjjnC9PA4t*T39eo5aWGSG$>A>wehF_jOTyjGJ^eUi^PZsp)jU|CMSS z|LykKS-Y&`TFdS|ZI;5cw<|mMH!k-$-8{uPcg^Par*m)2;BTJ0hrv+l+)kmFlens{ z_g5vYXUx9Pa=E2{+MoCP3W6Ri`;?I>6?ov^FE$BbvBy%Y@5sGg$h7l$+8UK9>MX)r z@30HDfBox!s_OEbW50G+F%|A()^UBmvRSY3yO&XX%*EKeyT42tHy`Ya@0_u(W77(o zK+)yw%EuV?9jS7u)3hz*<uy5B#(96bl62v9_Z6Fef65G1pMU7Oc#V`p?2$?S64Gmx zqwS7WdEAWLJ>%Z3g>3sDT<+4{Ju7tWG;s^Hkg&*4s<-~m*L+blY1MS=>*siap76is z<?^|fpwiYB{W&$jieJH1GFb1llX+^y?aPyIn7AnJjfz{=&gLu<pk~sLzg;Sax7D>! zXvY1$9c)Vt8u!&31f?!a-PhE_E^U}>6>y~D#l2%(ZdUF7yT6~_TA4AUT1NN9w}u}H zGY(dW8?-ksDiW6BS+MrPk*6hD+UfyG3txui?Gm|gkXN&xE4Nr~-;YT7`v2E;p5$Mc z^XIFKz|qH1oP0f#PO4u1o>#G7=Zj3f0B=FIYHp~ftbTd5qnr?<d8BJU!=Zxk@84=? z6yFQEd0OqP*38#>F-+o`g3|@#FZb+VuS(u;7(aQXwLfDcNBO40p6)-I+iW;&C%R>- z{D0?o<My5FftPugoR{R@<+pGRV`1hVk=DQab|$m_^8I3vGfloQwq?J`qbZWDucvml z_{tnt@X+h<ndq`mWOaVU4*AY{)53lEHnYE--2aH#eX(h#)8F~Zj4MQEZ?k&1i0g%* z+Xdf$6YF>W%;$L?Ge7X#Z+Z9H$qRdO{u;lVRJ`kQ=1LVsVLNB$vO`CwMLBJ*oOsEy zNmwd{C2Y^?wfAl)I|W_aUYN$1<$5i)^~Q&v?o&Q!o4@XvzVJY$!u^?(v#%fB^RUfU z^yR|i4>H$fG5-~euKabs(#Y)CK?apS&x>8zUEWR5K9s&}uF|IbMUR(%GA?vJ8aRK! z6`deyC)wm<Gp4J$b2C^t`4t6<F4lKd5Etx85feYV@<Ni?a*6QZd(S3pVfXR6;wif* z_gd!3Gshw?f4-VzduzReQs6z~(3VNf3J2SEE#Q6ma^0jo&5sUP9d0=Iaa##fvrxt4 zyW0XR+O&7huP_Vxuu5~DYd@=8wD+^@6@Qnl*tgv0#U+iljyo^-UKV<5F&G@FuT<7y za$79zUN-G|tJdb^hqqLlKU9m!#cVmoBdwVrz_ZEypZ@9JyDRiLBdosZ*r!(5n9Nn1 zE&4*QARt|8{UI%pDvk&htDmz@@~?|OIPF`P$KLj&;)Acxt8~xY*;S^ykMW_!!u#t! z{(iWd#oW~8mZkSB{_D3szm8hOcsefed+yI)b-(4U3V*LxvY3^Xk=@01p>TbP7l()4 zB{%cZRyV=31z-3ND&NfrP)vHAxM}64b_vdGXRV8I-uKQH>^bSXiYYxNS4!NmG9{#S z!?N!InUg<j1m~U6m)Gz+^yr2~_Ew*T>5n{ucr?_V*Dh_@Aa(y9k3nJ6j<-_A>mx2b zSSX*9_vTh$aN5nAr9pWaj|w}S^j>?V`aCn3vFY}L62~7;XGki2m@Ti)x-**N<%F2o zFBcaHsU+ULoSYKGr#@lMe0vrlvwE*bH}$ri?w*`_b+<TgwY&U=!1C2s)s94KtNr}5 zlkw&&zkNriUOM!CIe(P>?>)|ovlG=;+<4pby72zXTA2%9-*<|7ZJIYJ%jw~s?SD?p zaGU@Ak~qib2Ma4RE?w7Ia3u1}dyP5W!A0Hw^gjk^7vI{MA@DYbVgJS#&)%LE?DPnB z3ay^Eb!&wBrVWd1)-61|-lphy`R@b&CNu75b@=u5nEYgR#wX6V<-Qp_+<jn~g6*q! z9R5$aq%S+9%(XK<ty;A9?6hL;7w;c$%xmgDuHIz5Pxq+%G*zvxWm^v@iM&dg@+G@q z*^8Pb@h*|yw*OmfT{P_}*Yco{w6F{LQct`j8BG%SCaqpPEApg8POIHY<(cVOKPyj7 zEKgc((DgP)r{c_Co|n4E&S%|HIy#N#;=#T<KO<i)PYPx`ZShx4TlwY6gbQBsuARwO zHckDo%3=D61qBwmM-THl>^pJ0$EVM*H9}bU)LZp-`<^QK6poiq?7w+E|FAOP=Ftr^ zcUkjZKYn1dW2u|>B#SBYIQR2E`^7)G*0qq=@|6$AUA2-aMR(udP1#w~Gy83OE8k>Q z718Y)_0bnY{{Ec&WeU4(jL><j6+5)o%)Klt@_Y3Lzs5bf#;dQ2SJo}`U9dUyWSZOC zYx&>YZ$H}l_}{6tb33f-`28=WONl+&^XsnI*MK>bEBD;7Z5HXYKc1}}p`~m5WZf%f z)un+&jm{RI1y@Ggy1i$Yb-0LN!Ywng&BYf@YM16cvPw`@%{cI<MtAM1l_EdNx7BLJ zFRfe1u9@fT&|KUSeKl=S`|S_Cyj!{M$^4M9PCmBKe@Ou+*U~zRh0{|Hohq4^&el~H zwfoid_u<wX4V9{;k6k*kW^3l2pohm}W=g-cYM-QYSnaG{P)^!z)k*6g_I@?;`4CsM z;tI3H)_H%LlafUl_0)d-`=qz>ady~@IcsL!PB+ZE$+sc9*scBe)I|qF){E?S?z<Q) zd~em>9HEmEjowQi2v|p7@0)sPvf8f9Yup=Ey%l-NYPr_3)gRg27hbXX<Zqtm)AzY7 z@mc(=Nc2sE?7ZA1Jss9p<CUHtd>NdXJn2j6k14ZHE62&qo2M1O_F#mfCpTmJbmwgX z2gCJqK3P~yox6_X$28qb-)`O8%M!h*JWsOn%dY!Y5?(s-XO!+NeR8tpVC_K>)s-j0 zcHQk&eq256ruE`NX2<X6qtDM^)8FjVl90aice?xUBasQxvdQrim-kKkW@L7^(*E@e z<=6w2?4Ik_s(tq~&MKdj`2FG4rdM;7wk+jT_F+%@zkThkTSryfluu?jD(qi+gPE5> z*|qeW*P>f>DISXsTy5I0!75;ZT*p$Q4H^sTpNU?cuyKzY(;K$-<BY#1JzXRf-L$K9 zvn^u=d$`;Fu2n{E`&^i9e`(yX3Fy|U`nr2YPW&>C_bS|$TRJpm$*!5Lbk5Y6ujq8r z*7pu#A4@iO?axTkP;OaqZ*A8KgZ{=lPKrx61$qAn5cTr%`Lp+|c2C)LeXhOiwSL<7 zT#TQVe@i~1x8dSR&)b&{Z)X>1R-JzA4ri9p3Y&&I(>Ff8p<C;5)&15JQ{R^-Y$re9 zUzVY`jd5Ag&L`)VT%U9I&uWX?bADDUh<BRC`z>J?)|vAD?9IP^+MTUe@=Vl9xmnbH z>1S~8{e1r^{H%-m(uwcC|7}>fnSbfC-TylT8h!?tT)w!y<elW&D)Z^{uFt-g^FjFM zgoFHnS0=36dhG{;wPAJI_ta@?PTYBzVxOJ(<#Vg+wuK=NE-pRxQQ7Ru{oGxDcE(P1 z$g)`&&Zrp8m|Vb?y5YmaT1S^@S5JJ+S{}6FzKG_ZH^-zdv9l&`*wynPIxy0!ajLx7 z`3u1l<>%?UY;(?D;gm9S?E|fZIqml<ir;x<X8aG8+xGupXI6ehK~Zh!{%dk}YY(~? z%o1g`ExK?ued?R3H&)b~IF?`6a`^V{RoS!rQ*JRxZgFY*RJeTk0Z0BBi)ZP4WbI1* zpZm^U`R6I!y!jl>3l&3Wg>Lb%W-M;KAz;9@{{9`0Gv>=5GRhVdC#wX8?{PXd*Y!D* z!H0iW<}x(A+p@#v$rJul{~Fju7Wz$Jmbt>`fQq~0vL{cY4zhcm>)rjY`SSK`k4191 zXChblT5jBQx3>BggH8Um=D4l?^Urm@{$-tIBVz3L@%_q+C39~J#oM{IO^Ow%^y9vN zSKPy6d(Om=_KRlO4=<YU7doPyrX}Hd{U_@AFDyO*ho+e`FibQ@y395;B@KQ64C6%e zyc3`UV2sUOofH%lj0_A63=}{NBQpcgosbL>dxRKo9sDD2a3Jf{3g#ml4A}DIC3}^A zTm1A_X?)Eq6=We{(D35iwIx%GmO8B1e=6~&nQLKSAOll1(;dbWj3KVAf6qG|Gx?X` z5yP^0?k0^_8f#9O%{}d8X=5WNB06p1iVZ%?HU)%8w4B<tLT9$uv6~Tn$4;7sZriET z$1JvlgPmh>pu44mSktF_8&*Bili)PaQ8|ziv9+<l@>a88V@je?pitXMrl|~votOk- z*05U4?bIqxR4}=qloF9zm?&26a&4!C?&}!d1aX#APZ$p$S?Jiz*6Eyb$#;+3XEzRZ zZvLKc2Au}CRG17CXJ{xGN<E$U_TYpaEIkW6dfX;PEnS(Tb>^Z{h{2ji9;`Al3PDE_ z9hE$Jg*1(1j|%XKiMdFKnM_#1K81BMGi%#PmP3xr9PA?At&!sHi?{IX){$8oI*p4p zELHmSMX4i)Qy85NO(<OB6P7F0a%xw>mUffE2?icwTTUrCnOO$R*y6KnlSS0+n6R{5 zoi44WP~lnUj$Kp=iJ6(*yfI|v;;q+&3RZDzZ#EH2bBq-C>23(!z?rRhaIs}TqKL?~ zl@`}pOB=skbl9*!FEnlOk~QMm!8;dh@S7T$q32zsrSmvKRJ1M9wK#R|sn4fM^rkOc zwIU(x8T;d=oe~dDt?OapJ{H)pv1A?RAGd~_;Ej57*9d`x8N_BXv2}?|Gzhq`GG!I_ zzC{henR-1;ZmVxZL>w#JGFiuG**6E()h2x`{BDmYZL|{RH;HQDbW^U+7Z7CA-PLlG z<;2^3K`owJOPv;6Gz&j%6z1M|ag*=Ti!syK4>Lb`&*PpPGyPQS*M^HGqH7)<(vizq zeKxQBx9Q)#kr`SyotJJja?j>83!N4z!Q$?H;AP6xp2r>^PDF&5h`(tPSeT^{92>&j zpMCwF+4Q3aS8;Q_4zi5$E=Ubu78o)8l;HI88OH+u|8`p<wIOCEXI9g0_qTfg{^u+I ztJrq^=bqr4jEo66zt_CrT(@uG!;2RRI$r$WepiFvEqhW*%|EyGxzSddPP=O4T4%lt z{&VE{WEF3r=t*z>=q{VMU3jyWSfsjhs)%?yBXdhLE3-yN=O+P0+YYY%O)bhA!86?c z&2W=Dbdag)qP6e&mdrK5p+>g+mdhA5wOX&ttqnPL(`7}9jz!p!f4OW^BUkx+osg^L z>w2_O!;5*bsm+9CM?8bPKV(Ec-{re?O>yS6otw^0E!A_&j(py=AYJ)Ir0*ViK7mf} z9UN}id=uOQSrl0uzuWYee_u6g#!SC6XPjOB|F8d3zh9$^$MM4a=aF|})1L_n{-6K0 zZFYcru8Pu%#b!Tj?tfi5Pm#mwg~FNVJKt4>>VM|@T={9&&YySh)|QFix|>m+pa1cE z@tx9DR>JQq-^Iq8PV$_1X^N}j(-pj>SK5A62|1p3yRdmyh4*Vu>jcM1OW1k;{qJ9p zZu)WCk$vqPhnrZ-%hPZF`)@tv_W`p`lQpw0gh;V}x!t?ykcQTqpxiaxjPBJ2TnY|K zO&3?3wwQg|i7_BoCXmHZ;ET=fRWtv8{PF+$-~V;}N*q=5?#5pAIr4jZ?(9t(!D6M` z)9<?+78MoSCMsMn|Nizr|0cD+7lo6gUT@)F_#(VCP3hnCZ&vFU9n$b(x!mv}{eIxL z`2q7nLye6E1^?gQrrCelI&}H7zs1i2gV%O;{P1!4^EcDi<lnX6J<Hb4s+g}9C!FtT zD*SPJ&93VT<)QOus~j;YG8blw^hut3F-b~bnTq1A3#nS-A!&&VW#o7yqk1QO60Nnr z`15{!bN>(P+so~4*?Bz@(yR1PvAe}DXA+=ce{28$?X~x7g?^Xq@ZMv8LHLlZrXeqf zzUa1}F0a_m2Z(SiPS@7rvGQSdI+d?pU@`URrH2*|gA%`~hTNE1_)bVK^w_F^fSI9< z4_bUf6GgA21_w&2H+)u25asq|*yp|CMug;ZMWxOF6*kVJ4-$(40(P99qjI?=^GROR z*EO@keD}JxI4pbFlPOdBzqzJ-vWc%<veeFMAr6is%uhc!q-uq2TWQ4?BGYy7@RpsM z))+hbE^t?>bV${BxzKjj{g5eN8n&z4tquNoOg%4a%a4w4yDxqG|Nj5=liyb!yYGJ6 z&DG{!pV<EYmBywY%eQ32zmiwH>sC4c%j5rZ{AL`pdVAT{eTG}zuHBxG4x1F2cPV_W z;@@S>ap_8kMt+w;Z|Ncx&!8C{$M0{iomDYE>rw5>&hHD=?v?xQIX|nyd)3U2{k`2Y zmM;e}{=Qj!+WV@{k^A40ZEZr%y8OwlJy)@Rb(+$@vM(;xb|8k?7kL)j-#RW#jSdPz zoGzPob36WvO_FlIdbM{E`y#D_CVjJh`e-`+dH4Cvs<f()3IZH1vzBFwpMM>jS-f<r zfMPip*M61Q^+KLTE3azZRq?&#sCHtq|0|J;nX}ZcYHr%Oa+Q_ur6sGn%BNRm9x}Ps z*~!`HAu{LO;n~Yx8W|c21s;fXae2XK_}S?Fr~SL-XDxHSTw;Fnn`%mYmr~8LFZcf% z^xpaUbCJgKGnuoN%`qxI)w1CE&CuQ$l|?f<E^o2+{e4^fu50@I+^zTSre+=a|9^Xb z#{X@hhRTziLfxFNTyg0+;QZWn&e=0AE`RE83#B_gEHM(R_egPaGl*KfV#AT;%F^9d zr<jBV`+xqPyFb_Z<39Gz{YQQ;Vn5|`#QxS6MfbBI>Pr8rZ_n|b`Y>h{&(z2W1742r z2j8VG+!L(v{lEPG`<b_=%zxk*DgXcf{fqkr-|yMXU;q4T9sir4g-hCh|GUrFvu(wd z_fe8A#>z?z3@i)`&EgCR5()wno)=DQ+axW*z$7Xrq+ui%CMBXIAjBmk#>2)ZBOoIu z(&ge*m>?j;<D#heFePY}jl%4tk6G<JtkL^JXHMC$<HNK`DYxTGq&?RfU-`;7E9=T9 z^P`d#QQ1>|FJM2rb(!$i?R?j^=7vu_bxNi!%Gp5m-^KUK3m1CH1bu$u#kTiYPfOsJ zEU#M2x>m)B@2*bSRW_efQ}5-j@Qb0DlEQ~N^;a33jJc4gdtUvzYV55JkyTF@w4`L* zZnX1X{6cTe!?wUa{{_-~UVB(_EdxHgq_jV5o823v(|YgSLKmSeZ(C;x&$0DUzmTVO zJZQx>e%(n6*0O(T;kdZ>4ZGB~B9q3fUl*J>PZc@O7m~7VlfGwg>Z3`?X-7`)1-k56 zQb}%4)DF36>$#mU&F8OB+3O#%fh%^|0;_e5N?Vg}^`1V%d+O1SGS8hVndd|L(pLW5 zwZA#vD|z|yjgiZ{<}a12o5XL?RM8i^^Ig0|$@R65-tsfobvR6F^%rFNsQYnu&!i8$ zJ>C;U87}pv2Zwu$P5vt{b7EeA{-);(dr~9IjG8w-=A7*J@9Cn8oEtq}rr*4fotQA^ z>$-_&ck?qQ+fV%1AyoX$+3E9H>)pF-pOi`&Fs#wv@$|OK6qW3^7X_JnV()91g(Qg0 z<`6Az@fO-y`nEUe!N~wiHm2y#{><ZC7qdIR)ZXl#eJk0;#(8?|Tj8g-wk7J%o4RTH z<%i4pz5XqFy25pTSo=l|1)Vz4LPu*Z(U;7t6hzJ#B%PR4sJB0f?d$P#6BG0q4o<Ca z{<*rJvH0A5N6m5@xvkzwTnpaFem~iCF1UH=r@M<wEIk7sPtnkd+H;a6v1W}!x9Y#+ zGdu)e7%V;VcgBXe`J4-GpS*5kx4`Xf%@>LPwG;lIaqQ7f`oFgJ+j1d+ODR)+A8Bk` z^P&0B*%wurPYW*2Wna-QCH|k`oN@n8LnDq~hDHMGrNj7FY}qx{*>=W^%O_5~oT{06 zKQyn=!Q=E%>m?KKEjaJmVbfW8!tnOxH_wf3xqe(BJuTwID<_Mf<d6x;p)cl@Ztq!; z(EB?*!AYu`<6yi0ZF}dJhfc=sX8r!3VOIF7zs-T07n~P-((h1l^h)N5(-uvo{tF{h zYkHzvMVmF+6;?deSa<UDTEnipYEfYe10uhhJ)d;$>Gc;eI(&W`{AI;#PnKK}vM4s! zHlOYFL(O82%~VyU2@;=fF+Ezi;i~YNE~_n{C4vGTnrvvdV^=i2AmqK;Zr`8FE134K z-_4fT<JR|4DZImZ*Q$@@lAl?6@@8@hE0pe*<lTQ_wPjK0#aTg@0%xC;Qqy60vH0`^ zy;~-`EKjdik@~;YK=;U#1GA1SFmqwj`KisV7HVCv<p$@kq)SUDYlpE<TCh~9@5sJ{ zPi`eQ(-##O<+4;H6g~TYaM@Y~fj76fm<}$>?W+2_Zs`NNLp|R6csyLQ8E&$4)g8#2 zCa>Fddu>t7jh4hvYsZbJmH3vVGM<&UdAopV>yyJ~3s0?#ez)QGoijF!2W~&zH+z|j zqr!?UEZ>ba*Jf9|o%`xV{_~R&8x&$LKH`r#$foxFV&A;-9`9Yt_AK&R)FUs<@Oe=H z*S5u7ZgnS$Ew8P7z^12_|9s(1jr^dP+is4Z%_gT6#rK}O`Jv%9L)Qo0Pp!QVjwjA` zcvu^t_&_9Yks)95rAu#A!oH@rhZ^1gD>rZM=OxFzuUN8FT<TYfQ(Sd>$zl%CmG{>~ zU(EV=HZsrkxtmufe~!Sf@W-u(EZoh0IlfENiEqAl;KU2bnV*HKj`JIvKixgUGOFef zi|71}Gu^&V_hfr65_VGZ-|v+>7cei25S%r|<d#U;?joZY<MewTCc;kBtP6`y-PwJ4 z0_Uzb_jfP4Q!+!vSN`tH2XkkBI=RXve68Ki>v!f}esq-MEZ5u;_pAB4U!4oTZOMI3 z+2m4SY~gfet5t7fau1#tVAY>2aA1ngw2~sHlYER`zjkNjbcaT5|Fb&N%}|)<=>6^p zdG-x|vKIR8>G<_D<J<WU2Fh%@RScbZJ9~cLP1NHo*YGm9RvX=&;3}39=gO4)nSbK0 zYoXU_%$?4Kn`>z`z2Vn8YCQE#GheTy_;$w%#)hrm>oraW7^{hKByw#ne|g-aZU_H& z>l<5|{`0+=CLwX;U^j>Re@)Hj$CN53nk{A9+m^?lkt(LI>Qc3r$yIsFx%;1VFR6up z+o<%#;O~NodQ}hPa+?`tC8kCSY&#^LrvFiJ!o~V_&!*))F1&9AFK`4}#O?W;wBX-^ zIDwhk-Z6is_gtTOV7kN8w)*a_Y5xr8AAZ1nW2s67+j3@E`$a1^FG{-467qJTv+dtD zuf;c)nh4b|<GmiBXL`8u!1ut<n{TbzrLH%jqujZFCeQXCnKD6V`y$jb?wLfdeE#Kx zazcuXfmh+piKi3!ErU+*cYWadRuvUjA9aI0Evfm~w{E=v6VD~j{d(+r>a?b?Jq>D{ zX|?Wj+i!O9&GkIhZPPA3m}*l{v3wWj)aUyh@;2sv7uS)WuKrg$_ClVW{`Ef-TK4l- zmhTk2W+v*z#Bu%Tm6~MM<td%LPZFkN$W-t4vAV(DD|qt41)o_Q%C)x^nz%5QdCXDV z_c=5n;N;56=Zox@KQVaf;KBX8^xf>Iu66|vPQU*tSMj1?izD-i22I^RzYa8{cHQ?| zTA}|*b;b9!ci)IxEje+THBi^ypjz4M_OHl;S2k?i8&R5Jw@%e`%OTa5Ri~q7v7YtG zN^QQTy2tv3R#2i%*wHL^i+55|Jqwy=Z9Ny=S1a9<>3MRA$D?~m89$`lEe<{T*tNsA z?24HV=l}BZQ>L9f_bx8ElkrGeL;BC&4RSUKz3e=zQ#Y*3kI~e>Vpn?UK<3)hW}m0c zJ}7lS#Kp1M>u*~2!qulb)1C=3%sQ1S*HSKHEVPRA;WnwAyT3%a?B5u}?bGgJUu&|= zc>cm8*1w-dpDA}1bzPfM=ebkriF@tk{l@DA@7z|mHkxqmyzjB{vzu+>moD6?UVM4- zKJSP-d->z1aeZH!#~S(RlG>m5s^ZS~KC0$^J+S4lfaH5eiy2~fk~8+7zx@5Rf|Fnf z_X-BjlUvu#oc1$w#r}{<dWB*f7pJ%fo;Mdc6j&hjg!`5Uk3q?T2KVFrI*c<t<}5l@ z;d3!;v)PrUD(YFz>uxZ=7Hf1e|DGy&dUw?x0qO5ens$#@RTO_*sv#`7qGts|lHO;< zoqsQLovYca-t_N9`NqFXt{mAbci)+HR^fwaBcWo+lVW;j+n)D{|BqKJ3Sljt%cweq z)gz=QVJUZ!)b|hnd_O+bD!aPtinZJKlS1omZ{F}(dx8J{^3u(jS8FylPh(4R_qRwl zjx3hBY*hd3OFL`+?_ifE-s>!zRF~aqPKsx-@#W9^ptrEEhxcUF7Tv8U?Cq3ARaZm= zf6m}LWjOC!fP?Sj6#=SOQvVmdj;^&isv1>MQL|4zO!@9j&6wXS7fg&feC+z`y&F@W z`2Xun5zPA+E~jX!ts$kh;ml{J;EC&^=IWoTw+r%qn|do$P<==LUSo4-cSqq5b8dI< zN_>^PPH>Nkx{F8G&4+({e_CG177@F9@vPz1vwL<P+dsh~>w~bl$|jiwA11eVrq%x4 zw><4hgTemEDfW_k78RZpn|0l1=@R2^wY{ztnMrf2B&X_Ku-?0^%QZQdtLKc9-X7k- zmK;rGcDoqet1D*~EWe%Qu{C4m%N5HfvTbVASoUA`^(W=ED<3~G=~ZIpf6lg|ZkC{u z`?tVPx>v3E!>5#WCjaDIc<oQ$gtJ_%(eayQelE!TfB*HjH(I;3t{%1c`?_aWreCjD zmFLI(n?Kq$i%-1v;_*qL+Lx6F%f4!V<qpcOi)wh`KIMB~lTO$(*(diz6Hay7R`p8% zam_n;Xx1y)tkSJdIWv~WH+I`|-IssOwm0Fzg``iBHl0`He5yVt?*3NvvHeVU`s#kK z|1YPjzm<@?DtlPF;9!a$uWW!}+)tG!5n>bCw%=KFMWCnY^tqkI?hl^Mop-R~y`pNH z-HPlf4mvHoA7%ue)$!1G5eT(1T&<t6|CCGX^^|~RfgbL6qCU@%Jsae~zl%Rf>C@DY z^WO&^Ib*h^c)FsX6SvZX;zz#bXHxg<E?aA=&cN5QddW$TzDgdB=FBqF!1-an8Cj-= z-?`N}$8x#p1va4@j1~`%M`vkIQ_x?u|3&?V9pZQQUb?kx@9(EG{C|7iE4%2kwvUrX z%lYVz$6BZD4o%(``Lns<?wZQC#clJhJa0N1aQ38v{h>gIIXtUonY~_gd1YL-)rR$l zm;GR~N|^s8Z~nncmY!32)-DunZOzHJecJi&S%H@)C%oU6{BhN(t41?-Pf#fncKLre z$31|1%S-DCPnPdm=KnaZevVcDo7B%&uEbe?zP>3iGyPiO%gw?s?_d0z;l=%ov39o9 zoD7YvSNV6?>{k<TUSaX!YT)$Sk@2Ti+r9`CsGrLH|FdAor2nsHOmsbC7xU%diKY*( zKUo8Gd!O~4;$8S|`ty2rUj_luuZDBB1nruCYVEU6&z7WoVwx7a;?j5f;QD70TIXHX zce{t^)o0wA`1<BgHT&FRd#?lEr|Jmrn{rp>hSjlaoIYQ7UN|ASvp2S7`VomejxWy@ z3eWp|!~P2Y`{J`#_buEuvuM|b+iwdcT|60i?rltwm+(B>t5*J)Vb8x#xu4GGKZ+h& zzfR!Ek0}dz=G<bk*fLe=s@Nm@(mlm%_qiOJD52-Olp)n$x_Nt={<J+D)13F*GvyNG zb5piq39VV$W3yCyorC#u6AR(R%VwExDRcUgII-1ZdTr$1*B`{6N_Bs8`}C>dA|u;8 zsfd57mLA$u$}T4VPOv(-<XXXlERk;RD81)Bh2Ns*dG@}0*&7-+@ps&X9B;E%8?T&o zc)uq&``*bPuWnDPy(jmstN4J_zIGw^a;0pq_s)}+xuiapk=QL<u}b!^aF6u4{Tn0a z)$*N~o9(6T@&B{Wq2|_&g`2hhCZ~N@ym018W%$YD{%Lb|X~bNZW#Yh4q518|ti7k@ zm%Z}hUbi~Xui}_#rMu$9O)-Kl#l@4{AN>(jWIQlQX3bggPfgcvXZ+>*vhm8MAO;(c zt50+sKTcN?yv}jZ_sm}-?&nX<WZN<>G5x>0VQx;e$@Ql5y@!i0Mm=LXG|~6qg?VyO zRVH7GwjR7a<B!~d<1ZC{?Eb!IdakwZp<B^YS-(s&csbi9D%0=sV>7pJYQJ+djD?aT zzgxVlcy8u3WnXu_!rMjtlenWiR_Tkzc`RZ)s8zD?+uH`&=NYRP&)^nR$iK5BaAUan zeKt))^)(yTpAYKZ6YS1^tLguod27~fSMtf#Xk1f#e!XehN1Y>XU#E+6O@FKVaG$n4 z&oL#=&{U_wKL#(hI`aMS;=W@f#<bHc@`h`|_DvjdYf{#ywtne(s2iHtbwI>$-o+oT zYX$Aj=e*r2w&%I034=>R#uBfP;w8;9zp5M(v(^5%-|X7YzlK|)IO4TW|BL&zfAUQ2 zzV8v)dS9LH{FpBh6E3!N(ZSDdkEc)C(Q@lOyYuS*J16qFFO$f=DEg`D$|paj8Gk&U zPY?CTv|HQrf7dkW?^z4vx65B!Ct-K^-Yg%+JfRTNq>k+y&J?UwbQYO1?|x$IqkmV; z6&@<taWNhf|MzJ6?)3)p%I#kc$+ZNnT{^q+!_7dE#gmO9GFoyR?@6wD`bTd~Pt5|w z8qOIV(X*7^R9xx_-0;50{V<DJv6RKjQ-&YqPGntw^Ze|?_<gdfEN1Rc?%e&GR)3^F zLf%rSIKXyV(Yd2bH-Fl`#x&`Z>Kc`^s<sW5hn`<(3;oVyd`G%JrtllD-=()P?qc^h z2V2F7_axS;X<1qPw7an1apew%XKb%0>7V{Ffwkthv{e4=h#8*`%2jl_2a9+ZgzQ<) z=fiCqS$Xv5cQ?zH==IU*Sw0Qy#z$`$I<$Pse67qgukOg^CjnElYUd_QFY!DuNAK;T zZpJ&j4h~m}P0MbvE$W)0Zm!jEXG4bxuhF%29CL2$Iyvv-ysitbtNMS{E?Qo1r_~l# zJ6(i%Q@6h7y>R`zeP6;-7IHnBwkCetg&Sd_9jn$XdDy!DIlJh~Ra+;h%Ld(YW@1;^ zQRbPSDZ&yTls1>uakWBTX5&*kBP(yksf7oAukuwX_-{C6)-eqx$(dO(AOHEh;E{Qn zughqm^}zOt`R+w$Z<ypN>1A!{d3bo=_v~}(EitR}Jws9@beTA(%=-T?dN+^s|I|~g zwNDN@DejMKF1ei{({uI2yqv@{Vn>Ap-RgLHf7!j560$4k$F5bI#0|A(t-1KYb-kcs z>A_RVYZkAa`OK8v@A9Jpi`NGCGj;~?*K+w}-|20dUcN`_X_Rtc51)^}vP<j()hD^< zWxi(ylwNn@?O4#yU2=q>@8ye{oc@jCUgexCB)*(c>J{O+u<zhcQ64jGtv|A_SiOU0 za#yHi8-#9`ZMn{{LVxG?ZiNdC9Bbc8Dilwgd+y0^L$j`v%2Pb23(eq&*4Wk>FxNO` z`uw9)tR<SY<6N&?xyxekt@P5jciD_W(_VjJWOQM89{9YV!5}!LY4cQ$7xtDs{QFq- z%q^Zry3CRM<Tx`e%jc}{-clR?<!)`~vQK^~V_sGjdD&uu_fg(U%(C|-=1MB}`F;Po z+0bj&^PESFoeGx)+wO{JIepjYtvbuj7RV;Jw0KLt^s-aeu5_Qi(r+ndo)u8~=+x6k z3+}3|i~f-EcfHf1P5b-rUP+A1U%5lL;ra@GmCI_&*?t~5dj6;3g6AL3&*1m)-u$Z~ z<J0UJ7ld|P`#1mQ&&M;KX6#rNDKpnJW%kZ(FMjr9Hl8)=;Nf*&*Ry#`gp%_#gC|dW znpn9PF@HRyQ2oQ_;I5F$W*(jbNq>E-M{y5t3Fpq4qo6cfVyo$Kr{996Ck?pQe*U|x z?IF|Ix#HR(DtEXJS<Rkya`BTm!<EK9drt*@u3&0OtGrVBc6Gn9gTKb>ts3fL2PHLK zk0ituJ-cqJ^iAn&GQ$)uyIJMoU*qc3dv|FFUQ@Z1p;;sTerL(0eD5fx?5CevGIl6` zn0l^c#a^8z*2b928<%?LUEFkd*3I25>F0&^8%w{w&sV}cttatvZ1=m87(O4lTT!wi zFP5$6;|jUFvq1IAOX<AJ;w8P_qDqrKY@5n{@ba}wKWC|*S=Y9h`)~UnWy8q_&wY@Z zsr6*tvDrs|Tn^YCQDt?*lwoGyBEPwjyvLJlBOlH${hIsDfFpg~mZ|pp<pN$;&1%0h z^Tf}XAJ!|(uO8CAkhX?JaFv`|f7a1?skgWDvD^$h^zOj2!<MHlZ$Gc!`S06=+PpUw zV)J=z%}d_SzfhcZ+)YsDs;b&w-uKt9-KpC>`I7a<!!iHV=gKE+csYsfySA_P%0HiF zo93;&75e{HO04qPOY0`aitb);KknO#is<rpdXn+6KBW^q^Pjq0K9O<OvZYXS!Mv9f z7R^gwO<LG`wPWhOLpo<4&ABsk-{Yv@r0Zd4m^}TI4)!0q$04vi|M`c-tZp44&SuXJ z{GYY2OsU?e$U{7y?ZcX11_2q9Z-v$R)frgu+&p&g`I&1oSPR*{3qDpp{*@(X<MxFI zChj=x_v#jRtk)0syxF^U>RC;6y_f%;_sW$^ekp=4xBq6#Q+HRN<niIwU$u<KDa$`) zRFwR`^jD^b>FDz<JMOf^nEagD?iO%I)_mrbJ9EuvUf5%uZ?tfyb-t0MZNN0%o8h~K z9;NW@UHK#Ui2CjlmAe+#XSpYBvMAqKKK0mp8BZBmMW^?E@eE(q#T?M>eDq*qw*UJD z|M@m5fB(4t%r-&yuXh*)3XIECyz5)+HHGC)CHS1ZI&13L=s$i_gmWGSoT}#kaMt&b zM2*xkwuoBMnUP24<xfizT37Vfar?n&=G@-5MgOW84}9_s`*uAf{m8?J4F8kYL{A*K zwfY|Ol10-Td?SDD$URuNI(GZFJ<cv7(%Fq0!_JqlSu1?vP>;gR(C?bn(Hcsx%cD0w zG<EKe$T+?%c;&RJ;`*;gF8%D{;gY!~YNS5taPPGBAKp%4;8)zf*I~&wohjSdoW0X( zcE2w?ko@M(vf7d_b7hWo9(UvBo$uVQ5O`T<*F?9&4=*ZrTrrv4S2N>47yGq^Qb)36 zUJ5vJv0KeaXtgNm@4oi6#qf&h&s{IS#@(uT7pugbC{V9|UpZRj4x50)isc2VhQAZf z^t0Q2Jn%q&Ugy~dK3mjJaF(-7^!a(`nCD~LNgHdW)j3ZI1Z<M&5OKRHq{Ob)|JZBh zp-pld#QLQJ5A?NemDHc|oh7oS>3pO_MJ@ZvZ$XN33m+|hKFh+#k5&1T`U-^vxxn?} zujV%{VYV$bZ%behjXWP&ovkl&$=lQYt#Qyr>#Qrv;h#G;$*Ct)n;dM<Tjiv%PJUU~ ze+gTMpdf!q!K)#AXCL|&*PIZtwsBAEBDGz4D=uvJS$X<meMEvQdxTK%P4`b%aznQ$ zyj_*N`PHHD=;s?Q^ITg0i#5D%YVEqbNvk-mQfH*z2>-d)ZR@HEj~ynVcT3LK{(E3% zGtZj8Vhb00wX(jj_=QuZ9>NS-Qj?N?{59Bn_U!g<u{ksKpNPj^ztTDNS@hpaLPd_B z+4#;i>|tVzyKcVYwxYA+k0=+`OzAJiPoGO}6lp8bUK8|hDxbmv@t2j%KDQ4(U3Pjq z+w}W(kDiNOe%&?a&f+Vg3|o`6&U-dgDHMA&o^j;je{mxx-sglLXZXJb39J6eJ36q{ ztZ0~#roevN!_myA;Ya_gnd=W*yH995a#_6a`^|~J&2R7Fw&|9*ep`5>lrOudi3Vf! zX88v**9y*A(7M@^;T-S2%PL>a>hDN5?|Ql^=*9Yy)29~s+)H|Q_UP?bg~?326<U); z4~RVywt7?k{@$5Syp4?dIeZ-gdM)Xx-$PfO-gctTUMy>Cf{?dHvtm{y$Av@DP7C+{ zh`RaxMQrbE-rOG6N7IF0yt#4TZFe@Oh8R!iQpSSn;-HV$0yA!2oXDtc#2OHPOW1Rc zQg!w5)gF0YZ%H2BIBTcL+r`eGb9)`SgLapE+CKlkQSkR8JW=28o4vfxT6K_<=WTeG z_n$(w-#r1F_TBI0mR0n&z4lk-&io(CP1h)TrZ~=6f9{Kz{;bE1u?~lA`M2CYZmp;G z*QsyT_8Ias6@O)aXmeHk^^!~X&<0(1<Er9`@)LYtzGX*RcVcL4Zk`BQcVcL2VEA=8 zLssYwZq*MPG-MyG{>yiJ1!GH3$n{-wu0Oh}zW!J4=_x^8kE8;kWW+=#PM^Xskzpmn zmfbP_^4oj+|Lpag{#|Q&RF@0i%Q>sU?=Jm2Z+GG2uEj|^bEdyvt?T*b`9$sMf4ag} zYgt(@Uv+BYrsucwZkF9$H8&&lYu41e+m`J5x9Qj3+Vk@({{5I!6?*mkzLe8*erj!B zay8}lw%BdCw`Fb~l<9u{;^yYqO?S(3y=SSs`hVLj(EG}y`znHyJe2xe{LX8J)!Luj zF3a?0WA6Ig+v{HMnzlkWFnZB6weVnX+pF7DJSRQ*ebr-IYI$f@<>chvCq9#&T>AI_ zf4G_Vt!=rRw_UuoEm!;FM$btqwcZsTdAGOOuE@0ethfDbef|5??QXjL+jF-B-!)TG zQu_7(_YK`(@9B5Utx7pkV~hk=t@^wC?Kabs?+v+^C@@smyelfV{1w|*Gkx*Bryb=x zm!CWAA*;Ff)wDfXMumP?^S7s5&ENhc?d5|LG6oMC9G*BR^qpZ{WGZH&XJ%z)!gq21 z&6L}dzPVj_@W0+!!6o#z|E=8Y$@RLI5@!86sVePrIMCJAbz|h!tkBh~a(~y~&h?(e zrLZc!SZm^(-Yd?fGs|)mH!o~lmN_dge0hD#?>W1-<lnZQr~L2#|NsAAmi+qrfBiJG zohSbP|DSt%+uQv)zgOQ~kn`)#6Q!-Pd;;tp44Wlo#ARJJTZl_r8Hq_~Ol|jW^Koxr zKlW(R#*K=K2Ocvn4Z3I|?Cs**sPI9+abrM)f)?v67BNn?UY6DaOzeWbElZ6Q9am=P z2yn~I=;4x?&dJDNV`ZTyVx*-|kq{v#B{luzW2eSP8y!FHh)K|!$$iZA5TlZ#6Zc~g zSs#rV0Rlc!r(2wOrKMzemo*<|T6|Ezbphk-3qET9e%U{`Ql_Fk;gV%~=+XaM-)>Wn ztQKH7@YpeQ>;Elxx2gTi<%36swL{oew##b-KNW1PnrSt8@g##y&SEBdTEcpg-b=Hl z`9+BNXen@c*obsgESRw&M1rN0Z$-+5+BO!21{DRy&EgCR3JL-uD<bDi5qQTa!oa}K z!=>eAU?wFYBP1atz{A5Oqawy7#KqqHaDsz`NWY8XrJo^1mzXcr*VR7x_H5&J*Ap{z zT&o*B9$xRg`TuXgU$JX%wlzI^=$&}%=IO;Vw5~6aI&dewYl61ZoUgBgg#<pb<jmjT zdA)h<wvKY&S$8&HdE>m`jHlkhf})1qiE1aee?5QBrHozc*5lp(uLql~=*q6Xax~<J zKX38L*O#SZ`4ux}n70SN<nYhzVt6MkS9A7Tq(JEhW|_*=9bslYR!MzTX-5>FYc}2c z?HlX<|J-b=8qw7gvSRz?mk94yY?pX-<JMH>t@RVu%O&2Q5-J#bRQc?qE6WxyjusMG z{$9z)u<~p5#7B<n*=AjPES`R=(Bfm61&4#BURG;t0k?_rYtM<+Crs`%9v1$hlFPiU zB~f1TZI}uF(LJ0?dqmD$cxq*5&|<m1%lqT6?b%(ng1Y6>&E9+=fwLPYYG?fKHWLy& z(Ya|7(+A^R)uaUVQ(0UN{#!2gIGo>dQKoTT#^SSL3buD6rORgT3O&2eAmY2?EctzH zUayz3#+^yd@>bh_cVX^Y&YJzV{@s`~e|^(!#hq*}s<jG^J=69Jborer{V1@ur}pEi zuxI-!PJ@V4?d149Db;W6n|>+vdo><yQPY1nYr%gm!5aGj&b@!xQ{P^6-p$9j=<w`> z?|W`19!j#1+$wFLW?itnr$BZ4<dn@)kyEYSltxDEm~l0`YsuW}C%9JL4%_~R;Za9> zoL*SE$E{OJ4yS}n0<3OdyPUT6@P%XhUFL4H-LZeqlEkds7%jD&w`@jJRoGwb))ahr z_PCUqpv|f*FMS<3heexiFXfZq)qEKw_m;QwX`bG^7CBeN^q1e9oGi>&DREj#>KV8m zZnrP+sO&#k&%P=|cBfqkvsBs1YRf5pg&R1u8A23J?$S8GFQw|Nn$y`)e)w9w@Sl#H zdkGsFll9)U<+Q&Knmyw^$KFK$yaP-<YW07b`vrbPn>4?Eyo^_6wz@J$=Msg3(OLn` zQq~{Z*M8zulf1Gk@$sBh6&KppidQ)v5RHBx9AlZyzq#{0a{+71{%vzaW#$O{%RcvM zbH{?#%1@SkwUeulEpV9kpvu$Z$(q+qU;WJHoV_w7<h}Pj)1PHl$z0BI2j=8vp86DN zli3!3!+ZOs1cy2aaX-;#yOf)!bhPFC|D86+F5EEmO{JykjdVdNcMoYM@w<EeJPnz^ zb0liTw%Lwt)0x9xHin#ge=sQ`^me{wVqSqvP;Od>>tWd!^W@X&7*?GT4Y;!6_r8pO zbIjgau?43_e2@|D>TI_ZS%2mAi#@JK%kmaZR6o<wb)S#*bTdo)t!8(hH+Nj}R<MVs zzVB9MIREi>bN%0<YZ>=CkBUx6*v6=EWltnWkkIcNsr}dH-3i{g`v!;l1`Vc_?u@$v zG-u>9t>uYL_dcLGZE=q2>PMark6lyUlAEOAn$N!H3RA+%mM5O|9NT%fIXASdH}~mY zbWiDRiTWwcT7^Fw_Z@V1TGEqqX6N^)4hfctZ{m0UtDG4V8qjh{u}yBG(~(w&Ls4h! zw~EC%&b9v~STOOZX$(78@@?nON0f{{)N^rYZ<)2#KYiC;arQ!Ki6@V~tWkAet@VAo zz@?r^R*m|0+byk>%kKuADqwhjqS3clo^}2fllx_XK}YXRY1=#9VcNF_fm{CjPHvFQ z)n{IIQTF!RofjwgJ#1gNQ_*a#$CMQ@634e)Rk*VCux+Q-p#yE2^Um(Fcw79D)9D@O zE2X~|Ki)aN;pB-O4VLdM-RHZ^T7EB;?ex4ACMB9%N>*<9qPe9cDD$OTX{O++|6Og1 zUhTbJ;Lhyw;iR`nUiXQ*(}D3my;8*?tCvULE)RUow(Xf;v~aSrUaU%dH0NsVe_7n+ z*K;TI>~c~5+_LH7ag&~!3s-;nFDQ{ceS~NE+I6Z$D>#o_bUOOw?*2b7WQ+tIB-XuQ zp3Et`;`)tyJC4k}#`e_L$I@}N$DGpGz^u2O8KwtY`*tpmy5tqhY`ST|o_49$hhMj< z|FE3j<lno|n6=hJ==N^)xO1WrpBQb{ZGFb_;CG;^>I-`tXB};O1*h3Ymrp%Cp*NvU z^rT5$*v0zSZ{u@2a#WstKOEipId5k3$GBb1798i6ZHw(`4)3!oNOIq>Vd`{~ocAUF zd1ocvdt+VW?DoT)KUnGS;c%Ch`p*`sm-g52UsUqraV{vCe$V~3#=Pai2@gN*&dkqB zv_E~v?7082wCxN*rMupSA3m-gQKsYM*YRhz^g(t#i48C7l*IOE?k&H&*6paOuaRIx zWV~~UJ6j9eDFdHU>3Wl=cVzSxpH}6(kXhx@VJ;+<n|J-`a+%phD?%5foSJKRFMRfb zcdrW{sXvmbX~=$-^`)!x*3Vb-q`5m+Jp5c_Ap36ankDmeqoZDYs&Jh;ZD-%nOAME9 z)o+*?=kWXO<P9|vg<Y9_G7}hGiq==3DTpy%QhR~7oaMZ+&;9)qY@f{T_}rAeAwX0) z%i(3adxd{Q9OotLUX8FrJN0JFh(E6%oiXp)G_%sD5xX)~3K%MP&dc9$cGvzF6&o`S zXTGROn>H(?Zf<z_yOirMZ%L-rl^@)Bf@yAB)9mlQ>WMN<tQ`%P!$Wh|?p3NglE*1_ z_|lHEJiMzGX7ib?sbQS2c*`tW;o8xQm3McZUA6oV<IS^?D;M+fu1fAv-;?rwb5G9% z^~)<4<(w)@KWLod(YABZs>V47vZ6d6D7@Ii^t0y4;@D#kzA5)!K3KbR)1-^dtNP@g z7=KeX*Eje#P330cy@=g@#~!_5xosfoVDZfLy}G5FhT?Lz#Zl3-?(|ISJoxM2H<Mc| z@yxw4K`PDrFF9l!*!yeZqH7T@zchBNYAsFLHsvvw{lmtO(=IYLT(dO#_B5O8+Uf|! z8E%czz4utkUQ4vSYJLAp;-&1rFWqk@L`YuP61!SyS5R{7L+3kz&PQYQWUl@>wII{w z+|!hE@fn*|@_Ictm04r6<;{b7qqtx04vntNn?jGze)-e&*4Y$OFW&HrolC+E{U_)= zectx#QSscS(_ynO+|SQ+IV2Ip825LvM`L~a{4d|v@$DCK`c&k<&E(wPW}WkladUYl zMTz|SeQ87c{VS=Bnx&D|L3=8{T)41Jtg)|S32&~;Y@h1O)z2oU=&8nk-8hB!g8D@< zL*vEY{HKb1+xhL=RPlu}%9p<#+p?ZN{92o}akvp<p@U>laY#ss+}`U+RTujEqz(#K z<g%;f9z5o^<K~o&FJoGIEYsqXyrXTmc}gn=U1Hep_9;f}Ow`oeg&e>C7ILVp`a4sy zC45y~S!CdTe}<h}uJ_+&<%o#4PfPW>G<{c#^cnfQetDZ04r<=~zD71B6{W$dllgWO zu4kJn@Op-IzGgwUTwwb&H%^9E%@!|bhpt)tYR8n|D?M>5%?>U)_8@HQq@6QkKDKRX zw2pb|vwcqQj(svqc1KRr;&i!gqWk*J=h+L7H_Thu;HDfA9-p5iyXf&mIo^4%zW9pB z?mc`abgAG9tBly)XAU2i_}6$xLWpmv`<$kZ-L`!`rQIzF%%wt0{&l3yD_>nU@rF!I zQh`HW(%dQQc`j;w2vx7}miTq_Q)T7rFwMFZq8DeY9lg8YMeB)2nvCKNzubO&6sq67 zJj&wVoa<^+=d*Cx6eyY*G=7&<tJxt|>CwCK;wujcMdrIT4eXAKxuhx_4*FYfoG#kj z&LsEk&%Cnl6XPbZXu2D@FYh_JQnBXnp`yAid8-~by*$?<(#abk-L`w{!z<+<%fHof zKkQcjcJ+hl`_h;`Mq>dNuJ{c*CQ7e1V_03)S2g$f6w!qlPKL#McbD>YN42K%q`qgE zWYX(lku28vVODnLhV2V1xLl_!)BAI8-Se)d*%x@u2xxH!r{;z$-Hy~M5Au2_(D|(R zL5Ae7vl0qLN}s2uxBIVuV9*woDxc$~Gk-0Ax)-~_EfuY$I*&y%63T+z8KQmT4s4mx zxLU<n`0k=`g-83FS)Wf4P}~*bGUMAnouJ3>-OX&?-74vGt5ZI@{)xmy<1Y6oy$Rvz zy+;z03gsI=XjR{C<BgViut@KPgZb9A%vZvqU!|$G%?Uix!)zUWB+50+qH)Lm$PGH( zf1}*R!uM5u{Qk{Wn<xHJVZx!(TG=OTC*x{tI2NDsofNqB;S4E3mWL`!eL7crns6Ko zp2Qm*s?ovra1qn4Qg$t=&L{RSm|HDxDz*3VW#;$D-D}$4I)`VoPo|?orkwZIh!1@) z!{_aIt~AG3&(`nJkq0hJ`~@L@V}As<G>Gh-yst)(cVEQSQ^J>xs;>I)xRWq<>L%Wl zlMj5JEsmKce09N!)4^>~_m31#yLL3)`p4fW|F<%&ne`vnIJ`_Uyw8$)b*skgth-9> z9eQo6S$ZVHmwhw|f6oxGNbKs0717uJ9sRVw@Uih}PAS_ndCbw}adTp}ZatE``nX=- zH1|9=_n!~C?o4{LJm7S@$ihV>SJa&Y?%Tb{c41kRv{RWsD~`8xjp&8&N+$;og|7P9 zoF0X5t2lRkG4f29n>4}lX~;^o2W=%u#hr&N^*6WvC^9`Hy{n#g`i`uNyKemt+4sKa zlIX5ETXfugW*=T}q?UKY(#lZ8=1o^f+63DTITvr9=E&u=wTpk5_%k9cPI*e>{O=d1 z&y&0GC&caSr@dQ_o_^%{r$x@^swvx?cbm@NSev@JD{Qjc>N!7GT8FA=HK)DawBd+A zK+l`$s}9R75xG*(7+4{7m!UD1<H!8+2|*X7UE>xozFzp~(A%!c#TwI&o3vV8F=uPF zcR3`>B69xR(W6F7A09vK`)|JVg)(V<shOr1_2#G?c>Y1Xvpn(Hl9vbPG`~9=e^&Wi z(Ok|SB@uI@6FO4Ar6$=sIDF*gD$L7V9QHw9Fw#X%X`bFir;;SG?#UJ=^JG<X7F2o! z@t9p+5w|Nse@(>l;BJ;F&n~Qc&F@jGW38F;H>yuGH>-a3?BjclR!qqLC>WyC=<jWO z`NU+8&?%?4{<-%2;o9^2Sc;jlvaUTh%$;WYTvK=dk<JcFC7X(ab0c`9(?kRe<90uE z?w2cj!^Rtsbf80kiJkwA$HQZdkJ@C_Tq-ucn|jUlehyo<!JPg-1~=Wa?Mup+tjpKb zwmYKx`;?ve!<iP2FQ#Q}d-Z>}ZPoScmLT(^pLa{f)Om+q{&~HsXQD*tCJu(=C?P2o z-JT7bF0AQ3d2&Yn8g9|2ZvPkQpN{^!R=6?Pz31kfnSS=?uPS-y?pLb3CG$T;dBe|T zt-fd2mR8uVJz+OJxAlF}kDem&U+WBZob-x4{4ak+ks5PLgC~FS`Ge8oCUqK#nq{Zt zbpofp><;=``I^^Zo^tJ8_0;=$KdeMPZHubu|CM=DGFzkU__sr!4oph(*vce*<b+s( zdA9H?jpsjoj~necrk42k%r@@J7fz*o?vW{-D3+ygdum%#9H-PjKEriO3Jn&rGR=Ir zq)wpLZL>xN_ss7;j$gUN4X*zFH|I>!<6mx1Jr44z7Fb;K{r$T~Z>saMH1+zM(h|IK zOMWl!wvzp|r9SU}OP1yCm6sQ#udrfUYiq>6aL&uUQgyf9)I7PA7q~{`yJ%q8_rSml z>pkW2+!p&zXkY&C<l5~G7yKtR-Ck_R*7}$|>q15^=LEHtj8pA?%~bSQ5tOskWApsI zG8Vr-_<CnubY*lD4xeo&w$|`O+#GdlrEpiN{u`VozcgyoRf;th<Z7qZzPlF^WH6~y z?!wyCr)o@LjpC<`CpA6~DGQR7i!GQhSia;cpJb)YzxyVJ7k6oYpT9xz3Hv3Uo3c*U zUjv@svSIeP%@tTZG3k_mL*LbT6W4BR;#z*^!;@uirzaZgS@0a(#l7}(W_Q{#%@FZj zR)y&~Y)dz-f6Ll*(yHU$%LLAO@@^6lm!~YBu`X!Qk9jXMq}AeQ9(a;C<JOGsrLB*5 zeTh+WNN#(bv^pXt{{Q!VPKKSn;z^TR6XVNYo-LLyyk1i|Z)Jr!mvcO`(d6<Ud)eGG zYdhQ5_@>X<-f8xw`oqJwN~wmK1_@2)kBR7poY#?;+8o2Mv2*d=Nm7TB>i7=uxvI*% zp5b~z>~Nj>0S~9$3_&c{5A2nl{rlgPP0{!MRtM=j*s^Bu&N$ZeG*!Mo+2O{ck6TW4 z$hTF!3`j8Ul3et(u{}*;rKhat#`{ZB{<o)mx)S^~ZU4921AELfj{WKm%Pe-4e*faN zR#|T50jsI!z9~8QJ)JAOE~N3LVDO?T%j0(+XM}CyV_*=9_lfG-&Lq~W&%gk}91NTc z;7i<#OA_Im_(1#g62kIiYZz~dx6g`D;5#(AWNGorw!8XQzwHb;tjB))#xb*PM`tWe zdg5TQ!ocd|lga*eKc7r4Kh%2at!<psm!hwpLH~c<zmwhGdT~R$s{HwsvUvZWzK5qj z$v*BDrL6LHujj2LtUX*CIL>lTd9^IqeCn>uE4~Zo&6zbr@8zT*p-UH4O|_Jygmx`) zG-uuQ^1N^DyPHRCckXyD_WjDEdA2*!#l9bTG%x(l<*w?mLVvAymPc(X3;Z?TNglOb zxZ}C-_mW5RtamKudw27Q?aUp|g}#S8S{8gK{uHyO-hPv=(>p}EPD@U;EA*_~@siK{ z>ceH0cSPD}uiLqD*6d|FHD}G9w{zvp+0%Av&Ya!1bLEWLZ96q*%&yzHa{BDDoto2U z=j~iMZFbsD&1tjacCMT{J8Y-s)Y*M^L*6V4&eM9jEHIDj>Az{$`gPWv{=MT>VrXgQ zs^7uim%ekq+rI06$^Dmgmur{(_WnNgo%&t(UG*jRU({W!UG&@ed+R&)yX?FE7vF!r zEcVWn?%8>T!Mb_3nrEjK2J7Ti9raziBU99T=_9ti4JC!SvG-OixTgB!G27-mf!A!c zcOG2j{kFq#we*@@j;o~S>~dTw-LuPag>=m>$K}#FyBwEE#{_vfS?yx!?Q&2m;1Jox z((It{f&I(NN1q-iaqCu1IQq2x=-=4F`mj6kqTk(*{`D=ax7lH@`A)y<ck`ov^LE&) zzsqO;zP$N&_M?9@cI;Pu=im9;o2gT|N294jv4w-F<MexW`Kl9){L}CASv_euJnOXo z*}qG7+M9eYfA;Upo%or*m5b|>-}#^U+q%=<;QRNd|MuL8pZ@!CQGN6~|I>d{ciQWO zuL)UL^s~3qccReKPtBi>cuwBn&3C2$q>yTYr=Gpa_wpzIdhWze{QY~Ez0!B{C;wXR z#83GBd6&Jy_v@wgG2geB)<=E+{`lXfcl@va)x><=uxi(z70Z?^TA;UIeYx7QrKkNh zIbWW5@kx8ve))?Ro_-hJ)$^M*_TCEl3s1in*V}#Hz2p4Rzq;?T3-_~s-@K#$=wI!3 z(S`fFeuvzd|HzL2op+&q*Y5zEhe!BI3Vy21h;Zsu(d$1V=qBQL<Y~Kr5{E+Fjy?R} zt9Q(A{%v#T{=<EI--~zjAO36l{pub6V)?UwjlZA0qhCD#%wNOrNAK`6KkJ^ZH=S$6 z^G&YaVmj0JCoScgy76@P-T6iFr~YbuUwEg!=>EySYTpmPGv2xX$-YUy6TVNr<6iXt zM6L4o?mPc??N>K?@~K*JdZGN2PjTNH@4Vl2zSR4{$79Oe{el%S4+WX}`71&m8n(KN z$oW^499YcRuWECvV$Da7#}l6yJ`a3u_<SQ{KTk!>fyGR6={Bb-R2~X`w>XsCbDYIS z>A^>aekB{J2ZGNxGW4@l$Q)SAAeU%!PcC2XyJ5@mb^CUhH!l#6h-?&9-;l)OAv<GL zgNWdbq6R@L2M>?t$w%{6+SzoUXg%!oJhWQ-OafbMko(rfk6-n@*kfgCnxPopd_&YJ z;H*~$>sc-dPxDp&T~E0lc8JHBnZ}9T6RLf&=>TKXWy$5oUMDz~G2Lbi@;Jz1oyBpG z!{-2#Xi-tf2Z!2+OlCn_*9G*bu{FP#R+_xkUXf+5!Y0qkWVY^vyAnLC2@7BE(kqL- zUi!5~w5F!0pr%0dxJ$a(^0IVB?yD>p*yr5bI<@<krLEAxWOp9n1Az>jrcVkO`<Tie zEMV;8vak+2pxh?@;EV#B_=AF!1ZG}?2O105qzgh0Tx?v-CTq4tft_C}uYi#&Zo@*R zdt64sDV9cac-*(ezLjI1t+(sNqVDrzS}#fso-+iT-d4i+#K+**GcShbeU{QOHN~bI zeq8!7X$M1*iJiiu*^hrdoc%kYJ%3%>Eb$1t2ASTC_n%ah*=g)Q`SwkXPu=9pH-Aoe zv**J{#wSH(E9xd+{yA@-C3}t6{*yC5i2hXzKg9lpsf%ABeR1dgCs_&~XUp^|)=hr= z@uBMseueFapPF0F)!u*d>5DA^`f0nj2K+B&tf(!ITJiqZwt(}cACB<~RJ=~`JI)?@ z<?{6QOm)xWFD&NHld)yn5xb!7g~dGXE6lNOy}Evf_;_{voOtJPhj<+oVK27!uPL_j zsp-EV=(M~&{~TXD`wfR;p|X-38HY2e7gij6s`BPTj3uXyb+F%|K0Z#Z)*_+2I}DBU zYs{GWWW5VsY-l{rr{coL0J6&H!3D$5Rt#we7CLvg$%#9yxt(@k;o?{NB~?XV&ICB~ z$(i0saOSFtS>gJ(mBq|~(Ybco?Z~R0IeizCpA=<WNPh7q<D-O4$P1f~5>{TNS|25L zO1b_~b7$_j|D>Yghi_hb?@R;JEf*vnHwu-tv`HjgIQ*DZbHOU(6Zs$~?X^4oHsi0_ z^5U<vW6oXan|#jaS8pGmj7`>#gKg5b`UgHVUd+!rqaYRYAz`C&>p9`=FTWTR-uCMY zo9bb6tXEp$gW&w98G8gCv7EU0;^B4~f4==s%P#zxc3JZCY;mcGieqJqUReC}U7p^~ zW0CRV;m0PCFMAl6ITH83{PN@lBg2iv7hj&d*m!r>dad3XhMiJQd8aQv*rj{CximU& z`KxVn_^#ycoFnDE{b`oO-D!&zoAXY8EGUf5WADCQWEFg8+UbQKkL2!@^0_l@ap93% zOF6~7({H~NMEj|?-!8JW$;dl>RiZe$uWkD6BAdv(({BTI>Mj?4x-I6M-;LazQl5FI zFaFr2d;D=}be{X`ZFA0D&D|+8cjfIO(>ZykFM90KJ?>l*op=2APTl2;kLK>2BXp+0 z+1>vfk44Fs9Rg8>vpEA|+&GnV3MI`tcJxS@)$HJrGAr7_BPX4%m+a1BFg?&|+W8HN z-y}_E)v)oZ%wMSd#JGrW!B<Huvo(6n?5~W<Ch0jZZ)?|E%%Z1vxJ`7rBXig32bqtI zN-`u0B~9vf@XV9Zb8bI=CiP9t&4WdfR#w4!$?9*6K*^$`;E9opz!O8CA0H)DLu}i4 zmmgnI_(j4*=|b`w0f+A63mlKSKPY^p{6^)YU`fjl4ofRr-3kRJxrT+$StY76IuzOa zr3&saFw0n1nJ^q?;VO82AnW*H_Zu&7HafHS%UY%#SeRUv?fCWpUz1-ycglw*Imv<x zAMQp4>|P%}S5C6%f`Bv6s%y>{US2F@=9AMeXynyg@JO(kJLf^6GtYyytXqSBv0K?% zq;)vD^U3W$nZ+G<`Q=8(rrU46T-eaqCY`e3AnSeKF#Q7QxpEQ@E-Y-4lQn;EW1=#f zWy*$~C$qS>-`&B;bU!7O>3`mVfc#CT4#l5naCYzKHZzZmeYj1k;K2n$=YDSaJ1Jio z`4_f`<je^;%pzr0k@4ouizj~=Y=Rn^*<=iO9;mJ6`N5c@v7n7d%3_{`HOB?Pha4xK zPDx;rPdL~rCw0W(Fn8IL2@L&G1v?VhWURej6gD!LM;^6gs(5qZVlw0G*=vLzn8{gZ zoe*r8y@u<7IhW4^W}O`h>h8;zvzjbfaGc59JaX?|2Gbh{nve5pyx7RVVIFx`zhBn$ z$%~l>*dsPL_;Uv(FoR?cu$xELeiW!E5lc``XH<Lf<jsQ*ZwwsR)0y=m4ltYBDKLu1 zJvhM2%C<S_gs9Sr*1(S|4>d|`YZc$fbw_}i*COS?&CZ89Cmfvnqyr3^E17!d&XJYj z_i$jg;5%V?knKFD+KUHo-aNRVz{dOFM1wL5(~VBQ1p<d&8Z;d9J9kdxAe&@Tha;cB znP^TM^&2Y+oBH_9^_^oXD-sJxR!?VVP&kodG<!pIR|9*3g(Hjfi3Sd)iL2wX7f;}F zTeoBDMh_XG&Lit1RG2#B3_V1Ib|>}s#(eGG5uutI>bkX%Yn3L~tIWlhg@i6ox^zrs z@j~Ur5nCp%Xc81p?s2vd%y{fSb^FV%oa0N*X>7SW$?EaNGOt%XKDQgMO77fd9F%Up zZSDjs$qlmMQ|DeOizqD%z8!k?CTH&Qko4!tyO&t)Ui)^!t2a$K<ss?f+wKO;mAzVK zSLzm{p4xTehOkE9tcF~1GuIp*uPJI?+qi|LvxT;$?AGu-G$Y4cEw$^$jLGT&i%bkR z#om}cK`Gv4oAjfjqDQz-l*L9xL`T_gJH2bwr^2gWZ=74z<nD1>_o{j>d+5QA*VCqK zTfC}&hn`nf*Q!%$%hgwS?VZ!{dWP29DFt^glzD&c-l4bRRLAR@masBM?U($1>|5^o zzs(a~c&#u~w)dK0#@*Vr*6T}`Z+*A!TI_{it-Vq=GLrYdI=l4hWc%#=6SrPRRYzu@ z&wBfJO>N3s#q9F6bGMjXyk-BoB1LxjwTqduldnz8kgdOVcS7m)t?xEnn|pQF@5tf= zWj3=*5s`amZk-qMS}WH*d-jE>bvM_Y>Zm@*DiQbMK+yubM<xZ0ycr72-HpFQ%37;L z%UXYl%5BiS;-0|Dv4-_k&koKI{YM%BdplM;d{sKfbT#>58%xxR%cnbca9nx$w8YCo zxUBV;>zv+Kt}_0duU%t$ETmsoS_(d|v^;h-Lh7sGaR!UIcURgoZf{6+n0r2`dG<!G z73ztpSNb>XV9DuW)?w0m@l+zgbwvWBSUQ6@gM@a&?7giUvTuts++e8Lpl~CHcYD}> z9n&2*FTL8&`f}etmN|DKpRYVp5V!tcNYakH<hOibdb4Iso1(W@MI_|?iz|uSXWoe` ziawdU;kIX<^3K{P+a}(2&8xqwR#bf=S8;puoo~B%pOjtCU3WWr`_{bwJLZ;3zuwk= zJA8Zfoo%~fOV0=RmP)R_bMchy>N^up$sT{FQFdIjd`i)Ajq;YF<Lc!lMaR|3d3GyL zw$|IZc#?I~UBi>I6YqRHA=`Ur;|baQ@1(DI9AOJ(Dzs{R_p{i4{%p3;vO>$=JDX3~ z?tka`WS;Xo%_n(|a~<d0Xylu<V~LNB<J1M70V;Yg1<g$#EpxpyrDHZ<VX$JJ)Dhpt z9WVLKzdl@MduLOpSMi6Jw!aMR%KEn*XRu*<AlP!8U+(qdv&nA_YnIralRJ3){m1?< zg?7(Y?fE{{^~rP<rS=#vMWc={lN?g6FMTp8I>`IqgvjYa-oGY<Z))GNYf9)OFF#LB z$x_XQPbQa4@}2ZDNY7Jsrpm-86HO+$PV(UMT<9&S()gtR%gaZXpnaUB?MFq!LL84S zHD~2t(!tc_{hm|3Y(cY{o~_!n03}Wpy}gkBjGnDx6T>5RdA+@IMF$S^S;bUYKG@*q zJIkB@tfX=3i5Ra1Rmn$M7(YL~^!ZZjX))dDablp}%g!$=dOCe47QJ+B1od9L^?N{l zm+5grD(*cZJHPDf;1Lvha_KYErK4L*UuOP2ap+Uw%h<0QRxR4~1=LTOr<Wdb-gsBf zYth&$m+#j<x9IJUyO0{g|Kif`o%`pVe|kMOrta*~)R_FjOx<_us|$XXicODCE|k0; z9&@YfcIcgDkG_d)uPlh}x*d3@?a?>k?Q?hRZN6=_<L;4MwLJa8=+4`ocibL*6Wrdr zBlbwHQXYR{bjNMSJ8X}>@o%r)arf|BnLE!Oe&gF-x?}F)T$#M}JJ&udn{_)QZ~o5Q zXJs>Qr{wkT)O}Vq<90$`{m!>f%ckFs$;;ol_G#I)+Yx#3J9D3wO}!nG*MC=Rx9!ty zQ*H<5Iq&@YWZUFwx6;Yp{&%PCk}Z{f_3d)*^4q@KXXfeO4cj%hMEd2oi@A$$yKe8y z<G(AmOSVM%#kcdh^R3GY-Syt>I4Zk!M>7Ar8AoL|?szO(zU5J0=$(mO)_#TVa(4o| ztlbLTHQxO=B0G1-W4?DQnyt+W-PPXhI3hcBN3u}4%cDN`I~O~w#R}b(-dP-xZQYS9 zP|otG&;HKEcI$5i$7SwJY`1<_;4b@a#$nmQ9gk;OuiBYB%X-ny$1|;G?M$9&J!$9T z8P;7plV?~r?R-4lx@u?gbnBv>kEdB@?M$9l?baKi_jrOe*Usb#)>U@}Psr|mccS$8 zTI;O4ACJp!e%DdzE?>T9S8~5~)ZLA*`a;U*>{@(9cJVuo(&Njmt?q7o+2>Opvup7s z*~#xZN{%nKmb$y~MW0K#%&x^3WSie{lpLRL{p(Jwc=_?8cXJENqwd7=ztij9efZJ4 zbvvxJ->vJ~z4+0)Wjn0p?#vY_7e9K}v9R3#&RpU0?ajL{AG~W?SnhM@u2A{%BX?B` z%RTPg6)aCba#ysl+~v+)fpYaDcUcR|9q!!aFModc?$?5H%N^Eod6g}Kt^$Tfp7v<9 z{y5m~ebbq*;)Y|p_e^HK>?=!lPRTeoL(}<LP~Xn|K|V81uV*Qi46oZcB`)vg(`hkz zJD*OA%A5IgT0~yu(`jLOkx!?E<XM(^Z;bZaxpYdj+fLOf(RMqRPL4L)nR-Ka=AELG zx>N56y_x2j*ZE|cdtT;~d2tTAc5KsIeyv|eM}GR}RqdgoSD((=wfu_h;&+^-=a*Mo z-QE0hp3nD~UCS@oPJY)}a(;2O)ZNW5=DB>A*|j|2!qO*?rbXWg>W=>QU|QrIq3-Co zLT~N7$fLR|cRUr@{^X$U(jBQH+glz@^S^VcGy2_wX;wQ@g}0|Xn&y2cs54rv&|77a z!y^u5y>vkr9z_>{>B1fIH7^v|r%!H|Ejhv1etM^yuarr;-tw8htxr$b`RAPB^upyc zPD?(Um+<cA(|K|4Za$qC^KR$Uc~S3XKAjiwuJY-;uy>J9=Y_npEc4%3?YDFJlxnw~ z>Qk!ib}pY>ZMHN0hV9Hd#V2j2-VuH?&+}d9lX-#9Cwl)n;yKwsZF<Q`->G**-YoOX z>w3~LQ7L}<loP(~cS271Hs86z?IC{sn(EbEFZ*Yw-4!`~Jd}U6cT_36vF7XitW4+9 za~;>_PhNU)nS<bLrPR#8IR=%FmYlqFXo^DQB9@#?&mIBGq$MXV?Q3C>R8l!{Y0r+j zlEPeFU+&fJV$=GU#)e*8k`cB=OX}*SWf=im)S^UqyS{BPQNJa`+j-0-dXc8CZfBZ{ z^di}dJsGav%8OVp)?_$sSs*VXb>UK7)^~xBsOG?Ho7aEkIZ-ujE8FI43Ri!*Wu_;W zo?B7&Y{|LV7tc+)QmGx)?EhMN(Okim!ZMro@vc3%;Op5d=XSl?!@2h0{I9-O&TV+b zQoAO9-Zg~_zudBFxucqst`%jb2bbQPS9UDqId5jVYpIusb+aK0b4Lb8+l50MO%Ert z9pmSjZ)zP}+{QD@IIS$q?aXw;q(g6be5TKwHgkI9bjj&+b61{kT6#)5Y*mQmHe;=% z;3Y1Nk5bb8wQelAq_^hMiImPsUX$POtZ5Q7cP={OA*f{iTS`f#BmDZgw3z59`&Dxq zXD7bZS$*$#=v3RZ&``g(JziQ|uTFMmru%w(d3v~SUNl!Sw7|_odKTx|rZflR`EwgR zt|?r)*Z1nqUdB+)x<~=vxeC)+4`2D2bWk_6$xCcWkou3U4<=is`(9fx^Xu7kUbVH3 zn%My-s=`uiKS!OfTXRr8dqY<3<}GZ!Ivio@5-A6jO4$+|6+)W=U(a65y73juT(LQ; zgj-l=u3~8y(^<7y??rIx^w!X&{j24shP^$zswpHm)NSSMvzht+qB%*cK5Z)r-lclC z?^|1l<6^I5!N8@0<-0jUIk#+IaQpn(^xj!o=?@%CxR<T$xA@RA(<tRwOJsl#>%ov; zN4=*eh!>o0iqTr3>OEC<ns%7h+Z8$ysXjSfQ?wR`{7P}u5G}d1<dlJ$_W`jGCN;*1 z4eke&xTRJme0N=PDX(LKLMT(@h1E?PyR%oH@_X$*HG;dzZe3MGXz0vl=H+5bR=r=c zNUJx%f2viZ54Tz9hUlPGCXXBhR(gHl(22URqRC}ynX{9lLcmgQiv{PK>`nLh=H@S0 zwa4(*>?Ky2)yg4H&C~Xql-7BE6Apgfp0Z!Jw9ft8;-Kg0Df`t+>s-GvuP#<vyZmxp zd}&?Ax7VvaTW_A<Z)I=%+w<zrsW<aip6fqT=TiDF`>(?9pI0Q;YQ0^xLaTSjY|WKg z3%{woI=QklbLA<SJHD6hX<RM$n0jonm-XUB(u<@Q?v-4XaO5t_U52}V?)+Hexp}^n z$<(rRt==@Px2GnYJXo9bO<I`kjJSU6wl~K?V|(Fi0&cE9HRFbeX5hRx>_<V_-21?{ z;Gp?WoIlC_?5T<WExIz{NUcj72j`=!Tjd4T>8_QJwEK2=*Q>-(SJkceLqx7j4!(S; z&Igp(+(Mr{uv_%E>y`35?q4-_3-w<x-+J$r&6VbRdCmPTb{A%aMYccKye#RO$mxBn z{8pv;o?i2En(5z7c9(v+z80vvmLBy#-FM}ig|Gfxt6O>Q_YLW*m8<>+%-y=S^z89n zZd=#cuFdv(9d)s;_R^d4zt-GbZRyN*R?_&lQPRF1LE$w1W!+->u{+*uPgpsL_4L%e zqFTvG!HeE3b*Ox#l5@Z1q|k=FlT_?CxqnisR*rXRdDCulV(-1J?2B5rF8!K3zclpe z<5kD+y$Wu(y1HC%YkyVIs!b~|2^PfPiq~9us>3>Hs$n|Io!)QmqCGprLp7P+Iae?G z#clh-VQQJB@}2bmm67$1*W$14I<|$ce~t4p)3+yP9osUmH<D|~IdPZlQ(KnVUK7dk z?Y}0H@po-xeeAXPDPNy&{kP)U*2Se3DY9~FGo7z(S^V|P=}YpZK^vEbmR?f#p8INv z_cXPup8Yzyt0wbBz6ws~a8zVy{jfRB`NPVD<;yPV@y_yEJacLKsSv4?JuA0xZw}#^ z;k8>oPOH~a`GLmtrLkH&mImoYYVF-5!oAgL^@WW~L!bq)h`P@_i_A?XKAe+Oy$(!M zGjswK=-&H5<?j&-sg#xB*ZcKOnI68lc4<^(gx$KTkeSVkL%r96N37>evkNtNlp%aM z=u*(qUmc4*LfvF+R|zp%L~XgUV&Cab3$Kt&a}}3ntzHW*m)dE5OTVgYo)>+sILG$z zHQ{XA<<}->s)x@tK6!4nRr;kWySF{7itFCStSYX0tFkJ1S>){r*M!bQWkud@y7uX0 zRNLD0h-;fyf6dLRO^#~zz4j?%x&K_3srw|V6u&6FU=84V*Lr^656@&!HE4Z)ilXt+ zH!{r2yd@0c%c>r3T6#*ydTYYMrl+TP%-6nIV|4u4l(|WP%O-24y3GtIvs;-k+3T=Y zuO-KUv#QoJ8zeoOQl3v#a@yDNM#iXFr+3Fm)4dE0vmMIHLO0yL^eZs+%%N3A?B?ss ze!WNxbu|r5z2c|2LVfwoke57nVs4!bkuMC+RP$ZprJU!tSS#6&FHh+0oZ@qwTY}Bj zre6AWEQ{A^t>Z$|7pb+uTc!nHyL2k5D$-j=yZwsh)HPpL1xrQV3{^kpwN{IBgV1U( z#x-vOpY~?dMtg>;-q^}E{hG0Aw!o=VE36!qv}e9z*&C*GmD6i&{)8~0t1mm(ZgI8r zbah<&_T;e??@gvRXXJQi2Rm6At=e>2k}+L5Dmsu=`J7ou>XDl*IgV5N8aDr3!@I)n zQI1_`SHtA2uyrf@EoLtBGMPGWrO7N!<22QoRfbdBR+=>Z49c8t%&fk9&6l8qeW%5A zL(3x9tvM3ps691orO6|esaoy_JU32F{u->+8?-3omg7p3XJ-z0s%y^=F)&NqWW@8P zLuiAF_C%41&PxO%UFz1VC>_a86}Y)oh$DPyVD{5%{A;F0iuTx5t=Y3GA>DQBrd3VB zQ_C!s9;96HTFK?M!fUtsa<7?Tpu%_6mSCr-nIQ)kdnNlF@m%b+TZZL<%EePknoFlR zXzx{eZMZU+Ywh+W=XlpFU*aWkjd}H^=&17^bMM`#a(eY;(Y42`E>Di)U+tHlwRe@3 z?ZU59UVW)qGd*Pa_N{V3bK@p_?R)j*&^75*mlsE!|7-(7%+Vn7vyJNO%6ZQ#y{~<? z=|5RD?>UGwFFnQf{AZi~6Cl3ags(Q|KktzTE3>@@(fqj&!r;#YDbxp%Qy?PeKkr!x z(r~1}X2I7wEw1e|58U#YDiXoH@>106om=PGUi+M$TOD<K%C(r%S((0}%bV9MfA!^> z)XH-fDYhZ$Dx1oLloLEBtm&`e)coX{Vtb@pyF+|J>GW%1Q|Cn`-&}R6qd0V`tmwIr z*K2>d&dH6=%)PA_b=yophIwuME5<zG{bo`tjiYk!tTJxOy|U`ElXU%+my3HNOLt`@ z_gf`T><uanOGpl$I(JI1M`>BGSyyh4XHSEw<ED=utefj{V&A@NjO|sB*u8G@$&^j& zUZ+?{`k7A+F*RHA(_uPe&<#fAgofGg49k@C9vyj`#kZnKqRDLTnp*|ySKqarnG-6I z+^kS>q4CrW_Pgl{H449ZL>hd)ag^QNzWdSUSn<$rQxDFpW^*yOU|uYtbJtKosCkWa z52Fyrp8{_N4K9s&2}zu);I?p=f>XOp-h%^&W=TJB;5WY^D)^Am$@~(p-GLWu@&zT$ zj2}V*c7<{XFLk=iyQ<(B8>c~V!XrP%E@_{Kog!U3f+HTW1zRw+E|qRwD($k6vvr{~ z>k?(vC5x8`@7NK@@Jo=%>EUy>K#pCGchdW3e>-p(JYy(jnt5T4VQ^cIxL)*@l!HY_ zy}<R$5{ret>(ctAmn7sF#6><lVGAiD=gk3)m`v(uX=<oj<}V(Tv(S})(}6=>S`$tv zn_Kke8OV7qd^IgH;?m1$A?6xO^8}_`tuT2gxaH!>6r;%Y%cXPKo4BHKJc^s5!*@?! zF!|)8#XMiEZZ6iTn|M@vlZ30-)PkdO0u4%br58QKYB#*F(ny&rw8^hy-EGHfb0?O@ zr`#2dba%OydvcfDrgNUxBu`q+U)L>pBJRRkhq9)1-3lud(wY@oCY($Wt#M?M<m;$D z$n2;#`DBXGOrJL9M|v05UYPlzLCPdS$O$T{Hu>sY_AL&~YKkdFlAJ~|H_NuR$>_hx zWaQO+anrF`*4QBFrCh4oiJ}w>$-bQ3Qt_Xctl<u=3jW3vI&Fi%inyLz>>)3eRy$2) zyDhTn%d~4PTIJK0SBXW;eRXxqwXLg5bF*TLqpnZ7X1b{KZMv*mWP9RkgOgT&qT1tL z2V~2}tv$T5^u-pw$=4JwTK$Q<yl72kVs_Ld)3@2apQA2sxwd8X*J3NZf{ftZ4X=DZ z7N~9gcSwvaVD9FnUzew(XTD<Dx@NzowQ}%t-R!@;Z{K*&HQxA@JvBY*70dZGFNG|& z&!5|SRc8L#6>rwA@+-)(b>1|OH}d?BYYNw;_C(#cSbI?A_03797HPc8BAeZ+i&qsN zOR=@yG%t7ULCx%fY~F7X_f6KOJ6?M*Yb&pSg~H6evRC;!F8ig_uK&7pjs3#fSyuk3 zf7PmuO65-d3ceP;>3`7kqu1&;{hRc4@|&$!es26abItpdT9wz*H^WyJ*MAFqWizWb zVy^sV_SK)`zPZ1unN~Z|N_2yG(9)jT@Tv7t_8GEF7iLY04A#xgO8vXo)OPc-aEmRc z<yPObTg|xES@ZRVlU6Lz?XK4nCoIi=#k2j|!v0c^t$g#ZExcr<6P2~>oIr-}<W0*= zBQGDgwnZsBYQoaQS4(o&X4+jdS!Mb*(>FNka@Vw?m6O@Fv?*?xw>I+pnKkK&*NpYN z*I%*hp4NBObM3V+nZEVc^y{w0PyV`n>%U3Y?oa*te9OAhwb@RuH)P9lt<8+ho^>He zqx8~h)844d3%+tM_&PoHuj5*Kwb$>D|FVv{zUkV+8&+qcE+4tJWsND%qNP5smPBTI zZC&Q_THHB%)s}y2*6de(t)KnZbglj3(z98zc9HF2*|#Q}p3UNYoUS>^O7q$*zqKzf z%raXW&#`vp#b0b0e;Xs~ov+3Be^pP7?T%{qzLt1p*0vPi?x^z@bX0@XziwO>l&!U8 zna68!$Lw8O{vEltg?*0n{G|?AoOX+2eji$Xj(e>$N7Vhyze`MAH!n-RcIEV}w8;4x z%V%0GpEWn>)t?D-V_(flEK6JY*`X|GWpUh1%cXu=slKz<Xx^A57I|4Ad)L;>o^yjw zol`CKTl;lO)On-VCsS=_uSvgLCAD_>4L{{lzZGBqY@8SRx^m0B<ZHpFXUQF$=~KqF zlIzDAvn|sSuN9q+@`^mImK}XDYEtCsjbC+6RejqsPjPL!<Lld3rS@!^7aDnf)iv`K zUv)Ei^&(F%-)ebP^XMAY>05g?ZIfJ^YnL6Frn_=YuEXn_Q@-kC*#<|QZ@Xr`#&kxi z?dDDMBG={zzMg$aDrM8OfNbwo#awH9SNP4oa;xsu7SpvM!OwMac)Ql7J6%hd`Sr|+ zs%2Z*wq9Fs^;cUa@2bdV_iGO>o-!;wcOa~6r7+9pPhN8qF8@l)SQ;@`;i8v%>AjG( zDM8DhM4gt;w%j_+FMH*dX^z)EW$CWIwkf05c`MuQHK|j#{@FAw@|w`8Q_7`YirFud zb=O|Il%d<W=IOPlw6*R(4o(cxZV!3?++^0}S<|*G6I`2VpDp3|dgiRJYtB@$rP<!z z^snw(_~yEqUk|R?pIWQ^I(=>a*19XR+#)Y;F!kNEEI#|y)@4zzE7NTEu1TNzwPw>i z_iM!`s>;@?PTH!n>D{6-#?V_9Z+o<IXH9F-%AHZxqLn+%j4||<##_c!TRfwfLo%DU zu&%iDEQ38@Y462DD=sa|$X~TZ@s_}<Es{~uQ_Y;X8?<tpzBOy*He6fgHG4kq>Mb8{ zMou+dSIV0myE^Lnf@@osmY&Us<&J6(zP503>53FtsmOMxYl_#cjzqPGz81)m)m!Vl zxKv`xGT+F{bJk>fT{BV6o;AU=H{17c)a6yzOjelYX8IaOU2eK&(00wB=GtcC*E45) zU32;uchvgxztXRXZ?2pEb>o`#TmN1C6`Xx*>$2c$S5D5-iVRlH)=IJ6xMq3(*C|ow zO<r@R*)Cp_e&Lqb)@_DsRc}OzMV?-;_50Rud#=s9c58Q3wPUt=<nQd<^;@T3GmEm$ zke$3{elzo&h^_Hk52io3<q`UGYv-y<(b6llUW(4yVdh`j+WhIvwYn>ni*H5sTjl#k zPhKTlvT5rwtMtfiZ6VLk9KY7~>d%HXOJ}aVnzc15H#>W4)Y`Dm8$smiz}&TAtFLDH zN3Xt`wRP5|Ygr)CEg)hwW3KP%Uus(wrCzg~Z;E%92yjW&;0b7CXw<IUb|{I?^A zW63%HOxxc#1u|{3Z(YdvE1Ihj`rP+|)S69+!E?>+U(deU=6`MeEZ+84e|B6mUch_U zfX7)=x-C(n&DV_QumR6OFxj0W(UvH|cGH08u)&>MAo9z`M2WUUkF6l`#}*K|0!&7L z$c2J_99tgx|2^!VZCe~AHN`63{WX7frq8QC9cvu5y`6)etEbey-e{s!dTzlQdj)Ui zpyxgre{FT&y3dWjQpL7)i(KisN!R2rUt03&&$??0)0UcEt^B<4-<oM{A?fYWD?WdS zaNO}qW}>8^prGJH6}=wD%hC!8-HR`U2C@q`9E*JX$hJjB@tA>AkD%a0$%zV=Oe{IV zy8KJmPUhX}7x3bcL-?1NRjaM18!bP@=6sV+|4mRy?5kyEm1WA&uN5NZuRRrZG<@ks z=ebjAuFQY=+EC<DkA%Zg@jv&~{p34kZ8nDd+2%N3QN;P%iI-=seTDm+H+BkcR{wE= zb9-{zY(wST6A$*D;k!9^sYaFIh4m`)vK(Xz9|mo@fARX6xEs?Q4;?q?lI5QGY~4X2 zEvI8=XFN{xiI7*_!!McF)^l4!xz?^DQ7ggUTiLyP;>N_&7dAN=tdVg0JL5r~&yUwW zlhdVcUN_CVXx<kYTeN0fQ%b3yZ60Uc<yUVuEm_Nbk5`WE%Z3XR);$(w=m~xNYG3UP zQ4z}t|MXv4esQ@RzG`iW?b>yo&Bo1((+@n%**?X0j`G}#Dut8E-HN8BPEun%bJEf- zJMQQAr~@-<uk$c_&ii|P<NU8Ro96D{Ui`fF+3fYT7eBwYdsfrn*x@~`;f?x)pA65Y zd%j`Z@t0*&eB+xGv#KSh#3F8eKDxd6R^;h|KGldlm9s)>PBb3BSCZtb@Xg$&IXkF) zx8Ka5m7>3&p4T_6wn)9`@NMU@BagmXWJC%b@-5Df`t{)VDi1Bk3h{8Q<aaw1EMg2D z(xRfL{(Ut2Xu*ScJ9eGigqilSG4*qUm+pJ=gk5=WnWvhWlOorQYkR(2_!V;bhtf0a ziyNk1{<cRtzO>e6{UPN8QjQj$Y#aQTmd1KdElFJ!Ajf@JHE?;O?cU;_LiG|-<}199 zK6^K#>)7?SpRdhUq;1-Ny#A<@s`iqq7aBUV{SDo|y8ZAkKA)o-zFfI_vqyz#X6z|J zw%L!HBt2ek+PryV<?p4OlN9%@S1@k#>{_?~NKH(Yc+iha?QKz$P3>Cloac@Bcqu$U zTP6DG>mT9WN{Ip;tD`oQO`Z9$)rUbK|B#xX-q8zAayu$KF35+3xGZj$F<I2KX9xTH z|NKSM)qR(3UHks?yWLJtxgKqDQrf+QJB?|J%dV-l+Kufz+HtjWxB1&}A31h${rm6# zB|6;i1}^pxS)cLshTB$$FK?Mva9x;oMD<h9qbt=9C4GK&99~`{)voX0JLldErxT}U zMoSzt$ts_)$IV&Nqu|ls%3qrom{0tzR(v)yOL}5c+oD4+Gxkk-bf3ZbDDR=e*V%bD zc`SN*agv6P>=}z+Kc`o1%!-pgxpQXIKKAr~$ItPp&V1~(I(3VTyHA|cnw(pvao_6g z1U*X|k~)w0t~_pbi>GgGd1%$Uj)k&HTjGK%#Pr^IF}P~`|L~c=V7CAL#akzo)vZf1 zd?{l2D_<{hpJSX@_uLr`SN_g=vHte!Cjuw>mV{bGZK_-?c3{bF=fWLR)+9-Bm9OUx zj@i}5^jmc4-f4MRvSru)Iy}B|J3_hWg2>v9W#Zv%9ldse3p_n4ORewU5Z=+!CFjSU zYTkNs)_S8{Z}q48aj(q_pBdyXQ@NBjAvWmR!f^2e>l&uC3wWhn$e*F-(b@7QNL%Bm zgR$V==nEScvC1yrcH)5YQtmezfu6!@Elu8weWz$iia!bdWb^O)fBo?4#)I>?SDCzz zt^CgP{D^t__x5`ojfZvYpT`J?tu{(qSpBEx&e;iD&av{T9Ez-Sxyy6nvhHJMv4`{O zJ)~9UCc9ekYs|~}e}Pftki(e;aS`1;FM@s^(vgeRy^_C_Yxd+Gk(F14HfS$+s^Bt} z=Zo;_qgxcBR8qR591Vk9I?jnQ8QiXVnVXP)Y(pzw;Z*+In*u+cefHV@#XmtlTyUa^ z*OF=XSfZED`0D-b^DovvjfXa!k1}QAR+(1j*zo-Gg8I-Iow71cn_oSd9=g#cd^zj9 zhnMPEE1zAsymnrJ^-k*-|Mv4tRP_3=!(L<R^i`Yh&XbX;dlMVBQQIfdaNh@cW!+Dc z7C+fl{*>F+sB7t)?|Uw-eWM>AT(M0=q;UP@tzU!xeoGaQn!4nB>D7Oso}X>6%4D}s zyT9&-^_12%1#Ourx(P?xB7PkWDM}7CZ4L0Ab=2&tn8`}VWi`o5Pk32&-0$k}y{)`@ z*=L3w3+~p7Jlhd8>4w*aMPFF<bBiiH2v&-^G5?Fe;#4J#g=a*y6?`r(*)LVaGG)DC z`+?<ATrrLFuFHuiZ~8R(*`>dMuI_;f|Gby7^s;C09SSxGP>sKF{eVu;%>`3$f9a8! zv+KwrpGONa?Sxh&NUu43fU9ZUZqu{p9C_DFnen3ROGE}Y%Y<}|FaD_v!Yp@=X|o)V zY2mDX>b`W=%O!d-j8Y|^<aX((N~QiiklPj0u)j3V{r-&f)th4@FFMAvN`L7TxSaYq zbmbYAoTW!z-Aoo+e8)?3WvrjhXNOg7%daynGZGBQxKjG~k`5;~=b|<9l9yyX{Cwtg z*Qb3k^FtQhb9p+UaeYTu#7`!!)!vVaxcO4JGxddh3;zbh-j-bJ>{RykhWE7_8x3!E zS07k?C34@9c|tO6(tq+~{u@49*i>+N+nHIS#%&QnwJY8)dj9WB_J)?iT>-0S^d4%F znsa;ZxtBK@1D1VG2%Yi6nLp-Q$Z7U{*;gd^Jhw=$c4awgC+qKE{<{24UdmRj@1fuJ z2e7PrHYYQo%vY!{Lu17bof_|SJ&r3&Hr>&7j|q%i8s-{){K40tr>viQ?lm4Q&+fe? zcj&Lr)=4M3Hn26XRh<>c@46^x+Kaii&Rk|DCcXE4f;T9(t`n1#IV@hI>!iK?ZMDnZ zA7`$wV$WT;cEO|BwOsL{|74#`oap13=HSFX$=KBGp98mnJ<AS>SK1O%a%M9Wr$5mt zuG`JluIu^v41<@kv);+bG^XV<LE^@Zm(NV_E}Ay;KxVF!<}!!W<!_4)@v?KPomk7i z%A%Wn`OZsEV?|<r#B5L%&|R%9vkf%){zOXln(lE|IkBi$H%@V_ispG&9N51}!!@X7 zk>(`vUL~)C)rQ^Yy6>0<pZ;r8Cw*&Wv*_G|B887wW;|NmC9;J_zxQ<?^P$!sP6di~ z0`Hp>(&zqW>WEIfbkk_HaaGu%H_EO2{C5{=ggPFUny>L@4fofLrxasR*Y3aae(>Fr zfq?;pxnOJeQ_?C@l2BIfD_FHMGK4Vk@t!%e_MRvk0|SEug8~B+2O}HD-QC;2^1i$K z|Nrjq+rIKLaWFD7G$c6eh)d;x*~GyB+9e<nx=>k=fq{Xafq?;TQ*ur=l1+~v*M84? zYa3|B#>U3U#>&P3GXb*C;Pao@H@@!~7(f`l&!7lNKWLx9g5@Dh3@k-#^IrNM*3lIZ zSbv+x`nt`6Yw@S;llRZhe|O2JuueLBj{VdL5jS@`ytZJ;{J(VdA@{p?H!8GgC+I%V zG1fh|#3J~SPlvJWxfF}whd!}sN^>#`)bxxw&xu$FKk$i8`!(D1v`?LJ>dck-YC6WT zGf$rK2~AsMba~3mA8C_}F5mO1GJblt#r*PJpEBdCXI;#Lr_6koHfgqIo|=a7!Lwh? zCg1kSHQxAkK{@*#>l=3+=gYo$*KnTi+Z%;)_8rz2HaFW?AK2V%ZN1}e<6FKrZx)>6 zd+{dW9N&{S3g`G9ym@ez@6MYAXZdctSy0BFUFNX6`KIiOn~iVylFBEfv2U=hxZC)e zFRHvDjeU)E#NEbMeCOUAIKy}9jY28=3TunIjW7AU${lt!UzF{5r%=Maz*=E*^Lg2x zn~l%;tjZ7UY(6WS@y_84pHX?k&gRpy5$_fhu}`t)*xY<lw&CW%>9QX04xHvwDreZ) zd|cMz-GV~)9_xrZjgR<*${ThxAC{GPx1fMMw#;Ei^Fi5yn~e|nn93P;H0xUbxZOBS z_QzX=(|o_m8dBNA%Mw!AL(3de*@MdtY-iTAzHz(p9^a?3hV9L}WpBJ)kjGwP-La{8 zr|gNh3VG}SWenS!x6AH$yC9c6$Na@!#`nBs`4^tE?J|E*+-z%pVlU%6-Y<6)&hvh_ z^WYqBUVcI~v$gq(VrDDz8O6+&<{ib%7UmVj%;x49#mr{rEA}3oC4J#u!Z)_8Jca7! z8`4X5GQQ!B%YRVSd`)`6PR7@~VfhQHnvKm3ikpqhJ@zub;`Pf<sA|3>J>g!$7q&&_ z9D5mG@SeEy;4@ot-h;~KbJ7L(5<audG8ZUrJ|mrQ@4+XwY33{TFh1oybSL2xTSDH4 zr)-nVKNK~ekaoED;3Hd~dBz^b$Gl?s3o4qANGsfX@PVz(Tw{;pbZLQm2_M*E@)RnX z4@fiIOE}H@FK@w9wmS0@Ma}!96Lub)Djl)YajJB{&Vy5=KiqXV#rrL9L3#5Y=?8Zo zykjdfU$L8UE{~qR=>70DYjoBwdcWOqiu9Sg4)53s%^QlCcS!HKd*Cfwu6e?2$M-xH z@m0pncO}2fY<$P_!{CN-^Bu_t=N!)SeA;v6`O>@hBp*x+{oHg=@=jubHS=xB8#5i} zN?w?GaE|1OnT~VB6YTailx*|4BEDtsUIsnCGu$Tet3FSfJ3Z(9o|fmV9Xs@&?qhME zep={F&^*Zlm6=;F2wplZer@`p5Hr7~u6-uIgjT-vUcR!ut+u%+%kZd6nbz5ro4&N| zTEd>atnaONp?6W>v{&z@WK93|W5o|fu6ue<Mdj4=gzTj9`l{-h_DL#7ZC{=~-Mzeh zxk@>^S-;SnS+{SQ?b*U}@63U-Jh#p?oaM<%-;l<(g(oe2K^og8<BqwGvm_6kdr-=@ zjwdQSq1!#&QO3k8hwImo%88|gwT2GH%-1B>oO38;OHWHkV@pk&V8whza?ZH}C2UKL z73Lm%!Q+xHV8whva>C4m&v}lWIZ(_t*Z9GlgU@(O(iPI!W*Q%ubMPsTPI`bP^C`)e znFpWn960mfG>=O9gH*PO#tm~0KIV~0zhJ?9Oj75ZLm^wIvBR8$4|#af11y^Lj1}e_ ze898gj6(riqcOvrgVQAcoOL+O^CxXWDqF4b0i$MZ;|)g5TE+{Enl+6d%x;__`R1%c zK3k>nf!PP|^1Mk4FlXK+=`!=+9iAs?0_Myb#tpL%-sZWJcEOB!oA{S~4c}RJ>%aKS zbXWXC<-vL4Pxdi<XRV5x@SL?GuHZTAcKr>tjdR7XR5H#LKU3K_NBl@7;~eolm5sB- zw^TCD7QeDD;VgGXyuoiKQ~e3Gj&Hax?P2)Fx?aDa*70>zg2tvjO<%+oR5HHi4vSy# ztI1g3;B%9azDF(ND{jB|gkMdU#3$@a_`<qKpQD!X1^0<P4?eRd$36JjbWXfrU&3eB zS^5H>o6d+Q?0fKub(;Q)8pfyGhxR0VVoiwq@RW6u{)bOZC&V50J^0Alr=L;7_?TNP ze!-8XBjO7C9(-VJ)7PkRoGvb~FX01gOq{}xrUT*(`w~ub|BGAjl(kO(#HXfx;t7=p zr;0~ZI!+Z2s604D{KH;{Q{3O;7JP5oBmQ9TgLkZD`YWm#?{Y8NlkkqUNWY_+af<kX zy${~9=ILitGv4;ztN(G|mfu11r<cS(`h02L^rHAfwVvm_E8`A*zBG4wUi_xoljpok z;}(6sG-vvgJtEIlE%f(Ro;>TF8<+Gs=&g5l+@jjdZ>pyHC-;f`4w^MRA-<~i<kzLw zreD}|<kzKF-mCQIR-QcLof_v=%lUQb<>@~0TD6^DmR_9h63<o3`9;-8zjmL=Gu89c zt>PcmWPVmXJN?L>DL;jtc~94m+!yjw=&5&N+^ibTGv4uWlWI<$_MWKkxbMr4NsqmI z^$quJ`7!B{cc;GMzLFo49(uRxmsWOuSbA{!jy)<rf*yD`=;v0xe6Omj|8wt@r%U%u z->|3Tsj9YqXl3Uq@8Gyc)t;xktMy;*o$_7io_D$a&AlPth3<L>#?7kse7AJR^aXoZ zzF)fSou|Kb?~!dm@4fbDzg>4FUG?tNA8WRxFTFFhApFwipm|e2tSL!fdV6Y4_@>Q4 zbEm#oW0J0FseLq(^PE?HSkdO7*;8+<3E6#V*3^vfS+SmHy*6ndT{k67^~Th=@S@n2 zrK%>{8`o{wHR+XCdYIAXpqW#H!kuDYmZ)By>J_dOn^~fIajH`|Q*7rMuld@&ktd6n zo||eBek;baSk+KFbzRHOOHaL~X@{<J*%>rrs#-YH=1bG3s)T>q6!gSvg0}6tCp&^3 zd-Z7Ru3NGr=#f{4w(Po;9YGJhV#AbTG7D7ov^m#_>=1h36&>~~+Viwmopx&E%6wHF z?byhZr@TVL7HzsTW$KTxNt-U+^QzK*y0&HerMq5b+E>@QY!8|;^;y`YXw5uT4ef(# zzigXy+bdUl<Jtw~tb4R?#5&FweX*|LJlD513gxUjv@dLKve7=Uxyf34M{MI;t~YBI zoa1`2CgB{{lQjzGxE`!|aF*-NngwUMZmd~Q#+n`Gu)FD|=!(e3H(W{K6Vg~WXjjBG zzUGPwZ%AWZqa6|3_=@Y?ngeIJPOVWWWnH0d5!?8Z%PZVrSJOq&j&%wp`kO?e5;RUk z<-~ISkm~#x#ITFWNc%_3!5N|z>lPHV&e6UR)A)?*$Qp%W)*0G6Vj7=vX@xuNY%<WE z5yLn`v?j9g3D=%A3Pr3Fv@2p7A9G2CAK1}!R8(V~LLqC1wna?iLoTlH3#qIv+7dC0 z(?vPfDHO0aXy4e>v|ltMvhhCGrZo%lStG&<Qdz^o0#aE+!VFSbYqTe9YT7IMVy!|x zYlZfX=*GKTufiO*H)&|kh;F>Y^(c%Xm9;>-BD(Q5*R8MvWli^lKg>(`&Qj~Qpqj}} z{YG)q9pMM_9L{ron!|9O^WB^W-&nS(ZzyiEQeRNqWT`%(xXD7jp}5Ihy`Z?sOg*8v z>6Y*sOUAdHY5ohIv20TBuyvdzd|=*#uPp1-Gi(`W32&H}@Renix<xUQvARZa(-q+b z^B#O*S*EUG%lMMh&0nFa>4I>>yoNKJcK!y>Smvp}uwi`8Y39G6lF3m0gbm{}PCfsG z%BEAo0rM2jaH{z;JY$LXQ>bLpSMRW4e8MT`|DdAjn6SaTgpVv;>K-<Xk2v}K6Dpbx z371$hKICNcKk$^LNu9%n@d4+yIS<~mMEN}^Z_-hJVa+&AIL5MZs&I%U<5XcEOUC=0 zOXeiJXQ@&@Va<3iIz>-!uh5;j2i~!isxPp1oFaT?uERT)LiL6srX9k2<{o&<lB=E| z?f9Of!hM5vlb!MfS;qN-ZzLVxaTK^ONN1^ZYe;7)cPp@Fx-ED^(s8cf1<8YR1W!mh z&JjEyd2qJi4oSz^f*T|q-*Q~*X((gatQ=s>bW`w1pTb#=1osbCOxFds^f8>}h;ZLv z#dKA0j%4FZ!6}lCuQ&qS3#^zf3r^{4C}CNwEFkOng2TbxA&q6evV<|ydBKvthGLf4 z$`@oBX9%YBF%+{*SKc7w_>@D#T_BBRvND5llfLo<na1gYE`1C|Ed9y_GLDZqB-}Sx zFdY?C>0>BlX;(IoaeT<JtEZuWrCIrb5z|3ImOh38mT0#R=1jWEAEX_p34ZBiIL)!H z=fEkBRXq)-IF|J^<g*01Z7^rrEBK_BA)lpOd4sg$U5*!S0;w#;$`hm=?{F;WVaQ|2 zS1yotyv=dL?!s@zd;D+e7My1<u|M#fx!P{RZ^k?PFMc}A<A3n;z+C=2bqBsNm)Qk8 zXD+cbc+Om8C-9uP!0y8{<~+L#&zN)U0)97|$}jkP;0^ng8i#Mp8|6JdGv46ev(I(g z*4CugT`#n^JZ*T*9%bL~jCqaxf!_ySv4_|fJY!C?3wXx7Qr_Y3ftTz)_5r^bFY(@~ zOZdXPNS@;_!wdEkH4i>BC)+*v*?5k>pf2Gv^DKFR&y8pJ6Y3s(VxA_y;t#`9_CqxZ zpO_QuK0IZfB>&-4;|YF;x(6Sb`{XnJFg#`#vtRI|@d&>{-GdLzZSop_9H#RN)Fpgi zj<Hkt(RhHLp)TQc<Nki@1y4<`_Pmj?*?W+=PX5HFhI7od>>Ffud}`3!D!+lr-B0|w z_=UHPTJj4%HEPN~_}wst|4psKd*(`zPwuk6u?zUlxQpNA=Yc!yPwWJ~Git~;{627- z{f^y*Z;ab`zvMNPGw+suv6=BM?}wWQ=J7tsV<=~?GMkXjTwzv_&b(cE!`+6tyjN~A z%;i0EvtbVJk(&&2c=z0Fn9aN8Cc|vrD|rcL*)q%xb~BnvPq^#whV4=gLmBgW>4Lis zuh}l-G?X&0mJYakU?%U3n+`L1m*g>&GB1}lxa;te&BNSa7vn|VmOO?M<`lCJI~xt9 zKiqM6&Sqi0VJG8R-j16N&)5vi3wAP|<_*bfC}K`DGuX*!ApK(#<4N9%n+{Ld6wE*D zU_8!ilGjkk+$|k&$Kes1fO)|V#>2cKc?(XnahMzIVAPWqxa07Ejlq0FDs#Q`g-wk6 zc~fpW+-KX6^WYTQnw$lv*jD5uoMQW7rjW{9Eq&p(!#%bSW(C_Bck^D!ZOCH|G&9)F zxRdutF2gCd2WB6(F>dGGlH2f>X|MQ$y$9a0{)%z<&Qznf;W^Vz@dJAs=5asS$uN)m z&dvjGS#$Igo->u|IXq`7)>C-SRH(=BoGD-L!84{@y#vpfwu(m-Gv4Ap6X$T2HAP>b zy3s_uVef(0tTFl*su)eg6ZRf>#Tuet@Qf)<FW?!|N^yt12VS!J=m%6WUgGYFbNIrv zP@G{e!%Xg)xP&vTHu@JT8P9RsIsO46H9$#{l4Chou|rm5l!_BhPo_K9OS!@4iV z;S*Dwo<Jqz32vLX10R`s#S``%c*H8AKcRy02)9h!fe%cr;xCFA4{`IvHJoN;(HE#- zJiwi^^T2)9Einh)GezoMC}-3W-%-S<Exw|NQA>PA5#v5?kDUkZv3}8;P|moA`%dhE zcTA<?3wAq9;XV`V@Q$fayrGD32lt-X18<pf#S>;byl1IU-(cNnCwyTp!+g#+GacTs z6sRvqXR1_dNM|ZnE3js~&3R*{!(7e_GY`z+JTcQ@4(EZH2WE5bnCUQ^bHhxBw=CCu z8p@b93kMi8-sC*ur*M`fLH&aj<8{t0ehg<>BGflnF<#}IGqYhP=aiWauUG=q3#=F~ zb58MVC}CPGEHKyM1&f2aLmJb3VF_c#^PDAq4aH2eg)hu$n8BIi$56~PU3kMBho>wW z>H=v@lZ6?K8})@J%xRd;>Eg#w#MCcbFvsCBi-h_H3&x|IDt-)wOzpx3a~vMB?DA<S zU}_eAV8nQklf{prfGJw-gE^zF@Q2wB(>TBQGMr{v=X2l`%POCSQ!LAT8uFQf)Hawi z?&W;q%aG4hF1%s3!(EmaY67WD#ljP2JKSMe;KPu|lrLN`+u=6LjeXxM>%afr^X~Ic z+xfqDtbhL5X5RkK+w*^y?K^k*r=|Y$$2N27Kj-Z`yZPs>_|IGHe`m#gOSV6I_}}Z@ zb*~p!UW>22YX3QH-<johujcQ2Io<AM|GpRPb}uIXJTL!w-u<6*_Wzuv|IAqav;O^0 z+Vejh{_~{yr@sErIJ-}Z|3Av^|0r4iQPlpU;QtT2`#<cqdB|@!UHs1h_CLD+zenAB zzq#gq@=xvjr>kwI&i_=S|MYv@`_FRk-`{)pdfvOmf9{;Fz2jWDqrQ53e)Tr?FLn*z z8F%x)_{?yZ{X^w}dF)T@7``)B$xV39SRq&NoN+t<hT4X?>{luo=CYruY?#A-q>^C{ z`<}{%+3Z^?8D_Izu}e71oFQ-Uo57TSLaoCa=1VpV-x$~P7t}huX1-w4@Re~je?aYl znd~zv9cHpGv19nkxSZdh*5M_yhrGcrhKuYib_`z_Q{+DUY%t{iP~-5N*+PE9PlmJX z9hDBxm<{9$elnb953y_b#F!{&@RPxS|HmhWlk63h4o{dB<UjmiIL>Zj*YJ_Cn?In& z;SsZde8CTf!|Wn<3r;h0$Q%4%(Bl`Vad^PYAiv=$V?F<cPYnCnQz{+qGjFhYaEf`2 z&4N?RD{K-@G5?TLc*<DKf1%pp9`gseg6|Bw*{|3(ykiWMGx*N1ll_P-!ztzmav#1i zY-itM+mOq+m-_)IQ~lC$C|@J0$F@fBKssZ!*o55-cUWIUI?Q8z5P4uO>m9uVWsGHF z0qKk-Vg~7qMPdT!j0IvJ(iro^E~GK$hz0C!Fy&qld*BVz6&;5%#*Oub3l%<}`c*Zt z)ODKEYp(<4?w86N8cetwVh_A#iV?rCi@}6DA@;y4rV#OhG{!WsfHcOH+zzn^UNZTJ z2kc_F#M-0hP{O#7n<18ACTope!WkwT@e4Z{&h3v|vqLeVm~j@jz~+WCtO<G#iWsMH zuZUrI%5+F4p@=a-?6;TW)2*)8M44azcKua!k?H8Q(2L(+PyOm@_Q1Qr$CX=O#O=QF z<;i~jk8CtWKdGFYtmdDkQ!Mn%Gl|c?%Vy<g6$|x)^PW6YxiHzrU#j?$y2O>qN&b^; zJ<ob>RbOk_X?Dgl-7m;C^Q+3W$vftJshYI<k>?Ker}M6`p7kvBGqTY<>$$eXPs!Hv zgUZ>-ZvLxmPM-GcukzEf(fp`#X!3_SA{9Y%C*PYRQgvzW<UIdP#gkrmZc{%yZ_4u} zrzacvCoQqjJmnec)?|F?-5ZbX>Y0+7<tmoSH~UJ`RqjkQ`ab82`J}lMOZ*QRU3%(~ z?6ycY^Q>p7`o+0V%7yNDM*B^&KKXXZ-pRk7`JFNfnr##8x2Z_zfk%bkA|s)>lh^fJ zNmnsbZZ&*tHR+AV9Oa3UC%K<I^qAVEtlM|Q;?kUnGVWC}nnfxnC-S)elIA?)F<CjV zuf-zht!JU~%)St-OD{YYDYy2qSY2BF++(itPD#(x9<!7?B{_?i+?bf)-&8c|o@b@{ z!?`8LQ&kR4+|{$iGU$~@s+*PZq*orRl^06BELn1WVt{+l<S%AHb0*(%dnN06-eXU# zvS{BE^Ggprnv_}dBv%$L+0h>p@4m@MXv)M-ZjYon-zi(lFa4=ewnSV0VBM787vH&8 zyG<%edA9i0#AkMoK2Ln-5o-6US;buFp8Hz)myyOnQ~E#jn53#`$$#zp@-1*~|BV`! z<!9aV+zx%ZIJ^Hs&6MYhE%W4W)*Z3Fbk9A@ZPV|JvL(Cw^Xzwh7JTcGD}V9iACE7K zFZ3U)dGa&xx%(XX+&YzK%4hrS?7jYSd{MsC?`3cFH{+}FwSG7IRew%=Qa;tMXFu!D zi_`8Yc2=JSXY`+{5qYM3roX^G=<bQK#fIXH|6)H+KO1i@U7P2UrhK8->HoEVM?Y^r z8-Hv6=6d%3zy7}cwEe^SM-StV?C+HBEc$o%&+45QXZEkEN%?+pO7EE|_inB@<F>N$ z-?5+Ezst|LEtZ~`*RpG3=G^^d|8D);`?n*1@#S8d|EK=;{?@pZaen&g_}%-<E9<}h zd$;rN+TY$!^QZ0)`xp1K`)R)X{ri5l^~L|+{XG5r{rz~|dd~lG|F-^#{#pJmf6D$6 zdCz}yKTZFb|2W?L#Qur(d;d)Rv-^kmnfoXAC-y|yNB!yexcFGVkbTu3jyz=(>5X|? zehEHtkFzWK!%?{SM(>`QlI?=;1n;>w%m2(RIi0rnR`0c%Bi{mVyXVR8&Asw$@t*yA z<L~w_$a(T>;vM&5>64%S-Tiy}`C<+Ei?uFKm38Gc<&Ng6q%A(vYw&;7KikjO&)hev z4>sR*N8^*SW_{rQdH+oRcJG`xTUmQrz3u-=a)m!VJ}f@a&tP7)`TN9s_pk0>Q-ASK z?qBWai?yYv=55(2_}p#odikrhU%p?Q)@vfGf2k<&iR*-3aml<V+XJU{3+Q|8@%Xq% zQ~Yb}mxwFXg3ny1h<C<`R9t-Rnh|EcE9lvxE8RO{OsWE(x=yQi|KAm-@=VEh@xJbF zIV|OYQ@hWc*!kk~qO;w{VveLQ*3I83{xGkkB2a8!H=BOa-W9>$7wL<8#yw%(x`Ow% zTb}gO+$%c-AG?<6Eh?V))-_9S(O!=aN|(D2<b<RuYxjt+jJr}b@wMBk<>F^|t~low zB4!?RN274@wqCYg0Z;v=y(``+nMo(!?0C26X19$#S8?DQ*XiO*SMKd7P`c3>r(QI7 zMd_leogV5|#uI0@--ucAJ>ZS=X8x;{C(b#S%N2bNnB93Q=1JMb+pY!TjypBpDecq{ zzv%nKa^fB58o5h%=f22Yw4?ojjmqx{Qc9OQ{nVZ2YJ64N)BQ>9(%cp2U3Twv*_fp^ zE7myhtxKWWB<J)+X2OYnQ!FRW=rmD(G-pMz(&^4)K3^;aU$`t3p6X|kWqt9jOZlfO zGc)*ayDSpF8=EpcRq1dim-;KCiPCf1pV_FSFFM@$OD$>doQz^6BjKAfJ<hw-sLe9E zcz42U*Xo?MFFsey1aCX<<v%*J<HN$+?KzzbY^MAc&=b7lyoZ0O<f__?vo00FXKh`& zStol;(CF1z@yccAq=`v#uRaMpbPkvM^t)PP!pDV&6t(;LTZ=9RJzeN{q+Li{-ssM! zfX9sAY>tRGl&{F;@O!s#XZs?D$`fxDx3%Bn+aSH*ymJNrQ`;rqFUU=8e<AikwLyk) z5_h5AfzwP%;tV?*j<Z_mEhuE{;f{!Dc*G<m-ms(LFsp>#f&#`^F^3%u2U!au8y+w* zi8JhI(B=LS-5|zT$Fzy#MKr@S)|kkKsjMN93{zQsA{p*8EzwEHXRKoSB-XIKVK?gy z-357!CEOjG8g{at&{fD|3=m^be~`QIY^#a*gKZ7lSU1eQQZ4k}bGQ0eOV95rcIs~< zUwFp^ESsWW$et;;rBdLz;}Z6rvR}#s?l_k6Wm&Iyx4>F)fAgb)?=Rl*9kC90@0i1W zQ@2Gz#aw%fhx&n+j(h4IYxtUMH$Po)*pR(e&gGfHg=QyyA=`{E3(hrr@f+E`_@Z#8 z`JWm;SFylT$2h)SHXNT8cxkXly2+_j2uyEg<5#j-aoVw!T~dyvLg0~OC-Y0ojE@S( znx*)QY+eL@RM@kpd7aFX@(EL#*T`)7z9Oo9tI65duckTZwY0V~GZt0VHN`1jeH|mi z!7FV(rRc?-ovn*c{19(D$G4vMT$%ax(ren9-&O8R{xWCEa}^u)yOuA%sob9YW=_a+ z6)W|#mY(N43;mjkFU_8OXU>&pDrV|e=WThWa%*y$e^BwHH=Y~Sms)auTXKDJjDMCb z=ULA+>TBmMsk$_C@|igy&z4-79N=$dd-BVYOOrkPrEERFEV(e*!C$HP(sR#bzfYjL z|J-CV|4TNWXFO-BC(bLWob=Rls(Ro&k!MRzPChW_%Ttw;llROKsT6wR*{^On?@EQx zW6y4N&3RKQgdTaet4q!csR)`rnZsYort`FClRE1>mWoT$CjaxhR5a<nXQbaNYtGZ2 z;eMNnf~HPhF~{Vo%D%}<=4>g4Rh#9L?t<%bk*7;`PJZIoWzBiYvp{|C+$Uv0w>@)| zzxExmzVyzc*6osP=XsB6w^Op5<tnzyPbE3edz8EFl08|bVy%2tvh$orvD+l$pg9vC z^n6KEF<0Iy*?HDutMXdO&ax#pCtm4Mu?~9Uk?GbX`?6H!`ot)ACu5=49;=mC_LZcm z7%NYe)GSrGGSSc7NOon3%B6{J?n1JfB`Oytp6K~vd1=N(8~0Z-oyAMePBd{BG7fs? zF+(}FFU2zGsmB!MR>{mF6$9m3NzWn`eP!1^7RyVIJ^GYQL5+(?9$m_+eJvK39(uGX zi}qaswGi0c9~n)0;L)he*taEh$^MC3dY+gEO`G`3?U2!>sT0@qgruryDf>!x=BsEb zzwKRO9(2#6LiuiQih0moj}m29$;>>Jof99qH5m!r@yJ)+*?YxI=(hV_`Hyv5eh1F) zFR_30`Qp6(BKt#sJ<hvV+8z3Qac+N}{ieSs&bgP`E&6<MPXCh{k>|=5@_T=tIP0Ek zm-IRCt$ViJqQ4p6luhMN)`|QMoYkLTU-kFI*TvWRFVr0Qb@7$^D*3rTPn>a2we$MR z@pbX#ejj_Sza3u|U+j0W=K{44jO1(UOr9y9@3*pl^e5x9^4b0)HB){HK69TgA6Xaj zQ}C&KqTQ@N9B16)?I!&>aoT;Nykp&$9}^$D_sSdAZTT_rk$b1SVqM9Pi4Wad<x78d zd{}(2e@BhVkH81+4f44^U%Xe=mH$~g<>}&m{TpgZo+@k0hyLt1<sNMJ=(ooy_iFi< zwNt(e-g7UPzgZjdUGT1ZpxvzB9`6?K=wDF7^8F&HHr`r$<lFY|`tR@G-Cz6f)xW2o z%g^7xvwuhZ*FR@Ji=V%5U7!E|*1xTvx1Wo*s(=1x>SyzF@fP*>|CEB7EN1oD|JVKW z{$2iU{jB|)>XZL3`^o+L``7ia?_b-W@o&?=*uSfPeLoX#Tz~qH@89lU-@mMXdH>S> zC7>GgAMao8U(?UrKfgcuU)8_2e@_1_|GfTLykWiZ|D=C=|78E%{z?DoeS>=S|4l!C zKVAPMUcX-Xztg|kKd*mm|ET}?{?Ywi^}_#^{@MP?{;~Z-{`CDV_3ZzPero?P|DgZi zzHa^he_20Ye>XpUf8YLye{nyvK@Fdfe||qte-A%(fA9XP`p^F!{oVUJ`}_8H`giYZ z)Ia|RYBF&@)xUFp`~JN8+y6G@UfC`9-fg$^*P9;Y%68IkZ)%h)-|795GbLTwM*8l} z7iG$~d*9@Qq$^uVpS|gE&aKd_Y4gR|y?1i1q$!(8U(MT+rhKb6%{*xH#5ZmmrI+61 zC|i8JH^w~cF2`B7HPUPImh8GXv-eC+NZR5ny#eM{cTbcozSQesE_K(VWbuVw2Xm#( z7oWQ&n|->oqFDJ{ubKI!J054;W=bdKmF%4O)NQJCV4g_Y;*-4xa=xT0pX}X}BeGNQ ziCe$4Wge(?;npp!nKxyJ;3KzoY012h9f8w(In1T*bewi;l4i|g*>Q1N??1Ckn<n0O zi!^(6o8z=wxY?#nfm3@|<d~!?@9SNXvt|3ldv2A|4|7YlPrU0^Dt$3mBz5u5-X~^V zw>eI^6-e*ReX=d^wp)()*SI6q7vH(o>RsB~ao)9B@6=w7?@G4fPj_;hcP-c3wfDp~ zC2R4kJ3G#~7VAwa4xH2dAm+<6C3EquJ3G$0ZWUj<v*X*Mo84DpRH_5txMu1#?S1i8 z>3VmRzEiQ_YuDA{E8|L@DH)4T-Kp_a=}NbszR}(lUz9F&yXgz<)%c=xq5DM4m&%JX zx^47d?dbqD!A$gpiUXgy&Jd4{OQ{Te>N-Wdb!WyWB?Ix=ogSZ*^u=A{SSl|*cI^{4 zjXP3t@sVqnxN2NW#l?rNZQ`PFSDq>z>Soh_R5bB{Yoj=0+?J<{_IGcIc~TxYt^1eW zp`wdZyVt~oJXO*X_ubj?UP)8@ZS0crz<aJ0poUF(;9b`eao3$0@050SKhkR|61?M@ zFTOMON}1qom%YLt{kB*K&hIQye`I`dUT2Z|p}8LCT`JWM8DE^+nWw&K?um0QrD}_e zFV5+F;v<r-WFfqF=83Z|xoSzErdGDvqPZDmN~Xdm{Y0z-XLTm1SIs?9y69Tx1)n2U z7hk!o5}rHr#2J@VHLtlGrHd|i`lxHo?I>AvvC~DJYc5BLl96z&pGlh1`A#eKN1%q* z+0G+AQ!E9axl9+1^b4^ReCm>@Hfs*Y8JBpqNpnt|c9|&b==a59;$xRyVMD(y784)2 zbP6l_l~_!C=+Y`&I<uo-(ZS9gJ}MT04_q3Ab7#KDSJD;!={qHL(Z0?NJ|(G2+QOkT zJ5IR-t38_SamuAy_@(a@bHRHq<-#|8L(B#5x&*4tn(dLdXh-J)9~SeAw_WmtxB4FW z7VzGA5C2=cE6)}0w*Ro%@_gZ)_5%4!p9AK#f3PWe4r=_#Z~7cCxBZ2U$#X?Z{-c!~ z=bZDwP1^PwHX*++%xce&pH=H|)_D{EQM)P66mPW0$rshG_^N2aztL{XuL-Z5)8&jl z2h3~_l6R_o@kQ}+yO+FDZN?YHi|tPGOtl?noagiRR-X90@Laov{H+>~&x(fpsdg<t zFFbXg#vf|u@-tvYyP7=H=L^%@RpdW?3V7l?f#25d$&Y}?&OQ9Pc1wN)JaX>fm$ggz z5%ADCR!*rV<Ab6eKc}6@4}k~H(Q?14Jx)8<@uya<c(16#A6t3ilyj)uqE8p5wEvKs z^y$Jq=PLfEwk_W;+;uMFziR99Jzz@vGr39C8t)V}_z&8C`8MIUb1wfz*#+Mj_ORcu zb(qimLayOF<2M<F?+iQGFBCV}upcOHux8(3+whk0jm&~`j4xyo&M`ibQ8>r=K<2?& z#yc_#&NAMRS@4Y^o6n)T;U@D6%Z4|MN&FL@F>GM3ux)tF7{%Z4jA0FXgl)qs#&a?U z&M=;mQTWQRg5AQl;U%LNze82SMdl7Ug)a;X*cFN!&NKH|Hausv;y+N?aF#hk&fyHB z5r0Ev!)fLSxdopXrm%AqH=JZ{uskrG*+cHYX+|Y}hRTNH%ocJBJ~H&MN7ytxVie+U zsAxFMEFrhx14AsILq)?u<^s!x2aHVo3>6K!>_4m<rZNAJRXEM~i?87+LpWc;Q-)AJ zho=m|d<V)IG}&)hH{4_V#Me;Xu$%dY?1Fa;CF~tV4Lg}n$SS;J2;gHVZ`jVfLw3Pi zh8*s%dPjC&c;{3rb}6>wyi>K<saTG3MO*HtksRlp%EflYo+wka=Dr%)an7k&Y|`d{ zIjs+LzN9Idb8n68IP0{Pdu?P#*}|KxS9DZ%2fT606l;ooQL1>oHA>uRv%qVo)!Zxf zO41aKxu-^Ilqz0n^%FOWT~VTVsntzfC|09H@j~kfoi95t%xJX{e-+bF3~tN|Z4P+m zG=n=<FJ))IQ>Q81t&tf;iU!=Zksd{g`rNL1EIThecIx9c)jP7|!Xu|HZdJXO9Ty%t zwQ-B;T}f3u)XFCQXw!rTPL14*dRtN#?r+_q^JII#wANo@hc;c9+PX$3Bvnz1+c&Z! zUs03$t?rWT0r#9LxbNzwY!A5WRKo2VnUSZsv-Oc!(<XsCPWjwBb+2p_xb3)?{iEEL z>VWypCH#+yFU)H$;y+~Tao(|#?@;lDxy^a}n`}><b1dasRD5Ah^Aj17=L#0=do53# zb<E{UDh_z-n9aAyHshOuDf>w|k?Me1%?bQfwkN(WxYm3@=1A3rSB|UL=USdP<Cx0l zWy|q(!R2Njel6RMFAFX<yYO?_a(q!RVy~4md8Tl_*^2*>O~z-1v&~0jrc??%bDYi| zDHl>H@YFGpZ<Y<m8OM0ONj4`=J5FSGl>1UK;jv>cyP@2ciV2S#JJ}WGN-8EibZliW zwe0w?;9&C(8I_8F2aXNwxt1^9E9kQSl%4W)!M^4VG9^zHwAn)~J5D(U^F6ZmIOSN) z{!(^IxxhWga`v0DA>{&h9RvAhS$n)&u%mf_3`_Zi+m3ncTV;=!1-y6I!~B--O1i?` z#veRe(ihxmEMUK695Ao(15Zi%g4>Nb?3;`O<~F_nEf2C}J}Sv^&LN+z$T(nj;|-n= z>kG3QGuUUzdYpCG#C()*N}9rr#yIvO*%hS<Cd?c8wpdMg<&e&1WE?QFF^Jts_C<-p z<wh@dCE1J;g^P_&>`by9XB_4;_e!29UU06_g8i0^N3nt-b1Gkp<%OpX)0ji~Tr2}- zG^(*P8DE&*sKWlqDBy|11ZG>lCl&#Z9eS8``IcA&JaXt@mgP&a2zcla%cdlgQJ|p5 z%*iKWA@IN<n(ddg$7zQ;=2XcQ`3gGBv63fFIfSw;GP*FO@dw)^qYL*Os+gbhwwPbI z>rlpgmDj~QU`pdNwn@?&c?ufL2YJ7kO}Ool%e?XL<=_18?RWqG^3(mhyxspdKh?j> z->LudXYzA-oBwxyKK~|vyZ+6e;OFvI|Ihq%KWAU~ukrKw+4Xn+Tz)2R_W#Pi&Cld- z)u;Ur{M`S>e&hcoKiR*{zg{2nKl3m9S^G8r*Zf=j>-^06Gk=1g&A+m}ZJ$V4eZYUq zzsJAKzf|w>U-GZ}m-!d!9sVnRKL6Z4`QOJs%RkGXt2g_9@sIl%`<ed}{uTf1e`-JV zf51QCXY)_iANce6sr<?MJ!ii9KhgWw_~-dY`Q!Cc{}cbDf0RF3FZAE>&#U=|>v{fb z{#kz7zU4p9zr#=E57smNXZ(|X+P?mO#?RyL=j;6c^0)cveC_`cKbN1f5BWFq6aRht zpno@iyT6~mr~X6TyMG&hFMlV$Yv#YsPv`I07yUo-m-(suj{1B53V$zuE5B|2n<r|< zl_$O8tWRbw@BMZ&P5I{uH$9_?dmE1MM4n)jNm2NJ<GAg!kA0<cl4Yj*e(TMRNtQZa zk+U&X#_UG?@f+C^<!8Tk$L=ZZj@*+Zx;*0bnvMIUj;fm#c6!<*3ARfdk^ffEZn-Cs zH(lbe__keHt8{I)UI`4Bc(r_P-mF8y(+XM?|0FDvy_3MEUy->~bWQ?`{EY*wY9II` z4tOfpekotvtycAdfm_z(LUc-GxcZhooA$-6Q7A4v#C^2!J^$SK+ZQcZVw13;M~s&> zV9~9E%DkNX#&RACGaLn!p0KoVORO<)u=xFunPZZvvg<kppN|HICo&(G<kR8ssF<@* z^kdV677hVLha*lR9!aUnCAz1$TBb|vVVUmD5vTM)sA2kzqR#!^89Q6<t8R)}^j;`J zukfi*m|oyhp%6X8r$RN;Clqz=^?nhn^j@f9`i|W#cU52MIhJ>7OrNp4<&NqjJ;tX( z1=A~bx7=2}rFYP*^PcAizoc@ZTD67NoOY9M7<b<BeBkGFUgeVy(|MJ5K99<TwoTq( z+-Ws=fpMqh<O#-|7Lyx{JIyB-7<ZaYPB8Ag<+)}i%UhK+^@V9dn<jV6b(!UP!0%D1 z(7MSPb6IA2ZtzPg6<Rgf!kE)|vW9Wz70(5Jk4l7=P1cyp@>0c3UD2xZf@gzY(-{>z zb;C5Fd6QqvVR^1%roPaU({S>MIV{gq^wbkAJ5PBA_$i%HQB!A36N*<;wB*#E+%bpc ziHe;1LyOL1o(6tNg+g7EJ?5}HQsGliwCFtKSu&I5p$eP&!BnB9$sBW79;j^dd6X{{ zrS{OgQ)lvv*(}pMV`jEY^$eNGGS$;(Cd+-5B|b^{LRFJb%x1Z#@=h($ymOc51z)98 zD$mpu%{zB^I?QCbqjFE}p;_lP&keSVz6<W@zftQl-~EMM(|P4@HcH<Gcl2NQ++ovy z;B$v{|Bl+0x5{s97M)XmVUu)D`H79vIpqg7kIpLJu~~Fh`G(D+Z-Uu!j=wu@y055g zd83>pKk=F1hW?7$me<Nr@{P{~*Yro!w!Bh4XLIO`@+ljouYxQ3Eoxg{DtpO0{_42s z-eIToMQ}mC!sm|j?md+)&y}s@5B}^p>z-lfbVk`ozVT<rY4-@bMMc`1)~*rP_gk?; z>GdzKiC<D3QdypM7{sN-8g5{!Q55N$QNuFBy{59|iSiyBrB8wr`YUQ$9xF@9AN<jA z)Lp|)>7!srzeP>ULuD@ci%$hx`Xy>urn__4DSZ%Z=)du)W50VwWy^i#O*V_(3r5Hl zJ{1g;3w$aVB4_wiu%>^)r;feuFKm_G3s&^+sBXEd{7TO8dxu8<jOvy<%8%q2p9&WA zS5&v$R=y>7a9hVc*AIG0<$|?h3wLwab>G<BamV$6p3`}yPdZHJmEP$*Dihq+y<u~Q zRri9;9hTh_Hg{NbH*D@O?=INfVb-0nx#O1Wnn;$nN@?N?(*!qlcf`8Pay_8;s8n!W zcSbDBEY}TsNu`3Tx-B+y7<X%I?zrN*K<`nB;IeLwSeBPcZsLl&Ixe_2=rx^DvJ*E< z6P(xmB8KI;l9~9zog9YUCt_HhDd~wP?(8__8lb0iMoCSaF-<UDOmQcNes@O<%M&Fz z@rOG)j=37>B^3&Gb$i6HJW}ElPu$UQ$h9Pr<)IRr_`y`crf!ZHmIq4PbROjkMu|P# z-l5a|BAR8IYfNOzRM(J5mZ`2jku3L>mgpqq3s!ZXh-SH`^iC{sd&e%<3%W|Dl%9zx zZtvLP>JZ6tN9mr}!)+bgTsO!r`Yy1i{f4c}eCHQ(P3INA$tZmn*wKEWxWlIXKyin4 z`wrWdw~B9M7M)XkA(M1Y@rjJmImHJukIpLIky&(B@rKNzZvxqTj@2DEomW`4yirWz zpZH8*Lwkj7%WK6b{>EnlYuY1hTV5%ilR0!o@sy0xSAiAn7Pc)f6}|W!t2!<^cgQJy z5m?Z!P~36exyQ2QxuO;S!OD)a&KYt}XB3V28!J0bJ4eVZ`Xn%=oujzpq;rGik?GDJ za)(YUD)BQ`b{u!MkX!Uopr<{;rsa{M5PxGu$6;p)xkVoYV)+~^Iu1G)ShhS+Wa4M6 z=+JHdVcjy#`G>61X~kcBjZX!_`4XQBgz`B)6$s`#Sk9r@e#5%up5iCI#`2Ec&NpNi zy%Q*D?<nfn>3l*~>776TA7gpPcIO?ki{1+4G{2B#d9P5$elcBOSMvko4%_AvvMlcu zzVIlWSNOp5=$t|xd!jXmb@K{i4y)!F#vGQ-9mX6M%@xKR=FJ(#9A?ccWRJ{pyug=K zCXmIZXx(wcafu|$8-+ObhgKce92ZEkyjBQfUue}~+-zXnVbts)%koOWk3G?<<C5b9 zzN8X?Ma>+tEH4yJ@H{FONM?Iz*>TRXfG??7U{<q$amN|Q1inW_0@IpT$gn(BIK-1w zB#^-NF;!qv^9Q4j6OImij|v6)nlofr9xI5kFSO`5;;6v)s6e2tSwqHUx}yMJQh`7W zo1#U>0Y?VDq|*xj*cPS=)HR<l>e%O)AbDh}V}zv3RL20xBU2nd@H(AR_{O%-ykn2! z1Kvk@0%grBq*?ANEaFMZ6DVr#kY<_Uc!2j&u0URMhBV7<`Ktd1ez)JX|MIi>o&1kK ziRa~u{x|$)x2-?%ncb#-$LIFj_BZ|=JSYF^PvbfHXMY^e$v^tTcuxM_pMz)RZ~bXJ zE1&g$!!!OZ^)vo9&$2)9Pw^Xn=0Aqt?bq#h{9E{yKjYtlU+q`zSNwZ;M*hs7g=gf0 z{x|$;zidC_-@-5aOX?;5HouT}`p@u--KhS@pTjfkEB-C~%s;38#-HYA@<;wCe&(N1 zzvEByQ+cib4nNxs>Sz36o?&0}v-yeqo<EA8_$Sm?{AqqHFZKVxkM^VX8vhhO@^{o* z{AqqD&-MSpQ~s8Ei9gKK?K%D_e&BDYzwxPkzkSBf=KJ!S{w#dYAMvl?DSz0%fT#Q+ z{|uh;*VIq=)V|mL#b3qu{1x>(emCEhfA!Ddd%H&cjNi?7<RATGc*<W;U-7&7w*0Ms z2e!4}v;FWcv7Ep5+k)NfcGWjFx8JdS@XqnP+^08;=jGnLd0583t$M@ecB|?Io7*j` zCv0xFsBYNYZeCrmx!tTfVRQQ}+ch_t-^!(ZUy#PXsk-B?^DNr~?;e)&udB|u%RI|= z!@I;%{#Df$o7s)4H8!_jv0d=)VF~}TYK^<hFXi06E9`2&VB7Gn@r<0^cY`$kdDSoO zFh7?w`@Uc&yJ7W-JIv4I^u8zTY(Hfi@J{iJoZ5GWH2(N+3Om{Lt2^#6KarFB{$NM@ zF<XOoiG}=K)gE`4AIb53PuS6Z$hPDr^Fukd?*~%(o2ohPFh7vn_U2(ef7G`J+uL=j zU)*M%W*c*}d8%#5P3Eb#J~x@~%Po16n9pBTed0FrJ-K(^61KPRvc2$D@s!-NZwlMn zci1}IWWFPJ@7sfI?b~cO++FycZ%_G+z0UKkU)*avFZ=C|;&;9s<rj+EZORW6w_BI* z*xUS8_RXDz=VV{pNjxX}<c{Jw*#~zXo|V0GXW?1d8+R6d<I8^MP~Cphdd1G>H?m3Z zCp_cZP+qaO`L%4+`-W$HYsw?`HouZRcjw?4*;98Ezw)gpx7geKQr7FeLsk1l>yCSh zU-%Z3D-^e%x9-{5{9M-R{ejB%v(_2+9M8xay>F;&KW!axZ{a7tDdil+?I*1pb{?K? z?Q!qmX<4QB43+K2tu5{?{K(f+9<itSk*v`BhKlyX))My?e&CCJ=TOmp(7Iq}^8;C? z_Y4*7y5&E1H&3(vaaZxQ?5}qXPx->%B|PN|edq9$FZkVoa(2z~8@rqD$$om*P~N`V z`o`Ub@Ayi}JBr$OTA#S9_>M2&9YcBhcIzE?7ry1oDSk1R`Mpfp^9$*GyNVwex7!w< zn9KZ5=F1ty^D-aKJUl0p_dLOx-MV;%F}qdq3}bf7;tpeWi{c7ncJty4V|KIR6>|^I zvb=CEv5YV4nSyou4a+4nncv97J%3=;e$8^hOy<`zVb2#>wHp^37`Gc0d(35iCFA!z z!K(d|<%DyIC47sDIp#9IkU4SYVKHCwvj>*#=PV1(B^L9|Di$zqKVzA2?qLz%wBi+W zn4iiVI+Iw$m+<UED&M5y4@T`LEFI20EadAe&X~jeSVrvm0*m$|mI~({7VxzdYs_(; zZYgjsv4Ah;nSw?80ZWE+iKk`$JzJ2<S66((sC}Ph!py@{EhA<+Pqhq~d3cKDhqI2S zWWGIHVBWsR^1<1Md3<HXD`qp_m05HqF^{jPxMMc+6w3o=ALjDq6=%$5zAasK|G@9I zyXIdio8L+Q*pql(y6AqxZ#LWf6Q9{^@^^f0yKR1B-@$Xzul6*alYX|x@tpLdJ&fn1 z@9jBwR{GYS#<S8{_cuJ_-I70}wt1HMiG7OScr))Y{BFB$zGL6Que=%e4*Y7nYQAFM z!!y!n_AERj9dy6pSKDRt8T%G~;a!q1QQQ1N+UY*SFE*q6A2o+(m{;su_?dT3{*9XE zXVORZD1PRhk-wv+`Kh$leTScI2Kh5;m}i*RR5m}6-m^#X6Yqrlikjxf(o**i{AfFB zuCY(?BX38(MNRWVX|DShp7OTjOVlt=H|N-=_<^?}|Hh}b{pJ~!&G)4@?OFJqH{xEw zQ{J$90Z(~D?ioDgt;wJ8sco<Mi@l2Pc`NdFR5#z1es#~`dz(i7jOyk)(vR*jJmoFO zuc&UmEq&|Wfo*N~Oh2qkEa$DgwqQ4#UG|O5ZFfu`taCgs^=S>`d8v169+vTL%igfL z%_@7r<~Gah37gw2vKuzHnP(SlZZpeH*xYu@bWJ4lTdB0`3(|NuWp~6n&oVu*?qMnK zy6lWt=2@m2)+LtmuFAI9%x0XevAOMv>4J3+OL&)MYs50YlybYSu&eEYX~Vk4Gg5Zf z4bph$Wxt4FelBHpeZfvP!|W3=%+I9st|#nlJ7pTMPVtPC+I5CB-uP<@JK6NJJ7Soh zNXcD)u%qpmslmF$Lf)=yj~M1hQhe7FcC;NbEs11)D8+XDKq_xjHb)He1F3Cm9_I5# zU3;*-O(*+BH1jmmn8@a-rXi8cQ%!v$neR(2S(BL0Ta|qxn)#m8yK4#C+jf~=SgUwS z>e)4g?QJ_u9U__UNZq^kU|ZWZ(+zVMe&^Yfe#6#zzVVBBjprr5%~AZ$vm^aNahpy0 zf#Npn^c}X%ZzbQ%S$IzJ#hk=*l27I+o|Akq=iyn&J98GEmAo-$;WwV_a}L#QH;q?V zHouWfIzQnV&xZ60+veAjQRf?;@vKRYux)-Nd2Y_ZGm@v~D1PNxk#1qz{8G~EyhByn zMdOZnieGpZq$?D+oj2~WY<@0jb^btQ+gam`d5&i!jm|ezww*SPn78l~&y;kI;<l5< z4VH(e8+*(<cv@2FJVRyMabt^l3qSJoq(|5^KavzW-%!zZ*jQrT!Vf&L=Nu~94jLC& zHb0PLI?qtirkno5x_O%MkGYDcC4Zf3c*+xgF5xLp=sAa{Ji+G<l(T83->`1JC;91I zLwVb7;~R4qzT+uL?<i{9X?$X?;ya#za}4Ee+l_b3UHFzKC;5de^LvT1;}_C-b|pVB zZnI53A<O(u;!BU>d5I4_56?;D9Z#@kvrb-N%x0B5!<fx7xx<*vBDunt%{)27n9VGC zh3w&3h8Ox0%XqSmDOk7NFkB+Z{6-?~_yeo9YlaIf4~RQ06xBGl@}idbk2PTj4=R|m z?Y44XambP<r!#bhM8xq8R%}=GAM9LJd{+8I=uQ1A^EBrQv-hnOKWjKY?TOx#udW+s z6+2$I(Dn7qn^r4V>(#fo8;duHztg*La%aPI)jr?VSF>~0iLPC(DH@Y3yy|Kis~u1J zv4k|9)MFE@*sd7P={s1$vou-ZsDTw*AkV^hgN7sp<F@mLJv%k8G0oyC>FY1+is0JK z5%kn>T9jy%WL0WX)XvziT%}yCQOmUWL=;8Rr@o$|Gez-HKxgQd%~QgbX|3JF6U7?! z)3<Y_k}>N|i=`Z2Gmn~YJ;CXk=2fb#8N51l$EJYp(2RXPQG2(Vg(XILv`F>Lk@8)8 zC^+<Z*Ez0JxuG-ttwL9YE)H6DFJ!LY&W(qflNybB7R2VxV?DZ0>%4jB?5faZV(SE+ z&14EbnttkZ`qg(=7PD^Odr$M8c37GJOTVW@D`y3}9$%$*e*RQ3gDI0I^aly777~|U z{$iEPO)E>^)jt*)t$Y{qGw`iY*x92u-7=pAops#WbL+D!*X1pn47INHirjrI?GPTd z(teeB-Zd7lnSr{wsl8l1Q7NrT=HZV1SMJSRp%;?5A|W$&Wr`#J?LD2w>Rub2azhkF zrB+?Laf@s10#UD(YAcOa9ta7GxGZ_p-L!2L&(s+!v;wt0gdJ#>e)_`obgYOp+xeAp z8#%<1UOc(P?#a&lT*AySqtB72?Tlf<x`}&_Ufq1E>y_&P!NX4_bdCpDimZJVusUFK zs6%A?EABf@Y+d@@^Jg!7x8PA;se66Y=gBkYXWjmD<kc_XoHzcP>{nL)<vjb!f06y% zg?~FswtrVQbN1J_k9BiOoB8PbPvM#K9d=vn{Mo60-gn)3=_59CtzZ6IqT2V)Z_8T0 z=u0QecFr?<IWy^`MB2vg^r`k*CBA#hBs7i-r14BnW-xBkPo5ytJl)VGsX^xOV+on# z7cAJ08S30~apz-mjpON*5IA07!FJeC<lYwRHofhWQq&BMd7mHIsp+qz!FI@yr?2s} z1j}9nmOjP;p6FvA%-M9E3;Pb{^F+3NGHTnmTw}hJEb}zO7|G_Th9Q#7Qw@D2nePW| zmROQ-L%R8%#HVemni%=DPKT}*6EVEgd+^*UerH)WE1tk(2Ig!#4UhCPo|1TQ?1LHG zcEc^bjc=Xa2d@>ckkx-vxA44piT;P5hvw-&sbl=kUA1q*bMA_L1<zMr(7#i6@Edp8 zzJTZ4CHoAXa~JIsc+Oq0@53|hynPp*ap&v{_}yw6zu@noH{w@n9KUgIjQ9A=dP9Ft z-NCQiYvUb0vtH9*Q|I`VJAGfmGw#%V6MnH?(VtUy@C*0Sc!j@*UWmKw7x=|`L4U%} zL(j#J)g1iHJvaWrpF_{YP4+81<DMCR;Lo9_;yU{SezKm@Z~1xXiTHt<ho{9=_CI*a zJu$xF&!NZSGW#$5U_GX<Q|I`RyEES5&!LCnJo^KFwCcqx{5kYMd`FGr2kyprhChd< z>Hn#9JT3lb--M^!webf&wQ9$2_|&Quzu;4=X8eQSO;hyW)H=TBu8cqM`_NtSH~Rv< zv+mM&`FZG$_>+AC-&r-{8-5?UEq-U;g>S6e)>dtQbT_MfwO#bfyrb!>ZKI#&weFre zZ|$d?*7ViZ(RcGwcTb(W_EnB+`fAJQlQ*@_g%xb8+B|jk+FLnC(^lVFdo9Ox_tiIH zS=%Pv)hb(U5`8f5>#nJ<!`4PGyxCQ{`s&({?N*zo&RlycXX-A|S7FPeXUauQ^<zES zRkHfxT9@rycezTmjiPJwOw+XeSD(}VvCynDs?g6geQTxg9J@W{N24<DZOKwiTYYA& z!S-EuP8F>_wN_(0SF-6&(HU#^<*4qQI(@AYujbrM5sp!|QI_vg(yv-fk=1rv?P{@K z%daP_%Q|qQ*B!3H)y}JIv=6W4TDvPkaM8Lt$(>QWcBPiB$Gc94HTggFn|o8MK>NVj zZ4rNSm!@j(U%M&dYi?`mYVGLAo2yQRg{)bYb9DREDQmxNyL4MCKdW@bxs_4cHmj{Q zd<~;-^0u;`>Ut%yde?HXlRmk5jRjn#8YkDj*w(e_>YcEn=%cx;Pgh=E`(PeZ?WvO0 z+t=RNmUWxySy;}j;3YxJe5S@-trpF{nio2Mxy1ZmYcB2WIv-j+?^F%fnb4i#55rzo zo;n>`Ij?FD*ZI)$Re9~F?l4VXd1ZCZ`c1{5a~B${UsQZ`j+UkWLA$BXR#}7}lzjDZ zm3e61wuE#>eys@~R+)uwyxFvf)s4F-bZhw9on70qwt1ahnmYAO=*IA+E1UMd`l@w( z<+X)=>$C12N=^}-rFngI#KN@g3Xxl@roIYIpBLmJb1Hw;`Dt3l;Zt{Nebqd^JnweM z!no3^shOcKLzjg2@|pga@*;GRv*${#wM?x|GG2v+8pl?ji1}K1b;fEN=k>4lbQN>g zhR%*Yu_)Dh>a)<Kb*J`leO`5Xwa#j-_N+Z!pH>-!`^K?8TcscF8Ta(*suQaf)>rL0 z^>Nj))e`HY_IQ0<b!4@`daFIB0<^xZ)Y#^ISFd#WsshcsE6r9O*W9&O%ky}_B0JNk znp*y$2S0_}4-T6*D_ZN^l-ofqt?ixN#Xodd)6WN0&U-X_)$Y^PUjAO8(^qq>m)g^H zI<zUAHIB97>a^AW`Y!G9IvrXUp1O0@do7*t*qx_Ng@&$MRCIO9>L2SS6<xg-S{42@ zwzd4~-O#e|tFf--p;J~rTQ_O9);leY@Pn~m%ckBA%?;n^d(~R>eaP<MuQR>Mwd{i5 z&eST`y0h|&&(w4+n~?HpO>d@l&3RR(b$jI-pU`wItFn;dWs{sm)k`%sX6)L%Ehsl= z<}B}Ay`wL8UYW5pz;QZPtk;y1m6w+KXkWc>ZChuRaJrwYc|lNNaY=SWbo}K5dQ;O@ z8f8tJ72CC~pX*#me%AD)%^}hU_Fukk?7dQNYggLJYfEER-qHD*w(`99F0S$ww_*;O zZen`<Zsv-;T-A#t7EA5k6S#5as<Kt4!595Zt*6dfEVnXcdDmX1+$kzE3_>!OHO+lh zsug}XAaYfhmPtp*nng=ORtK;2D^1ff4xTzwt5oaCO26esb60(t(p9o5Q0wC2Pb-{O zIxJT*zWO{QdD*8qtBSSGtu$MHX^t0<sCL8(qveO@y!xcEPy2zVuxjemQ=hoD1;#Cs z35{Q=wS3VWturB$gBxeQIvp~pE^65)qtGXTrS;{(j(%S)ralho4L0=KYBBXuNN2F3 zU#Z2^has)Or8Bz<Rvlcq!$;L3^g&2NaPG`k`C7WcKYgdBuG+V9L(jpIsJXAWB(=1I zLl-VGx;ka$k2!wJCpm3pf2s14<(biBuk(|%&KLD5ir(|xQ?=sVvQ4vB<!M>1(g;4A zx^U9&&P`5nk3tH~b%PuiHtpt|^eR_t`^sC(l5RV_7pvI5VRx%t^o6rd8I9+~zU3&E zbMJ`0u({PH`oQK^>*yVKo8F4O$ys<#>_txEIk6`>is!^0<UBkpb|+`yS+N^A3(L5( zw>j)?y{Wt6X44zLn&=MMQ(*->87o>%obN_8+&!c{-*~ZDO!=plSGljYUdUoR8&EOl z-JDNtr;cttu&ec|?uxvJXT;9rEIcC?w7p?h>t)>;c?-9!=Jsh^%z8<;C(p5jdto%g zU8b43HF=3=#B8=-*vWcMHz&`rm^*3Pgq^HsbYt=k7I9CFUU0{0#=>hkiACHA+dian zPm2Dqsr7`eL*Bze?!M@ZJ4}zo#I`Tk(RxHzA@5-UcU!c^9jEEK0(prA+%ek}cC;SQ zWyniBE%tBQf>iFh=o6b-_vt3wJTz4|;-=G7-GG~irs#gibvz~ZZQFwFtv1{h(K~K8 z-4%Pa&0%}1M)Zu^O?Si|ZDUB~E{LwU-E>>**0uxRLf!}O@qcS~HC;o;|E1m0=PPaf zpW3zlo-%LwC!5yiE3KVlD_?!nyuEOnO=|k8bHOF^W__MAXZa%@(^L(u;DUKopQp@T ze#_?Qvz51&U-P->ch+xZrPsHWH;ymAWW)OV%Iko%Hm%Q7UI#4q-)h(TO!M0Eu=zo? zug(Og&C~iE@+x?Rv!`9DRmkJuW&Ts`Tz_485fInbCBs#;;^1<Z`CPK6K5AZAeu78! z*~;_FkJ&u^8S*@Mj(@J*(w|diEH|2esOHrt&C|=Z<}Z@zDqeAR`5_xqOOa;*v-}$? zU!4w^>F;Ux^y$hI%N6EV)tvgc^4M~T`B61qA6Fh(XgA+Urt3^_yT7De=#P-;%Q@yt z)pVT>Zt`cfWBqYu+VX$%E`6GEKR9yUt7@*(!Qt~ZeF~Yne1(naQ_X$Lm)LCmKIL9; zrT;_Q((hC52ABF@v=x22a_90V^SY|JP6ZeE@3npUE#!7^j`vr+qr0!X3#y%VDYol; zQ1!G^v0UYvw%$)8xy}cbPumrHs!Y?``)Xv@xuD`{lQxIUS^7ZdYnrCH_twa+vq4+E z*G6`gt-QJPijL~;kT*e@)0$#mm1<sJ8a3T%v&ie9)!r-hO4Brry{ATMm1<sD>Nnje zc2$YyrKN7ug<`czG%qYYq4O0~tl3O|71LF`^6XNR=|Y=Bo(0YDj@3)u8S*q}ig#;d zR*|NGcWtCsk*2=4s~+plE02TvyiN6v?zr+OsLNYbuXV?jhe2)LqIy?TH4iOioBn9i zlm|hL-i&%%Q&;X^x<%*d_K;~ye@#2I>B`ilYji?WHMP8bBfIi7HND^JF5Mn-FQ~%% zu5Rk~kh?)8-mZ~Zd73+yKAP6FN#ssYzV}YutJ_3w2kv$MD7UpbWd7ok{zt`E<}EJj zKV<85KCrUyQ1O+yi}U(7*`7KVSlYL!_{yBcPh>=&YgoANwLEn;Ft;zMIOJ_$cHbh~ ztZy2o?kD9$t3zfjPUx?)J@s|PwZ#`?j#gcH6}ZZMuH~sSfvJ67wp?FVTwd(cuVvfy zWyQtCF8y4#TwgSd+-v1bpJ|+5Y}NnBChN1t*~Ld>rdEnP3!LsADHmEP@-#59Z<Y<$ znZWqINj9fW2TpW%l>1sS<#AxIyP@3HiYbo*JKYuKN-L&33~Y5Twe0$^;^5*PGO86J z4+0z9b1h%J*U)wUDLeJ)ihYYW$do?S&~^{C>^c<~-1o@Z>r`O1`%BrW<s$b2%iV9v zhL(%m4Gip?W$pED#g4@bWLV3u+z!lh-zs~wto5Guhq%P=T(#>KRI}QJ-zaXqqx~Sx z@x17#7{>FW?_wT)<JuO!p}5s5d_i%mW%z{RR*Ue4;#TwUg5p-Q@Py*lTiR=OGQAZ| zTfg8L*QW4}y-u^V55ztE%C#;$V=vPz?G15>U%6I=TNJYzhieqKUeR6<_wWnXvT%*P zOfN;<)+<!CUeIocYdj-rx8C3x*Szo-dzhY!nyp_@$!ZvWVh__ZQN8sEm93|=1L73V zh^nn;c*YgKPN9-jKfGfP(-Tp-^$#jqk8$;cN9^(3()37FXnjM(!w+0-;Tn6KrfUnt zC4S(FS*K9ZdSI@SHbY#Z_fye-WvBL>+}t!x`$uMxRpv9#*3H$eI_5`Lg}vC#G)+5Z zXVX-!&~*+^xq{anP>*!<N^0G!{o*c*XQX1j`eCjL(Rb?-wg*qqz7pG*x7=DMyrZaf zr}l|h#dll*>ln&gw`=csTT;=wZEi|_(BqKsM&4EB8uu2KwZGadtU2XfKuwvD?%`7} zI;^hDTUgY7NY?9oKxNw@<12F)=9S-)K6UN0@TqeFrEQChugqEaghw=8!@_y5<f*gq zEHVpk@f=NCacki<9#`utZvwK~Cdq1*tuS#u$oJK1%Iko&&I={GN>^N67}9QKJZ0v> zQ#@0xL|z3fcb>@?Y9;bAV6k&2pQx3{i-452Uou%|0_HiF@*TCj@+@GMbEl+MvBsH& zdhMHJRuyTST6l=Z)KX-|!hJldmQ$uLRBCUMc~z)!e4$i(l1x^i#?ggB?M^bU3N#Kc z<Z6FqB=Rtz*||{Ct6;@}g$(VtjIP`dh-&*Jt#vw});Uq~)v186wpB(VQx`7dIch#- z%EE7Lm!!4wHTEog*S1M|Ri4JKg^PGh&8OT6D0Fs|<jPyIec_$9Ea|Sd%kRyvsK51h z<$3>I_8)&{p7-Br|M1_E=gV#EAO3uK&VRf8&3`G+ms{Ci{F!;qzo@S3v+$hx_x?P2 zw%p8q<Ik1fmYdpN{Acoe@~rtO^<958&-!n$-}~>$ui)4I8Ffj2Gry`|oqz66%CqH0 z_Dlb@{JQ+of0_N%e=ff+zwlpV-};Z`m+;K_cJ)%9CqMU}YhU<p%g@Qr{FCZV{o(w) z{PcXC`c;2AKP@+~_x;E6Y`MO@=f5XUm!FugP+#@u<j3X5=1bH^{qg*`{K$NPdaFMt zKP*2qf7c(EAD18a$J7b^(fpu(VE(p0U%pSCHvdoEsZYW8{UhpjeVROV{;EGNPnT=j zfBdWRbot)-U+PZ%=6t_=_xv|?tA2OBTdrY$_OHtK;5+^SbxprtzE$5o|5jbn?Um)~ z_vV(BzuG+conKAat<Ayj{B~Hsys3HKucB<%=F4yWwpri2x$>M}N!hH;ljqER^oAvU zxw-Yun=8-y<&>?un|ao6i}l%eOVZSD&P^)!+ARFWZ@u--cUN`^&zc)i9(C8Vbh)wh z%$qCE_^q^F_^xEv<d=R+ttY-S*){ov-$LugcP?qm&(A&f=E=_B=YDgnbKfo5IeErh zqw+&{UKXjJo~u>9=#J(Yzsc5(H(#Fin`B*iQ?p3@#9X=ZqB|=K)sM{;D-XJ(S*U(w zE?>FPos|X4^{fTond}grK9{AO>kenZa$W1cZ<nO1@1MKr&6VxK)8?*wlajhz%R2C8 z<|)4#YtNgR`RaS;ekwb3`(>W`?zykZ7Twl7<yUNd@~y~r;VE+;lvUk6nY(=3+#7kX z_I7?>c6au#J4>pC=g<CjXG*p3JKw6jQ^msbX1}{rQa$;tZ&}`|V&S>7pWRV;zRbe> z;Lew4ee?3NiiKy-&dQG}7Jloy*?i?r&u`0a%)WGorTX$~-*x6&@3lNryEZ#4KWOjE zGrnngTE)Syd{>yyy_ZrI{L*)cdG9@ys^AyC3(P0(eEE5qp?T%KE0w~}eP^3z-cxzD z?96O~{9Suaep+^Fwnjcz@#QDJ33<PYCO`3w%j??1`Dxkl*)sWAdpbWZJ33n=-)j%& z$7P3S^W<yoS$W#G#hh2KIlDWj`@nbU-L7e0Y`Karx_&YJl=Y}+@&n&SbH;mHo-W%z zd&`|C<-yZt|H?a5bb0FRHFrXus%e?~?(BT8rfL56?vnE0d%hLsckiZ@2jBHAF?ZdW z`A%);>_>S`MZ$M{^UZhOy;3H8Tcm3F0qfSgnqOu%y%YK2lXzaFXnBJ*t8MTJV^*8s z9mcJ<HE;MGJSXzXr}3P~GatuuB9D9+&xzdgIe1p&mQUkZk*wt#(zvz+&zRdZOY?-E zVi{NFG6w6`>zX_K7M5~lEIVM;dR23U-@`K^XM7f(5eZt}VAXnAbB5o-60Rk|5_6kg zh&U~0uwpd|{xRp!49yC^g~eQRf^W=edM0wjN3ob|M(~a~O;1I%mOEIs8U)Xn!!$#) zW@gh9kv%?&MO+htE9Nvk7Li(hz@qi2riPzlAy-GR#hj*xB3#Qaq;j<cOUz-KuF2u2 zSisc~e8Z@9zh=hFru!nBd=}<&MJy{w<qBICkjfRZ%pjGkCU}BT>t4+lzKZ!=6~Q}Z zH{BI^wamf1RU>%D?4~;+kCri{auozu%x=0Za%<UvZ!P!KKiDOH=d7K#;5Un%|BcTr zchn!)Ii45(WW#t~_?^weZ=BowH+*if@?Y?|#nOMm=N1e9hR-eL{so^~%={BRx7<=+ zQ_1vJIBoudXPle-J8GR~sUNU=_?2^=e?~3SEcFd`iC;Na`CEKuG4|K^+;T;If!)I| zoXh+*YMEXNyUkbl)p9|-!LIR)u-$xvXPoo=U(_%?7dD%};3tcr|A`u=XTo~(6MnXw zQV+0GJR__&pWzv2{5*x9Ec*T(HB3*0<>o*5(Q-`Pz%KD4XP3W64bvlGzWE71S`Mj~ zR5CpjW}AQDDQA;EM-9^h;cYe#-*ZOId+@zQ$Nxn&(=_#%%BHF6A(c#1)qN_N?h7xm zNqo;)<$t1@>7MYrc?sWJcBx;mRXip9Y@WjRmL2L2l}vYp@6CJgt!10~2Hl0_oO`@) z#5&DaeWBNQUg(>SVmapy?+cq-Y`hO_Zn5^>5!>`u=#9?8b3!k463+=e(NR1n^g!p~ zS)n^R3(pGO&{<f<nLW*6cgs!H6_HJEgp#IDNaNh#T@l;#S}1CILmKBA?}*r@S3>7> z4xSM@rK4ENxx(8bw&|si*K~(nEf-Zg^b|`t7kDddZaJ^o6WR1!$ZGn5oh@foGxQwK z2pLUp*x7PgH9~J;5$6<dj?FD6RU0A?O;`2MJ9t`1X*$EsmgA}xdJ79Vd%PoJnjQ%W zO>fxIa#&SDZ(#vv>@<fREeBN#BAXrvF->RK(W2}9Bf4pt>JMGT(?Y+dHKcNePfJMU z44vkX${9TEz;+f*?;Fug_k=!8YuMhhTlI$S!aU9r?~Y9^J5^8UD&}zpOk>#IvR!qD z?!sKo9QPNtOz#ED`Y$}^*yaA9xW(4}ge}uM!7nn3=LJ8=JUl0u*Pl?$V(q@7n8nI{ zMlp+}dq**gg?mLYi@AG7F^ie|3fn`olrP97e&fjMQ>bpap}fSB>5X7q|AVTQYsw2O znO+Nq^)IMuF?Kg7ZZUHAuw{BB=+~c6)pALBf?VPkjz#VqwoESsPslv{%#qyppt9wh za)DgpXO3C!0>v$7loRA0e&U$szQTs-so)`*#7`UveIK53OmhEF)N(@ELGIy4jz0Gc z8>YvCV*Lv$T8=0y$UXeP(dMpU<1}4aKrZnEM@*kWMauzY2D!x3g8%v!Jmsi!KT*`O zPdUNz&{X9JOQ)&I0hWiRD1VT3JSF(8Z$Wv>9_0tJ58rW=xv#Khx+}OyCh;9dk$Z<V z(-h?cvJc;K<hf^9Gu;-bYCmAza#!(-WYar=A3TZY1&Z1mtXXWGPZ+b<IPWlSxvhAE z@8CIsS3Hg91fKCYo)dV)!+1{M9?!wE0=IY?&kAI<Z%E_V;ygpPX_n#%KE*PQ%r*w= zmg|Z;_!gFOWV9WyYPqVog74uOfipY{&j<vyH(0e?R-D1Nu!LiYvqWuok9T&f*v;wP ztKWwo5aC$l%puG4Lf{0?!(xu)wg;9i=M)S05{o%zY3vBA*&i04Xn4Xvq1Kk8OVa6? zfI)kKCCh2W5WdDBuNmEXyaI=K5{ozz+CHRmOmhBU)N(@6f$w1<N1t<s4AWx)vGxTP zEk_g;_#PH;v^i_YI88qsQ!;Vui6#e&mV=4~l1&c;nA#aET6CR%NH<MW{K2buTHse( zLn=pjTS6*FXq!VSM{wH#a~4hK8`4ep1U|Jjn6v4M#Os}i%88Y%O}5z~bLg(Xo3;RR zmR*W2l80nib}Am>Wjw`i(9U4qvR!cp@4{S;9D9zxj`|w+`6cQPfEK=d`Pul6-=zM) zbM~qB6@L!SlYjD$;X8X(-Gt}t6?FyA*|*zo_}e&F-@fCI<0F27r8)l=@X4AlyDh)v zZv*dnpUQ&oXO1lAfA6!`{=#oZncz8=rD>atg=MbH3_81FW@ee%ty?p1%$fPJM9su_ z>#BX{j#vr5@ySd{H`0}HPE)%OaA~HD_3Ilm-n~itG-u_cmqltmhQ^uaw!B<0{bbRy zlQR#UF`4ChK40zd%zXl0?;3nwXsnd6Dl@aS^bI_H2Xr-vzh3SfYu--}-d%iJdf?i% z1^n~oO_6@!S$zDU@+00NVM)!y0hs~Ecx5b0Jbc~nK6sQDu<8cG*E3pD?A<wO;<5(~ z%8K&B>g;BGX128N_{?l!U-6mQ+&<$ovzh&hzXxZ@U-*~sjXkSQ;dk>5`6WLY-|)xP zKls&rO@6^o#@GB|^$UJA8`~RvZZ@*__{;c;->*L5SMw$ICH4}38(;7{)ieBJHnRWm z=im(aihm0}v(K@=@u%?_|B*impV?>F@A%XBlwYgf;b*gf{fs}1GvsT2Ha_9s^GD$m z`vm)nKaG$1rRop-Xg(^h@lW9+dxyQnpT>v$T=f^8vbWev{9&9f&+$*;1ABx0jZe+{ z<uiUZ-sj)+XTf{+h`NHO>|u2QPuWB244$&r*iZP>yjT9kUxoMV74|!RH{RucRp;=% zS;KzD@5Vd)kLnnnvKQD_{BFF>f2;0*S@3(GJ;ra(T}fBFJM+hxE$Ped%q&R1WE?zi z=7%#S>C0}<%t_y596WdCi!&zaYL>=FXL6qN$xkaX4xT;p#+eZ7%d=)?q|ch`dDdr> z@zHZr($sFuj7u+?yRuZx#CYSmEmo6X`J|^A83)gt8I<lc_hpIN<(XdTN^>(y)Gp3+ zN@tqedB$hHaqrBN#mmmkv`D`-$Fo??&^Yy6i{<5~KGTdt&$(Cz&zPx}&SZRf`b?Gd zPe#E{d?py%o_k^u{Me_5F=g-MkAB;%Bj=wiQGaZFbKc1!^}}<0&ZktW9X7r>_hg>> z=DBCirIe~IHoiIM<P#sUbR&z&duN_Go06-RY#jMEC0lLr+?+Ba)5$0O#H=G{olH=# zo_nTr)3uWqe2!V&e3i0l^4ytc&ZMNOdC%o3-E{e+kGl5Uo{~)$Pr9gc&*dpGGMZfL zXO?Dk{-l-q<2gCSMrThR@tI~R`7CAn<Ve3TOUb7xiE6Xw@SI7BSDQTN%;}VglO6rO zS<HN#(mUDEZ=1!;M=70?75&OAW<E@5om@Jzr(n~;lRJFWEFvGIG)&H&`6k~;ck)l) zX{np`o!sD4mTIIuIdo>vsgz)~$FqG-rBqLT={wC_@?J{$<eR=>=8|_)0@Y^E_Q~6{ z<KzM#HuIaeQ}QNn^*#2j_g?;oy5#TDwfh$S=C!+j<8$ww{0DW;=gmLWFrPPnSM&Ir z^tSsOKKEMPU+}rt^8SR+y%zTyKKGj6FZkSRc0b{B@2&hbKiS@zr|n<(OnTG(j=yfR z@(<KK{wlrhe#T$6S@|34lD|r?x^MBB*Z981=iV#%3+f(!kzRIR<1gDwbGQA9zj`m^ zH`FzsF}K@q_)L1<{TF}Oo|~KPU-*;P@cxNEY|qT~_9y=AJ(V9&r+mg-Z9n5P>G*w$ zKY8`<cl=>{VlKD;;g8;9`37~#AEmqQd;DR0WX`ug@kj5W{F0w+56#*3AABm^bf4o7 z+XM4$HILs*N9}v~y;tY{i{EV1@?(CsP0bJa$u>3L=O^2J^CdOO@1?8mpZLvo&-~rK z#P7Yk@-NgXpE7^8Pw{*2j(mroY<JA>?R)sGcU%63+{NY6dv4#j>o!04MPBoHvu`=d z<<dKDU)bDhbNj&NUhCUC?zX)(dy}*HoY{+<<a1_Ea+J@RJ;-@{*6dEs;<IKqau%0K zXK!=d-Fq{4#m%-iW=Y#8rb%zOU2(VVwOQ2m#x&_Qw<GShy)rwObNGzesT}1}=@qvv z?zX)&^V;sXtM_7VN1k$t^n%+8n|sga_S|fHZf3Rp;LhH&xfyxRXUvSYH}32`og0z2 zxJY`+ZH~>oCvzKa9-E%)k$3pCnbLN~oxR6%E%Fu@O84B3xYPE?OlW)Kj^4w$5_yXY zq+_=^?&v+3TX3`Off>_w#vQ%7w}0Gjo0j_{SNXKruWgN~(&5_@Q>8<<Ii^YnZ#%f1 zSM&Cb+imyEK5c8<-n%>ZM(*M~>5|(Wn|gQVp2$_slMdL%xV?9K?vC8Wxzah;U+iUj zZ(6qg;&Z88*B=!3+Fn1gm+hVDml);qrXOM+pEJ!{pIFUneSJkSuhsP##k`i+JBoQN zu2&TEnqSW-<~6&%V(+n8*%#uHze#1SQ>^a2k-cOm+Z)rk^$)9huVpXT$@bbbZ2iKj zUgPTq#l1$?J@&G_GWA=ZSk-$edqQ0D7pX<pIrg%>Fg+3T__I{<x`&m$=dugpl0Qq$ zx-L-MdnP*}?(rw7Y1dcmVS8$NC?@%nRKmKCPo*YZ|4`I>BHJPE@kgn?>lu649-E4- zUs%z5BwHcw@dv54>l%C9re_PpC4Z2LS*KXhdmx)3F8Q?SzjX_rO4VIIQPjIHJ7MRs zso4=b-KJ&->^wFl`$MerDbsK37MAz!$$k*~_?=YQ^%c9>?wT%&Nq#3)biHFY+m!4B zv5((M<z3I%&34<QYWYFy-n*G!X12XE`Qej%-lS-GqcyMX<rBudHkWr8_ukID;dl6) z$t$1cb0*JxoX?p&@?kz_a?j`RS(95n&1X%rmTydx+H!fu+_qVnC;XJlq%xN=TK8Vh z+~K#lR4QZHL95=YnJfGrpD{V(v-pfj(DFvB-piRY{1%r;Ex9Z)x9x?A({e^DUZcxD z<{X=mS>d<1SZdDY8*|#8nH=#^E|!{cdB>c#rzTp<9W8qeF3*_5HY2lUX4?~!JwD1s zQWGv$%xQaUBDMUWMeor}4L{{VsgBDQbJ`x7a4o->D%EmXVh-E%Ob$Qg0;z_}H;j7s zXJ*W7yKl0|XK}t%#InLvsjy{%sZt@!3{$0QE>AG(-JAKsS2<s*;_{B!ZFfyxEps&Q z)wn!kcH143N6Q#fr3x-r%x=4Fa%<VaZ$0<YKiDOIm#m$)@Hda$`5T{m?xa7kb3SkU z$%gs7@jIKx-z2x4-|)G|>imMwJ(lMueD1M0-|)G|{CvUZ9<%capL=eluc>5vYn(QJ z;WNoi=R0cMW~Cpnd;C>$-T91Kwpr;L?2^Aqt~zh=naB9N#^;_Z=?m;0f00~vUZa-n zrLo(5#a}%a(i`lW&luayH+&{J@BE7zw&%uX^B4Z)F+6{whV7ZL-u%R$J*UzG?3B+K ztIcP8CK*3Z@h6Y|`HmX4C&qH~AO7e$mTq8|{86&&yhjb&BV)e#i9dP{rI%E)Jv3&U zfAFbf(|L{>wg<-BY#zUtjGFiGdymff7u9Uj(qk&yrlyBfvQ16*sbsrvyu>E?y=2w- z6V+_@jNi>m{NA%G{erFXDdT7J6u<ZENO!1YyA!FsZdcd7l>F%E1pStM&U^D}K6sq1 zsBXJ$d~4ppZxQd4_w>KDyY}4h?(rWs+n#T{bG$(Q^5=+o$3OH)oZi!yF7Z~{qHx`@ zcQ$3eXS_`=lUw~+V(#&0Hfql|TJ#^Rd~-HAPpsM5{f$}qM!TfK1IKRJ9DBC${H^2H zY>xesn04~_6`Qu75}7lOXUKn6y7p}2&Er<u@{|95ikNnsft6kU^&*e7jdzb$NXl#1 zyy?1~+}l6Zu5J1E8*=xPL*$fe&Ya3zHB+nqtE^qyj}zC}#J|tDlU&yCs`o9;(4zb3 zM&&Yfe))}YH!fT}zCq`kl=iZ;jW<oYA7;Lsq_O;&sjuez9@7O2yK^sX(lguM6Sh0` z$)}giX@-}LyJ!CV9HTQK<k;J!72Qkq!qm<rHOy*Tysql>hS(n8Q*V-zrv=`Ab~dT> zbcb>J#`CEMo*lfqc}Db`r1H}Zn<GSa?Ds!!t+9CN%J36AN)26N+!n>oRZ4#R_|bGv zuM?+zd=DHr{H^2WE6rl<W!cLo6$bK@8``#C?RvCKtu6MJ-?1vLS^p9v4l?<4_Z;{h zZMp23;mzYo^4^~%-XyQ@-)VR4m&B~&5%STsK3_K)_s^_cb0&FZ|3bU6Uo&1NFYTXb zXZCBxi{yp<jdpI&Hl9Cz%;wq8i08?3`g84;{hTr5xRLzfnm3;ePaoHkUtFVeCV6sy zW96IE$&>mkD|J2@o;WTiUtF{1qv5gRV)DT?Iv)*>9Osiau37V8qh7zDo!JkG>Bm{* zxodbnY}D=lYrE{J;r`>BY_5Hmn09=fP1@6qTK$2QIj53q`aLUi-W%>c{z>j|^_zEw zyN|z;TU@PkD!I7-q^;O@i7Ce)$W>RLdAo7j@f%{VV|&Us-aYzDXW4Fv`A5I$OxrE- zE~!fF^k#{9N8jm`?Vj;AsZ4D3W{J5+pXsQjZ?xz>82RRGQl41$W{KHHv&5q}OT10m z+`Tf=r)=YmqnC8pcHelNw61%rUR#>swWDF;!Le`7B&CUIZ;p7Cw4!^iUfQmRmq|;y zd-c?IMZ8E_&^<BoP4Py<?n=FDJ0+ec&F;?BQ%l=;=BR=A?wB)08&4h85a-@}<4ID2 z*zZj<o+QPIb;s}&Z9INdMm#&Fr*PxZqaxzoF+7DE4<F?b*N$0pI;o|bSMOM=;lZO! z;><BQr<3ZtGb7LBZ`A4js@s;jQM)@ba?Pov5V6^tB<?2#iQSI&$=|r==m)Xg(P#2D z?mGHHtb5aqJ4r>|M|Ig!4R;*9CsrK2Cf9IV>KDD{a>?DNUu@>NoBAR0*u2yydd%gL zRnsP>OIA!POqbk#dP8j6+|(<PY;#l3M7GUIJrc<_Cv{I`+w9aWk!-V5ujnP8HOiQ7 zxSPlH^n_TqH%6Cqn9C&BpDu`Xdu?<<r@2&e_341vV>44{M7qsPU82WaD!Kf$L9E+L zBai8ZyLc|9w&*dJNTy8txU<Lb^oJO?=SCLOH}2#)o7xfS_RPp&df`r<)2ShP%|()l z(+qd=7@YpGiRWZ$MWovkBZcW7ckmofHPLG>l<Yno5aag9NML&54xYoQB6^EY8*xlG z+`*%FS|G;lff2*>jj59Lr!Q>c*`Jyc>2}{}gU;hqMr(8ypE6pZlYGkP$27%M$?DS= zqTTKpeVA6boo9FI72W1M$-rrb+j(}T9?@k!W%OX$$89{@Q@7|gzuj=}a0UNu+coDC zceQ`C%sHR9v;CpmvgaFY+8<iJIhVM-{ia;n^9@$*7cFznB^L2@7fZ}Jd{5@tvkhkL z8!gv-+hE#$QO>M-#;n6B{N1)XXA?KH@0EL274bSTgD=@O=c~ch!{=nuo^3E{Un<vD zb>n5?vi7NRZdEs4Bra-im1C=tn0eTaU%Gh4^TfIBg>u^}XFN+x;yZ1_^LfMR!#ez{ zZF)X!FlhIcV|%tizui;r+0zXt4lD3i+no8h;n-mb{%9MYj~k907T~wGIrCw|p~Jgm z+$wH7NQ~hVw$b@uaNzJZnQ!LTuXl;Z==JT3H;KNlKS|QyYN$y<`mZUII)&ZDt#2;d z{?*i1MRkeXQD;Zpj`F<;MN1M2x0Kg)bT&jL{Qlw6*{FS8Z1ME(+<Nc&=7gCRx(KRk z>KY!+Df4RklqvZ^cN25^2J`a7(&m|#Yjk_wZZNmpU{v#qXIk_tHvjyr*@y2OddAni zXxFV@uQ)9uR3eg>E`GE~MdFBFLrYUA+vcWJy{<XA>-A($d0Nk#J7??C#S04$o%yI_ zy?faNvx(i07A<&{n6qHs6wR`lH3=_Ye|DXI`uS?Vcip__<MRxwlHXjrm$`JaTL0>t z*;We|FI~PejZHg*b?PSdu8dRP%b$uWzs}}4I=vzPqVA0u2c6h&ACa-uIhC-d`Kz2; zxx}642}|3z@}^ZvOga3Ht=c$!gH`iQzGrS%OE-kvIhe$Ld8zE0vhxX3npOG4EN@Id zC~^1?)Aj<%oDUmxnj<aOyf@HkkCl9LDlv?2b&<r>!^>ojmCu-R_#5A4Yn}H7doG9E zj<w!i{%OOqnZnNujY_Tv`Xr0F*&jLW<Et0@?Sa?3iEC#sZhpP=@G?K1Fdxs0cDfPE z45aFA)$VsnIP{Khv-O&H2D=U~k})fvaVN2`-O-Zg-G=Rl@9<??_vCK4cd&x}w(Oeo z3A>s<O6HtT*xCG$Z&~^Vo92g-Z_XubZ@$Tw2J+2C$((ZuMQq*15_1mT<9U|0!K`_s z<eIV#rp*`m%&ce3I+()VEvs`jVMFs?zGqeuuM;xZl4WyB4Xz$M$CH+}!KisDUz^p9 zmkG<7r}DX3-FT6(sJWGo%}QeCK|6M7;~CEr<~A4dZL^&5EFp>Qv<y%2hSLXi*jLN+ z6m2kQ_T^(s+o0d<$@eUE!-<0m?A0=73O5`(D8U{r<5Rfd$Uy;iYnd|z8x9@Z#p7mi z<3U0Uo3M;dfx&@;+jzd2&zN@b58G*@i2Df<Y`cwSOg*@Y$1Qb(X7fj0wbTuJ4}M`g zEzOg^VfVo|Y^$Yv@-}ERpXF6EkGPW%z}77NCf8v5!CP#}zgK_Pzqh~a|Etf_-^JJb zyY)HzUHp#vmp`@7$5;H@_4)eS_-*w!f37|kU-EC(=jn6yKl;P^e7$-7&Y!E##^?N7 z^*8%${FeH&|CT<}zqvo@zt?B+H}UK1cmBKjOMKS;i2qT4y}zzEuAljH^_lpU^$Y)% z{+j+Werf&0f2O~tzldL0-}uk<+4}SQkNtW2GyHk{oci2<OMgzEvES(bp+B!b>7U-O z^?%VH?KAO{>l=T*J{>=)zVfH`C;b!q<^C7_S^ZJ}*nYA9L4UMA>L1z9_uuHx>JRJn z>IMIq{t%zOpXEQ-AMOw9b?g8BUHVji|Nc#Xu6`GvwtwB9)TisU>H~jfpNg-k_xzdt zUVrcYPyY`6e*I2=_x@M^7X8*f6<=I`@~`N3@hSTs{HywX`tAB{`)~AJtme6w{6=o^ zdBc+agU=<Z`zBWN+(~|6={7I<f#tEe$#>)qf0HQd3w$n7(r5TwqNq>sxkN$V$7d3G zeHWie<n#qr_n01EV0-M1;T0L@ZxS1idld8BNZuoN_^ZU);||3<*OJ%BIe(Q%?@N3p zk=i%0iswr59J#|^B$ggmus!y|(4}9niswS|1j}R34Ufqj1~m&G*c^LiXwt9vOk(Eo z12)H=8tU{1R`Q%mZm~S}#PER3<I{#J{STi?Og!FTbL_F9O#j6So@2>6a?T$mI*&Wp z9D8WU(;ryTqjy}v=GX(n9Wu@zBpQ!1*c_Xd{72ULwBet=iBBbJj~^)N(LTPRs7LGg zf}$SH;}5LcrX;_Sb$%~VdHjI&vAc$EwlUT8zT5NZ%Ep)1CQg2Iv`ZqzJzm)GRi9&d zkH+yC)@^qTAN4Ull_)q~VcmAy@K)c!ZQ<|Z_Ef)pcQsxA?%p47wx+MYv$x>;rOn~< z_I`L%n!f(_-kk57HiysM`{Iphy1r%g(VN`o;_|;06}Rzd><G)@tvzpWaz~iR52l|7 z7i<onz4ykO(B0Ri^l$A=%WOB?J$=^Rly=75;cwzHzct-`T`IBmaD{B!>gn1Grzd*w z9WIb)Z|?64ZgXTkeb3;@s~>OL8q3Xj_9h;v-1@FHP5;{7u<t>4U!RFf`=+%y{8ikF z>bdVycZI)<TT<QoPIXuKi?{{V6K}pQUT;`k`R;0^_`BGh<u~tLP18TOJMMkfUhQvs z*LPpL6Iv}kd-s()Q=jSG+#T`0X>ay7y<5A3{NFp>%`VnIyZ6YOsXN7=#Z9k{tO|YS zx-)#nUbXK`o3BsbtMdI*k@(BlW!0|tuI?0n65CyEdrvh@|M*_1?@4#EKd!s9+va=F z9qmH>BYXMYFWU3ENdNF&uJ=-#!>8}%*vt0)(WdDS?#DG&GrrsUblsjiyI<}4^5WZz zPkuAC`D5<L$&0LuvsyoSU2IwP-?vLs_4n`H^ycbz@o9V4y-7`7uT>p*Gy7CrO||FE z?0o&bdp~_U^m*6q(|PN6?S1jBYt!{RaYfZf-?FCa@7R0qThZ;+x%%4@zwkAeOYA=U z!kFi7;s;5#o;!&T_?*uheBxm~Z}5)iahb%n!yAlytf~(#Fz&HDJi)lf;&6j;kNM#O z;~ula3C2CQ64ywwy){T{UzjGb>2Qav+pNR`e2+^d)*a4}Wt)|_fiJmKV%1>_V;<wf z8pb_W5*P42E|FMvSVNZWrGZ<!qE*j@#0I|RGX{3;hG`P>4!@9Ldv0LXzR;4#@bC#4 zwr2)<?TMB>rxFABl+PHbwKJwk#J4G0^5`G#kYRgbAlLrTqUTtm0bg>VMAu;t8Ma3T zeC>%AJ%<uYB-tJsu(cmdm1sK5A;b2-U>ncle2J*Ghvq#xhhIpuO-qcCY@3=GBFQ#2 z(MOW)zQGcn<a~*$!zZNK?isvmOEmA<m3V<y`IN!4HbwKE9f=N-Y<CRqwLLWJ*_OEB z?$v7X_p!UnzwY$@u4h;NcBl4ty*s<V+?o1Z&!+tD&ez}cZts3`C-k|VRr%SS-sfTq z-!&CqpS}CeovY9E%*wCc+xkrJ*6y_TLB-SG#BMBKx|93cy6d}R-e>LQJ{!BHeC@rZ zRo7?kK65AZ*}5yc1KwNhJ^f|frQIIyrS^J%S$AQ#!+WLT>(678-+kJ%`m^4--DdAE z?eRVnJF`6TUTNj@r?FGZ1Mi7GTX%BzfjeKH>Yd!Z=Z<Kl_><WFa?5*HE5skic9(13 zn_40MD7L*^@?K~~`1IWz@1^#1pN?%RXT8T-aednEfA208O}`%-`R>(j?$fd1?=}^M zPu;!Zj_FgqeY=<3*;+pRUTkIg!@H&B)9=QXmS4Op`gGmS-A~?i?dCoeTTs6D?$fgH z+p#&tU(X%2zWy$z_SvPm-REPfpPib^U9M+a{B$Pw`Iz!&yXKxQ)3YwVI<xy+O!2cx z#^G~zJ~;C=P0ze|>&))6F<Xn*&g?E*cXQ{JGpg3%Z(=f^HO+lps&{>7)N?0e@z*h{ zi&vg2P17?jo;p*zRPV}8zvo7CSC{Br+UfROXs&jN-i4hf&V04JK4YiN^H+1ai`Sjq zY4TjiIQ&`6jN;gHsg~hSW2O|h&de^-GbpZ|>0P9!U+j90)$;n|n7(4ub4M+%KZ@xp zRz25has6RTTe0Z5tEqa2cCtNxWHkLjOk*+Qxvi<|_V3(s=Bau3w4J}69WuH;b?2Hh zp{aUW#lADU^Yt`~-=1A+9)2&TqWJFFRP*q=F(t*WGqdybcJ6%itjS3HPE3CB&a+p| z#Bb|Y)gS!beK-Eg&(?SPKmH`0*Dt!y^+9(MbK7hAlk&Q;aVre}%nbRws;2Qbx9$ED zpSf-J@A%w(JO0MML+A8g{b@R<|Ll*`IsHd}n9k|n`*Y~5{;fYvXZ5q{H>Qcl9IiMd z5_jQW(zGM0W*@mt7grqiPhVTw6}l%$Y<tCP@%cYm-{>dRPkbi6VSmNn*4O$`^^MQO z*X)n@+xklX+@C{d^iTa!`YOI+zs29ym-=4yj=#Du#&`Vt+I=Cu;a}64z>AH7a}t&` z@<qL1;P?F^cC$fWK#YfJU;0jqhf77T9pZM4xKw^1Fnz|UlluLAadA3kRki<;&gk3J zU;N2^E<Wd<(`WIdx`{uz&&0?4JM>9>>iz|PTxZ1l{9`(!zweLJC-JyC!JphG;%)vN z`Y7JJKjF{ONBSc56Mt|YiI@3z=!1Cc{uiIP55@Dm`^x@edaBobx%;tqWaf(B5Wf+x zsK4Wn(+Ba!{S1GOPK*EZ*XgwWpSp=p#cTH;_|&bvf5WG4t^Er=b!+Z_@Vj+N{F}c{ z@5L+kANYOruKt_4!0+6<;$40oy`%r6PVhUo#{P!iM{n!jsk``%d)xN5ed&5t<p+0n z-;Mopv-O?ck2gu@^@_?HcXQkBKCzkGX7`TG-M3?JygPJG@70^8b9&F-IGxjb^oHr2 z-n}=6&g$KI({xrZt9)ac_?F!>?zYZ~J@HPdOgyuUad-Fi*d6Z{m5OJS9o*G@HFm|j zM`!fTyjgTcFQ~k6SNG-E8SfUAh%ebKakuq_o>MvFE^edUKkgiz5nJ(YQL*@(-8b&E zKGQq$MyXhQ#_k<=TA%7^l{@b2HrPGm4(p8Anwzap^!B__DiWWtyW&pkV?C+zgFCv9 z#%jD%DirV7ZE>gdp&nQH#Z>W@-4b_Lr^j-<Qz{T|*nMMD_x{+7o2~ctHoaMtFCI}= zm?|Dt7MLm?Qf8PcUbB0`rtZDQYaT=~9_ebzc5QsmxN*IR`+XH2@e>F3gw*i<5c=R$ zB$)c{?Ax`m)?0U0+}ShHn0v?7=jF4qj+OAtJnQ3o(({Cqi+EN3-b1@i+-8ki)4hB9 ziGA@3=6Y|+0=IMTigmep^p4(>GQsWK8oL{AAHA)2r|jZ3?rqzv?mw!{{=U{O|K+}; z&)3@KKi${*d)mD1pZ2sqUu&IzcVFu7X>+%~+T;3st!4hnO6_ye1^22xPn*5{)}Eu! z*52BFZIA2kYj2{n?oF!I{<hX6|KPr_zoxy8UYozLvis}WtJ_2FTYa83bNi`1Q-6uQ zie8>Sb6@B$v6s<{^E>y6{t|l;opSG2P4=1SdHJRLj{dy%EP7UcXQlRM-80+u?r*AD z{Ym%K_CtG2e~Qi6zHg7}&uP=QE8TCZdHqrM_;#uLNj2FYb&qZry6;r;`h)J_?OgX? zeG+>Z-JD-o>HT5tf$a?UZ+*IUKRW8(r)urf(Y5)BKTop?NQ*>=yWW3)ZR++ldqSV; zYUTS@cE8uvTy5~n$)SPijkX(;{wAjT%+b~PFZWLUE_N@vJpbn2(C=b*qXX~Fs`h@j zcE|Pwdsx3;yB(dEzjg1?vhI7)AMPc67pu)%Sj}yx`^{t9iM_1vbidqDI<NcT&ZBd> zdHIRe+}7Jy6mwf`pHa+hxxJ&9+hTh~F}L~ljACxH?JM>kofUoIUeY(QtUSf)?i<ld zcCx<Fjmv*n)qO2`!A{oKx?%YXtGbQ18x(gNZTHyA`byU?Ke4L&QuKs-NngYkZRgm_ z`a<`_okyR=lJg!`c7q})Y4e5m`~efZQ&e9pOPzjDY}R&x;_fri3HKg-5}USt#U9qD zx`*y0eG*H^`}kCB()JHU-6x_Q?mhY_*0()l59?!HvHXP<-AAGo?mhY-*0x<^kL&bk zfqO|G#A5OkE4mLvGu%r$t@|%;;Zw1??I()5_eCe{JUTTxVyElW=zyI^r$m3a>vT%@ zTi(L*?mf{D?ml`aR<?b`Zq~cHi|!=76D!)@v72>D^ntsN-iqaI&)Cg+Tc;}hpmq1% z$S*Tn-|75#v$g%`yvQf#n99Yf(k7;hRiqWBi)}ytBU_^S@1vKzGVeCD+w(jU=$1ID zoR(<KZM}JgF}Ky`8OGd}n>&oTEjCvebDM9@Fy=PfykhRrS&<jcC6$R~r72o>--ui? zll6^GT>3++?rV_?X0pE42}@sS)or}lz_{CJv&UT4S2}*_iB{d0A}5?nDiK?>nPV>N z3!M{Z9u<ovr#-anJ{MVVF6pyq+&-V6sHC*4qN=)YjY69)%xRqwnR1S)SZw;{4Rc(d z>S&}3rio47%wXKDzj?x(*6EQh=a`Db`ZpKMaeb^Kk-pJ_`)H)fIi^Cf_RR)!Tp#M} zI@44j*1Y+F5%<AJmUB!6V$o?I&AD|qf0*q$E%M7*rqep>&Kx?Wv+7LKDV=3!n)1bh z(l(lN?~QzNmMLGXeDj9cu6K1_qzR^q6>pv}+x3plf-_8cV)>g3X1m_jxe<5qH`l%J zH~SWy*Di@a_*~aW@rLuBdLeOXdF6vKXG3;$?^N0P=Q3--(Rtxd_Az}It%{rYT(lyt z@VV&r^&4ti=Z0UYWStv+rm}TT_>oH1IpKRMTW5!FsbrlUeq~?MS?!E?!{1z{>nGH@ zzR|w4hv}Q>`t=31uCKK(>}mQcx_W&;?a`UxGb&wYhA-L2^i_2EdV^Zmm)aijhQGKj zhPUiv`XZVV_wi?!;rb6XuFthC;y3=}Ivd_m>H19DAinS?*Xi((eNCT46XOhjav7}u z@rmnXctxe_6K#d~k3YDMhnwtc`Y77HKA^_+k+wj5;Sa9E;UfDMoz~`vH~hh+w_c#e z^?^1+{KluE_3JNu;@TgcQt5hMd&8bbr?l7XS#(N!#h#>7+CSnHpNdwmzfkQ8S_WVE zoojdamAy^xL<8dtzjN&jKeCtUl=g$TkKeeqhi}>2lq<S-^@G@>@3elcaVi(B3EP-1 zx^wk`*w%TWPa;|8h2Dug`c^9^JTYCgG|Vwwv^Y#LU9>QaF<sq0^6h+cjdc5*|6Be( zE}PUDlcFpyc`{A-2iL)Uk*%|hmdw*Sl2f+o!ygvg52mXoJ?%=<b@3FPn09Cd1M^GQ zq9Q|qZr^M3y3AH5EdIf{>d^aFpRz&%w2iXGvw6eh*4$cc7ZJ5#-HIEF7L|!+hdJ)< zx*576vh|HtQuxF)(G9CBVq0HpMTIw}iLR;s^<h;)wCn9xS|PzX>yo;r1V3={YT^u@ z`KU<0_37(bQP%ydR%RV>DeF7PBddE=b@J>cudZFu)=?E(elTQR^i*tpm&>grqI*}Q zvpi?7ikfH3!nL7=Z09&)MAJM1&P{r7r73l>>*}S?1h;FQTBB4dx?;73>_qQJ#iGkr zYs9j?)N;$dyCAG@!;vdWwTnaDT3={|G^}enqh%Lvm?k=J^@|wR=UQgr3wLrEu09dN z`b<kNJTdb@wu>tFSuL&eS+=LY>f8ton3tL+niytSDK<xM`D%vEVvn@;t#K+6jSCap z$#o*sX5FDe(cbLHKGPjs$3k`1IZgbuMl=26T-8(^%k-CXOP9W1qqExM%&p?hTt_yV z9p{qeF3~YcX6;K&6KzkP8~OLot0LXqTi;!4T6E~cn!BMQ^RDjh(p#+%b2Q;eRNOfy z8|{xeM?(uDTOVAVvECqD@JHx#Er#QhqK{6q6s?c%ty{XAOLz4LY46ion|fGREXo&+ z2rEn#4GRlQ6%7e9OckwJJz-PV-q06omGVU^R_};zy{q*q%yD~{#_Ac-t#`B@g)ydz z7Obv_ZoRE_E9_ud*S+8m^OC-c)cP%~=CWIUqqyr%@Pm0y=QTggVLGq*ZqB1`BHNa4 zDDJXazM#0va`}YfE{o+2#a-sh3yQnUmM0W<-3ne~$@*3^&41xDkxk1xY+YvsADH*( ztH`?L8Mdsmf;Y@d`YN(&xkWLT@p6sgt}DR{<~{l%vTV7AE$d57H-E*dt_#5p^P0|R z+W8wk6PdUCg$?U-O*8+6m0X6)PuQ?N)70}%tn4}!957GmjHa4D<1>+XKgCKe{pB4t ztWPxM{2x|y9Sb&?m-JDjYq^IF>myA*|HO)}L%}7MtPeHW{0}}AX<E)<!}>sT+nh)5 zMWXy3mUrnae__o!EjY%qb!u>kCF|5+A4}HznoH&+y%(uke!`mdp5{Bh#PY6P!58K# zozi^fr&!*#BiO-`^^WE}zlUXA+k!W&y}DcMebnykuaVy6x^~%bBelzQ?`-|DW@@^w zP4?Z$*JZl5x4u~unyzb=eKykjTvVZ&;BwY<p{Y^%*NQfW&E9$=<LbJtX}Y(zrdeJO zGVU~p+LV2C-PAPQh_ℑcKsLz2K81WK=w{SL}7v>g<*4O4D?Wv!_OCm+D^G>UZ7f zs@7Gd*z7NYGp0o?idvZ6_^;`7EBCth+dT}KXP!5Vn%Do}Y{SCI!P%2rW>0&jTxJ+^ zL1^*Rm8~mFJ)7P(b%|*25vh^P`FZKoEhX;{sUMc7?hJbxH6^<>GP_9EAiFlwyGU0* z+jSl5&TEgO`m#;e9o=#5QB+s9>blk)*B(Z-Ws9!6nyPzfE8F!)o2EU8YRqO_w>5R` z{;gZqJl!5PZR@XVhc;cCx^>N(&{SQmY~RT4d|l1#w`-Sf54#stk$rb<>h`d^Q6<@~ zk=c2=JGVZ%*0f3NPE>yO&b3#!iQSIeoBna$*6OhNn@i3=D!w*vbJ6)jw%+F>E6*J& zzBYGr-uX?or_V)}o?BFWZO-N=b3~u(SfuZ@JbgAY_gqqO*xShLbBk=Vzv-B!pPVOJ z9X4xo!ucxO(_hzI+k9cp(W+~&B3Gr)wLE<$GWDF7E%(<ompA*I*Rt*YvgYDum-Ae< z++TEz(rf3LKGQkB+3Nfwo9xdzXEz_2GqqCeS>*Ke$a$faVoxIz&&{&oJ`)*#Zj#OE z(~%R?9p`<mnD#ibH{EdF){1G5B0JL+=ap7Wdl=c8UTWF>Va>tKJLafXgguCCNYAx= z{a!~m{pZ}NPuJ|*ykSo1QyuN}P|NO9k-_I4S$m&~tWJM9cWSxVy~y(Pn{z|U#qLH1 zo||Ru{cg>U%?swRmS4LanU}tG?oqR__Yr%N-}YTi*SWj#N6*&uHFq`^9KU27HgDsH zp3?L+w>Rb--((y%cjJp5({vrn<fD?@=OXft6&Z)k-gu)Y)cV@2jTy&h$$FoS*pz&< zZ)%#(jg4`~i)2@q>X;;N?AvNJ?NvnjF(c!!nHz(SJITH-(Yd_Q>$s9^c8Si#jZVjz zWV_Eq%unu>JYBrz+(wJzw`9DFbqteJ`&uopJ&l-_9NOn<88&01+Hof1YtuKX9RFk# z_9S9LvTff}i?GKLJ;}O#OD)14MRX*~_N7{cJ&cGwrX-VHpre<}*(Yit_8=nq*e_}C z(-C#asgkSnb##(rB~PD<2tBsQ=-QNxKaNc@x^^$3D*0(|tNFFN5oO6&dtJ@Lrfht6 zY?8Ego{mQH!QQWC({4xPCU300`djpU`0n_xKfS(d+r_{Asr6m^&iXGkQ=e<w#NYk- z>YMiM^>1oIpKDvipZ)1|F1&DG)90(R*WanR`b^s_{%YOUXWF;cr|l2=JoQcZ#`vW_ zxxTHwzCLDu)?co(;cMd8)-C;Yb>{jrHKET|Us)fp-|FwFFRL%D_t-D>*Xzsb3+o;B zD}BEDJUn^dr$4JcYoA+hw*S%}uQTB@;}h#je@=ZGJ~ckDPW0L8lj{%Ee0{2Ya{Znf z(VwDE!u#Vb>#qI~eH`8$uUR+shv=j5_ISy<&>x}G*K_Qb`qOnfyeXcwj`hdYY3u*( zyYy-5{qV?ruYPl#4iDeA=~L*`^($&jpK9-0zocgC_o?^7E8`#5mVTdlH@r0dVy)=Y z)jQWe+1K@(>r{9_{NCE9-$HMP=PZ69%lclU%>81z$gaf?jJs?XpO9sJr}3pn>Ac2= zo=4|2^4t@xxvUqjFy^vaJj0mFa&d<-m&M`=V=nW>8OB^@i&w}VofUYYFR4r<%T3X` z>qg)bN!B+SaqbVTx~>H-kYs(W5$3+os>^t>fpM47Vh>r?R~mlqiB?^g0w?q(m53}_ z%puGALgPfwqhgU{w}+Np=K>4*l8QxUEfz5DIun@C_ozr@+Ts;5tWPx#^&}OEB)EM{ z6`8d7gHhLsK!?6Zg(7{6Gh|pFYlyiowCFk#sL=PQK%{N4hK%d<K!Lub0+ARuMT@Qj zfed{~r#1e$Eld@uTYSQ(YhPf3<k6{t5t6P`0|O+FP6_<b>vT%vo7+P3u04SddLQM9 zlr3H%&3ad3QBP8yNYUaBY1S!$2YMgnisUWMkY>HDUS)sqcjsOIFF#w}ssE@+I<H=2 z-}sx;cK(UaoHp}!eD1vMf1~cuIrUdHP3P2~)i|9~e^kSCPW@iZp|k3@YMRcfXW4Ij zCcI_-jK3|j{7=*=eG|^KWBlED-G4{jqOZakb_aiTUiDv5_vnoJnVLms)Pw9Be|28= zpHa8yi|~^95`SA>s5{v+{^B&6|Krb*8U7V@i$L4cZv1I^rhcSG>9g>R`8)o!JXP1S zcl_CDFn`7$mKpvvKU<!t@2OGxBs^h$#h;eP>QeRxe{>%8*QitaDBLmM;!n#%buRmh zPla3NOZ;J(?$1%D^g*~`{*6za`~5S1w%k|WRI}*4aD-jqQ{gbXz^B3?c7{)dYvxb* z)VbIHMXl0%;fnb?ez)9Je`V+Ry;EcUjNdJH)F0U~J{2yQU-7%;w)!o*gWEdq`F_Yt zDi^LbTezFkZuX7Mop*d6<T;&J`;^0UUhQ4ZqcY)bvo~z+w3@wObEoC(37b1DW;bl^ zG@o6txzlWR!sgCfzH4r>yj4pxUzjGmX?Dk5ms!3C@*b56ubZ85mt~gkhP<Rw;Z?IO zHgg)!*4W&6#dks8qY~j|vo-Fryi{{DSKQTk!M7o=>5Q74xnY{{yxA}Ausl~YGheuq z({T2QJ1o!C^vn}?cAoML$WuC_re@BVCLC|3xRX<VcE=r-Cu(x$4|jAP^EJpzDirRT z?Qw_Yks6<Q;*QQkz9lzV9;&gKA50Z)n$2;C<$>C^oJaY>QDzUfck0Z3ahqkDZ_Le> zslFjMS*H5>++?}0wj?JhU$|=aiQ6pq)ZUpTZtvXXdm&fpl-e^h#qFItd>wAG+)=w{ z_HbM0Hs1}gi@po(nSNuh%Y5$_aZTq{zr`qh7uqrXLUE_f^aI76*3)<FZF#HuCT7t& z)fX{I=Tx7>D4kP%5cBA)>YbQHXH{>+Eczytt>;+XdDDBv&XzZ-N%|9?32m5OvA5;5 zYLtHCGodxpBlfnuQau-Q=#1*A7^Sa5E2dlQZF#BcrSDkPdC|KgPU(x#g6Rsyo#(xK zcD6iMwbDOW*?HDGBhKlJs*!$UW#?({h`2?cgr-dADDFJz-LUh>bZ?KiL#I`h^cgEV zk9%9hE&3?bGd*HY%Oh1G{l<#U!`>2ci#`a&>Iu5KXV*@t;#l`m>o3ovzdLMa)(Uk$ zS#qUwrSL_ygjoS*D|49IIK`LhtHsU`VG<QJe7NY#<+3d*{x7-TGp4i_JGCiP9B~O) zdD1b(zBSL)J=goqlv6ETU2NhPk~!iJ1}`-_<SUcvHMiyQx)-NYx-a$L7MyIqRNhLh z)>nd6MD*{0(!f`S>D4R0JkmQ8IPv0nxph;G>lzyhISy*<{jp-j3-*b=FORyoy4ttz z^>Pw!`4b`8ptN}Y5nI(&^IdFJ7b_dHIEutL<T9|CuuhQu^k88qgPxZ_qQ*9j7bn*Q zaR{*g@T_%OEt0TAX_5LCuS71k2b#Wt0k@V4T@P~?_b_5nQT?w_!4S$arEKMsWNjvK zk0J(@kj$B0;o_o#3l+5zT?M5Y*!)}_{L`jx+E(SXTBLO1rOA=1YKjr!(Y03tre3gF zp!HDVQ0w;X>>{E|Beg0O4oL?+<2>!?y5wI^VN=|UTPrV3m~WJ|%*+42sjZiNb$rN{ zCw`nK1y=BBDK!-}E?Q)2>lGJNXETvMYq^(QTf!=nhwfW~US_XUSrnw{JXLeLR|4|| zzNr%e)-LGa&^27SLPIzB<?*)*7AaZnShRSH_tG^hHY*zVNi@hCWzO&lo$lqud|(Ts zli-D0a;jU`TJ*T2cpbB6(-C+m%Bs6Fc+$p_1|_ACh21?JCpJCtdwF1zwd(5mdlo5} zhAfqeUb$3D)#GqT*Tk}wQ>L}8+>*HdMR(f@k;e?|7x<>G>CzHmT$-!Zn|m}tMLd4@ z#`<kaItw~DdILRsnWYv732t#y)!Z{*JD{uYlG)TN79yUHLne9M_PHHW74X1C@PgF( zNeyc&rb;mU)evJflnlAFQB+)&MP5`;_hRs;3CmqVrf(JOR5TC_dF2rPB~@s}m%}On zD^I;}7rZdZdZ|qGL(RVtk2FPn7j$Iw_6PMec6D6)rtx%xPSx+u+bdRN<n~XxE+xNo z{%KP?F9w|jC&dMIHwH6FGf7KFUo7{Wd#Qg0qlQ*g(DE;wT^-XNXwIFX{cGJrt<>D6 zUR|$?<+|aV2N^^J*FM#9y!-Xq?dUJ20W4pFSwa?YyjZ?LXj?|ci|8+^(_F*8{N<`` z-`BM-e&c$P6sCREng2dAzO#GiI>q_;IbAv1o%w7vy}u4Ts(H#Y|4w{~VcgdvFKRFS zb}?srv37dH`ib|&pYlsjU4KeqQ>lN&>mvz!ryf53`nRam-$d&_?Pou<ul>-z_B6lr zL;IZO{~HQ_w)=i)*Zm-GcA);owm*lzednJ&t^UTJe~G6*@kigc&x!b#xcd|T?5XuP zR{c5b_EdhG=Kl>J{~lI*D!*-S{f#gG5>Nkb=Y8M)_MN=h?)n?A>TbOF*Y%12_8t42 zqW>F?{@w6*!@<9YzkTDMecL`K*FGo5zpDPw@09QAwew#5YdJsJ*8b#Q&UgM_{-~Vy z|M2I@Isd%+q~F5U_A5UNTiMV2ENp4t`B~V)zVfrMc^u<Dw}hg-Mf11(<dj~&@cqrG zhn`~oK|d$on!n~J=Ue|Yf2ODES@TZ(Q~9>_*ma5eNzc?b*jN7TeC;1q-}Fp<jeX?b z&R3pt^+nIr)9QkrsccdY{5<)}{00A>l$hEsKlt~^7n3uW_53&6to@|Ebh+ZcmM<oU zFLQ+p$Lf}tUbuQ<joHpyZ!_ENCI5E5$PS2Ww&wk|<@)@VdCyM%6uxlz-kfL8wwNv7 z_>*(y<yCXso^Clezvf@c8GoDlOFxCr&CmJg@>xA8Z1Z2wXZ{BDML&g4&ky<6@<~0h z&giGGf&I@<!YAif{PcX{uTcN#hw$<FCjVMKs(0H5{_%X|FHm3fL-_D~k$+20`*YMA z{Sel(7yRS-z@H)hc9D>cdgkYp)7i7u=k7Cmw)On=6Mvq(SC5J_w&9#J|HogI)BeBe znx3kM*Cjnw53O^0svcZ-=)16{{mtK<_xwNAHGQAFd;X2NZNDb(nt$Q1$|?V6bxPkS z@0joKlk<-My}C!=CU2X+;q8)g^*z=%?|ROk`{G^8dB1OORLa$NSYO;c*~a?d=E>I9 zJMVVB^?UPX$vM9lZ&J?rJ$a*Y&hNpSCujZcyjgPA@5Y-YW$M{wPP-@HoV(&?=NrGI z@=0mx8>}nucE0wDDsM_tUt=A4xAT?Xxi?47_?>#AQmVef+VXDaOFyr2r(KgT&h2=o zQlh@VT5<E_^K*M{c0TvBDnGPy^4Y6Q;mk3)r?cv<FW%{#F*oHMOR@TN>y39jpZaN( z3#F+~wr1QsS>Jl%ozCeds}HXGwrkewthK8-*OhIbbvLs%Z1LgSo=^M~%0KN8K0epv zT}z>Qw{_qh&qsa&<wZM$56=~Ox8$@RN4e1sVLfX>(1ipH<(pE~>#Z+t65c;I<)-I- zzYTAmobp@qX2~hP6>m~b`TZzUN>#76zIfa7URr2b)Aq@`=iYd`Bu~A>x^vUyopVpT zRmoEiC}Y|_dHdWQZ<pk%=gelz6WcNKL0XL2?oE<!)3VK0@AZ7|Tamx1da|AQ#l4*K zXTRC$`Odc>f6;Tb%DkrMYUO5|izeTmedFGdbH1-~!YX!1K1%DH9h&!T$IL0SFG!v} zo00F<Y#cS`;**-R=f=0s=h^T6EIIGIgMIVup0nwz=WpywOWmTqI8kzK{uZ72Uw`I& zH@<hi%02nFPw8f}*^zl{yCPqtEt=ii_svpj=0yj0Zdu)8lcN_S+>>S27MdKt_@XB) z-NbtF&AzhgS+_Iu7oY9hW_9aP=E9}R*UmduHQCa9V)0}P^Ty)I=H`XPlg-Q%iznZj zy=EupTi>+&MbFeWnRo8>oHhHvy(eGQ)~Ofn@qF!j;ZDm}wbkZ<dr!`sJ!7Zm%-Kus zv3ylqZf>~O^QEsxzEPF%#n~<QSiY#_swY}|KFW&Bb4oMaKfC5$${FKzKAMO3>U>w5 zXZ~^z=W}1P{6&$E%cOHb+eDIt4R`w*<u_GMK0Q0)-jYvhQ_MMwC!d_%u=C{f*&g?f zoVHNN7plBDuh3<u&e_!E(-+1~tGfAS*6A$$WaGZ$vmNd|`KZ=sp1Ftfv9DPEqKe5! zW-Hu#@<FZ5Tyu}-^w|RUQa-4~<SA85J}{f%Udn0Te|d|Zs@0jFESkJ;cEZk+Q)fr) z^b|dj|D@L0pKE$PPhE3?bahGYo4n?7>Aj2ZEBtkPY3{RM@Hg+xe2==t7u@EbG*iD^ z@@CGN;L=T3Pl~Aj&YqL=?%0!FgZm4%TzULdYVJgnqV<a9y;kN6izaKDKiu6pW%ir9 zF7MSU%@6KAdDr(%UQoI4uGua-Pu}r;k|$IytYO}``{ZrkJ9(GNgtyK7a;~LZZMX4D zW8u3qKg@hnYGhfWw%T~++|xSxyZ7*X-gM^lkr=n9o3xB?7T=tD__Tn&_a2{*n~r8m zC}qv`e3u%Q)?_VgYkbmJ*v5FL@#NbxZ=5@F&ga#cmUBMO&bXZOd31*5oX@>8N6z}( zI@5C2Co6qZn%WlQnR7d5%{*~VrA#d|jmdiQ^_e@)Eh$yYNIPUT`D*%?OBvO(jd-<; z1DCGNO}3nDY;0&e*~r*)E~nPy%QI)3TT-I7#8`4}=L;XFbS5idBjcZQPR^KFac)Vm z(e;@H=TeH*W*G|_Pd+m<;oOrVwQ0sH=WsstIdmqaNG&1lQ>xk|<Bvv@Pt0^U_oPs* z&p2}q=VKqS^hFkvkIYm!_oP6r%~*4e=k%EZ=TZvPV$zf>CLfr|a4zMv&%d-qscLn` zCygfWo0%~4<kXoFGd-uy448Rx%FGXET~7IYOIu_<dC$xTXP@M$l|B9;eSBIW16SO! zvdG)FQwuWGvSr!t`Mf$NXeqpFrpwHecYL0x9B)ijD>QC265cU$&)Fkq^JL16GiP(& z_O6OQ^n23X>0c^4-+BMolXBj>D88wi;oOUAkrPknAB;7L`z!qNyZKKcTm6%tg>3Y9 zex7uD`i*@@&UwGu({j%H*&dg3-jDXMob$f7=g3*_TYFm0dS}INdZxNXe`amxtm!BA zseDt-jAQye>H72?`<8rF&4@enYtq%}EA~A(<9%k&k~7{x@lC%bU7kK;-;yt?OY|jc zJ70J^#WVd9GSdH9b8^P?ihWBytIpBCS=0H<`^X-Z&#E)@ch+=1_121a`Z>u!e`XEm zjOjI%olm^?>{0ooIzhj(rt`74RQ#bIla5Z;*r)PQwL{;srt_gUSNx@?sxA7GHJsC@ zbL><3pxU5+^V6jL(=#eN?|X0Bv*f*ML|oBR)v&mrr>Y@wMo(31^e29rw0HW8y(;fj zEA)3(ci#1W73cJQl7{}w>drgfkK&k~sut*1R(Ia^z7=<9+oXF_KdehBSFH_Ov|Gqd z`{w3JccwmA=W^cb(;AlZUhmdCDO261y>as-EA54wCs}Gw+&sxbyK(a*bM3;-lgzX$ zPx|Cd+WKhK>jU8)-wrGAZR~J=le8}U%$Cc(!4-!Lzeybn-(T@sY;(j>&1+WK{P_|` z!MFFEdB|t~cdL+@_R83kv!-5Hmr|yh6{fU%(v7K0B01l9#f3lGHR;;a1(BSuy~4s5 z?V4n)ZMb=ok+x?n=PNJ2@T6UnE=`@VE~P|ukv3;6=L@eBYn~LVCWk%RIqBTgf^{jy zs<X5OH%~e<HDTS8BGqZyD`PmHdL3GmQly#?_9<0$lJ>_<lTJ)^Sofq*wNE=UhV!wP zSooqHla5SPSofqrwM|<y#&h~qfpsYbsxe_oJ0=~N%CIiwwAa6|MX9QF+9x+n+BY>J z^5oR15s{u#rv^lxoHF&pT9;E^-@+DcpR{M{gSAicRLitiMswcvTC^r5Pqj$9Gn#YC z)B|gu<f`UrXGU}0&fdHJ<GyXbqvl^PiGTe0*1YRQ@rP@D&u3T09sYc4?)AL*&9!IG zWtYY+{(NiB^(TA8o|{^%-&=Y1Y<6y3^5>|x+1YW6YjeMunyx>&PwaQptm_H!)wO58 zZoPK>!k%NlZoOiX`yjmI0GnOZK`;HeB^U4a+8Hzn_n2I8<d%J~u=AeM>0MR}pHBVy zHHr1+^={D=&{iP6npT7BA@SCqXU)8RYR|M^Qm?X?ub;Uu?3dKb?8WOl_lf<IdXb$H z_q!(dO!mC>rTdQky!9-5*80v$-Or|HuIt5bu37uZ^wjl3d(3`H&A7gAkJ`^!)2}PV zH`l!VXnOp*RD5zx?nl$3*M;JpYu<h^J$#)j{`DuRhuO{R3oCs;Y&~$DA^!HKTlcf0 z;yzdFp3bgapIG_!RCZY0>Q7QruP@tk?E9=K*T2PGuGW2Ty65`4xXsmT-<j^ZzG#ox z_gQzc3)eeV^1j=;{ra7_?CRdPDtpx*+Mayp`D>2Lca<8yP0v+!svorNoHzN2CFi`! zcPvl7^~~{4dahFH=k#2q*iY%XN}(UqbCrC*N6%Dp{SG}-*{U8{EOcw~nRzZ}JyZOJ zswbJKH`<<j?HS{LsY=L1J<;~$E6))BqGu{;enHPvR;oMNo_y));~!KdbZK(WJeMyj z3)LBIIcH9;nU`|L)5iZ&rO>&_IrCgTt0ehNsuVgiIcDCGPbyQ@7utBvnCvr;<&5XP zIWC`6;{1dvg-%SinRn!)O0RmN&B;feBL0&qgpN#>nRn!aN~`+IBB4W*dFHj8_GIxF zst`IbImhzkea|g(j=Wci^t)6pq@%vGNJv|KWs#7U`phDseUm*bPu}zV;y0;WXwT$3 zbC0}JDOF!+?Kx%gnYk|SR0`D_i-dMe-ZS^eTTrVYdTqJsy{l#6uQ$(nmsJyXdvnyg ztR1UgM(Uo=stDV?`PSR4ZL4oau05Ak5;lADtT|U7tzk>wYQB1B<l3`YIbo|~bI)dN zS$%fhvNY41SChiMH%q<ATEBYdx@)_nW?hX4kB;>%-D<pgX5`v4Su0mBTvxVh*2}D= zt0%5A+coP&*22||>)g_|p1*o*&9j|R&$H&N&Rw@`=d2l5jlvJdye%?4eN`)bag6Sn ztjVhzBj29RnzXtyQn$$T#8tWQ;+VCCrpK;|g$Kvz7MdQp$`@`Nv$kNX-fF>hW;>*& zUu6mBj^QoXs=NB{+GVMx`>$?Vb8WlSw5#jZq@`}vS{)dfdn&7DwP$2*zUkhppTZ7D zzs)n<ef3q?;%MDdS;ebQt`*xZHRbAqu<Gcuxm&kgz2W!Tw)gv%yO)2>SynAI|MIsv z)2gN3Wmfr}E|!{i`Q4nd>RE3y%luXsOU=FfY>wLVEf&iUTE0D-ndg^XEH(RbmVb1y z)Z5I>%U4?Ze%o^6@})U!)wf<}u3Nr!UfVO1YnQ|PgKgiQ$xQRpE{=MYxnlX;d1+Nq zFEf`c@13Vs74;%>!SacgZ$ED_TwXcvTBX$U%-PE`=czs0a^|vu|8ASJpSGO3tl`gH zeCtVOg5U3=Sx++K{JL#;KW#aFS;jxxruXBPqnAbey={0uZaI9J$6woK?di;x<-GHb zJvBLanaQ8oCiiq^{qju9v+uX)EdM&U?dcZn<&l<aPi2Pq%`TF<pBdzL+uHa2mOYn0 z`0chn`)<pw%P;)8i*DV?ELwhaF56R+9hdL<6<e=;YqITPmHT7a-104Ui(mE~OW$I< z_-S98^{japKlQYwZ?Rr{w=d0l*4&G)dfd{tSS~&(se3M?z^&SN*6fS7dXA-Sxpnbc zkDK+aHyK%OlVx?wwwNqF*!Rt9*6WP5ix*1vmTtLvF~r^4c-G8|r+TJYNxjNgzIbL| zn3dGajKzyP`^2oIUSy=W{g%l+lQC~`Y2Pu+ThB6PE$)=mEjBrGQO|v|%-SN8Qx^~Q zm|05AxVW!J&2rZCi%RazGH*c*b1C;^ncPB?qZftTon_t@m>j;y<^I}8>S0Fn;zCK^ zf-MIwGPvJ1x^+Jz%I&kX?&*x$#fg${Pi2I;tu~UHdU09LG4okdE`D>nEUlYwvghJE zx6RUP^GtSKT-0M`KI=|K;bKQg-n=c_FWzy>mhOGK`QG^o``drloKN31|Krb`^XWV1 zKdf8!e6!8`hd<w(OW!{KW?kCz%~ta-{>(X-US!w(S#r+#do|CVZ8n>~@#mUvn@#6m ztTX#PbJqD3`|iIwXVW*#-&^<WSLEyT47=pNIbV&ho<CQU_H47!{H1kmziz%vUp9Yg zo!hUQFVYvyZ>?kdB{}oFoxSwuna|Vb&M&On_H*X5^d!5}e|SD`K7C%te)XT8Pn!+q z`_{2N+pIs|v+mi`%_q()*jN8K^KtXB^Ah&ae|$b}K5|~b-ulm(51S92-&N!G<K~0( z7(3xVIv<P=oZnXS?fcAW=l|H9{uFsXJ;HAHr<qgFuc~o-x><An$6B?goA;joVt4vC z&-=~0&%d!-{k!MgW{vr0Yt_C--boLzYySP_t?~Brx9pN{uPHaacec#@_2!xH(rV0Z zZ;pJIwqy3on>y#yD$I6ozWFw7+w7Y+*PKf$F`K=4=A5&Sa@f*0o6p{PbIsYb9JAGT zbIzu1nSC~IS(@?9vq|ROn<d|*t)IO!@7gZOS!W~6qwo5ZZZ@7h^X8f}X)9+h%q!b9 z^JUu7*%R~3cFlZ|ws3Z1o?F`H^JkCcJlh%hJZ;YG+`MHwXU;flWPbS0n<C@WXSK{1 z-_bdfHhFgA%{QmhCe5zAsZ(Tp;;fu`@trk=#>dWznFrs|DKtKEme1Vy&YFVFdb0)d z%yvjlKg(jyeTS!Dv+nG_xyw?G_n+OAb8Wliw6p7S(o#2T%?`Yob1JQ7w&%^9eB-@m zKbalA{U*<N_t{rwi*M_kN-LgyGFNQ7<dm}y%&Kpn$=$r|><za|)<X9tzUf<X-lN3* zP`XOB+aznDI}=|>dd{2pK=S0=iFf*rl&O@t1*NN$xEZCZ6uAkds}#6>N>j;myOgGq z;}&E+$y9lv?8!GCS9)B^R5mJm8VlW+xTo((smfYqM`NLD6W8>)l&Yk=C8epPx=peY zx-xN2-;olPrOJx3CtrBDxC>bcU6?pQ^5k=mV?9TTRpu%`lsWm#!^B-FO=YI?L79_J zJ#^fIEQL-@Y>_<q#N$BElhYn5?vGMcCMq||oP6vd<9^9P=-5P^K9@q3PGv`#lMg+3 z+=DD8=_xD9oP6N1qsOH{rBRtt=H#@Ae|lX`d;D>ml&Vsze9&l;w(>@!Nm|MajV5U- zKa}pAGVx8XOTJ2_@<Hj7cRk*?1(^%&n&={V@{Y$7Hz9K&4dq7ZleazYxLq<6+SdQ2 zuI0P(Zuys=1@HEM_<3Sp|C2hF@5)tnlb$PA*cClj-Y&oKZ^zvJD?d5r_MiFLF{l5? zPmVeLdwzDz?%(p0V|M?Qx|Fl-8TLlM1x@8A{`Gj{eyN7#oAP@3!oMD`-7nO%d{tg8 zANcpg%>EfaJ!bYVsbl%7yj<S!ug6Pw4|}6uf*1Q+>R7%gr`Ub^Inhx5;~$Ub?iTi& zehQxL@A&EQ%-z7g=%?W6{*bzsPs)jQMn44&<bQq=JlS9I)8mP|g8io-g2($!>RLW3 zcgqL<@p$AeU|;k@@NmCK-ICMp9QH;(1oh+v|9CubXRzP&RJmUM;wQoV{V6{^?z?ZO zd2-5qP0f;1?kj3iPPzZEQ+ldgEr0R1$36ECc17O>clTeZZF#30XlL|YaA*IKT9#Ap z59~gD6WrdvrM4wkd9U=tyC>ec{mOAESFSPJl&-u}`rzG;dA(0=a?I<!bMwSow;c1N zbmdYrr*!3FGo^IpLNlgx<$SY8Y09}~htia{N=I%Myw!Uq&*iLJin-A4i6+vGcTc=_ zi!r~nOVC6*@$QLNZXxDHY07D4L21e>r5*2{c<JV29<)pFQg2V5ONsJAX~w%8Gka_D zQqH*9m|xl{c&;}m&!t#7$!yY2!85%vc}I$rr%Erp<1wSxCy(Wf+rAu^BIP(Up`C&! zdTsKK6e{;hC*C>n$W6q2(hk8Ry)t=63Y1%=Uv3gS)XS6Ca@vi>Txf^jf!>^(C+@p# z$vKj*9BFoGyP%Hr&P{^a(knL!YDv%BB)G5F<K~HbZePqMZ5Q0rdnfluo^q-5!rLBG zde7v#<S7?QH*ON#(Yq)2NUn0ObmDH0_pTNCo2n<;iC^5yF~9rGPLFr41^SDgD^=<> zJy$B%E2<W}-F;)H$K37<J5S8%KC#ndPWOSGCuVo=*y%C5d&5qTx31S>TD~c577r{I zyxDyuPUWm?g8ru}!Ry^y;#khQM(A&<61>_yXJ^OE?kPJxUbzP77gY&f?w%6Y@<nN} zxZqxo7p@NaPS2F)i%S*@p6@P+Yx%4+Tm0gljv3u4aV(#eri*Xf<MGs0Ltp5b(qwVQ z;)(j=6ZdpX?{<k}`J~h@Ubx5Ov8#msrV7EM-70Y`AC=n04fl9Fblnxx@<FLt{9%#c z!ETl~mJdqNdY{S#b;UpK_L$cFC6?v1>$;dDr(9RXw48EX7Sr-xDM)Wqx!~UJC$TK= zmCD68?)JFr`a)0WsZz1{#N8fuTo=T!yi>{-FWl{M+x3RpC2PTZop1b>oOdZvKa{Ri ztv1P8@J{E8nI7{xAIv;4xATtQkus$+wV-sR5;dcAr6M(<bfp5dPiabdYM0WKa@2yX zCz=W`oO|Mp%M~A&GNp~ep2mVVI`{Y;DOFl4>}V`_t#gf^OQ}-2T2h))s@fzg!7H6} z{En0;EfrRrd*X$Qi@K1N;Dyc!GfzBsIp%YuSZS{C!#O9OxtORcr76u6J~-#ZQx_fe zAWOkhoh>s@JaIYT^W?OPiu$8erHR6gb51;Vkx{>7A$Y7)$IqousZ-c-&WVREJnBIf z6ZM1@=bU)pvct!vK&erfan6Zpoqv2?PP_b3o0O_lD}2ysqPFlxqlsF=3ymgf3O}6P zF{Sg3uS>pCrSQSoC+@nuQ42B`+|}ta^TZvOCu&0Gf*Qh&vrpW1xubT;OmJKK7rU14 zio5w=eipdf{-N^3y!Iz{EZ-HY<R(2=tdJ{uuDG3lV{OOW_A8YfbKB2UcFbu%Qpqu= zeNSb_?Dj2{9JAZ6*rl9x&X70yEnvz&vDV{_^CcUWZ;I>r3u`@IJ72JA`Kq{@Kd|=1 z%=Q_T9y8mQ*s*+7T+VM;>+#arL*D3@z{U0!JC-ksDRQ5FPB7&ESmW{B*+PEPPl2=T z9hDx>oDJlQehQp!53y_cq?jmY^i#lq|K}%xlkF9i9#5PV<UjooINokz*YZ)Zn?JC| z<B_v~e9;eq!|ft=OHMm;$Q%6-(Bl`Z@p$0OAiwFUVm<%GPXhbfQz|{~J8!Uga>{v) z&5~2jD{N9uIscGTda78>f3e!*p7RH}qVEE`+ppNRyi*L6Gx{#Dv;BxI%PHpva-Y5l zY;WIU+mfrem-}JtiFZ!FbX>|6Ys5CCEAHez7~3(g^+_bhyw*FBC*C^ch$p2hmWny0 zD;A3>r7ISSF{LZ!i#<wH%oRJ7rnr?ma<jm#)-!r8XPr{Sg?3Lc;ckpQ@!Bay{L(G~ z6Yj*=6R(^?#Ea4t)5L<(6jyRP#-4cT<Rc!mOW;y#kDg13;zDl5SdN*kHF_y$oNUA| z?G!lInxp4Zte7M=X{W%M))>7bMT%3o7shzZX!X%!IpefX$E8RyPE2U0z=>8Hy(5K+ zz1)d0CmuP8h)>!faHLg6??{1SEBDJy0*6|8^jc0kv4{)p5IE496M5pk(-xg0`HGQZ zm$nP&aPQnCpv}E<lYkcY%uNFOT0J69+;jROHfg)Sp4L0MNAeU)xfe!zOldu%>yoEf z$lbU}U`Oj7-6Oe*x!j4?4fmKI*f!i{eo@S@i~YcJhHo+s?-(D*HOyl^QOr=m_uw4k z9l3_Lj5jPBtk@l%Gdz=Nn8Vyr%uvAh;4I?~%LX&{1J4+;_!G`DUXVNRhH-@@!%gN6 z+XfSMhH8fO><_9K*0MJ|V~F5S_{tE%|KJSc49f;1_6b!CE7%LF7?!aIR52`JH>hG* z#4b?9uz=m+8G{{v!WqU2Ifv(r1#$<TF=og$%wRrY!*GUqhYiDN<`p&!r<gg4878wc zJY(1=)9{3`!m{B6bAwI8apnS>hGWbLHVsFa18f?OFgw^Z9A-AKX*k6EqKKh|-JpUY zhR@*x0~0^P2Zn7j2ktXwSTgKqK2gL_%ii#mVVz9FROS^$3?Y08rx-uTHcVl@Va>3Y z`GhsY9_Ag^47-_ESTpQm?kHj?W>+X@C}d}N%J6{i!CQtqd<)((+~B)ZEpV^-jogy+ zjwSquo-0)IO{x~S)BM8HV_x$E%M){(@5mkbrclNg^jx8Y&*-^A5uea=g#x}$&lK|b zE<IDo;R~vsV9LJG_QV^<D>5$M6gIMZ77N^H-XnM9tHN4#$6|qN&1>XbzAB{iB|TF} z<(pI`aHV;U+>tK|OW74|PrPt+;TNhBxX?Vo^2Bq;V=_lRE6inoXmjG3qY1y#GliM# z2W?I~b=2VxsuVcY++um+iQ@s8C#M}%_#Zu0n8@B}bK<e14F9DHfn&`&axNbgI@uj< zPCRtv;SZ{qpvSIgbK-&H4jGpZ3XSZHHYcVv|B-b$?f8dp(o=<6_Jc(ewAnWnP0(Ur zSTsSC{h@Wol;$_GF7Fj8*$-Nuxa;_aFQ{B#SF?-di93!@_=L&@G}s%hPuzCA!*{7n zU|ZuCzLs)@-OMkI1@1O}kUTN3@d+PGxk44&q;!P}wxV=}?aUixJLWcCk>r@$ct)~g zPU8_tjya8cBs*p|Zjt1e-FSsB<*Y*nyOFhkDf2{Gk2emNcv#95)-xB%dc1bHz|&Hy zu$nng_QcG_8Im3|8<+60lqxJ|Hk9>v>EOX`WF>I1v4xMNL?MOkljQ_M=8rNS&mAn- zH(3gtZS0Wrc;;ZhUSuh7x-o>WrAQ%>&B#)~fcdA9z{$o6NslKE3hbXO1dcbF@U;{w zbTbFacsz0tU@x)|INT`0x8$?~2fLAlfF84;jK>292KG&<3iZqvjRf{Lrbv3+ci6!5 z<dnl2o+YOoR`8^pa`?ffl&Vn8d{Nrtp2G*WB6ES=jaPVE@)QEujLZdgHXh++Ipy$x z?UR|n_Qox|EpO%b{(tcI_&fVwf1JO|*ZkY~Tz=>O1Ap7+)j#>kKCk}H&*N|HbN(kj zmoNS2_*}mDpW<`*!hej<<@5hNd?ug!@8C1}t^XrF^WUmJ^UwLLeae5q-~A^48~z@D zZ6EXh;xB%a{|SGOzp@YcU-(Qu?O)(C`IY}2{vLm6@AE(K7yqUDo`23?<QM*D_{%=C zzUE)@8GD=m7k~1ftIzr8{8>Kf-^8E%XX<1A9sVRg_5XrD?lbCr{xP4i-}lG)lYHDi z!Jqsm>TUiV{wUx3KjF{uNA@EBC;s3+QZMuG@CW(U|1UoAAFAj1*L>QZ<-gz${sZ+n zKabzH-}2}1d-=$J7r*oC{NM43U;F=xPyAZ{XMEz{SMTxj_&xhC|0aIt-&23*@8Ngy zrT-WFcArvz=CAWR`NIDVpZIsw@A-T9t$gnPgxl`#?JB-++}&^Y`@&uJ`L%Cuy1%n4 z_`Wb*zVcgRx_tS!!rlD0Yj50ipIdw3=J7eTCvLjWsXcJ>`0UypH{EB~Zn)|G*6!My z<}&%szXLY&->g0IPWh}|!uOB6_^;P)dB=R#F5>&fUHn&T=iF?cSv%#X`zyPE?}fYg zFV{|a*IXjM__x4a_ZM~!-yPHB=l_=2%zwVN<Xv;I{OsQs?zGRSO?k&$EI<AChCA+0 z?KHj%rpZtK&9J#&|M!GD?bB;r-Z2-+_x~=q<NnxA;`_!O{6}k5-Z2--xBoV{<NnZY z*PG@7`R3mbHt`><WqHS3ARqnh<92@C-yd$fPpkd%mie^Zx;KYU*{yohe9CUwo92A^ zpl=(u^Y5*F@|HPYzWn!w+wOPmUVIZwl`sB1;kNr7y9IBU^W^h?7u<HgZFl3{#cKY0 z)o<=CK5tv{{@`=D>UR^X`R`P}*y%p6`oYfQbF1&%JN!+q>|Nk<xsrE=&*h5V2|kxA zc=z#{T;98j&*XC61y=W)eqXTn_#4|Rcbvb;ZT#+0%zvYL&%MK6<<@?8DCWObz2=_t zSGn|eiO=Lx-%YIIzfwKt-r+BDOTR1ZJ^sSh<-K4P|Ap!aJC8rNJ$C2tXSuoGAM82) z%+}<+;xoCK-w*6L{?u0IePAX3sp^)U$Di09xbyh5t;+j{Pvs_lZ`gDEv8~MeixvFG zs&(!;f0XO|?y%?hLtCErfffCF-xc;8e_*@gj`Ih(#_tS!j!&!pbJzK_?VooOpUTyK zKTy=K{e44Gzt;B!Mg5xJAM9?QQvK$x^Lx3<?+11tzia#EU0^x?u4<Q^$M4uac_&!T zukpQM_wn1dcivqr<KI^K<y>>Q-0sgWjQQ_YewcZDUgeW>%;j=b&nBkJRXi(9m)rh% z!`$|{l~-o6&#gQ&vwcqGk(umsD)-E6pIy0SCj0ElE9a8W+GIR8wB|ScJYlZ;8=FgK zn9JnWe=eBo{@UikndVZt)t>|A9-mn`W2XDe$|dKROXZe-Hkj-F(#GStp%wqd%9eA? zC2}dxK3eu0e*Q4W{ke_B^Np7LXDd5qx<9iqcwT79f4VZ{Tyv3J;xj`_euK|HjQCGh zR?KvNVx#c<qXqx*N|STVg>v1W1Ln9tvJrS*Xu*HDQsms?(>5H>4K4WfJ`2ooe_+G# zd}FFy{pSlt{QE0YX1d?E*>L9ZDVsHC7N4?NaVGhc&5vh_sdCkyFU)qoXY=7%p*jEV z$}4A^^W*}b8JhF&tUPj-`IOCrXCKY@w^wdC+x%8`@B0U}$KP51+T;9Pw&vc(=dwHB zAE<4gSN^1ueO~#U%HwaXbM7ZTmo2^L_*}O5p5k-a!h4L*W%KVnd?uTF@8C1pt?wf~ z^W7>xv(Ndgb;^Cg-+d<U8)}cgwvM@f@fV-T`-Ix#udGAv7e13syBGLOcIA7A+T$;+ zeeMVT;=5Gdv(Nd9?85g9wd^y?YxX6dv9`H?@h9K8@|=CnpJkKoP5jAsraWff;ZL$t z-!G_fpHc3!kNJ%CzCF&LWaI7${^UDRZnN+3N7>%@2{p$bS&Q7C_=E3Axy-)9A7oqK zzxc#=sGMhC^J#0A`+`6C4wUCq9=~tBWzXUFvXS>Le&^G9zvC01_WKo|__W^7_{6ub z+@tdNJ?k&`CW7u=zO(o6JK56r3##3xl%Lt_{7$y;eZwcd9p!uW9)2sE`#vGs{k>Ji z^^Lpx>|S4pWuIUACer<#Rl)Uz>9UpA8q;OVuNCg*yIp!C(tU2}g~;P`N>4<(&nZ0+ zd3<*1j!5^}r5hsM-&$Q;(_ALI`E|f%zMG{-)+wL0O1S=U7vJ^LE$f)iT18ynxQp*< z>72;+nWa-A-CtP+Trb?kce!-Ry5<tu#jgco-CtNaTz5>9o&Q>5GvE2rl6B3+va?@b zh-sfunzD|$Sa$mB4KeOdtu(F+rpZoz&9J#o|Mi5J_UWZA>zIpV`(GEtxIea%xV~`* z-_cT)b<Bmb?XL}D+#g!)TGL!0+x+^$CccBEEbEvHWTUTr+|H-_`a`t)w9+qYnNM4- zTXXo7)v7hkr>vH(Y0j4oy0&pU-`>(EYnk(9%U^GZcE4-&;+kNpZ1L*}(e8Jw7OY{; zlg)o!5bb{3>c+W?)qMAg-^^Ql-m>KU!RIp7=O$M3-6?)y={~Rcf#vbJ#dqc%{w7m) zF7UZb$vMO4GDYVEpUV`S`}j;I@7%>_GCAi0tNTo!FR(rS#`4M>=Wj9_pL-PZ-6-BO z@9<Zdwa*=j`K}eOndkgfCjDIEGnv$L6RY^H6wjG=_>0Wa=L)vRUs$@F7p&sDP&~o% z_;br+a}Ix&nfv^K&GBcJCg&BO$;^Cyz~=Z<OP%w9m3*g)TP%-1u{<#6@o7ty^ADfO zOnly8bNsQT%=wEIe8-A)<~e_q>3r^BbNrzt&-uWLKE3A(Hpd@W?wI5JL8kFJgU#`2 z#ee2HpSJvSZsJp!+UEy~`m~>KDC*OCzM!a2^Z5ho_9?}0<~qNZseFFG`uJVTH|GM& z`F0h%SRTJ)`Q)5nIiJS!2J7RuE$^JWSjM-l@JnBFxy<gzFO2!_7JiUCKCkdeA9J}( z)v<}`G8M-P(`B|l-XPmPxA2N2``p4alI?Q}k4Uo5DcmF3KD%&>B>U{bD}BjlEi#T9 zTJxDco*?V~#^O>BbD7Ng#|5(PuPrY0G?&V(ejFfsd}iScN%xtBOZu2gWtKlSkad4) z;c?v1itl1!OCNKIOv<s3mVJhgKghU0x3D<A(UR|MVTYvqGYf;`g_eA$3q$&vi)0dy z8CvogJpN(Cce1cT(*22r!tsw5e8&q-`kD)6x*rF~xIeNGI9_PMcNnyi{<H<haYG9} zy~hGF?hh;&j&DqrsegRIh;M&kilqB}iw!-GPg$(#S$xW3MNjf6iyy}nQ)Q|jUyydc zXYt`!p*i2~!YjSac`|{=49)p=79QzkK4tOX*he$I?S)%<o8NA_ce+CV_TDw;Q+G}O zxHIQ`>dxs8<CZ<&WHbHY&Nt^$w@<$rm-c*<)%1%ybIzp}>2()N&N+QA=Gn7NX45zB zT=Q*{>GX?nX4Nxiolep3-m7yqb;I<%anGtEU#Dj1CGXAoYIODVxtO$Pn~bI}jccpA z`7(9c^r>-fRX1OxE}GsN$5tgd^R%75bn(pRsdJ|n#%-&d`7AX_?=)zw%jwfP`m6W! zeA;9%-8YWy*(Uwzo^j8fZaQ&VLBD#>nU9-}otDs#1}%R%a#}#&de4~;n+~1c72{TM z^FeBip70)>4@L)0Z;Sa>K6BdXKYFK&BJZb0=<P0=Ira1^&=MNW=^ta&o^INE`itJ_ z-8}C%?LPfRZ}sk;cbhb(pN&;3kGzu_px3<n&0C}Gr*G*cTX)B-c;b3nP}y1VjA0+s z35HYwqmH(&7RJwl3<e53e67t-UIu4hV-{gBJ?$aVbNHZ&dy|NpWE+psF$M+(h6Y9k z0fq%f8UlXnb*fD|q@;hcSv2_bk=i%;i`k!QEa~~fqIqD;qHnos`^p^FU;q2Y@T4P? zsPDG9hx4ObqH~^A*46S<Rs8Um3v4}Cv4nG)uQXqlL0$8CcWt-VVsn{tgXi7n;cQ83 zT43SCSf(?Fxz2WLNC$V1%%9V5zFj>Nl4#s(ma!!2>Z5Ss{YMRW&pbP@VxjhyTvJ2! zMv<BOAD_zUxYem<koNHH&+D6|6ekBQ^D|D&X@2K<Ol<R$=T&ldbXwyVE^j`r#^3Sm z^_I(_dHwm)v+FAV@t3YT@vtRsvVn!kFY^s0+7C@wOPlOmf92S3xK=EDKwUuimdRZK zw`E38jh4(eEPnIjd;O!hBGor{SI(L;!C~nm-g~XS-@Z5~H|emXrD<!&y~vP@vF0*c zz0vboi=yAmyB;rV!vmB%R`p(ul2bk-D`R)+`GFMUm-}87dZb-qmXdE?xRq<)c7Brw za)t-kj=W;qe9tFV)_nER-b+X7B_to&et%NW(VghGJ>uj0cej~N1)4It@&;Z!*20vi z_PkSKwsPQ+0}Uem4&TC$noB>i6g#kba$b$ShK_+j+_i{Vr92Bwm+$p7$=(v6;=07@ z&#jltrm6G!&o6AtGB#zZ4*SOMu}DDI!^-KN<W9EOGY1|Vnv-kZbm{Q6iSIW1RPWMz zcE@P)KBIH4fBCQO{ah;aq5I&aJ{yy5Q{OAeRODV%&(XH+Jh6LgCZk{0VTTQSBBWcH zrWSH2PVrE$*+2D>w`RSTU%3(6p-Y`xW~wU4_M2(^d1Q3!!Da2e6;l(uc3h2OO8u9! zqf(?Y#O16|o!X_3UG|pJdo(uHWk~C%C!gz7U;K-&J}ppTR`R5o4?K1UYCI`2%ja9l zG4IBqb&S<r1>5Y>OezcW%oblidNM6ov{AM1Oj~F49Yg8kr?YQv6W+GPemjfQ>1W$w zY_@MITqw(DBlqK`-jYD&6HD)&I-$qxWHx{MrM+1@UtIfeE?=ahPj?HanC-qpF&av2 zoB9mzgyggeN>)!cmOCc9ed)H}j}G$Nub(J-&F$xzBZtEtNCXwtN(x76vVU&4{O$Ms z!)cxglJ?IwoIKxK5SAY*C=q>wrzig0``xB%E?GWvcGWi4<*IHvEAeXCsdpC}cq5pL z9HxHEzxvUPKQQL{%G$G1S0>yIY|c8o?J-9a8`GR0uYN@(9{h8otdMD+nv(p&Lu^Mi zXCL|>QR!RL-?u&NL9@M>g2$%S{k35l6J1^gEB?titM6~ppAhtFh1uWh75V!5v$>x; ze|VCqd;WNc^+Hpdz#<VbZlif;&qf~No%r9QXZgI1n=-h}Q=bY7P5V7Ddrj1l+=+_( z<!Qai{>hzr(JVZs*#;{a9v``S`$&Jp#*+8Z#fQz!uk*i{*`Hl2m$*P><_W(!Q{3Ma z<ODuE-+VRlpYF0h4Wfe8#<G=iJ~gK=`FmKl2=R0ush{>HGBf1jlH`@=POaqhcyGT$ z-*|@pGS7<|Iv=m?&Z!8UGjEmX&uy0sF0!e=GTrJ_;w;jtz37QifX}a+)-z7kM*dVi zyKr^uJUiLBW<s$SDqnT~(($eco*2A7Xw{s9W?S4fLngjoYfyjU-L_qk*({+;c*JH; zTX*!`gu;!x?aj5fM!%7MqIPO}^-QtXPgdIU_Pd`6=I9H$u|(c;^}*)jQ4?dEzkNEf zVzJ7?1vP20>}wZ)RH!N5JhQ|9Hs7R<Ln<c!*RrhFiAdh7zR%nA(++jvC#QbCm}lbZ zF11u9BePhwV53-!63h12JSLmi!rRvT;+PziCBb?5UK1lnc&O^U5YI=9i5yaoKbUf^ zIH+}arq=E)oJ{`~1&Xl>dOj?Sc<^CY=uyF@P{W?>pLt`O54IlAxbWeaR&UeNaIGl@ z7pFYjt?Hio@j#n;)FY?sUrv{Z9{(DXR`BxJ5vf&jB7quz52<WZvON8A_JS3@Zq9rC zBi2tzm(2ZIrST)s_llrqYum2L7lcJN>4k01RT1FXd+7U>#p=gBW&8M))H>7_SlZpV z_Dw&-VfCt02cKTwWk2hM4wJy?+FyRs%VU@qr?or98AW{Z%dW}#vuMtqhk2>bOQS6- z6Wg9{WLg}j{v(#%ZMW0!ZNKi>I<0hJw_`eA_Ce(C>Yi=C0w$Oo)7qo<>D0ncT(4)> z&dSv`XYao-X{FM`BNKk`Ho8u;zW8yW%&YZQ&+;Ya$-EIf^Jx0L1*H#!<_5X%deP<b zLvh06t2|0JtG@k+UvN^fyOE9me2zqh!3jo_vmg4{uUYAwx}F*{|9-<2!xgM5QG6F3 zEEH&BS3dqmvwhLw!-p0&%iK8n+bZHI$Mj>(75Y;aXCAz|an8kntL7}P7VK`{<M{gN zhaj~&pU~I)`9hj+DqIqC)4tikeyTyU-TpO0FMDSfa}mSsTeoYQ=gaWkytV4$62mnY z4XvL(>zT-_e9C?WU%lVAH4Xm`FlWx=uV}9qTaz_mZEW__SI;D}g4h(E-sIAjIpV1+ z5&Hay?_&wQit6A=$+H%Gu%C32o9{o<9*0%)J|BO+Q0V}Z#(_VgX<v(rwk18^5&EP5 z&F?)Sa}{OpA9YLou2JS0@i%E>jBMoM?zwF`)8<vbx&C<bcB%LU)$WQq(!bfWU8VnV z1V8K-5Ifi!Jh{bs&s`UVivN;lOdL<vZ!ouP+xLY_G$5>H-`1iDES__sZg+`i35#9} zz5i<4V%hmAal7jluDYc4duLG2&!wy0F5UO8I@Kv~O7|5$57lSiBHO>-DlX%==y~H= z^vux1k-F20wz?mT(z(HUebvriuWzi`uqH9D<Wax1(uu0o)@c$Gk7_+xvsh}oku}rJ z+oz*auk1^D>UizO4uNUwJmzGwWli7Z9Jt~_c(+bVyRLCmcyaW1&spzHDvCc@6s%AV zRyZZO%qmB}EFe~7Ba5%Bbxv1_|Mr|$NjyyFl>4<;U-XjL9LBlyuHEVsbB&gOF7*j# zqze1;>&?@5FK4_O`RCx%Ya-LHSpKeFb-d8wfWVU(9-4i>j2!iiT%3G&I0!m;^y;$) z3MJ3_C^T`&_j?o0Y;X*b|CDI^>h|7`7qfmpsVn>=-Fj*B47(P!0?m?X&)wuY`@XFB z5i4`_yQ9vp2l{_zZ?NW*-6QP2%stvo>Y|2>MB$@JR^6@E4Bz>5Lo?oN7eCDrR=DHQ zF+F{0G39#)Uy6Ja%>5+CxJUVs2H#zgr7X)W?lB79-nlq)`*j7A%Zl#~1n&%VV9?yR z*~6nV{jW<4v+*N|y<$2IX^k&`uax?n%J1@Vd4I;)JExjU_pEsrt|>a@OoYmW3m4pl zyY_i~`*e0*S-)BP1$CxN`jcK=_U{T`-2d%SjqG;I`0YGa@z$F@F-_4aFEj94$HXbN z`u?KqC*tq#ZscN|@My!wsch5c@Q3W4|M9%nHkaBN+gDdycB-w~wK63%;%AH_!|o-| zrc|Ckl7H^UW~-Gv%-^<u5AE5rXsX+fk|^G->OJA!frV#x@0t7F<Eo2JvF}Zt|K4SX z_>TQtub4Wk@=O@xAAPq{!y9|9SkFG_7$E)lwR&2{<~LcltDHBOt=^-#A~B_)M&r!+ z<|UGUgs-f>F!QucHt)k_ZxycmxIAs@^*r-RwgpcL=4`Xsy{*pdd~QJC<DJ_c<eigb z^G;P)y|Ln2OwQS^?{ZrOt{Gatd~L$X`||Cwj;@V;PV+d=rT)9TxPD{2jF;V|IPE(s zbu&M;rg(D;ehOjGdmwyD#V2a!0ZsSnlN^Ssp<HeGjgRg`ORd&$2oq_zsG4@iIjU%G z^`sq7s@8uCJmUR!(X;;FLQB-X3u(KWD!qJg`-x)Y!XE;wOEyP|yJ{TkoLIc#V_Bd@ ze)!)!@ke*{PTgIXx;s{?Y<JZ5yzpD*`Qf#9-tKbW9h<#7cFEzrWxH*zm$5eFigz~U zPTyj>eSfgR<9}LTN`7lU*NB>Du;kW}dm`Rioh2G!H_Z+P1^r2HbT68)$>61d@xt|L z`g31sw+Aje5>UUzG%{OrS%|dE)mD)|{f8bgWJz%D6Lc|I*%;e@+|}!ykMGJX<`x~H z%tsu}svSFjEh!e<FZ?Vp#a+THO{Xj&L&<RU(uE;hYux=U(lfR#3wtlZ!D?pN_JQ5r zot>$?^U+c<;omYpivwm~TAjC`a!W5i^E#zT75s{AF-^u2c?}AIzx)^Q&zw@2S!VD# zaKB2boUDAPPJmYQqXw&<kiUL)`3EcJ9AA3D`NPrl4m$zs!$&rpS#YE04~xho{WT{& z*zfaoh-~AvJKimRX!E4W*ExSM{M_U)<@miz3q%=MlDIv0%)gWONd1FYNyqY->MGVF zUwXV$d!|*)?_BH2v#)SAYlE^`pm3z+iivs6dTa#&S`6>m&ek^{b1aY%;ae{m5;cqG zm&7WzufpuSThFkCE@N@z%Q`N-(E3zw04tmF6bCh@<bRzPL^E58PIKt0n>f|$JEaOO zye7ENm2aUilk`IMh?cg<yBteTaingJZ!zLjE@8XD!P#)u`;yc7lp1?UyN^X%-sReT zOIxu0=(M=GxldS_UH@pE{5gHe)_cc(7u>4(^)%!5;+i*S1Nw!d_s(6oaEIfXMRU46 zqh1I~d|%$ypVW8F_Q-KR;|s>!U-#|0;WjT}@!7jmf9F1_nZIF4ZN391oAoW@L|3!8 zyKB<Coy+zv=h)b}tU*=h!Op9%-@NLK$S<n89Gb4PcXrVG_9~6d;`|~0=QT^UyQ}QI z_fFos`9&48+nl@;B|NLjAAAv5%bZ>{)6#a@n-g_$Hy*A!u3b7~<936a$!iYtR`2|* z>E!PJ+V$4%tEC~YSQola2`;O=_=#omy4`#)dzT)!@wvjgG_Gw~e%Ev3m$4C5!p5?8 zpIVo;H$}YSx^6xv-^VuK%R2L_veFk*rys1IRk-Cl*HSst4VOcz=iY2?EcxfTKH|!4 z37K_!wtmTbv*Y)>)pB-o_kT;Uc`9>e?t))4m(F|k_duTi`_BR1b+Z)$wqMNWyn5N+ zb*YOjyIF+O_9H5>OYiAk2yuT}Bp!Tg=A+H>`k|}WbpQHtYsHFthlA#e`n)}|<do)4 zH-Gmqr%gw?l~;Z5_1gO`T=vuwbK$G%-=><2elcHhFZauqfbdrjUtWK9`OEop4NbBa z7@T-C&M`>TGgz51Jk@d7+o#sX9I%F=$%?_LR!Am6g!!N{tJ=Kqr9b0NFFdC`hw+!0 z+|AG1uB9spPN`q;M(jp&iMcrA)XTpwL`POVh$?d0vwL#Im*YEw7IaAU)h&FtKH4X@ zIbQ4I>cr>&moWJ=U43()gY!WkLxeg*lG7FE_g%ZHndMp=%To%zpIxb;xVJrY<@<d$ zKd#>GzdOgR!A~tAA~d`0C$GnizO;;W?;Czy=o9`{yoz_5|9i%QoQ^HIcjiuf(bf6j zT6Nv;ePxH&hb>yNIDe-8vKHf89#5B-b%bo@v%0x++tr%Od~;WsrCuqyJaMX?Y37f> z*Fs9R?mV+&E>1h{uP~)>s>41b?Ozu^Sr-?cy09Q`;=Rg(V_WXI*1if74_sft&~@$i z#<)5w->UL_Gp!YJRvx8-rTMO3r?8&>wc|jk!xhQZEv_xEG^ee9!Fa#_Rnen8(N|XU zuX3NMIVWK5g8WNq^WU**zxw-K*kL=jpZ~*JhU*eWwsq%?bsdV9Km5Y?$L6SGUhK-W zs^W*YFWsE?<(<~K;woNO`7N&sPU}|xo6q-skHWR-mrvT}ckP?dcVAlQ&#mkocJm%Q znOdc3bzQ9VYUPv*(OdnUM9;?D?K`EcKc72)^5>M@>dST>R&88be$nxim49;F-bugu zmu&02a{SwY+qX6D$g}aKfAe~pedcE0S!o-)Wismju2tvT=UazpZvS_|pTFd5&f?#z zem>cLFYNBK%iXKzc`B}2o^w@s+tGz~dlj7`En+K|1^;>ZmjB+Vmka@WPe=FP=i3*g z?po>Vy?#mUyZPsWUYV|n_mU0UYyUFab?%DyQ>tEC*st_yf1SB9oNvCJ<gMVes=vuz zdmBp1u2zT4&s?<Y)7)1gOZVA%huu2%YuX&XT~}6@oxJazdv&*e!z<n?v3;ssMk?PD z^%kFFzJ6RH{8e%F+?ufJ%R#S>Z|^(H6>C3Nwcp)*Id|S(&A{UUU(PMP=iFgcdR{K* zmiBW0&foujIjt`aT|C`<{kpQ4#Z|@P`(HV3^gBCgI`4dk=~fOa_tpjTssCMnh5Plc zi|6@P+>e}Am3q+E#a92Dz7!L82E!Gu1&s`LtJ9}f<#knkcr(|l)-`hJzpVAb({~BD z?EP=IMDpX7ljSG2Pj&is!BlP0v|aLDRZiBIr|znMwBSW&d#qzXf1LU^0kJQ)KJ4kf zbnnN637J#RnyQpB{FFShyt}w+t#sY4ZO=<u_HE0v+%LP(d{^y!ze6u;ORqd%uxa}h z)u{n4r_z3|IVZb7_Zu@`Z0yd+c{>)$Tx6NueQ(llrM>z4IsVM%abf%Xpz7X(l=9_O z-}O(3o_0Smu~hXDgM9Ff4Ns+QRTs@s+WO|-OO4-#!CQJWSNLAt5vr3u>+m~0BXyU) z8rN4cdhgzGO|0PA;x4cKD8@AE>5T*3H_mN;e)DSZ#m@pO*^6tAaFi_DxM0gdQP1Rc z7dw8vWVo(ZWYoKB`bH(c1t&ba9-Y!F=P%pJE9xoHrK-F2!Xx&eVy7hONm8=1yWIYV z{duAh&(dUZjv;*gt%@5jR(*`SH0{B*Igi(FaNqmoq=9WA$BKyD<j6mBUq-O4Gd#}Q zwKb)7^LC@`Aj6t$8P1G7;wEp5e;QwSro77E^W`BIMQ6oNXI}Z-aTA*9x#;$j^^=!) zg#7TkWy-2Qzp!j|mvx|MhLR`u&C{#gf&*r~3)dERUEtLr5*)Su-7V)sKeq<m&(3*~ zGjU_(!}VLWSG@B(%&pI=S?a_$Yfg5zylk=MrX4@?v-393o3}+Uz;TYo^hH@#F5)f@ zW-*Uq=9@KdGVR;FcDg~SPWY>WIlEpSG5VvpJZW~6oT<$UU(d|i*$s8a8V_Ho;0`ud z30V{Ld(AYvUN;qu;2Emdm*{!yY51`}X?1#KdQ_(4<TFbdy!0l%TFkpKWCOQjg!XUF zq`p(<IvFN^D0<|4NzUa$19M?vbL!Eyt1mugHF^9}6}_s(Ex6LWCn7qfLf0+y?2L>r zfvb5{KM8L;Zez0g(5tpXVN;AtPUP?>mR&w;CArjR<BXo;D;vC@7);?v(lX`Q>ce#@ zOEq?iNKn_G>?<Z)l>0<Z2@9z)cd6Vqz5PTfaJfsfc=l$KM}dXcO=DDjj%gO_s)hF5 z<Z-p@<Iq0$cxEu4eo2#qWy8^Xk2WMpO%#jLYu%#lan)fh&*{{2tIrs8hg$k=nm-}P zW<KjGgY)VyX2)`=f0_FK+XIz}r9B;z#vd1`?iHGs^*BV{TJ^%q>fTi8opX&2cNuI9 zjuD>Vx$V`|4~+r7yRLn_vyn;a_JW`cH;v05u56sFtlC^t^qXg))>3EJ64^YSl!fb9 zMCybWXYhV4xNs<~yprdgYRZH6=L}YSw~yMhU$J(|pB?OB8<uXm6zSZsXyuYK40-2& zq?P-evg~xf?Am80$s^Yg`{eYO-i622mx(`ZH4Hhl<iv@(m~7+SvAxQPVl27UVy5$7 z-0iws7{=9P%w*5>@8`@*GvXHnr>v~{Th}SfZQ1a77gOoSc-<M+*B<pezqm{^k!Qo+ zyRB^R7fxU9=l^Tp=ig}@6Q2|uX1cjy$|=Qi)^6Ed3>k5Ma!q|EURuFd6<Wi7xGE;v zL}FdVskjY7yJzVvi#VIEl%f4(gVeI5blq)6iKe_FbB-p39^B@&Y~}x?Q+ery%)4i- z$`L*A?q35J`~Jh%52Tq-owJVZ!s`bvd$mixZkyE3TJ5m1?C=ZWFE{pT+<x#X#dXb` zyXNfst}TAMX~zFop@wS|*BmjOo8@V`e9OtXjUNBGck6zAJU7Qrheww!yyt_g;i*=O zhi)mpCIY{D(^Tr${`1oIUsc65@%W1L!?ErTC%!+wsua%<<0r<tJL}+;UGtPz2+r4I z+jR04YgXxcr<Iq&Ppz5ky|r9YL_NAMt;W%KiV4@hUGo$oZ*G`(QABasr@!3ZGrKP= z(GeAMQ=Y5RT^cEQ=xT;dS94C4^R?Q-5+R4Gjvb+MnzzcRauq+E7<y&GzH)D+nH$aa zT-=sdo~8I;iACvNJwZR=OTx3*&zoE}I=;VuLXPNR<^ylyR`N_)zSOX3_U)6hs)v`} zJ9XXWtIe!(zQgPDZ%xuRShFSM>OsX@p<h`#7oW%q)IM@IaYc+?+;rW<sC><$H>`)> z2W_34^JSL%{D8l4j7uh7cr1BS$AELb-<{(t&p35Y-!MhoyQ)w6l;CX3XW26s>8N}? zzH#FA857cC(se9m9xZsz=NcY;W&0M9-W7+`=E}3Z{M*0vP(|yEFReoITW`M;3f4Qe zee<-6U1ILs>rL`9G}GRRY9tr-?1;(Z(0q~a(=mIEbmxLYi|58N{1#u3mUKL;E{sKT z+Pp;*u5DTW<K?$EVy18WmWVysu2mc5baQ%3>VFoCFXu$|PY*k{^uf-qMzvr2L?+qx z-Y`87wUp)6b~TYRUFRylR9_9);WTT7cW`b`rA$)d@gTE_KF5}%d{^2cwPadTYQcH$ zA0PXp_+sz5ziujWyYwK%RDyHb$#rv12~9Bw<yB1fPSo1!w0TQu;g5AMGQu@Z&O9g~ zd`>0eevn<kqmKWcX{%bFOtG4tbW(KIvla6e-1#vnf@@a3rexO9w@cQ|OaEQ)>Q%1K zQ=W;!xjwZkd|bVrJzO|BGX6w+bXLb#Rg)R)yYwz!;%?xyTcn`=?vlz~iOvNbj0}G{ zQ=2t}O$Bc+ww@~^<1KVGpyOSM%C?ySU$`fI&)78mioW5QjlNqa3SBo^P`@~Q`o|CX z_tOq|>6CU(*<|a=U@7go)Z)<!o}Qw(Mf^UgDV)<B9@j{%P!)=0`Jia~bBVj@!e3QO z)=W9_#_?TW6T7mFh3`Wdzh%3RDf@@9N!e){KW=fc)fL$_rAVu4iTrZM>dumWPK$<k zcac)Bx(P8)8@d8J1Dcn<{XEsDgJGd}=7|MC#cETuR{nVH@ykD9N6^=ZM{!)frzgLg zK552wmWh2LS3Fk!J*L*QWB<|i<h-PS-IrLF88#LDxhKwiVNqwp5`H$VtzHcQZNE5< zinq*T)tWnj!<m~u>=4Hrxrg_R86*zb%W_nT+Bn_TTI&C(uk1=Nd#S-cB^6o0`$0wA z+BYXn4_YYFHHF3f<=jI-UP@lN!Mru5yav8W3l65#t}GBQ()854JAZ@aOXEx>rvIJ{ zcP_QDxGY~%8S-|)T;<lqx7ZYW*YqSAXEcOlx~i0E2qmrScrf9gT(1Vt{1VL$hRD~B zYt(k_)e_M)ICZmgLB+S#u77qdZn(2jWuCU=>HktH3G7DfU)dR7z1w3Npdb|F#U2_O zs>r}3qQtUdm4NTY)7`sw&poQ5^z7Zt<nq{^9dpkx80f#xT)Tb6lC6GjXP)(hycHC5 zWjJuBQbA7WKtqM9=7ajr_y4{Bw`6O|WHV-0W*g>G>qX~kE(PlL?p(D-`IX@_(O1{Q zL*u<T87;*w&wL#8e8seLKFx>2+b4KfOiTZ^;ne5CvP!3pMQ46K*!Zf0U3E>P%W5wE zssx5J63&`eUhcS3-xf15m|g7Z^hgcYOAdZ>;wo4!{QFkJX?w9j<z@Tpt4nOId@+CS zpe$<iX8Nw(OCB9uyL<K2u(+p|T~9^3+J2_=EbM#C6MI>$#m1;Yu4EzC-#2b+?3O$a z7u75(F+9j*`0tt4s~s<Om6vRDoNs&C;$qj!H%uX!5z@i}3pvF)cbzsbdGknbdOAyV zL3n78Q^Kyjr<REPuKvukxZ;VFjKJgDIx)&~ZWnHUam--5#Nj3Jkpf3db`@ED)LP|N zF?B`mtV5aC_L*=$)0y1p&r#(j@pb2QiFZ4%r^t&HEHq|+T59F`GeK<I-?Vu57}l(X zLG{z~M0Q7&{t>!0ZI9HH+cVPn9<SpUlKn5yxjoJ<smXqxWv{_b);pCyuXw!541Jj? zyV^AN<ROj*ebx*u%iXU-ik_7{s}VZ;c2$<2mxh+h<%Y>Zv$7XEf2nRc^IF7v@mt^T z%c^Bl5=1)W4!?{%WMj;|F!R>&R@a=#JT1Q--no&Kp_*#GRHQ7->&4mC&!tLqr|6t| zeTAzaD)oiWn%yB6)^j}Ixu{dOr2OuqcNg9{ZJDRMcE%F7S*?OoUQQ8P@U!Ven-~An zg<qd6J(-#$(xDd@Ta^2x^z7+d;&Rhkj5Ca0w5*@AJ~p`SfMT&Y-+Hmir(Zc4XQZ>t z%@?V@{WbCaX_2!suV;l%oS^(kYwzoI`ws|rp8Ira*>#tywac#m@Q&M^Q`!6Y#xp7L znY%8Wf2=cSlVYO}TlB6ISG+a{YtNk`qOjiS%oh<q<tILy6a0jY0y<`%`}JG-iBEs* z)5yt<St(Wx`_D*ctGum>Xgv9#@zK*_vlZ92EPnCqRrTbl*Pl;Qd!RpEH)cV>g;f1X z4<#(My2UP9Ey|S&KKa^o&$WryXPlZawZT?H%7=B<vW@Yx*qpAK<T318mhW)Y;s30+ zGaL4*v#ezf)?D_o^h>VfjD3L&`xZT0S~yYv@uWAh7Z+}8G)gz+i#pL6$?-RP!w#R8 zO$(b&|Ji!s+GG}m(tt)G^RhInWY3f*>cuXdd>8$FjJ7Y(jy~YZI<vz|uXcy=^hUST zVv#M?%Wh4L%ZpiO<M~Lfy))y_lC$l{oL6kQe$&73+@C_lkFWSviy!#pqM~f}#`C4O zXnF7)QNM{if}R=+_6VNo%Jh)<{?+`sw^YQ%$L8Lehm+5g+?+LC@9?G6pgnq%pSgCw z*rb))<ydgyci@*S$#q6*ZE44iJU%VXFljyZ>Ea=_!|`lRuYaw5of(^KCwuGc!jBUr z!#tD^vGq!BSYXH;Uc7HsbB~dh_TzgyuitprC6XrhucEs8_b(8r`t*9uWRK&L4E=L& z#xBv<%{6}ZL@527w#thOZw<qzD#xT=R9F@~>-4D^+oYG2$!=3$n;qlG8>{2?k-N(F zOIP_kn<FKKbIYcl_PRM^lc9i#cyw6m87b4uh>UL$t`b*zRw+qr`yS@Vb#_<b)Vpgp zG0uF(6*uwd1+AGpzHVyHkM&cGzPu`%H)n6v3lkaBl3TN8v>iMe^6%K2%44^aJI#1s zy<b1YZui>>qR02h**@3HxYN-6ROZBG`B)kEhNV1`kM?9vE&C|O@zVKN{5;{t@IV>+ z_fEAIIoeqhuP=T+l|#xrL3Mqs&r;vZKRz~U1WKKD4ZIYg=M|f5`Q}N}9-+QJ0q(5l z&hYD>n-tNP8EU)#;L>Lkx$i7(VoJRn929P=k?0iGcvQc##_?i)!4}J#UoP;9-;AHf zpB$k$HHx9*O4pX^guAoaE#CFMOq%7iJ3`*VfdBp44=j^%yk6x<iJh@jd6LjDTbS{{ z>7`;hXS>-YEskD_JnMYy`2BBZWqiVq#|Eb_S}9re`IH_npW8>@HP4sapK<obuNI|C zrcc?INM9=XUi(gR?UFakro1^c@8~*{1Ybd6Go>tF>#l1)4kcf^XUT19Ui8x0N!aDH zlj->dCl?q?{<w2VdzQQAdW&}+cP8#o&bQn0JAvPo{TFLqbWlpi?K_hyHLhOLpLga^ zI{V*8D;<;7{q@!a86CZPe}(?y`1-4<S3Az1S?y+_&wPJ>u#%C<GwGsbckg>&K9DDB z(pUUA_}N2~)^DGFEBH)jzoK}t`ijTC6OXhearZl}?N+}sJt{y!zw7RUnJ-=H8D~`) zRWwLMO02Y~b}$awGmoj5k8^L%-?!%d{)^UD7Wa!*Kbm!gY38Z(=N59-9oWLMGBe)i zV~J}2ZjDJQ1?H!(8fS;c-kK(`;n#$SIbT0n8n1P^_t{13No?<vyIs50x3ElPwAy^H zF){G0D{HE-ckeYFMdrn>C1JBOq~-SU)GYT)$T)MorA1A}<mCdxN!p<=^!J$b-v8i` z@uy9F*~i&}QZJ_L=}cU`aQ_moU$0*k9lx^wk?!mxk2>!zdHJ<p-r4F@%Z|q?#|qAQ z_usWhJtJvW@c8|?#>n#eCi{XktKcBF94-z1Ty815nJ?$eC|(j1R=#xJJEQma!tUD6 z;|<hO%vacG(kaT*$zFQLw`rDTapNbgqpvsHWX1_ds~!7rWZCpQUm>yC_S0e|uI&7E z*{Ctl=A-uWb9-FHublK^_)-1Tq)K%|_N!Bxa|A!OT))3=v;I4we3|0ci3-e%omuiz zilnO5CfjY?)OvHt*>}8bySU%nVw=aE``BBtrLtrGiwj&fHx(tAy%QI#^NrEHba+uQ z!;+b58)qpNK9MqsJDWMzXI+q|9`nsFzvh10npwWq_wkOcvQpxjm;H?-ayEWiQaDkm z+{;t$e0bpL)#_1-8TC6)sxdFrESa!BH#eVuf!At{q!*^s7F}06G2@HD=?7oFyG&oJ z9Q6Cqu?r6SS{8o1v!(5xq3pts%uzRlj+xF;zJ8DCX6l1kGs<~=nB`w=UGK4>#At;q zqtCx=8_nA612?5=?Tb3HQvTemEI1Y`bm-Bf4Qze;9rBwpIjcTs)C!)s7%3jp@~0vH z>K6M2>;4E8Up;bbdcbn_n{_>MhSl6<;obt1<j$w$Z*D3N+v~#<5qzX<DbGCpW|hRm zBQ4z*Chrt22vC>Ps9I8U=wF}3rsJ`zm2>((_+_0`=Qw&OqxZwj6~41Rn)m!ty51V6 ztg&BB)9J5NWoYM);^gPfkNgZcy7$|=bM=QeN66UkKlpLYSFsg)XPj$xyVu8%BwleV z>SW)>6^oksVw@H?`Ka_J{D`^v$RRP%y7}e$>P1~5wI1O%8W(377%~3L{<G=jUN1I{ z6Z@}5>3j7b%{#Zr-)>>aj)@OzB%ioS99_X5C_l@`Q=~)Wf_DC!AGJ?q8h2GMj&PSV z$hfSwJuB|&9-)<<o(n&UYt{$->sr9K<za$IPelFi34R>=g6&?;|MI>~ZP&u9c^ekS zO5czVIKHxKtxBliCz<8hS)KihRU_@y|I9IH5pZ&;f3a%+`@(<^g(WfxH{+id#(c@J z)m>Q?_`>qslqq19-bNo=65}Rj^Z9Ko5xE~w$jSZE`LX|Wog4$6*u?IGC(K)}woh5? zEvA_Mqiw^l3D@?NoZR$H_4s|g<7YrpDo0;WV%6x+=UC0J8Rarv$02u7?t&bvSNit_ z!sI92F?1If&iU7{K_t-Q*;fnp>wDxX4<xio7fI_bdhTDFS9a3jWo&$?q2<jCDZPFX zAHV!Gk2hh#iVOX00#<k!wqIT>%)EB_)x_>6dt{{@pCwP(-y`#^<y!GM&r1>?Yp#_n zpP*>tq#RN|(fpkTS8!^2GSedIgNK%hEl5ga5kG1^rLFcuMl#bP_KCF~7ne`mzgWl3 zao*N>6AUaD9^9)i?`QM6>{WTK92$Hri!L@^>NK?Z9Km#I+2()8X0=akIC_6R`}$S) zCM1{dFIrafw&_?&h21^53pN6tbA+e=QVPEldL_E4VUN?_S?~1{Ca*~lR$Hz-J*&dU z&$qAiaX)|Q6!-M{x%t^D<swJt{s{K}a#2o0)#b^WmnUMgZTEVZy6rS|Rd;ujFy-}D z`Q;o?ce3E#l&D>SZ}PmjULRqemA-Y}gD%fyQ<j;hv7VJlkBPX#_2viT{QX5eb57V_ z`DbQ(f6qY`i)mTXIkrE(ESSlEG55&qIJ+vJb=P`rD<d6E#cg$iyOxWp?|L+Y{oYZ{ zsUCNBys!*>A)#@6vd|QT&S~$|`TO0QdFJ?Ks@FWe5w2?1^?2H%7hKD?{IK3K$;-Mx zq9x$Wk0mCmsVYaM9)|e6$@}Q}lD#l}dBYy5nL9gwUV1mR+V}AK_!@>~8)K#)D-tl7 zwmZ0YQikEbDBJG|$2Dp{iEi1y?7X<aPur$XZ#J%7am=yMP2#IBi^2&*zw<@fIY+{^ zm2B)@$faA}=_pF}Ojb9aWTKYDAkG-@LOj9Z3-<;|DeFST=RDV(*l$W{o8&QVbDGZ( zywKQByzgnR&G`+2@>2CpffFixla@ZvkvtN%;i|jd)^}mH&1WYU&zsVBlPA)UH+A{f zQ(L*G-e8%bzRojzy3C0K-H|I;R&0E{T1b>Zfk9d9#R3NjA>Phwsdt$~8r&8dIZjGV ze<0Bx^N#V$k*bX|mEx36EqkV^=zirIm&$F$D2^i2q7pTo^0n9P{`CHt_0n#APfeHG z?=FTf6JI>BpS%C!iXNBaE`FKkR=u>aIuIX}y61-8(MZQ0f&EA19{=8Y^;h7W+dC37 znp&ctl&ufXJ}P$n(e-D^$?;n2@1K9vu(4;y+ud9jFMAq)-<0UOLxa!QSVwc0@Z03; zTPtP<q{s01oH#Bsdu!2K`9B7y4nF&>xU*>0j;mgNRf=kVS=P=ybfxWE+pO-H8;qx} z?)#zHoOn6$qd@<1%MVxiBl*reopdR!H~I$uR*OT8*0zu5zv7X3e(H(D&t2=KH@e!E zt>bv~?o-8ui>n-03Kh5aaCQ1!i<SA>zefFl>=_A(AG<%yf6P?(#`fU{*#~Wlzdl%; zAtBmZwN9i+ZBP2$iyIerUl%;Njr;Kqo`Ak7j2!%7z1CKfSXfuq%*{FJGbzDgQ`O?m zK%1sMz5AEr`Ihb!J^yA#{>O<9)_<;Fk&WH|-Qs6NZER=H;>v9s|4Ubg+>}|Q^~~q$ zbUROm+driRXD-_PYN1%u+?i=N7c{!180WC>tdJ2?J+b%W1dc6B!n99s?cIHMiAug1 z57W0ihE#_JDQCUOf=it~@@1P%KG>Qz^*HO|JsM5(@<mF67jr#V_ug~+!s%a6SPnOR zKQHaS_R(|UEv|n&H%V82;}Usv{8ILTw1;1~>|&2xzy5yz1m}GVdyjN3zIn5-rHGAf zQK--I-7yVfYIB}nKf?Bp$#HXGp<IsYtKf{#rQ1zA^Cp{iFREcaw0GNf$1nL--h1T} zo?ma>$6tP<_CZbR-#HWBU0AVt%e-C@_G$KPvqD!)nd{NIfREAokn)eYuQjz#3w%2u zxOOf_$DSQ?#0u3XpZ&GC<bhmK=fbyBioUgS^QWq+yY#3r-RBCKZ|9%sCl*_u{3<u$ zzvRLGKO48cY?hl{ly~FC$r<%`GzAwai^te_*-6UYSpMwVBKOUku1pDW4T{TrD9Jw0 zdD6snO5PzRZ4u2kR+?Pwy*lF`>qM2F0RBI(x<pzML#G4=Eq=H(WzkC!-K4eR6K?I| zD3<xIGC63|^7vlqYXXsRSBgA-PvQL9bvxoB*GUok()oK^1RkF=OGyn~vN_32tn1sG zJAX=QuI)26w~g)Gm*Qo$Ptbsw<MrDm%No{8vTu0FkvB<e?XrujKWx@MH{JWMmyyHU z)gFudFDzWqEH=sK;v3c-i+tNH_2)U9<ov()w*05RTdo?hmhb%6cI2AX<3^^54Ev4> zdYwJ=;SK`}W5B_#MFp;!J>Kk!k!`^jZZq64Pjp{mn8N(KI_?3pr)GS46h}*T{E_(| zf9q^e><$w8CwtT-FY*0nOY!=!s9*OgH=ZdnykmDXDQeFHH!Xi@F4MK|52Z){HOpVL z-md<z@|&v{RFe$*`&&X1TBl{2U17eQdN{0RW~ktq<g%$8Y$o<i6V6E7HR}D|=D3?B zi_cuB%xV_r;p6ttGH+hEG>_xLwG2P*t;MBTagDS2o}bsZS90>4koUFnLWKO(Z~2@L zC$8l^>808f7xlr2<z~@>)wAB`@O(Yq(q83xZj-UfKb7<gJTry*EXx(GR|ks3&bM&d z_2%JT+X|j0Eoa|XYyAx^XMS3f8h>E%`fj~B{3~ADsQ*g6u`wZiZKKhu#lF{NZg?pc zNxw*rVmP((ri}1X-%{Hb_boHu{k5@qX8-6xpm^a8o8#Rd@~`z9G^+jm&*60Wv`ld_ zLv+ZtRc!OjZwuM%uAO@HnA-NqcVkLE-FdCSac|**KmRrwzfub4Ub5u5?39>w@lJDk z7bQ8Ucz3J4KAhI_#Irl%j@wzs{R#_qJlOnSLY`;EQ5BX?srh{`JVf#m>LqVkh$T#9 zI~!}AFDz!g+17VyqsV=>{YuK;pJ;7e&=fW?p?+q=g~JBf%Z|NMUn$j)zOX2G8EZym z%NF6k4?5?bUBVzBm6+1Ac<EWs`!hmwf3#&8ZVJ`AE6n?%d5>$g@=4d_E6yvli}iA8 zOsJaN<P&|<`t>c_7p!J?ljPQIO-ne!b8Fg+zM3bejZf#hC|B3+T|HZAU&iKJhf|cL znzIE?KV+8-Q_NiCD<ayZZ(BX}d4$ACnZ;(iUS>KzJNS7`)XbNOhuvki{&Dn)sGs<5 z1E19Cm&L+$><)Q*Jmxb`+be(d%oh*gy}4)Q7*fS&?5;DcDrWOfU;O9cr=!=c*kc3L zW}GhUWjbzAnkMBm_k6*PO}AA)KHI-8^jz7Te&r)ePs=V^`j)fC%J!FB@I2EQ-T{03 zVw4^l2bI3k`TXjQb&-fw+_@mPzWK{cl~>m=ue|C$LqIRC=kvr<{0BmFwmgYTI2$YU z`d0tz)k#IWuU=KN(5bBd>0$b;v~IE2;_Zch?yARk#v4C*IY;VE^Pw#{C7;}ic2~%H zHQg(Sh(Bm<_`6igVcLd|OhxBIwwt*xaNT|4o&F~a>j^Dl!L1WxYGZrO^49zm@%*0u z!eY;ttE`D{XD(i1|3rDaBG2J>>oV?76j7cYd2AcU?I~{${g+s0G2`lXu8E6QtXrIA zTNAQ6^iyi$Qy$6OeHHUQ-Ig*6<~++g^Vg+nj-A=*p2cb}trI@o(oygHAU<`W{p^_n zY3KaYu6X=ycxiEB(uo~6UWD8I;@+94r*v)_PYBoTpY57!el6@zepFBz^kwOcEgK)0 zBr&%sop~<bmA6ocIehnpiYXKPW*R!`Y!bY`*mbkYo#$V84wi-QzVx=^<FiBmetg`q z<mTZdMvcWEYxycd4c^Gjvgcvnbtz=6-(_X#Q$1(=Ie*K(VCS_qyY*IUV_5)G$jK-N z_O&wNQ&yX<^n4|M`t2+!m3xsYs(W>xbw1qSG*L>o$4<YsrE2EOCqAr~Cf+=^pyA_1 zBiArroy%)@GPme$Jy368I@Rd9TeH}?tx=vXK8t^6Tu~QsV^}Jl^5ElMjvmo8>DQi{ z!qRkRxJ;3sbiRSveAn%IL3_23#(=H6J_ZV!7uFt{t$wpROD-=+cX_XPd5C9Q;54(& z7e_r4E+?)mIq?6X#|+uECu8IFiXR=@t}}UZZMsE4oYur2HK|u_bO&h!d)_;6$2;%~ z+pg_DSLf=e-uzYT!*C(Mbz#Te9Ve%@?%G=wyyCi-%$6zD?L13wUzo9I?yS?7vL?M( zchHdPXOx$GenPi9WVXS*Yc1ho*PLf9VLE&BhcM^5PhxGI@6IS4z4M{^>dvrLrHjro zuUEI@acFWa-`vLUd@R``?c+CdYs0vGqSfEzL_3u-IXjA6&4j(rZD_uAZ-dw56SDOi zqmFLatX21ZR>z8Y63=eNe~f7_^!La-U$r16Vy4!^vQJYp?902ZZFwI0=<OVp-~+7@ zNlH9Ll{@-ZtP^<n+9B?+$mt-4V_OuR)|`KK-c8YYrp0aTmtl&kdHm(_=jQ$RDCc+C z;Oem$q3@<)m-XlG%n)35M@{BL)pj!}hZn)Ej>}lwI3zjue!S(k)LQe<r*>X%1Kr){ zI(;X5y2OW@e92N+uRg`+6vsr*gR4Z#Ip<8-U@8>bpPj${&@ELnr|A`64`;fia#ZB0 zFZ;)6e)B|dQq!yur3F?(zRKSmCcJQ-v-4khjLd%CWRa}=ljj$1te(DMYv=2*BZrcd zTs2;(D)4UeT)*s9kpV|yz1h2(g=_!bw!XDv|EzPYXMRkd<Hy6nnZ#!Gt~Bt;U0Lm< zOu3kin=NY$o-!ufwOe55uQ4_LO3R+RSJvDz(iRsg5A^bP*x{P4^z3E%<*NS<6Rq6O z#h5VUoZoTb*?$es19N7!EN5PmnOo;~Wsjwn!;)=W4`L7MCrY$DyS$F?%DZQa6@%&% znV8EMlBOnZH(B&nx^R~DzMID)E=`&+`T1j3k$E2*DmIGTzi}^Ol5mefr=eraDtDb5 z&dY)(2}ZcgvECV}pUCfh>(#xFlQlz+9@hQ0s&>Nj%OBc5zgw7N_CU^Ip0=~}HUEPS z-|YXbnYHLhOQLkyoyK`D{{PFL*?#G-&X#YFEI0X8O!GIblX5JN-m_s_#GB2M?rhn2 zVlyHg%L4-+7k$&>n(VId$2QzSuCDrtlN-nT?^FB)XLyJAPko*1Bhq?;Yvuxj6MlD3 zuCMPn(jGW*!3lF-yF;rK%9`vZm`%IHZ<8>y_1oUx`;uy0bzksgw`l(=x%)tDPL<4A zgSh={XI5*py@+sF9?#lU8qhkkN^-l)26vaI^<U>q*nehoq2j5=tjj#R*Oj>S*A#PA z=-oT|d}>C*c0CD!ipf?d@4Qgz=+bz4o29)-%Y6Rorv)F(*91J<@YjNQUw}39{2lcH ztB&~k-c;5}=JVIt!6MAb^m4MqtW95xt52tLHfc#67YJs#DOXtIbd2F6Qxc1G8f&P? z-ZS|X$5=D&39Wn)d~Saq^9`{*bLQ0F_`bH}>ZThSbyqa6oZR?u3WMaTX%5oQ!t14% zvIttLpWBeKK=uFWi`DvJ^NxAN@cwo)$`L8QZnWXx*|^vh0^w|Y=L6TAZ~xNuqTW=a zXhNaj(aN_xKj+JO%}hvUlRWWVbM5`ZZ*z0HV^?&0zieo-aN1WM=UVdc!TBu*ZftZl z_>j0o??+SQqg87a<TU5WD(Un0JZG}h_Kmq#80A~>DTAx>#f!Htw)ZS0?k{1Vd1U7? zKDEM=-dEq=?s@r(VcOOYI+cND2ZH=N9(J$ra$g}>(8XMT@AJE#b!8DZ57+LGJbK3Z z$`)gf@Xik_IGLNj+I<w?%zwFR=MJyOPnq1j_pWnbxhXQ^U!7m-%tM;fb3g1CD>$-U zp@;RPw(pNq(SN@-N6mW@|NG<Czn68mTrTYN$upBFi8=Mp+2DFKlaZ-|a`(6Eo0e#} z8i!Ahd2mhEAUk-yoI3lAC7ws_M#Qb2@T=@oh?kxB0hO7*a||q8YM+!B#ma8}Vr9K4 zDwtcd@%v>%sY74GZptxTtl1Db`S<+BlT-R{?^$>}A=878RqLFI6C-D4%io-`1CkEq zr3<dIDK?n03uMf5x}w7QxiqEizF=f+)q^<ewM`Q3@AmwhXs^9?g~!w@HTy#4l6kMq zsuE*3SR8Xe+%Dnq{fHktW{un8vwT+b87!4hnC$j4Q_1HEm%*f$8&hi5{kUf3QMl|` z3)@wR16*0$Vbgh(UgsWOkTXLtOsL;sd58t~y4w3%6Ca2l-Sy_cA8U;_W_S4CEMfLv zc-i*GyN9WFx(#@YLmBUJtk>VGe)!o3;W@wRUrgfeH8JX6dThaah8=UVba-YwexoJt z_t`Ic!Al3V>V~tm8J3@qDa$`(Jtq7>@{o#Ol2MoS-DCR<ws}qoYpA$#?u<mr(fU^Y zE9yM+Ct5papR83a$;?c#{-DIb{(R}H-wIcn*S<N-KR<Sb;g@x~zxXy~EA5*b$Fg^E zNyFZ4Q{0rEH(K7&V#tV(RX=`S{-Ar;<2ca_;l53cZGCmji6$3!h54`=c+EKy(YN@) zzX{8|Kh4W^>TpgG*lW-@A@6ct=*8lS>yzHPC1jltd27ZRU9Dnh`PAM(;Bm;8mkK%a zXI>C`yV9-X(V<mI-9f$?+$%o6o^9H&N`7Y$-=b2rK2NUs8@Fk#aS~s8^!BvmoC!Y@ zPb$n5zYxCSW>d1l?S@DL+aFb_*YD2%m|xUY`Prv^UcUI_Ei;XtDjsLBfB8g@=ft7z zMaHK*XKrgh8rLy(@1|qtc4>y+p5e%}y)jc%`_rxVKa2Hi?0@$^pMQR%N&VmTH`6u3 zoX>S_VK2^h^H@>y^3kE&iJjL=m{&?YxX_xpiEHWdOAoq#op(^IEsxmxYU8A}p?d23 zoC*&*aqHCvDIM8);QO8eZjTQW0(m^OvZ^)D@o`pj1XzA55%l9<#ANZ@f9d3j_g;Us zQ)a&ISjm4xf=6rxmsmsl`{&K3KeX1m&W@a>`fVKtXRNkM+qcb(Q_}sICeDjqWIMy~ z?39}hKmVG#M?U@Ta6U34<CRMQ-wKwxM{b5wY-|rq&^Ww~pU-^W^kY8D_DtmcZm{!~ z-O5W&++IgY8^f}{7pxPE&*rb(<Q6fn*X~rNP*4oB!{5JMKldnXFjBdFv-f!-f9$TW zJ1SpUB`HkLxRkS~qwV$at-c#Y3O==VmmVy7s^qe&AWJrW?p?OOb@wN27A%=w9QWEI zaQD>rJIrQ2$~Yxl@5*j==a9jM!fki%f4KBm)BM22Id|$pY6A0mjvf@4%jfw-)@6g! zZdpUCle?9TQ@z!jEqClLU3)^Wt+T1MAy$j!@AnJ;a}HU_X9kL1P5-8Od;ZfGNo97@ zhs}65e}7W>hj-VWkXcR{{S_&JB^8t7E($lif1i?bZj##Z^tngZrq`|6<nn$>N!zkV zf@cjKHZI>QVVa&bds)<*FKzdH{Fc<jBn9O0G&uavs&e>wNvJF89aplM%z>Yr!YYZM zEFMggdhlh*&Btb?u9YU~`^;DVnB|=``NEAz&HbTXhwRQgx-xaz{u?Kcg#1rZa?;<< zAo$X>>hSBxiCv%GU;o5x?4t5;OTi3l7MqU8r~8BjRMfn)45E#4{a60TIPol_-za~f z?95Ac^#^p4gx>iyJ=*<GW6{~?CEPP37s>X$(NO%gF8QgldHVs?^KB+lT|4}|jF_+Z zC|%<))_4}t|4i$J7f;h_wv9Ub9ojPA&$0-+Uif?Ev4C*L{FRrEo|3&>*B<J+uS(|L zu2N=i38OSUza^Jy^<pY-F#f+i&CI6cWng09{j!-qQa)(~au^CpUtPGLSNKu&elO2n z$^3Zl*zY#%)6OSpT9)!1?w<WrEMfl%=f(udPCE(Sse1%|Xa#sPvxtCp{xS%~`$VM} zU7dVZf`I{qxfnPZa`H>^_44v^@`^)4SQ(g8>(-=eY}NmpaMD5c7=u*4pqIOVz*^r+ zjKK^a{234EbDHcHxDXn*K>P)3Rb$)&cV-QrYS*{te?42gH8j9Vn(wci(x3ZMnMZh^ zUb?{P#hmT!e}CIq$u)aFu|Hv{Wl3gPDCLkgf#bOSovA#*uB#YVAF<#I+gQcwU-5U{ zgFP#gTTk!e+-lLl(=eO;4f6r<9nVB{iZ@KIvHf?{?)_xr7YVN`rrxdbVOsF7a3|09 z<bO9T${j2g%zJ-!dqtHG)3vi<>$JVQr}2s}^~(D7;emX~2Mr6IIcvQdEVV1b!}z@O zR(HQXrL}$P)WbJdElK0w`D`;gfArOCH{TCjQ6GK<e$d*T8@aG)b7-mXtMw+#an6eC zH?zhatoz%w=Tx5DlNmmTrgHAoU8}clIb&RA)SfmI@AbyF#MUdkWfwQ$%t+ePW-hht z`psUpW7-vqD;?tYOZWDK-|T(nduQH9FXzXmIgd-99n;=%?Dg?ut95!5WFDLD`C|3@ zk?5C4Uw600?>Uv1cqP?>DK3+BPg`AFdVQRu!}|L=7kV!8G=|^GWfOg3y65biin*Ls ztnc^KWyhs%k2Lx0W&K&|(sjvM;R06}tN$J_F}!FmBXLCAx8r(1<Mo-d!#}X8efV|v z0EgB`ujognDUV9co`~L2vy*k%=W2aKySL-|h1=?J>3n;dqL02V|GkGTE_M6+#_(Aa zuWvY=y5oH*+rB1&J#|JaS8!y#TCn%hq3a(`yzY4=I;ZMy*7{Ae&uDFLZNB8Yf~V|^ z{H4GvPYe&unO9Syy;E04gyBr&)3BYoYgX)JPFLOjbyi?l-qhP(j#=L{7`fS5v%E4d zBr{FyS+a~@;Gc=Uw5|5^+nWwKN+#Gn&6|4L$?^CX$D>-WS8029hl$FF<iDDbx9q`{ zCl^%aemH;T+?xxp*9dm7*L>mH_d!$PYfXCGepcq$&MNce4O(+%eYbJgS2?%qbJXn5 zUaLP#-K(7Yw37Avp1MuvSHHOys@gtv^({kb2799v?!a8B<yy&FeLtrxmf~H1^~8m& z=$Bif7Hn`^srKS@dYFt!QdhhG!2st+Tjl(!m3s>0+J2sCYcAWT{qO2Z!I^wHOHS{L z$`QNiVrp;dv3{$x+@+!!7x&b-O=eu;x#+9|v&-#=Q^k&j-1)5OWNNpb(W=+4<LKdn z^Ex@gMqIyJnw(tLKb(H~SWB1Oxie?dy3+*D<S-aJ8Jn!%Eb+|Ppv{v#n}N5{YEM%R z-@5tz9WGxatNw<anQ-&KvBC)*+)mE~R%)E+SvxEIX75Q6)qnzrG=ZPbw~D=(YWBiZ z=Zlr~7cRA`zhZk&<;AW@NPW0#*8*+r_M=+IO<S7}B{NN&P;=(xNssrBZXL2@JTNCn zNLs<zW6l>fpJf^cKhAJSnZ4qqv!9jN%r}RZHiWW1PdTTN>3RImM%FB^liueqy?S*i ziRm1tzlP__*qF$0i<K{vpU*idqUu-RAM6!Y#TB|`gU<m=P*m=iqAC-!VM$h(dFhGz zk4Cd6s|Cz^Fi$0r>8Ypi3eBTmxmJDnmAm&;Uf53EIRat_bpAUiN*|qg;<@{Z1zwLr z(tJ`su54YrEo;xUHn(S6PN=B}owhmhY32-nhTWPyg>qts(eie)nR;sZygsJ>+8%IX zpT1f5nq{Z$Skjmx<8w+3t{qC<p)+TKrORvicU<AA+f{=md8|C-y7EwB;Lca4PGtrv z9&f$9pv!ZDX396M-MS|YE8m+GpYVSFXxE`vr&4(h=FfStO;CHMZnWjP7?D#~OOL+N z;yQZB%c(cyWRcU(l-R`BRtNh4woB`@!@{FuH)Y&ZxbX4PlVj6;bR2DK)IWaFm>MUy z&-B9=4bHuVHM$?ayqMM(w=eq2%c-9u)C1nnc6a_`wfB<sbMBV;_e}en?|nH`S#wyw zf7u1;!{(Rg$C>uGy!f)Ma*6Ts>1Q9y)XiNt=blM_(T~!stKH9jJM+j^`#81Dt2*Q2 zqF=z-_WUK&tJ2RA9r6BCn&168_3&M1(uIh9IXgaUguIuQZ?KkFx<LHQ_8rZEoK+0x z552i~_cHgf$Mfy>CfTq(n(kii`(Cs^?MG{i-jc8f7loG2dtk^m&)=l^+^5pRu{}-~ zxL*eB=nhoe+r6%3w}k1X*DquW#252_G5W=J=cvZSIOqIBu|0e*bxYLl9L-?b+grz2 zZn(=qf1&k5J-6;Ja#kQ!%zL}$F_s(Na>!qleLz-dmx;;SK+bs{FOsrW9ha{-^kQP! z!^krRURPuKT5q~t_ld53{9(&QjoAJ5J2qd`y&SqPzv5N~hwq{7g5_qbmK4@E-gKM3 zg)`pZ&cO_pzlXNYuswBk_RH?0bK}(IzJEBqSoVwGuWt`u25RiJtNHoi%ZkbORQBao zRF!zWU$$Opp4`?2<?Z|p*8O!CxQ~<z`b)2UCAhC)$L2t_*hA(#<#Kn;_D0z(dN|#E z;}^G&p-s<)*Iv@7$$#*!^WBVdRXm3;FTR_7w6oxOpu*nFKaB5eyd2g`nID?#&ikVD zvC%u5Q%?Fq_f4|&1@C($R`pi=Ii%me>Vox=>s<5X_P&aJ)Xn1G|Ey}}k8cMqFIM`Z z^|ANJ<;6i&+O@(Tzr1LAY5V9R_kNpGi?4T!ADHVN?*CrAzwXD=i*kD-=M+76nS1M{ z-<jPnxzi`}ITxtUZ!SLKes1IRIfq}Kwk-PcM_X8W){hTw{yi)T3)!}ASB2e2N15x{ zZml1ru3ewWu|9zzZvXAoZ>F(wO&43EbGN1I(;DqLJkwnpEO*E4mt1~fk?MgO&JX<I z%~AU7g$u=|iD^eg?0R|g-@^@SCa|WfwqNzt(EVSa^<<mhf!xfKg|WFiHwl@RzxmO= zxv14O^|U(w%K9C;x;xkDL~L1g=B47#_S2<-2Nzvk+InhEoX!_7<1bSCD(AXduTPLw zV7th-_Yy~IN1U^jvCaC;mI?F14Nm=BH1B$Ty~U%@vcrouv1Yw$_;m2~npdJzKK=65 zbU2y4<KXKzP2m%oufO-4JmKUS&W?lITTXlNabKT$)cwk%uO4FSL$deyE&Ukf{CKL^ z`3m0Cd(NrM3^%xx&2j646?0|<>p{sl`+&A^NsDJ+l^=cW5Lh4LxW|w2V^r}Y)0{`8 z$Bt;<IQm+Ad&SgR`(=zlXI8&yoBVK7LHHiIk4>+*wG37qT2R&0#igYaxAIuU+^&>8 z*ODaHo0!>MJ(itV@_38EzK;eyF)?CYiN~^EJT3k9RJ7)c*WxcLH(bhIxLDv)cE!t5 zw!_*SNmYLjNZYj@&bFMh?69-v0#!+g!>f5%K1)?r*j_rUJ(Yibu<Mnc6|#ZOi^809 z+i&r&-|Dm8#CQEdq4mZZc3WR=)$p7A=%E0M4@;5|>k-wsgIaO>Gmfr)bJ?)o!+5^c zXQ^42Q)aQpE35FvODvhCFJp8zS-S3M28(QW1;?i|+xh(aH(dxiW6nNLE_Pw+jP*R+ z&pxu%y`AnZ^W*77;i$TwY>$4rM87y%qIaiqi^plF^@ZY#6Wx{MT6aq<E&cK^^p0ns zqO9~>#&=0uu6=Cxe)z7_?dz$6^$pWn`Wj@uC?rR5{jw4?u2uAu;A^p#{<>iMd26eW zp@%Oo4wIe#;l<>>fIZyha<#`b<Xo4hF3p#mbZNW5d5NhDk_E&YcT2clD(nb<r!re# z^pBI1c-K9n=}A9YTaqqpDK}JJnC&w8!;hnavGNBWyu7$-+Qfay74v?*nDPAVUCp;E zp1Yp-kTEGv_8n8X+}8!+9qZ-i$!)!K`^a?md2+T3%6scGsuotr9M<pGn{!WH?%{{i zi=+3>+86%eO9n$#{SU8Aiwt+PsJIpvbiU}|JD@sSd)LXODmt@Hs;bU2(0a{VEVQF| zQK0P6J&(_I-uiXwy0@t8p{2_646I&@`L#;AX}|bdr1vi2OE#m-ah}Cn?-_1CG}nFW zmqQ<~GPSeGuYK^-Wwy!na^7<PFP{0e4?SLS$tBLXB4U%Y>I$dLy91v)vo2`W+_%Z% zmQ$R0L9-@T;Br)dLeY!&AGzk4aXAJ5G`h4fwLjv+1p%ABhtBpNB98I#R*N`y<UP1_ zTHx$~r_<eRpIi*&m9^6|biOWU`mtuw`eRlMGv>cwTl-X6JxIB7kBEzKWzXaGvIiG= z+~+>|c#+q(dd(y6e1ZEGEMNcqT=!7C$M5T;%5!aEpFCXobDvD)@zy=4%s<_#>9}0N z3*~;h4_{V<y%&jBtEl?ot}iNoXs&zV-YNTxKfL69EGRHLPDk$ij+aj#8S?J8S+)4_ z^#2deE!O`s>tkv2a(C7X)yK+t=gG-B=u5?$RaBL@=ZmW+1nMtXKgV%z?Y?}GufKlo zO1vWdLb^RZXv()&J6Y~{2C`Irw_$SGTP`Ol`fBUv865%o^Y!OA@2!v%|LEPdZs9$v z{;nUQi@Cli{Stb&<b~R+v!8Et#QTYOYVQ<N{#CZKtxN3TBtd`mUss~_7&Z&v)s#B5 zR7oSM+1oMl%Or1ao5d?a)-QPfK(Fgi)oB^dayi?D<!$TvZ5F?%ei_qcExmU^@qK*< zliHTW9ePDGuWBDH=bR_^_2TZMiFYbZJoKg6cYc1svDdXm^XALm&l&CUa%V3^AKlJ5 zPfmBi_Fm@$bKSjPZ2hvdF}=s?!tWRUJBk-|yObBaRO|fqK*(=dUy-JJaCq#cz(q@A zZY3_V-*M%#OLM`=^v-!joyR-Nie9D%+wW8nam_Et>{u>*SKX@F+reh>itC1FX71LI zdfi(hU2Y&%HhuO1p2b_@4A&o$?P)rD$UEWN3ohA%H9H@?4Ak43Tyy@x%Zqw@Q)(_g zco`^Ob^6D)#^ux7-0gI~Jo^x;?7N<~KPBKr>%-YCv+haDou2b@?-z}>c)7Q)jy|02 zIwww2?(vhCN59-?kC!|8YVE_-C%xx?n0olK+jO7kpSvD%E*Ab$x0CBm<(F&H9xvQ3 zio{HM*r{?RS*336hYV(ay?&DqZ$4QZUbgN*kq=kDi^0Xv{v4BwfpWJC7TH#mJnnq= z;A5|Pe@x0n-k5zC7s>AEIc$80VX<%3{U1Hn5@#1A&s={%w&z^c-5u<=k6Az9bDLgb z^I2ra=8Mtm7vxKMKJ!lNu6t1ACo%WHQ+dOv#?ubTUnZP(Ofi{w+9|bU;%Vo!FHF-r z&t<UbiNAdjS}d3O<;^ESDcLx)pvB44CstTpl-ry6u6cKE@y)beu_DXP<-(<xc0Y^W zF?o@LmC-N8JD!1&?jHV!=8D)Zc)aNNj>(Ixtn7C2y<4(^SysE2>D>|y7Fo@^Oz)Pg zV3HNBJvLXQcERGM!3XAwTwSnuaq&aFxL@}=XY8xsDCPN5u_D0Tp}*sYD7)>WhvGe2 zFEyTp?`RH;b~oPth|g_iiBGZ4yM!-IeHndB<w0`0AH2LM6gBJTt%IK=T&^h#sM=UL zKHbPU&;Lr-Jl;p$?u#l@4zIuUSmgCnL-x9vA5O3c*&a%ku$iyXe^2V(;jVoTjLy!U zW>|CZLHN|;ipyT8O;1~qp*B5z)eF_>yjxtpDBr1^(m1Wn@9122&y1H(z1x>xOXdyl zpS?zIX}}`giV)^jca3!!D$}{Q*nH7^XEW=y#PM=||Nf+xH;!DtF!i`b#i5KzeJOcI zWrd9Tr~G&tC=liKYt}=}+ck?jE*G_}mk7L&Eaxcu-{#fB>nlT!TiKT{zkBf<?}K+G zM@znZwrz@E&Q`LgSn<x>LspM|GTk}2f>rkTAC`A>F4*`znl60TY*t)c(&EJFQ!5Uw zm>6dkcW~OY$@>gvWNJR&z0&WrX}s|d(ZwPqHjm8T&C{4_9eBCCeZ>*+z?etfYDOQg zHm3Ikm5Mx)FA!fGEZg`)<XzI1rasFWmUl^CJltjY4vGJbeaE=?;Ay*<EfY^$#hS28 zFW-}KGgWS$-<EHiex7^Cxmda+q*!c6bD)Oo^%#ab2Q}DbZ|-4zXLIW6rHkJ$&7amF z_x?@h&*z1_`)yu1dE4JtsHpnVI<0n}(}yn_Ou9c~80~ex+w6Kcy|?Vb>*uaJHeX!h zY?B+(>TZ)8(qe3r`=$Aw)At>lFRqSrGV5~EpI`j&+_4v{+vClooZc^RE=<q<a`f<e z&Hm^&Gmd-Bx^*&HYNl1QyUC;sUb*uRG!1JHPe|C;VpnmcNu8rVQsb4FoN8{R&Z5^J zIp)Rmdwi%_6jPP|<I#c7opxW3eGo7AFY%fwE?4?s<<pBDTOZC&?>zRPkawOTSDDKr zFSe~bUo<?-ew7{6Kl$QyYs{CwhrCZ)-&b5<<@Is7rLJ!6$%@iDUo@9LUHo3+&!>zD z)2ik%lnb4o&S9kcwr2i=>F&ZAk<a-G)L+)D?$|o#Gh50$chf(gG$u~#@_T%4v2&DK zl}7fDq(?6=>gb-Xk@dVN95XHPVIbQYcg2GKxDPxJKXT6V-{P&$d*9J!{)+3?hZpYX zzQ}1M^^u|A`9%#~#oD7MEbc4)P<>dl{K52^&r=uqc$>#-yxFqnOKo$!+}=w?XTm2; zQEaYUafv~cW15_hprGK)iE5tgK|F#URxj^-6>3Nb5;|hgBd~<S&`VIsq*%#wGT;8a zfwvA$Ui2`#a&uR!dv$p1AF=n>7XB_-bajjA>n}^W%z~uUWJ5Mw$WflK$C_K`DxaB* zm`nTJwqt2;n4-JAH}=n7Fmcv1)rouDRHn5o;o_e3(d|3morQrB$B(#cD@h59h*VrF z=)PEaC-v+GhTi9<r+)W-n|R4#(hJrknd^;?-su(-Qc{^7$M0FOaI12Xqm*RkO~Kv; znVX)pnhP#pTFd9{%kb*hxjmPa6|U${)w$(t>YaDjYu(P4PQ|TPKkazF>k&VDd!4Lr z;;WB_db%#hMc)LSVL$cW;E7tFScB#47u<h2*?e<8>K$k1=`^r9zHP?jkMG-f6}}1Y zzUiA8TWQYzQiDUzWzn+dcV|Ah^tf8sS$fiUzVdi^>DrG%4NZ4WF8rRU-Zk^V$!GHp zU!9}*b-w*o&Kc)+JbH7-)Y0Z%!_udV|LNHN$r8?aceF+$DCtFr=&y6Tr$7G?^ZwI> z3+rAQK3w)Dt=26nBWfALvXi!AaxFLb;|u?8*lIc3Ic?`dX_Yl?*{Ks^Hoa+FYMP|h z@%ORJN%j|lKaZ}jpFQu<iIw{$Pb{fWxVHJZYR<cMi~cu@?;W|X{Qt?BqyKN%IiD5! zv&wqC=k|!n<uiWn<iBTL_^CNI`)|lvog8+q%#@z4p8_*Ac%BzzUHf=u&xGWEDz(QB zCr7Na-R1GR^0@7!EoFY23uVr%SpPABd1cXueG#w!x9O}@T+`mH+I{)iebI8&zYLo{ zo!&Ts_0j9Y0?P4^*8ZwIv*!1by)(mqYC5x&1fJ^CW38FWm>_pGyZ^uL0iEt8_p7Tn z%<{^e+nZ^7N#?uA9{wLn`ldUWHKX1}Y?BGU=X6@C{k>75fzJ6|Kc>BB<=m5WOwRmY zM4>%j#9#f(8#Z{}sQj3Du=1nl&y7zEzKa%JI$JKx{N=Ew>#@S~n%k5ATk<5;xHtBP zJ38&%=e$^0Z*IS_lsezp*FPB8E*LZ1TgQ=Acli7F&jCT2S2VUtNvfxR-om=n`q3)Z z!lbfAGAlHGC+^`2n5KQ@_?pz^drtnIw(<SHyc+YWGj;wI`yXnFD*nI!>H1C6w#hSE zwb-I%joe@LzD=!_P<ge$;omJzXAz?vH644;{+HO;eC6Y>;|<ws7!R7X&-@dcdfi3i z?1Ghs4gA%se@s4-TXgNr{Vhcq?1fPgKMS&^$2Qlu<%K^y@F%&#{?X<qp>y-!hrHa& zHSyZE+BB0hYa2UtS}%7!nKNO1Y>lw`BYnxfpMTE1Y)Gj&*j>-~CVSNdlPh!7)pb{{ zHshHb8#Alz#Oy8h4zm|c+|5%Hb@q4x+b6ArH=$>DWob(7JiU6M_M&Mk&5qUNtn|?I zd$i`og_1M7mL1!seEinEYiEN}q$gCRJ5N_ya-%`pE7obdQ>KI9CO5UqYnZ<GsXXR9 zeAw6i`sSG`>zl)a8>QT(POT8=-Z_JNlH;tv$8y2h@iOgq_o^!M{*{klv)JC8knOhO zCByVE9ap~er7t&S1QkD+?p?7ltnmC%V~<I@qCIjxu2}r+;h(el#;c~~D~L1bHA^i~ z2-SAXJ;dHUHSD!2(@z=KtrAW*uAJsm&zYS0{H7|0dL&1hM}Eu8vi(-!y9&%*S7x{F z4Em{+pnQO1o0oh_PS&N}4)zOQ`ZBK*Xjc+U>%RMD^IYDI%J(%@Px1UnG`V)X#Om|2 zOEVrzw{1yS9eUwMv&wD0)i+)~*1eVRS2g<c!@3Bjys+1Iv{qYAcKppW>$=qQL^0pv zt~G5d?kE|HR=m2He7W6AGF~!_M`xMO!LJscoUb0Iyq3`7<5(R$<)4GDul$R{k8Yh= zSCF8(%!l!j#Lc8T9H!hpS`!}5?apIbH?w<&K<c+Rt^G||#~Ejx)$Yk!@LOSB*32dP zSzRmcP77JeE&K9u{2SRT?1_tiUw!B!`M-R=)dPm?y5P=*%p0~}i2naFeE(k?`)Bv& z=lI{$modLLZ^mt%lXsRSuFQOFQ*x4D{Mni}+@TBpdg*yIuX~|ALBiF+_LkR`6^lC7 zKA$9@@HM8y-{hQ5c{yi#S5k+%^`*l{zI5GctM%AX(ER?v8@YYA4@TrXkE^&IbVuNm zM%eU4Gk*Mj`tESQ_tD-EmA!(?wlbp5emsg^WTnW<zyQLWu-T7_q?BaT$&Z66dovhz zO>|KRTObf=yXwDzh@C!@oxblpk&3mg*AG6a_*+pM<nXtBTU-6FfBy0>nANq?Hwexv zNq4k7a9wH9l8&~s9w(2jJ(9B9+v~|3c0=Q&Ke7wn-d6v*#`kR3HeZ#ujPDtrF`6w{ zt@kK@#j4#l`ycw03cjDG!FuMt>!0j8oq&0}I@-O3d!#R^eYM@y(Vy=zTg)PkzhFCC zwR!(J-j<t%uggoOi)ZH4^!=;#eZQ~Xs_f54@4L<7$^y0b{pDP9UcZ|!JKJt$bA?^= zi|=)xwkmu`zwJ}MxcSG0qwajy<V&YMH`?*zhHLE8%$4%5bk8T>__5$$?e58+KNjTN zJ}$nS{d{7<$BmnRJ(qnNDK784Mq$JD&CxSwo_+VT$x&YB_P6>Q_CF@@SFk^1Q0xkb zOMN4A`Qf|!dzsi}vLz+A9c{9YKY!kKn#RW6+aLGp$Ml$4mVMvCu}h-Xa_`)^zZr|w zckn2uf1Hq#`FVeNNYCVjt3?j7h^Zw?w5dJg5RPbR*}whu#_|eF=^IQDpJZ6{4=Fs! zud=d!uy%9v-rwIppS;<lpLW~obdl$qX9vI5+1l^l*z>YXOH*^d;qu>}Kkqs!yxSU> z&^=!|?Bw4DEv+IuyE&KNEM%$PG54H$#o`}Lc5+_+0jzyjr`Cqn6zqvEpJgHX;Oyhz zg+jY4ch8yAZJ3uh`|Pf5*TT}Pas>a}wcQurFT1DFhV^{-1D(1@8ee(?#a)HsIyMF^ zTcDQN=klXuhv@E^7dhU@#J`M~wm7m+&2pJq<|3<>#2pK{4i{W$T(vohhxN@O4*4s8 zJzP>R{tk?~bm2wjwQW6%mY?Wee8J;{mA_TpRmtu3_x#mggl<}JzM4~o=lBHCd2y=T zpO#Mb2zgkwOv!z+hl)_AOVq7ZR+q~nZx=-FD$9|MKQ^2Fx^%U5rOL!<k5BKoeE6(~ zhfl@L72S%GyAB1Wd=!2!6Vt-SRCpmg?#_bsHqWKLgtGm8;(esUd4BQ*fANR_$MBzG zlIG#2xtE@clw4&Iy7EF@esf}M?!xn#UxHa=kE~x27(6-mM%sBD-PI>$=bwL}U^h2< zX5@=vj$JPq*`+23HZw|a7qC`oF|x$TJUG-KX0H?WgQamlQ&o+v+&w-<*{ANZd(O?} znmZ?Y`r(7T3ETz34ZdAcA|}R0rnbjV82;HM`_xr=gLdkjTetq@%RY^bDRBPpVqSTX z?bEHceQRg$@#fBZaeddxZjFSiTX}1v%(uQ-buPs~&1=t&Ek`2464r~@p53%~52u*d z?JbeBwZ8k8P03&R@Z8oY<>H%HpY8YJ@(TYcF17yGzJHTnXq|Ur6_>Y-FkXGeEb{tP z&w3aC%886KI_`Zk;%Si356}NO<9cV0iA(-ZOVdmS-Iu!li&gmMDDQpxTKEX_vm4QI z$3z+z<b0hrU(@+lr+E5;f9>}^nH;=xcK6E(?-$Om@H@QPS^VQRaSvY2)!jdYHQNm~ zZF{)s*`lfIe#E}@e7SA?B;JjSHvDj2p{B8B*@x^fCF8`8(+^CTVW6Qi*<V;$H7Meb zvc5vlkEboED<W?%@78~4v~AA%AIE2$_<77HqHWy|3GeKuqV?QjpALEb{Hj=c@X`N< zs)~gwI>y=3ahj1er!&hm;@ADKo}i#VeaT6E(Xg(cUGrNH9jpnAl2F|!Re0~nexZd{ z`AQKtSrnc+#eQ7w7x3cbthOI&E4BZ{f10>UHS6>_<Mm?yor<J16F<&(nBgUH#Aj*p zHREToZj0MHwCnoA+O@0p3vEr&$~k0nT7&0e@4<U#Cr@tP{8-R!(x=t@au!y5vK~EW z(A=A)U?SZ%ONXy+>b)cHLzjG6HtTKp&P{rew~MOwRS0Wdc`u)0$^2{YbU*17OXg2Y zFLPbrd}*zYyDJ55dt$sK!g(b7%1K>hjSrxA0W=)Jpi^h39eQ1|@{kCaL#tmw8o zlJA!A;rZ*0&D;CVcAe+2?76^jPk0qawxZ7tp<{V3)Xq6S&^C~3Nt9|!oKY0cep8}N zHswcU-M%^1tbMNEt?s`o|K4L`Fk?68fseUAX0u=CuAIxq{z-2ZpWyM6UGX7YbJx81 z>lNY^SnauK&(2prPd(fDtL#bTj(O+!EZ#k-u_;wiH2ldQ#Qyd2&c;(obDDXb&c*7h zi+WvH(7dPP>7%43PW2fD)3p9P@?kUiF55igcO7G{BddxDmq*4^mdz|_JwIMb8Gl!i zdYzSh_LRiO%XcO#S?s)+aP!Wwtv)`-*T}AxRNo%N)wFZ!%%l8Mg7_9l2~?hVp`ib& z<6$6Ag$Pek<0S11j&UK=f;eYz%+bHV93-yKoS++47ZFjhMxoY5%Y*53e599X!nZkg zTc&^7Q?X&LC{sk)`peF)noEN(e|&waC@bq{YX0@9na;AiWcczJq8ny2<OE$=W876L zR(WAcka4P}>fxaE7dHG9oqQ^z=Fqd~U8Xw1tBrfz@4DTpOiP!Vc%1XP+In5<)27pp zt;)VV>*xpj-C8GpPm8@eljoV*;*UDQ+jrz9S4>;!eZ=(lDeW_tmQ9%c=}OT;&+k(+ zBj29Nl2_6-ICJcT{iY4CWVYAGR6d<8wfhzCww+tTo+dCkH&)yYiHzC2deW5Ir{Qro zC8bwyGVZO*T-(LeSXN<?IWsEk@|)j^Nt2%XW*)w_Y1X{RSrPLxzfR3uyGlvXJ!;0< zMCsqB@@%dbthrWbb2+N?>)F|>&*|u1uI=<XYt?KKlpyWp={xQ5ta)3_!=DCB)L7XY z(D?UFll>goKa!0$_vUUcEVB`k%1+JCdec|udtM=*YyXT#YL8rgcEz{wHhkL3+8njQ zXyr5~f!>}D4*}0fDzZ#`9EK-UjI#KI8YTqruoX(UD9Ri$;F%zh#G}|E;M8$IfKkOo zX)W`DGMhcCPUvbanKQ?L<xL0E_0Qkl&Jg1dY+c3gsQq&zx74oQ^DO*F1J$o=X*2!f zb@JG&$!iQw+z2~%g}<#=@9fRpht8j4-60UBotecSZ~l@;cF9b+&Iw-w_^zH`J)z+H zQ=d5<?(eIwskyot)h?MHCfmekek4=oLLu)zCja;u>C-mclN6oNXkdLYVX5=}%`bTb zPv5l6(b*ri?b)#{iR@<g0`0obJ@L!%@ox|^d{?q?&t&f?rL7V_8%lP5;(GY*gz=-J zUE)ioYxjF>ce|$~^7`fX+F76aS}lbod-)Dd5?<cF+;*!0n|y6#ZlZ?q<+_;J2Ddsj zf4d%Md0MSn_+Kz)sZ2xi^_vWvPMj|2dK3NR+^mOPcl@g5PMxt=-c$8H?b>;Pb^Eq! zzD{ome}DJPFXKa3znP`}<$0KO_jOKJZ{e<|JHMP4T{Txh+~|@?)4bhU&)3T2KAOTG zF79S+__ke}+kN)V3@h_X1#D-xoMY)>Jw7968H-g#XQhzr-1`SF3K?w{`EM|<$@#+` zsg{#+^S_;7z8sJn;E}V%F>1jr#oWNqxz(*c4be*<{ZA=Wtmv+hnwQ19SmvOA$@7qt zX>Zm#JN@f=^|Zxwx}iu_ualkPqEJ<*H5&6wZU%nUK3FQcKzn0fY-LQy-_8SJx#xTf z56?+;ch<Oh?UQ2X>DlgEx#LZH4`wX6Ei>yp&vsspsiu`_SDmVDcC*Pyyn3W&*CBSK z*tc6vg;m1hjZ)jxUs|S}zj>{n-uv(+hu@8<|EG^4+fm>2!=Z-ZArFh=;*N-Bb*wsK zcwgrj*TW-o#VStq{xx~_urT6a7w>jvsbz~plRobWs}R}grL|`9cg_HJ%MI&|?yPJz zdtfLRmpip!hQhnt*pIoHLZ0&;>*zdpTk!Hz<r+D4ks24?lGEiK^B>GOFS4jeo+GQX zFh=(n*H4bHmgj|eg5e%EZpEvVO3rM5u~fj&+F~i!?8{G;)^IUhx2t-)>Vu`ew$Q%W zmkvhV`S1Ajab{`EyqFt|%F`3rG8Qq4iY<w8{k5z4+fG~Cqz!dvmaI0l3-p#%uM$7D zQy}I=x2658)5+<HTLNrPWX-<n{&3y`j$_lG+C9zKl6T!%X~D62(=(UPDLC!-?w!TW zpW%8}yG``L$0H4_x7zhSzis@$TOfPwl%Up*-7~hlnfu7V%lhu21FI?m*{``OAL`-% z;r4Z|^y6kJ`&o9=?j2OTv25MZO$$CQ)H=-CFh?tAXYuDBET(057dVHg$cNbew0ad- zaq7h8ekr#f8zw)s)OOxKBWixxyFF76@n!~3KE3j<=Z@9JIrirt%;xl#e5kqf((jyZ z+xn#|_|Kj@H+QbJRnxvb{|f(v-C84YzAx#tl-Z%3*7mdeIgf4L{xPW0vd+r)kjce{ zaMwrcR?VEq6Mi(+WAW2NAK!|^tx;Ha@$<zMtXBK%4>PjqEm4WNWiM-W$>Y+(?%ous zT^Br-IEweCNR?fjuq09ZtVW}cr?o(U&hqI;*{|&0smEEbz_4b0pp5;D<&&OnKPmse zMuF|d{p5QS?XK3js9F2}+_O0TAH!)*qn{5Rd{#g3rozL1$Hx|*jqhhG_1Uefsh_pw zs^#CKyb*o@#bE;Xd~XI`tXewb+VKy3Zbw@L&3aoVOXmKpbj@Kf6WihVx=0(=q2d59 zC(HiU_jji^Bg5MYHU{`|vXs;^q~&Bids%0wcvdZ2`RrZJjP<Kl@2p<teny(@ouFcb z=E_yUbEig5ooX6eyt}+|_pN<b@0`slo#eOON+%5t)|q~G`26Fn&HUxNmEKg_oBuz1 z=j<Qzevkf5{L&^f3O6M#y1KsZ@9O>k^Y2gl`$K>KuiNtbb^r3$|1P)x_i_8u|6k?* zeU-2OI{*K>`TL(5s_obP_jUb#-md>&-rE2B;cx%%@%}$Q=KuRL`+EIj^SZ}>7nPr? z|NFT9=g0T|B}3nf?Tvh6n%7f4b;W&?+?!nc|Hoa7J{p&LI?7@H=dZixXC%MWPfoZM z5q5CP$MyF#s}@J({;3SSc>Cze+{sem+t*xuFI@F{n(nF7AFQ)p3+<g<E^;m3<ezP; zchlXPCHGl({|u1+nwNBI#=W!qLw9QiO4lzd3!Ze#Y+YfM`M!J0QXi}R$d2{hu>XEo z(8_!FZ=YIzKjXf<R*ck}_soCwZruO9>eQu2vu4G6nf&m)_<QB{(xbQj`Nr)GE#=*J zVyd^v|IE0^&-%qrgU;4Z3%~Yfb<9qm&-tHE&8lCUe9ia2xz)3h%l|(eUi97Tbm{Dp zm;IZT#!s2{i1(`Q(pA0lUzshL_2T9BsrSP}{xa1s%J%#|;m?8>v48De%(lPQ%YX0h zv~};Mi-wAB^<8!9L)5k<+V#P%!ON;Y=!W*!Eq?uzd243mg=W`Zu@{=(XD;UZ?H_89 zn$_$d!jr1G-{B&As%Wot_?6HQ*6KAErY>!Mw5!YEukTjZ#}yY|_BL&I{9m`G;&Dse z^$(91%@0|j920hN(T*jX_V~Hqwpg-NW4+7LtTflDLa$G)4*264*xa@1)~8v^e$F=4 z4EWmSdv%>wQ^3C9_p6%A@2~a<S+dG(%8F^G+Ir46-CbQ@nO>e4y6W((t5cT$;;|3^ zdtps#s_Ln!cNV!>f8mQiKdr>OZoA{f_NwQlSNChIU%664+kNGtcY2iAUeF0`rm z|NP)@mk<BWPkG#4wD)WLioeU*^ESu-wVky;^h*?v{K|SssXeh@stgZj|7v|)`d8^g zTiwKp;QbX9-uz+fE$iIHYxk^;*Alp{zpDGIK=6m{Um}8@%L#euuWVS}9&$P8;Djqq z+BHl4ty2H&v|AdKp3gIX)qzW`2~VDM{W|h*<*iLyWZu7;&Q%cW=qkFP`~G9w*foFT z=D*y$>C^9BLN)8|v+n+4y1H+nMMdhtLXEZ0G<f4!PnC$S{jjv|r|Q0I&+A@A{F(V` zvXRJ3;h@%E#z#+UDU@tfYP08$ldLnZc=}`SgU|>1A>Bsm+N-{tlw?u-dTs58)~ZaI z<N2?qv(!cBF7D4;dMl81mR4YB%tE%3dzzhDy5SF#OV9KM^PLS*4-dI2x;XHaFQ;8# ze$!sV&_vUJZn-OO#jcB&ka{iNr}oSBf%V@<51s!$dMqpIv31_O`<`<b{hE`}$1jp2 zcsTx*cw7DIc#D5t`#Jt>ty_8UcUJSQSzI;i<LU#_&%I|~FH<kDhwD$`2d$6p2UoY- z^TpNv@%$jg@3z~@`D1=asr?k?WnI4_>Q`HBJi7kh&*Qi1|F+9FTdK^MasR^O)w^6c z_N?R!>OR#KWcniR^q$<V>w#bD(pImM|1bGAKKQIg+yAnHp#4kZD_*sTR=Dp!@gOHC zaqse9HnlfbUH*H)CB8Fc=Q_(-D+8KVN6dY-&i-1Nyz8qoJnPa+9rORs-Nxd2b!v?G z6&JB9i~Cc5X^BtyAHFv+&-~Yk6$d}de{l_*|M8$kR^PK<OGWR6U!1<gO@O=4m6N|O zyz_wjFV{!vzm76riMtejc)_jrUs&}-YkRxYc38Z6@jv8ttx13*%k+~WU+lBI)qc&; zOIh?^IyOJ<%l=E!&&n1b@0Gg!KTuvyw$@<F?8U8hfx(Sk`$X!OaV_PMe=XkU_RIBw z@L#2mE9*Xfn7Oavsq6piRSe4(t-re2S?!no#WMN%uOk)3|NdX3JM%^Bth1lj?R6~u zAJ;p3&+GhH(|^b3cpsPD@h|q=()EW<eYJlY^UF$R$G`SFJxkQ5tpD7tQ@`)h<<tJ3 zyP51yzjcg1epT*OQT2t*RU6vlpT+#jS{L(w^S*h@M7Ey3e_q@0k7HctWB$)xO!Z5w z)rwX2U$320uJYgf?)0ZWoZjnB7GrsEzSL*tl?Cs1KbmFKW?t%CbbNV8estshT6I&# z`jzol&-|WR#%k&O;1~DYwilZp>3@}8`{L)~EtXNQ#HVh1A)7j>Ey3Mz&$O#W*7eFW zn!B&Mt@=>>_fYfA%{~vdf9XDw|5}`X|KeFQ{0y2Hq+b6~ES37}<bQJJWsmbFsdjbY zt<ouSD=)vW&-Q+1lC*2W%Uxc%XF{VFnXf-ke`@~I|2qQ(KYgBj;79%Pqq`nBtxe0D z>-$IE>h#6Ei=O}5^z4V@{uLr&Z<VL+WSL#%Xjq-+RT|UGqoxtcdcEua^S2M8KJqWj zKDxgq@QbI$QP1yh?Q6rn6lz@LT=ly?G;gYB8Pmgf?sdlhpNG577hU4;GV6cfdxJR~ ztY829Up4Rj>C>~PUQdzwf4`~w(*Jc)!b{v5U;hvGUCVcA@q!=qd*i>9X_-2`zHS#( z85o)Nc-#7wzcytCCH&LQ&6sJp<+5k@E~TwO>VanYUhY44-7=eP%X)iN_p9u!ub39D z;<&WTA?Nd~SLaIJiE!-aJLULKWXk{3w-1KC+P_MTWo3xMzr9AG|6bWo`}gB@Jp1(3 z32ztbhgWttDJ?bC3s!#j^?|SP)MG69S*Mzhgv-xJur6iV^q^|_y%pY}uX=YyEWPKk zXJXajO&hLA%dcN)ezoc||CQOd;{X0~|Kk>Takl-RSMv2oo?KgB8+K*Gl4UzGV+$iA zdtY(>4U#;p8<f7|^R4Hu^5U-yZI&_~HkK;5v#jv|x0J^omF7n~yk9YW_lSLDx3apz z;gy}-q$-(y58KA$OXeLB_R5z$tR0k|@!jg8pl)b-`2t4gYN>)P%NiY`r98G&&gJS_ z%)XMlM7^{#Z^^rb+ADv*aC%i(qV|==YGRd;EbrbY?NWaioqO}#Ej_)YZSS$j=~t62 zyqMdPO&(bIF*gdEOtA1|JG!y|3hTGYyN*Z(eJ^OZQY)kK)q-zg6;r#XZKv{-b?4p$ zFF98*>lH_BTKq!B!=X|IF^d}yluCKrQD}a2!}%3cx@YVonU&QR{;%xxCRE9Yd)hX7 zFPV4b>Gdm0Y8SlgZu(p)^!cUW|6!`Z`{w!LwXz&bkLrf7g$2b*Uzs?&NX*?rqOJbJ zY}flcWDI3GHyOO?-c%sdwTVNfcaz7Qt_%V9_564F8s`b}b;=3y@!wUJv2$~Ekve{Z z!=34|vW%zC28*KP;@p<z#K}u<e?IoQM}zzD84d2^Yc#qKywT`Ba;Jl>FsHwO<4k9P zhfYU9#SEE;9}HCw2_C<~;m&kfNygKM-!g0UY)N%bp3llMJjzaY;~sP*mP~0)^qJC{ z95cl=A!&+hQn^dBg_xTKi<qm0$}|@X8=c;Q9}+?jJCY+>lp7Zc_Nll<$?S|w@NaQe zb(@xVem3hlmq>|oZjlydE|DzL+#^-O+)O%>>sy>3IJ7uFN@zKJ_#sDof2?4iMW3sM zkcHqwk4InF?X`ayy$)C%_*kU#;mv5hb02=J&F|i~>9fSH{clYSYznU*_;@8(pyHjG z$DRT+C*7!fWrd|r%ZobW{N63xKKb{H$4?5slz(FRWmzdy>p4&Qss71-Cwo6$dt!J- z`eE!HwymE^q%Cgk;AL8WqIr(_rrTE1CqJIJ>Csqr?yaho#m@FVzjuo#PhKs$X0rN) zvnMCN_*JHMR)6guyD;&?=W_1JbMRREI3~>2^vF3YK4VpKx28dk8Ea$jGKGzAn%P|C zm$XD}T@*HT4ZkMOYp1RQvnD8QycJMlu-b|1aMuK-0;44!GUwTD?AXz@^6B{t{GVC; zIb-erEPL^JO2EY(vs6F1`}0h`zd5+A{r$f!FSw<96CYLcoiRAsYU#FX!vO*Jlvg$N z*Zo-MS*<u?%>MPm9mm=|1s5!CStW&Wi?fGzi*2&b(mu6+b;hSy9_QE@i4v{eo1*;} z@uje>PUX6~>dS-f#BI$+XB1ca1y5DnZE!e3{Mn7ihnT8mCl;P54QPDGr)7BB(Uzwq zK)zYVr0*c#tOFeEp_*@Z$BWmdT{yMus&3Zl*=DQ%s_xqVJM`l6)(so~ZFAXPzF+5; z%KVitedbpFYF^pQ5@dZP<M{5Gvkfvs!UEUW-?sO8#dG}L**m31UnH#dx-+$%GkLJX zBV4thlTB!P=7Wb^lDfyug2Zoly~=v({7Not!mj@5OXS>tf7h^W<XbY&<+#&c7x~uJ ztzX&iYwnD_AsE%4Se2NbeZZibO=feZf<Zf*(BI4l3$<@@^;xHAv%H(M>xga8_k#IX zYI~HwOCJ{r@=wrOsc!gouYQ-*%qrv3idjc5+;)=qC9p*0#<KOT24A#q)Rz`UZoTzL z)+_vXh5DU`jdF*TqzrVHFdk=;;yAOs@raF7#SCw^(-&u7VXd0D%f&zF`-SE!wLEHH zEv8MXV)FK|?L69A)oI67>@hd7Ceb~^woN>La>GMaUW>Sk4hu~8@^`X-5tFl;cyX2C zceAD3chpKvw@%vC{w?LN-K~jLGW{p3gep8<dD>K2+jy<|y8M3DpOU(EHJ(_GAfcSg zSDhqw?fsk?*jzJd*MfPx-?J;H?n;{$p7`!Jt3#}z=#2mW)Ll=oA3XX!W{2dfL(z9P ztukx-EOw~i(c255md;5#{`IjM#&DY(_FAlq`leEF)=6bubVs|i*rUf&RhulzqCA-P z-e2k|WPXU<t+d{kcilc=ujZ^%v!_fGXaBz_I`Bmb*At-<eXgaGqWCv0P`LgwP{eAP zW=zvO*4tZGgf4w_g}X{LNBo8A3*X%Ednz~9?cw|taC@q`rsMrZ7c9RxS8lYb<ea+D zeqj-hLP%rd!@8df_dIF;B*8Y(>Tp8Pa|x$cOwOLMi+ER7R|xI9z`Cn{`4YL~n?I-q zKV9)MP{eNYrIv>=6)RFac+Tf`Je;}Iu5YuLQo%7N6~E|?_F%C`kC(I_DZJ<;RFSFZ zxrd`)$uxXr;Fm9R{|JZtI2fe7SLW({QG>84if2AN+Ixmqn(O$5jVF#uT3K4Ur>l#< zc=SZ*@0>e_d6FyIERK7Jzh;fwxxpnn{HdJW?rq;LUfWtK^ESq!@tfWp7k2hM$-_S4 zVcM+j>t97L?VdX)v2${<PH9nNs-ND*JEHrd&hOX|b#=#vBQfIN49>UKo+*E5v21q% z6L+jdr>ltHeY1e%%01l1Jj<(0yOU=;c)}w*cTV!8$=p6=MV*>{?-Ez7u9Q)ZiAY$& z?cGz9$iF!D#&HSpzT`FB>IUapCrdm#kfq~aoY;2z3eUWwhHJ`;+UEM5+j#mUYnk=V zBXU{O&J^_V>gjB_*s5x_b3^-Cy*J6-+{ZUwZ56)p?2u<py}teKs}}Fo?$0a#l>aF; zal^SAvT45-PkFTIQ`f4bH?`-Fd2P_&bJ%2cUSxDn>_Z(z{%eMJY7Xzp<t)3gT{rOd zy((py;AhKHRKJNInVqP+u_r<GpzLX{Gn+R}n~{HKcF>wNOBRKtJJt4Br%AoA&d<6R z6R^RRK|)OGWCq`n4X2zW!lF5jOK}TEWGf22);V&R&nlzO)kGk|hq+VL<imz3Y<ABs zDfB%x@kn6hofP58WV$|f8RKEmP5xhE%C0*}yo=;GUdt_5k)SBVuY2UMvQ@@bqvefJ zGcG%<J)OB=+EbGcg_GF|?<{g;nw~M?$XurYp`NLeO%g03Zm2VMJe<;MFlU=UpRt%y z!8Iq9bCDhGpGz*tv}Y(3%wQ98&v@`qgSSRzv7^lK%n1iLrBpT^*fDzoW7e7&h3x^! zPnB+}SFI_jp0CEktkaUbpp}DXlR)P|u}6s=h4s4L7FCxV7FhGDR9!gWtS|NBg>SRP zuL}x|`%F9*-T(dby9AR!jnM+P(*e>+5^0XFGPZ42Y)f}-U7@md{d2P=VIReo>^Dnx z=2_2m&aC!&%-faO2U|@Tcw&2^Yb*tvv$+#1Qtn(hA=u}8?Co)xz{vTn9yuEX_+R(l z-V=G~;>^5W2X!frk|mApd?p_*&ST4(>fg+A>ykpJnM#0rk?c)}Qkm<MY8O3kP!&70 z;f7O4RiYvjx2{W4V{63>fA+PLRHZo9ENeWXB~=mO$vpMw1&35a6ORN*-boQr99~Tu zjr!Smw7Gt(Fc`{g5NP`=c4*^GCzfwf9Z{8$9qo5ZF323uR4C|S6H4ys-@M5oR{y1L zjm$zvnfA;H2Nb1L3Ma5+t$DMkG3xE4+C|4td2lC6WGTux>$oIrX!YRPAi)3l#RAK+ z3l0g^yeiu+9ylB(wPLFQ@1q;;%`C?*DRc%NYmZ~(u)7+(ICT@Rq0dHzQj_Jk1P+@p zO<nTM^lqC(TGWJF*L9?mX8bM72#ku0y0vD<vPIvf8=l!{)aDs>%<$qV7PW|(M=G>G z8=N>*!?SslMce+l{~4Rp4({y|d?;-8_h+VRRD1A#lgv)D)+H|WTcSF*iCq%%?>)a; zg!5fnPn~ti=Y@-2<)8A-HqQR{?fv8(u2SV3?{$CAd^@9H^``kZ&vDFW+<88yU3$LR zQ^l$8ZVDW~P{20juSNN`R*s&{0-YPh9wjbV>S^Z|y{$8ON~?;&C8tRei8TVE0(Y-F z-#hvL-0W$^^3TH-J^o#GOK9$)o#n4C-}5S2=IC8gX<u^t;t!b}LVxG(QEd~SBe{~l zOWW|QQ&iHg-!tv+o|y3G`~>Z*+-V|j%<f+jGUnFuuRRyjxl-(s(B_p^o8=wDllmra zKVq}$*(bHE`I~i?s4i>yZEL(}SNnUvYui>_b-nxJ<ilPO?W@hXLc9`cZOk6c5^fP3 z&f(k-EyUt3Ea5oLQuUwdai)S{ADi5<O9zfiNF6bp#m3pQ$Wci7@M~vQsc<p#l`9Sf zK2H82vT8-wL#dU<GK!^rhnMITbX-y86RIkGe9Xe3q_Whz^aOkB=R+b15+2Nbj3xpv z{FyuJj){8&Ih+j&bxir)&E-FN)}~ithHo|twC#O(w5aXKhLdd)X14^6vz=aN@hzg` zKpywR8>xzNWl<gdqGCeF%eXxgx?5E??p(3qc>M~`??0-NHp^#~^snD6u(eF}m!5** zoo$M3(Pl>qFK^P``e=*5)+bv8wm#b;u=T|j0eNd3mA-bdNe5!NJs<f6zDWA*T)ELJ zFF(NOaH&_=X)7<W9bPB2Sob-d<e9EH^RbXBcMOL=&%y8YAy1xX9w_KzlUaPI+$G52 z0n6UjBc4(f7Ou?l%QFRzOA4)sv+`zc>o$3?!=1VDx5<PG2WI~Mj0cYmc^_2>*&W_= zfA=g=zb_z4@?2)|{oS(?oOyC{Iv&?qIG?Rk&2CfWXnZNAWO&xe#%HU5e6Ws6-$}7a z2UNH{laefi{c2TT-@83?|NB)Lw>C}v_j=RxoBMxwY4XgrGZF3URdh{o_Lvy)Kk=E- zoS>*{+t#cM`g*^v`I6+j30B8rgT!~ZzY_X>KO^ExVp#ev&v1JVPoCFyJf1S;_xL@t zuC&Dpm2aLI5Or$H>x0rN2^Aj9eeNa#6+X<J(rLH9$bXDAyS?mx*ZYZ*TmMP#txmiB zCH|W&&+d@xGjo$~ZnHIIKE=?MZt|eQkGWCYWI{#ew%*lu<BV<}yUHg&Bd~bu>fec; zbEOkK&-$m`uGp5l{!D{W!@{q#RUTwGGdFHCnUL{)nP7jwZrl8AzAI$!nJ&I|e%8%& zIrD{OMe1)RRb*`QO}TyT9*+jI#JL&0=NS5!O$01Fm^+=H6<+S|-J7?~ca`itv&GYX zeKEhScGWMhHCCwq?6#9RxA|r>rZz;mUubx&!OPNj(c#gKn`ZuRw>3*HTE2gx&@_vA zGjcy)@tfDC+L@kqdr!`7zZs0F42M0X3g#?oJdi2n@y7i2v)7l8=5(y@pDnqy?#{*T z4Jrl7dlpKaOS}Ci=k~c-jHwM?t1=E0&STRmT-<oXb#rcEt=}xgV^{gsx2pDqr`>*( zr5=7*uk)I`#P8np+hr$tG?+u2yqNp6O#*f=Z9HPSIhS+m?QLltoLdiTCwlruKU?9m zVr~rAZk1cJa=lY-m)!+<dftVG<RzBBQyLCvNO|;_JwLhRR&KWOktMet9^G){;<=pY zp!qV1(_N}J=bp^DeGKe}2&sae#f=9lq&$2ojP0E-O@GJkwruJ8JF-q(?#Cx6UC><O zF~{6!?VZr-N3*A#Gw8fxsWy4n0gj;QGqPlK*BP%BKUVm_>atb34Es9cwVM+?ANWKB zoi$1mn0I>1GRfFEtUQ-97X+0_ahzGwctmG&?ymw{)7#7XuV)%()gI`RFy^@K{wCyx zYT=cf=yx;DnlYb}-C}9-V1_qyW2MQ28Ts3M)1&&&ZhPtTdWq!Lb6fgm=3bt8?cE0N zSd+8co*J#SOLN%ivs#(g!s?>K0%Km4T{A%P&YN?sF3-wyTWh>lIPG@HvQi@*&pAf7 zr=8xiYZl{EqqTV^4_^2&H_Dkzc##RR!2b-$f-N8m&b6pEW~TAK@OeGc(E3x%va6?g zG^S^MUB>9nF2(U>X`_oh$ZV@@klCJTSFA2uiI;KuaO_rzo0)q$HR|u#hN79*7^Dh# zmNXtvkn-R$yS>bK?O~8YsdSJY?!@T_Zfx*gbhiCiUGdCo0>%qsmYGgrlR2EBU@(VG zC>ZRLMIe`m%?^C+^E&8;s)6O3Aa3=|xjTJU&z@M4!gzS~8{snE10N@`Wx0AWcRpV) z{qcp*>rEg%VKW0?`((RD2t|lZQ?Z%_a?$xSAQuHot$51D%Tl)2m1*l5BaoZ4K>=fW zxhE<;D*Uisqif>yBdo=Ho*J!nPg}Cn=d~j5l~427m}JicD@@NUtx*OgjP2cS=a#I` zyME7HwC}Z|>)I@*u3be^GsE7Ux^{Ah_|)ASO4UmH^p@ydn0rNamz3rH3p20zKDOYP zn|ao>uld5vYil=nC-~h^Ej(%wr8GTrtJy9KZi!o$9aJBg2xNFOo7RHkHsSFqwUT9~ zr$G+8en_v8HF5e8r{pP}G0V2z1x3Z$rHsd=ply)NxxYee(%sgcKl(4Rb8&oxP(<c7 z-}!y!XC6<pmJgrB_|)%&sL6u}KW5Xyiw;SBH_hf|mYOZTHuIX0an{_-uY9{%(pqA* zCK~rg>s^jYVw-Y$i`eCcWI<jQu}cm~jbP>GAmt$-<)z%aS(dfL3hf0ayq}<imo3K| z^39*QajD6K8|k2g6nkbx=`zz}JysQKqHiqq`?JA&QUA?tG0V1I2RZ%iLdN5HQY%(V z@v4-~0;N+0kh!{GD=uwVGR;5HGid=>`C*XqwTl^#n@MrxENwg@21<0Vz-e{!S&$V5 zZ-gR*%RG6&iP~&7C{g>GJh<V(+{kM(Ap)FhYQYLGg2K`EkY3}*#OYp7&Quyr&-688 zK9w4!oO!^oiA~FSS>q7{km*thpyYWGlv1li$~a^Ac3;U%l-nM&%=8M#F}w>Ik0(g2 zn99qmQYA5S+CG*$CPuootS>}^EPl0!G(LA*wsiUbwQkF%&i>0TAsLkS>AnA}S5989 zvJ|~uW&JYhn|5X9HM7N+m!8`qCY3q$%FJtzHmGb+(($}wbk1ew=`B^#v2$2g{mwXW zaWWedbEbmf?VQ=?w&Y1apK)d8HAYY{z2*02sy;mFh%6{0WKWX}(7Qa#letgQL|}#= zb7v+fHJ4roY5Fz;ln2Tqf~HU3pi+1~CtC0FtSnI6F1yf>EW*p8cF`ee(M>bkGw){S zUVfajRC23aM)cuH2c~UMxp;DhV@lo{Ujyb-yxTsSJdki_Zro@xK_VFxx6WriE;C-s z3kslHa%G%r_;+7<lD$oLdZw*G*wn}cVr|E|EV(VxE<5P1$WSo+uB$9JH?!9Slzgl} z?qAF6{i;iLnu;5^ocIjRl{HcYItv<4O~_Q(cmm|~w%MRmp#@gX=gnk&NZPB%090&= zfn!+JBw(d1FH71*hoqgL#K~*sd}Zdfa~qCq)Yjo#!|N?neFjt}ih`4-Dz8P@MF-Vq zCKDn)hy9xx#=o8Mn0fh^jN2J8y<Zb<iv+QlwTpEAJ0@yve(Bmi*O#yMsF(JAU9xVH zd(iY78CyK~H`Tdyf04MI=d!x}M&AUb4aQ46zL-W$k&b`d<56@tTVUntJzZB=+a~Nf z60q2{D&wtb)Drh=j>=KC8~9p98gmt0*Z%Dhd+K)8KzY)g1yX*mw7Atu8z(MVw@hoX zYn8)W^Qa}qF9#_{+0Nl>v0-9&?mD8l*mdVdlUp4s=2MPMusR+Q6n;`<rFV~c)Dm%> zyFE9)RHe?msI)gGQYeUpuYFt4=JUUQR-aiLswOe<$uuot&$-D$E4h8tN;@^(y1y7L z-7GjeZmA5vrc8H_*h|w>&nCDhHC|kFhbwiJpl4pAQSTMYWs`Ru;0c;O<7e12=?78# z^C~CT)rF?=oPIOm;g{%{`x&%a3}Xrd+E(+ODm>-Ly3TsV5nlGM1()wB^ck0F6kOv| zJ$G|q`{%M3kDFwV6<*{M-jUMo8ME!sG{ZZaoZD_+`**oMWcN`=$!%5|$IaM9Z@gO| zWc^05_|8V>#@%Hr!gR`BJlrSyt0JMj&}PeFmTxx`g=TXn{^AVDGI_Vfx$R=U$d`Dr zV+A`lly9E$u%o$1rZk{2nNO?mj-&0I4dxzeE#C$t^vim^+3L(c`^|;pb=EzP8)VfA zF7QpZNNLS7nYYEcZQZqhmluD$FuUwj!3{^tK8qEnKFfqWx-t9OgwVSDcBb8L1D^gV zdy%+a_ScQ{_QE&Y53}&yNEBkff98*38bdbIoS7+Ce_Fp$Zc69dT^Di8eWtz1iZyvb zfvf7vce=)Q?u#w^RyxaI&PIX0%VJ6er<_#$_RafwySGND=KlW{-jzJZ+AR9^XF6u7 zZOBzEoZ|ND*)7gpPPLQYF5z9d`FhyGS3I}FgqCM6dEfkYf%cW}hxu*_9Cwr!vPix? z{Rm@~cJ1k`{k(=}wkh^){<81O+BLP?)?P93RNi~%Zn87a?p%-F8tI_VoxCgWbh=gb zR$XqB$h$egi#^BV!8~5iJ4+|VMcmt_*d}duq_EFUqv~ws-EC8km`c68`XE#~X-AT? z%=BE32a|X`W0p*eJ2ZFs?WNa?#B(|xH?4JQ+`4V8%DKzYFH5f{N+-?8bC%(LS^HA; zX2|U@q1PvVhMte})@e!H*jjLAt3cySF(t!p9=#uCA33CxW+Xdjd3u$(e9(Pdxoxe= zv&$aek1$CmMPxW@@kk3r__(jAPUh_WVzY0`x`6YKPv>+b@8n%sx|!E=&60`pj)&hA zIGiQ@C?eT8Yr>5QM=qJK-0W6<tm;$ErP_@Loh;0vIu59DPrTtHbeBI`$7QXkuFG0s zU6-|jx-Lnqo?n)(ncix^vt6JsQB29;ijzv)1ef@uY|$Kt6}TTtWGFH*>$ohk_x!@T zW`3)|m#qSQc4A5wZab+|O>&9f?H0vxScChaM6M!JvW`oVj^~%u>^ZFlJ=+EPIK`9< zE;^~GO>~Lhs20s}ScLmwgj-*3?ao<gH{BjR%a**f=B0kq^;es^;$H;T>r~5I%wO^4 z&*3wZXKmVb>-$9h!(X>(tk=<Bn;J2%_mql^wvJ1}(pHZ(r`DNX{r_v%{OzZ@9y)xx zF3GKVc+nevK_Qd2hd14;gl8<A&RzVaB(YJh??lM5iGPnCaMIdv!0~G8HAmYs#R2ld zvL=0;e6tSZvHK>m+?2g}f76?Hhj{L4%=xW)W~1I2gSJ<%zj#@<UF2JpCb8+2N$BOx z+{Pt^iG9XbIA<JWdc9K4H@PpIPwT?5i&~x6_+CA1T%0C<Th^rSG2bkQdUoHWns3t$ zj7{Dv{<Ph^za{g)gN+5Yo9xSHrrl&eoow0Y)s%kxvCFsXtd}(^WXgD+d(3@U^X;T^ z+q!iVOcErVnEMu*2uQdwckVO!V9?hSwsxjRtggIgtnZ&C?-B#PEua0VRDQB&y-cu& zZR5%%^Nwr*k5W4?Ik#cm2IVbshoz)e%$dn1vpQpep1H{c3s+`-^^+Nv(<bdYU=%d{ zhSMuKv&p;qeV51`cMI}QU|y-d(d_q;XKUvDQ$2H3?9hg81=WLQ*19gfM_GSg@4wRf zu<+Y;*3YGFvu`f1m8{~4&OET8l}%=Lrox7aY(ml*4-zFMb&op-iSO`#CG_3n?%~*= z?}hDG9`Epd#VMokRb`v#E7e~bYm`gdwzkSH{3_9Rq2Zwduf?{@4hi<WD&H<1I2>mb z)pvD?-iEF#SH0$3v0bB3D*xIuHujf?ZDaD1c}Fh3ncT9e>eqCGYSEtgXY>>2ov}04 zboXk?Jr~g-<$P0p=Q7V%O;y^zkKF5-^H23m?#<<Hsg9l>yk|sjKA+;-{NPcw`JTsb zf<yHqISwCv6CCQS(ze{}$cH0A^LO2d>^P9g9ay}xwPHt-qMcO>f8@7|D|2;K8lO+Q zHK{_(&S0hc^0G5_Ck&LI{}p<6@dL}ODi*E2mSE4voXaf)_7yCZPwtXry}k9sf7LTD zS#Pseak8};M?W@PEHUA4Cl~YdglE+Yi#2|zo{?-hRq*<*)6~ao3s*gsc{lw*V7lQP z)zY@?CFeHIzry-$;;thMLEkUv%`s!$)qi}6eV_V6#rd^Lw-<hxIki^l_QN-uuR5u; zoi;mCa56~#coDZ?M6#mLY@H*Ab-6!&Jm3_fAK}3yuA|baee?Lb)J{29^-cSOLnr?( zG21J%wkPqRJKq@t%ij-mT9L<pdCH4AHP?Nxblb7vfQR@riKmC;+;(s1H`J3k9wF|R zFo%1!>LTXX>4$e495!)Z|7yJpPiaxxH@|Zi51wSTvfAmQ9{xk-a%@CG8@KnFl0^Q^ zF*lCqi1#J;ajP3#Z=F2D{o$8fM$<6s-3HF$tHL*1wAuNcyKw!a<uA*f4)yBc(>cO_ z$i&7*ESSyhT~eONZyR&N-Cw+K@my~83#VHrzj%8fYYksXVw+=M+|}f-N89zzeYkYe zGR|_RL-zB3s<(C=dM@$i5R-FE#G<v_)fImhGXJi4bg0m#^dZZ<odrVY?a$mf<Xy`d zaeC%Y%eJdGZ+~o^l6ZFZ%vGGLu6@xvv*B#()l-*RS<7~8I1(uS?Zc^ydVQ>XS{u$e zs$R2R(ay{FDtU49v5hAkg>SrB;F+^A*t8@%eSbuRhvcn2sc*e(3*v88NA=&%TesG7 z*X|7t{NmGIyyTd(cx^M+mg0cUZ+x#7HaGuz@nS(?NznxsE9(rQSdLjU7bL%Ux`1bI z=ejeOB-RPE8+J>s+huUvR9tMwyF)_eF*gqTK6-LCq0g=_jQdMzKxZA_tA+cUe@Q%8 zP<W^G$eaVJ?7ojS&3&c+##-ZWZgu#pgTIcnO3vG5aNJm2tm54vA$^&~;yZ-_joo}& zh36e@@015@tth=<A!n7r)GuSwc|5-^`b((i+3)wSny$<Z4G)bC{vGRE`S{YQ_sOMG zui8(!p77K?%=vWM*(r$&&mOpBx@MDfkg3iujUQ8Va}CP(Pf1z%cAB8h=F3l2ymPl7 z;#lEYdb(h?_-)>mQen4wX5Q7`C>><F=BLJvg-duuL${WOPF=HPk0(#NJ=ePhGqPg2 zL)FcMr43{h%@S7fZWE9$kWn>zu#$J%Ea@vtoNndkO$Z8>exqcTVBwfEfiF{iBjfSH zq~;Qj+nu~DeS*>*SJ-a%@J5Af`m}13gqf7|lB-wP+B<k9%mk#D+%A}Kqaj&#qvI}K z_6}Z_Yr@h?Zf`h$XMvjNCAH$_DYI@R@NZ;19tAS3x|26#lNZQ>@9ytK_eOp?oo2XZ zh3DSn8FT868>;{HONjh6_sFC#*8JL+-`A}1+TWe*d$!&6{i^cPqf@sU`m8xORif?L zRExH!Q(4-cPgQYyGS#N%jP_57so`D8C8k~Xt}mVRYFg;hr-o~?(l~fd`!*(bYI69P zvv!s)dyv?!`Qt_cSK*rcYb#!;TP|GZRbTxf;4@QTLjA%Q$-xUHj(0tKFTQuJ>(m(C ztZ9|!4HSRROOE-r_rQ-g)t#L;zssqm-v2#gPObd$DQWdFU#ge(&b~5rTKLsz*H_eD zzxFc8wKTRcGqbW=taN|Ir6pT-Wg45Fe0a`%fAE*w6L&Vb`W}l6i-}&N_o4sQ!=#EB ze(~dzt9G!+T{)~;_;>Mry<anLA1$=LrBa}MOQq2G){}>MTTUL|n-MJYeX%d+oeneA zj{)~JPnd7j&|Q~Qy7cJTkhZ|S%e+^%ZWow-bxK|TI^O+yzt&8+I%T`Yde`l3DSJ(I zLsz=$?lKP%4pGbtjO&yu*{Zv5bw*70yf0;2wdTZ$g@iTUGYjdJ7Q3>A^_NM=%`nBR zn?7G-EPn;@KDpWV`qG3K7V}>1_!q)mx$808g|BH=c?P2T{8sNGnK#`EIlguI_RF%@ z4>pAyFWt5<>&vehZmS+!1<D>bmc2ePYr%=K80%G!T~<B56(p;EN%nf%I`hkgYgaDo zSnyab03`B#6XVXg?{<ibEwOsHlQ%S3;%cGNiyg~(JNkrY_EleM$XIZu>`rplic=xS zZ5KspT$cSl0c6Db70XU6c-$6z+<BRGp2XFMMlW_O6kB4I*TEZ_Y;d*E=*14lE0XHQ zvgQUQUQ4Te_CK1}8(P=@oVR}Si`g~TEdB>YuF}0N^)K(@`M1-K`F_!nK78%h4T&(* zKYJJ5@7Z#6!>%~Hw#Q#T70Rrf?w^pqw??S__0!J<E8^_r*<U~He(bQfhV#w(>H3|z zUoCTFX1@-W?N6-QTf=kt)zil_qV(q<m{RpqMeX|O<(E!Z{jBL(F<rlp^Xn&7gMD%P zRE}MJzJW7mm4B&H+s3b-40YC?&r>zKcKlhQb8U{@wd=>lj_>+)LrCJ@0=aD}*Gx=| zy)TCah6Y9lHL6}*mR0iaMru~nokd~y4`=>}cD3I+d)4VHS_NrK+7lC(v?n)SzPu(i zGL!R}wXJEY{go*Rafj!N*XDkGaXNB&fBpU!+t>8%X}xi$ZN8Z43G-Lc{)RdEE+^#T zYJE=FMFqdJxD`;ul&fjkS=L(@_9b;*OjwlQ5`ogGSC`(OK7C=m#@Q1^f2+@3w&y#z z>d~&WtEO8IE-edH=GIxg;?OI%XIE|6OTX)Eyg2LABcnB$DQf1Cv%G??nHlk?Rz9Al zd#X7!=!4(h&P3NG?TMAjpKa7^41IdcR&K4^(wQ8x#WSH~i)T{GmXn8XWK8ZaytvHb zn9FRT8DhC!NmWk*A4Z5pPTZw+zQfG$OpjUNnJzQVGks>BXFAO)XGrIMGSt5%a{Nz5 zFcbG8Ur(JL8!aYpW$hsGyRH}2+Kx`Fo*W_h@}q&>rN_xxOVs-`C(dJ0bFZ2-<I0Jc z3X^r3c~NoUaZyp>QNdAh!NIZ3GkJ5wzSf^ITHILKsJUg!iWONwk$o>0vL>9nu_NMa z{pFY$iE+%I7bQHBxMpp6*h>9*#Oo(a*>hr&woa~&c>2UH%&JmGdyY-xM!$JS62iY1 z<&@{HTejZO@0`Jfla_22J00xRr@wgpMDEv)S?U|kwyJL1wV_>C?@jV%?&BLzwhG^P zamZ6AKOwEc^3J2_p{k-=4NJNT48C*~6nyC^;P}!};8BvF;Ah#kc+Ik1D^Jbe?OG+V z%go?;$|2#yKQe?5@5m57KH<a!i(M{NELQGSDpl@PHa?wSe$0@0*_j-)M7^<d;ye{K z6NBqZTj#}#gojN@?D-nBu*N>*`jn#vR;LabXq`G_Xmm=+K<Si{q5Y{xi5XLl9^b$g zFJgLXbI24i^KMq}Ude^GJ2EdVa?*Wc_ixXO$4nuD&g@bKTmH|u)%&mbk~(kZp$|8l zEaW0P4mfj9tVmFldl%i&FD@o@T*13qNFqbglV^c-`(oL>ox3u=ZWm~)6FYSAx)aN< zhz_Z1VviOsZvF8hQ?c;Nh6yaYqCJG}zBnhC;hn!I=>9sfLl;`t`7Gk)wb`<)u|J&G zQ{cF_l*)%5HcuN>$5Ni}j!bRV3!+y1SNNi1ne#QiW!`3izRzNdio`$JT(1|YI=HE~ z-COL@<4vtc3XeJo?Z{H}oRjHL#&_I#SMik<JN?3!xt-<Zez+r3k!ib*%cE_r6&5Lq zc4d(sGP`wE8g0cU9f{jj%p!MT?qavIcDsyBv-mDd^#o15W}AF4?6$l5c!qB?%d-m# zopTrR&kIs0{am1C$h_gfBh&636&tS{%{DW<GIL+W*@cXUV~WgwJu*GwB+(YdalC+A z(88I=*;mCaV%tZ9OHMUCn<iMeMSCzM>!@@VmOL*wv)DZFaKWBd1D_27eVt-T1}B|V z)S^31x#%7_9>U%E*r-<Eiou=~Mb8)&zqn=kahG4*U6EMPxXy?7@aK|LA?_E>4-yr5 zYjhSl%5-N=I3OgYVmOWE)uYm`8O$^GRm@dUlj2Rfk*~;Ot=sZ=Un|F*%>tdf#U4HE zYyGh!L$Odtsei`4inkjlsFY1U9u&LB@51iD#cATPGo?(eby|{lw{oo6D$pq`_UPe~ z)*lvWiiI*;C$M~r^bj(BaZb>}ue?vnH2KA;D=Q>@!_^9V?Cfo2mNxb)n{+%j;1#;z z*sQ|Wwl8}U)5`AaFAI+NM!%Xb_<e(x%@vamA{JhZ@r}wN9ohvJHvcmwtO$PmvZ0mZ z&2p{F{AaCQnEBaP?wVAkU#;VkU>-WN&fuP%RK*RSD@*HjW+b<_a^$FMao4F=i1XUK zS@J5WN<Ui1B|$%QW*y5bGpULjURRHPjawJhaX@sH(cgsguT278N`_9qP`xujk?Ht~ zQ(v|h>tA+wB*beIv!qPv>pTDGjsu#jjQ;wZFE*KA;mypSzG7}g)pu=Omju?(nRPkO zIi)Hr{F+(L1zUgo`fhr3$AO?#Mt{$o>oxhXp_7eMXK|U(_1*EwicG6lp8CRUef{!* z!&Xu%8>ZdroE0ZgWx9ydJ)b-9bujnD7q>e&9Q?ziSDg5ga<%iGQX}IPze!e4o`)ni z{d_yKmBVJMK&N_0O4YO1{;tc?_t}|vJYd}@{CD*{9)2Ac)gZA&LYhh&9xS($zkJ}Z zvXn|e^DWNHjdwQ*Y-QRm(Aa(@@5`U6>1<m27dtX-UpcoxHp2YThwMWauR5{p5@1*R zG4bp?lMfe~**Hs<mnoGb$m+H%Zf@n+vb=1u`s;HhA2!Tq<J|M-$L&SyKRNts&S{>% zB7$vsW1`&|{<uSIolgb(RMx$)*V}AuA+}?&K*S3(51k@u8=W%g8l6JvM-i`#UcA?O zTPQ7YZby}tM8b3>8KH1x8PAx4uiNUB{$!TVd1dv^%wtZ8w9TAS>6$sk(vK|O8@*6p zpI<61v2ACS)(lfV7YhqMHwzX%R|^$BcZ(~R6b?;1;(Fci>iH@6-@W5)l+9~C;<x?a zVZH4KkLPVy?Axo?TsQ46!}i9+i~cgUqCPu}m1JZtE6ae^ISK_U%g8Nrv6y7>*lO9Y z_ix1h9my1kcwpvnrd-<QOtEy$nNsOTGaehgh-aVi)XX5K{Oc5>BO6o>9o%4cXra(^ zj&`pF$}&Q$m1N|?+$<(pytjJwYr5dJoxi;kR^L|Gcr-VlyKwu#<7(R#`+D`7|GBm; z%wu-;5BIP9wW7SIz`*A3iMJ0j<OACsc5}45+~#O+Hxukr(c9U#>z`?t+`E55FW#AX zloU(bl$1)>loU!odhy2SMf|~vcUjB+8TO>FQ-1b(vE7}k)A!lEI;<okvsX!m$yrH8 zs99M?j?L9#lEvG1d|TNH%2yn)*zTCL=Jtccp4$(Sx7-#uuCZOQF|l_}n@Za*o?qL& zf9)z;Sbu0q|LXORf9=V9e`?{QUwbmeA3n&Cei+bwv%^9q%Kgg2hoLUAo-xI9&3~0g zSMC1ooiO*d!o{moGhfbjj=jSsKlg5%h1E`8&XN-8NfM8&^1tYxvne$V{{P{OaCp4> zmrCO!rF)vH<C>LaWSW&^n3$DivVQdytS}9AiJoNf)GGhW`nNV^-=`l?-tM@l_w|gI z)+Ne!+2ob)v{|(6=FQqyC_PEyiB<lW_bjnRrosPXF1UurtADL5Rz7sF!1&O?LhVC} z1=fcY3)K%je3;jw+&OvOXSe@umsrc|Ip>s1tDM`(E2p=c*KXYo-olttX`vm@%v20- z6y9U8XQ?<J==`C$;k#_@T3$n$t^$KM9R&q4odp~+y#*dJ-31jEat}QoZF;<ivySOw z<L><|e7kvttnae%@4mw(zx!^R#kU>2oNr2{C*62%#IpauYcqp6C0|W1KljZ){?*Ys zq)+7GgBQ{d1zw0hobW>a;RgegLlcjLUawsIK44za_vr^Rw>v)Sx&7ebuG<e5?|!Ux z_^a$Gxjbhk+q-QlRXcfp$vrm?pYTiWdGYni!(S_nl@1*=FgkSb<DrdNm-!l}3-Wb_ z3-a-=Ret30XwB<A7t0;vcl`D~`qIp!q(EMXUpeMFN3Hml`PJfuU&^J0Bp#Tl7+y%d z@IBCaCohk8Y_0B>N@LAK2MsI_9W*pPq-bDwNYT*x(8I*S7Uj<3b)VhtXU0Bzr{DNA zulWeq_JfBVK(5}huKc>daklM>jhuPTLc;Y)|3strIqEOxv#>l~r!FqRE<Ro2{S!Mi zQ0qq~X8G6SbLJ$kn#|o(RMhF|_b#z%@^6b5FYM<YTz6bv^lzSAepF!C@@`iC<pGQH zQ}^zb`TXjwXhdlK`XjHZs%?E%Zohu~MOF1<iQxQvrp>S3`X-gt?u}Vm{`H$t&dTl6 zA6M+%%jEv*?bI9b`Rm(jzkd65BP2gxX7=m1q1@Z6sweM=i(lXI&};8rp6Q8pp_?wu z{%$b2d!dfg>|XW8n1-Jg{J9>rdqXZymY>aEe<kGq+nOr(gOXB*-CTA{m|Z!1bmKZX zy~eFy3q02}{!Cxr(w&^Q#WTTgi)T{WmXn8jGA8%GytvF_nd@w!88W$ENjWdp*UU2g z>*`wS8C%G++$ny_If1rp6V3I9zFrWYba{!?X{Y}6ry2e>UyaCX*ILeLo4vs`x6n8D zblR^i*VA9FXnS^5hu{2aP0EY>`b{w#i^am??lKAMuJP=airKpHme>x-Dv7|h+W3E` zFP-}IXqM0&uB~Q|Gs0qi*{-{h;9FU|CMSdM1y2ZjsJ-HPsd8Owf9a5l@;9MVLc^zq zKi;;ND>oo?PHx7z@U2%J^Tp4FXWhxq4vE)4eyysSb=#HO+8cM?i{qdD>h0H!8}G$U zHNAd&_JMa*)srov;@6+z@4IsQbis~$aedBTzj4W|*&hDLVeeio`xV=-AHP>s{rCnb zdS1VN>zfo_yI0HpMr6MJ;l2yM{HlAs|LwXUyl0DI`APYECuIIqhIe1OQewTjacA>| zuPSXf^Oz6MxnOK^s7+#;n}x(QR|^X>7Ymkmt$6}%vO?v`GIDut7BzRe3XT+7ADVce zm&0AC-)UytiG@P4<>f1GKHONXp5<2|^zcE2)I)&^v4;~X<Q{(bpmgZr<J1=AzHq@l zmMHfx5kk3l(svyQDHqMk*xp-Uu%-CD%cADn4-y-1KS*A9Tj03TcE!e{dCo%KPUjbJ zN(P<x%e?<U-PLvJRMn|dOI3fF3zyYSici~-G{sU+I<?W;E%MQ#z;6WyHGW&<PkFp! zmiFqIdTrTmGauej*Qm0OU3Yc=$&$k&5_!+IWyHiRW!oPZ=4`vY@n2pIPq|Oy!*}Yu zU3MpglPn~HB=}lI`VK3)u08DBb>!WIM-L-BJ{H<6IZ~*!M5VxJiAv$A9G2s@mlvr` zzpU8$(8uGUg>(?hx^|Jyck^d;wdW}wb-zAA$bI^RNe<5^OmbQ7-|NP4$Gm(UTef?# zvZ;l2i%8!$Mb`zf9uqU9gKTU#-kx@!Jwd(inxblB_`<c5Dl+9bI~Ol=J@!FqiGdBj zrp$S#t^=1RD1B5}Qc)ojRAa-XS!iRz{AI&&k&vP^uEICQtt{VWI|;EDE%x~v-gC{e zS<jfqgtd=xnZkwpA}Uogo7x?hJxKnb&f9HwhEq#ui?Qw5i}ysL5<3L5IIX1HgxVG^ zJ79Q5gr#kE(-Dr~4;Rjh)btoR>0Vq|W@>x(;#rZX#4UnZnpF~QL2ZW14j5h&VL3Ll z=}1NJ2ZMtmH9jV-MLwtII!CQoaVRDDqIb>W+NHZ{{iP)*?UVktBXIGe`4T~~qVLR& zzFn7zJ;M;Su>T@|YDH>J;IRw0Z!GFryk3*zj4^Ac=&}ciOErH)q?)YsF<;sHGP7^n zvI|_Rc^A6!UaMIouRBL*lljl&9U?Y`7AnRuIbR|J4>Lvj-dl36VA_?dr8BRv_D$S% zL^SAoLGP8?81=6fc@wIb%sp*8qeILKVivr4B~>>23Us+u_-nqb?M%-#BOl4AR$Dlq zwDSunk~yv!8GA}IGWNW5ZOE6nsIbc~7rX6bT#$ZwYbCRj|FYLTn+{Yh*4cPaqxwe1 zl-itA(&txJe(BtJ{8>f9l<36I>XnSs9wgd@6~CDFM|Oo~$?8C{8);Kiw@Ih+TlZ}0 zpZk-MZF9Q+;fpfodpM#JE9)ATzL=LEZu~rC@fpLj8r(kSr-Y1qHnq=myLnt^aZKOM z%Fhl4spkw?Oq0)@%?~n2EHe@*Ra)>^U0%F)%K8;AKV{W9U(NY>M`Qb;DSz6gFLbU; z$XYcge~P7;L~3KJTV&G2z;7FFX#9?tBwu3Sx$g|W$JvScJw@6&XV*KPop9Rd>9Uok z?#Iu6I?bcpV|2V=v5vtR4euV4Q*v&Ssq%|^i)50EpC+6&JgTue`03^^6SHT<6`wI; zZJW7M_llHqo1xpx<T-(25?NDJ)g)5+g?l&kd%9Wno!rS#@=NrvNpQl9f04gdENPXf zY85HH$fGGU*Qv|l{sg6q`b#Qa$OqN%aA+3t@Mv=KaA|t-m`NYJcznx>pY<Ldi4yWb z7G~`tjlPPmNy#1`Hz+Ro5g{M+C_+3)C_*}DQbe+u(}K;Jf!Q;zDz+wudOVDf3}Oju z5$SxYc=T}3gh$Dd9-YaV9-axF9-c`XZ*p|%EpuI)DAp>{)~e|0tE=dmQ0FmmhIo+8 z8D34hXDw4K(q=POy~yIS+cnck=B}?|qujCyF6Yl*n*L~6<@84qYBgRbULE;uGB--1 zh)38ncCD7j++>cG+&pTfosLW1B`U03z0u=U;TQF<EWajJ3DvI53ka4@4OiaEztZ@N zQfc43C3+WT-&z~h7`W+3gx5ENwn<l88zw!La6QS{v*d}2Tg|L}ceEl?vsT-k^}D*# z=d4EY8{<<<)xDdJ$Sr=8xIOUOM(tv5C5_de#U}H(7yB5WTD!s6{(#V#6UViJZzoQ% z+$Nnmb){r#=UTU$hs74ZNiMEya9p<SK!M5en#Fgxq(3w39Gz3M#%Qal+sx$2fnqlj zrl?+%PUU~yv#Ec%+fJG7M&)yxHl4bXC*5@F%DX4#nkTM^Np)EX8vMLmvTRyl$TG7t zH-d}Ln4DT$V7~uh>WsPlq06@2*C@VYe2VFN@1`Tni{Ct482Ig@hW!hL;MwUGvy#^Z z=CvOGnL2f)?Mc=<A#-1EF50ou?s~pdYG1lr<b$1o(<&0D*zPeoWfv#;lxxemSoT@V z&i&PFTWq;ZI(2K@e;xe?LTk<)e|0B+_UYBLUKQ`38z`2MKSk9_B9%YA_g9UK$th8b zDX%{+Te)$=r2>=VT#N5;wSTVOArky~qZGG$kJ0hK#cQU0cZ+<qBk)_nA&uV_nNv;| zp3(?^P?D$dqDb_dM3a;>`={9wJ-tuc79Bsf>CO!g&8(a+2H&p8c=r}*&pG|R!${}k z@vNLV=BH%Ddo~?#U93}hQlolD&Xn31!&9O=X5H^dSfgVmb9UnijqQiF{@Em@ef{%k z`zeo)Eq^b%S8|R1v6uHJ@r8*cXMbToIQ9PZsataHsQlP_Yu2ty?_Xbecj|P~j*qQ# zjY@t6)vF$NN`CS75KnE)jK%A@xxW-AcJ}GLNo?T$E%D+|Ay3gm7Pj35LeBfPeAjeO zKYmPWx#X)uJcnZ<bfaS<5?Z)dyRPM4ZMu$owa@X^#}bbZaoYSl)Hr#^D+_<tT^6sx zx))~m?YesE#jeG9o$LA*zg#%G`PYkg3kts!TwtlP$`IPS@5=c#=Yqca7u<HRpY`k9 zbFm`@FN^=y?sE$1Jvje${;pO|Zmm7(sXX6L&NYmEs!(OTY;{dSDi8llt(mKe6!uD9 z54GE5)ONgNYD}nQ!#$rDTJs|$4+rn^TDa<sf4*Aj)bne49$LmO4GI0W*!*+J)Z=S= z68U47E?@P=TRHY|oOIF+_j!)LZhTQR=i)nCC!J*BaBVri4}a0(2Rmf8D7HDL)c#uW zMa`Ux?^L36&`E*F`F1LMPxLk^7hP$S=$rWU<>QN!@0_^)_3bvrHsO@oeXG`M?+lE& zUVf=f!f)c&qoK8TPxcD!+IQLPNI}~g{e`byJLOHe@^$W3#kS&<TF=n<?dO}97SCES zGpN2-x6ON{wBE8a1wS`G2y^i0OO#)^x>RUov5Z1#<KiWHg%hsW$|#k}Pv5`ji-q3A zDkgDH+fMFy!Mg_vTW_sB<#aCi>WVLqWmXo?Q7vs$UZPjncExs%TB-bU58J-UOXeNm z4Dx?uag~?p_KLiK&clYQq^26mEL}D6hTq(5xw3#w{d1?t9MALeOW;>k-}rR1f7gVm zUv1s~K4_R7FS3efJIB<=W(&FQ{8XwhkK&zozjFE{$#;Q9$7{XBDgrcK?~khYILXtm z5jl6~6rB%C0<P8H{4-^e<h($m<HcTL6@ePB_t({Wp5$4tF>~?P=`A`JmIYk%-<<7z zlIN|)%*D2<+$9=Qz8YWt6>M}^%j;Q$?@6X?uGGIN>$Xlgv!QR2<+b2V2ilw>?e}IX zs~YDlO6fa0MQ6j(fNS-Wc1@imxh>G>xR;mMjX;gp_TqOvPx9>6n3-&@%Khf2kxkUs z3pc%2XoV|>^!;toDp-(E9@GE5dCHniI$qB#d`>dm=1!IUlH-*o;eS#_SR*naY2ljV zzg4`_X84?x3D$^A$O7?AywYa)os==wh)hTW@y|4QHoyM3Z^|UgX#txKJaUTc|L!{F z%!Ub*EX4vg9ry#{S57%&FnyBcvw%$p-hlX#Q`Q`_R$W~@VG=8!=&7nb@=H>-YAsAL zEzyWfhzFS&=N0B{<Q4XK?j+VS(U`ekU)IhEkW3Tp$*C>sR}E>jWPLvW!sFU>N0DFK z4L3ATvfLKD>C`f=RNH&ogNzP~d4+v8Q{~pt&e$n8shIoL3il^APETj}uVCWl3bB<D z7t%Vc<n%PcX9bftSBS01bRn(7Mov#7{8lh&bA{OQ92e9&{IbdJa`Ok4g<NxV0=^2^ zIIYm)RtxD%ZqX`O<#6@zd!B_xb5uk6id(b__BmX2e$TYfC`Kiu&$~sd;N}kX8NYS5 zt#jd@ym$Uh&BL|qPj|dr!1UH`d!TL0yy)#$l~?vANB3>x)4Fic>ub&C)4Sqr-^-K* z^iAi}`f$qY>yEtKUa$W5>3zAOMsIF}Jv|b(>Uga>d+Lif3uLaZ34HnU!Mv%jDj)7@ zHo8*~&=<|8RdCzu>z=~vj*{!FG>$W~i&kVTt%|FBvVf;wcFN<G&8tcur2o3!W4vWq z%-$vM=D$uY_POtOyl?%SX}4abS$tdi?`TGM>(W)0U#8w({Mz!>ns(N&HvJ!7RNb=t zezdu&%(bG#R(<YoAxGQoN;bRZ-S+F}d3Ezuk2~AfIc3)#vwpSd`0%1i&dRnf;Cx)V zO<llw$#mO~3+5dcl#4yDyj)%IO7Q_nf29@A*KD4b5^(-6NB_F#_onv++m%Y)D~&GE z4UegQv@)>p##OC(Qljp2zAibmQ}E%%uQA0{L5m+PFrIg1g~jtV>ZV#z)~`K#yUzLf zXN9~0x$d0xW6$2MZ|ACl7B5<Rd9sw~Z;<P_&s791ew5nce*N>Im!7>{wqX9E&GW9T zsE~Si@vBYY+)pbnr_X*JHPNbCZ}&Hqpv6hut3#Dcwe&1O=C2l%i}hy@Ke2N1&zY+q zt}On!?DQn7soQs#O|hEXzdOrw?uqqx#A3arulEHl|CqPk^?K2vt&6XC-nkg+{YvKD zlTAzf9?s3{UiWm0_OvUUHOF%=ZjCYDrx_r;=Y{{#q|&8N4P(qUg-%{I_3!gv{FgPO zE-qQM%Pn`m?V*Dk-WLDWkUn+MY3;AK0c-!-pZ}la#<wZt#q8J_iI<<dU4Qb}=Y#ZO z9Uf_&zlWPXT({OZY!ls}(^0>V+gN7<>+ww=^?NoM=xlO5QSzr-c1mKWu7li>{a0Eg zr`>FNeDlY2_ehDb8%j^C{!QgOwc(W50**)VZx8Vl=N|0L`IEg^hv#*UAeU@?^<%px zPsv-7@jj;(8+Gho%f0&RKk;b~Qq2Bc3lwV$-}Yl^-~Xk}MkO0rU$B1UFGy@Vxb4B7 zoIlNrba<F^ZQs27p?~Yq_mV&2IVK$c_cR;DY&_Gw^I)~<p@RiyjV#%mzweSYy0hWP zG^ritvQr*TQ(bpw!(Q2k2`@gd%ElOExJM}QAIot+{n3l}rXSy_3qQH7*4=5SP3*KW zkJ}xk#NU=}Zk_TXuT$4FqS`{k+28xg&V{$M4;{R4TPVgL;P(wh=Xmd&m5H~`J4*hF zesqfW((Y+?=X)<EP7_v=Sfu=RLgJLCKHr*8xLa!+mhg_LGyAkrkgu(9+JhR|pB2_A zGZH#=<y`D8I!d-hKk7<Xo-gw-VMY?C-a6&^S?xTRbvCLtomg(IahS(DrZeY>v9b)$ zW}SnoB~LcLosih4x-CWErwV(jL{_Kv%-$N0mgdA>)g4RvYNpE?z2P~!Oz6)s)zYm` zb+f)c=aqI=HkrSQ$E;+g>55YaV`d~S;x0Z@kl6TAPsi|VtF2GzmhR-e&0K4W13I_z zy-MtD{uS|dLE)OB3oKz)8A94?Lh5%JA9a*ei{5SY<6z%Jy*1Aa#h*#MI>dB1CL(DE zceTXB4DsVC?4mOsED(Aud*!eI`&Ywjj*lfCFW~GcyP)D$yKsK*?8Uv_vtIbCg%o{~ z<dk?<GwuJ{Ycd_+U4B~x`h>-l3hp?m^hI{GuPwPC<DQ{VFp*72JmbMb8{V2ZiydXs zGbbF7lu{|Y^<&S9ZM!043+~K%wSRfX!*k(frwV?r{v&MT5_;*^R_4_`*IO;Ec5HB{ z7N3^!{E*zOT^st%^km$##r+;kT^&8C;^m9rzUaQ*ol@F*Yr^97&V0Pn$~tf7h9kD( z-#%Pvt+gq9Xd$<|fT=&mqO-lvPISl18xco79B7q%XZ>p0j)x26)>*IU&*qal9?I_f zU|qA?#h<Ug%>D2_YgPRPbDv<757Wf9Yph+-m3Z^~A{(CKx@Gb)FTWhwV0Y`t2EAKH zHk#d1F;Kgua?$?QlSGFtCyyV<2$peP<jcv^Yo=<b^JzuFnw~WtXGN43`)0{X=01{; z%@vXm&kgGI+;Z}8OUC5>&Wp<|)L_F{QBMK|Z-_)roRz)IefAYE{i1xK+sd|b+gz(^ za(ch4xMO!|@_}O>{zB4q)Ar?NehfK&;puA*Zs++OJJ&|}dNM27@-Qpg$}}t4GBqpP z3OOs;%2l~m)qLssa^#}&rO6K8J^Y34F5+W#f4?H@ip>?%ONTF*Upj1Pc}dwo^OCZm z;ibjP7I^gcf1Eha;+Sidkc9M0&m^BK3k4)ZLwje{w7FGDxVgXeN=ljHx;A&Qj$NC3 z<Rb~ORL=$RQ&c<u#V`M#W0abC^T+N>pRB&dr}C`dw^5<(`TZsTYj!ZK4F0v;?AV7x zVXDWCrG+XoorT`kXF1AQ-I&ndXC~sVF735oGq38!qfJ>!FY=suY;|ly7grT-oocvd zW9Z3?XWLk=-JWnHNcz);Gi^0G+ZS4d-3VaP&eiA)wfDX6&oSYW)~c+pk*lpfO3zwh zXL7FBM(A+t<16Q!dA{#k_WJRQ%$t)P^tmU#$W@g4717b}K8@qij#Nd?JKH9xybEyA ztxnpJugJr{;@w4+w&`X^KAZ}YKkm#OsO`f&u_8xNZeB!3|8g;*<Hp>c4|cYye0=o! zPvNv#GW##5nlhFdr|qmccH!&nFTFpV#GIwAQ(h#kow|7IRL+uZMxB0P&lWD8`twCX zYT=gcn^<l|MhfNT`uMip&e^**?Qm>-w3V-ad-$6P4>xTM>zf;<w&C!pD_n<8+05By zByYY(r|)&xv;(Hv-jB2nW|c(FUA(>bwToTyj-*tX?Q48`!=;1WKeSCXjM;9~mmQ{7 z@OAmiXdlUC`<E?i%P1(eY4e^b-6fK?<Hy#2z2?$=4?89s%aj%MIs54qTsW!9XR)*W zuiv}m`IC=tynRww;%9I7>Z`xa``$g>@nBWl+Jt4hSB0;hF6np9@bXF4G|QbwWYoVK zTt8WRrudV^GwVtw?m0G{s_Wi~TD*T1w^b|h#j3cq9%4IJO}BV1Wi5AZ*M@#$J(=Tj z;(iZiaH|(i$*C+oeXHU4h8a1PZN@r(-TtqeF!lI_`?(1c56lX9N~BrXcJc}}-(}-> zzQZQ(3>ww1duyU%a3<DS=<r<Ivm4JuHqK&p+ri6IdzY;*Ft7PQ#&*Y~p4$%+*W7-P zJm<E+@e0_8_*`4v4R<0NXYr}+<mH(>w|YXv!zXfKRy+Gc{p5~ksrx6anyh|Ne~v9% z%w`F(md^`xl4r>K2^9PIE-E{*;VPfywi^o%q*+h7k<u<_c57ijZ<)mLDr=vFxw2}8 zw{KO;d|o5H>f+vOe3I90C?3zU7Kuo07t(%v;V_r=myLJ$9!I3LbLwn$E|uK=ZQg;H z*KJux^tL&de%*F$m5{XdXM?k+YJ9eDvPg@HWZJw&r&IFD(wNOwe-mFu88!MRx0Tv2 zJyyeZe8b(7l4+Kf$63_HXS{eKG<nXQ!zt?D4Ue9DJmb|9PM_jWD$927nx5R5U2IyH zZee-YNBz0P`zK7B=f=!E;kVAHL_J(`*W}eacTa}4y?f%RQ&PJA0NeFvSq=I{MQumd zy;3#XwV~Y?veMz=<yPSvj}Cd}Y~8*ls^0XiK&9^ag0|+AO_QD)zA2EFxVDQ|M*0q0 z<JY|ABUalF9+ra6rk%}ew&Kfcwh}rw@0rDeH4bMPZ{J~Sdz;66+-<w#gAKP83XkP} zC^(z@q3~{Q$K$r!6At)pSL}>FH&5)w`!x=}?A!0MwOOCD^>|ZU#9ux4&T%>Q{^aeG z)i<0zIr)a<zMZmnmW6oS@7t5z&hverXT5fJgP}}mK-=bTU6$`|FFe3(J*6U}T~6-i z!v5oB632zDeIBfoRV%#8m7Qdf*3NT$?ce433+5LGv?+h<vh=&L@PMcF6pQ?JIlUVT z`@72|j;mVxJlG_wR`_)G7x7x-fO@_!_a&=W*LfD1oc)|ut|0Yn=DVA7=e?b`YR#!r zYo3&Nwwc})FI31*()u*#gz&_vbHhJ|HqC^CWYuEh@`)$^Jo|I*cYWMRm6;EwPVAnp zs`sxuRAEPg@R8>4&)+iH^Z%bz*Rn>dV`AY)bFnj@|NH*h8o@ff;La(|zDUcXf!gU7 zN!B{{%gxRdoNKe4vo%pZJ;&lerZivSsWxSq4G$&M>lS|vZ#*h*D44ijZimV$i<6x- zp6}mu&hbgion;Vx|4c~eJZ7=K{+k_Y-pFp7GP!@3^RzPY$8V=i6~42jsOxXoxq?e& z5nat@X9^Ct*~V;2l%Jk!aX?g>ukdP{a?Iw364!N~uXp|9=@$@w`e*jadHcJkeZC%K zSzoO4TtD>a&)I9^9e;g%Uhlu@S#v>8o%pInf<AwH%?d-d3b8%wW?5WZymZE-)rLOj zuG;vVx?1CN<|>oViK|XM=dUXDoW7dWbN2F&4H8pV3-+AJHZgRtdfv@4x2Slj#KhHx zJm;_4@SMI{!*lj36VJ)3PCVzXD)F4UnpE=B_fzrZnQC^j$2R=h&h}-4SNkK@<)&(1 zHoVyW(wtLRW1pvn(cRRAa%KO+<hC@a$={62KP-1`X2g`FrM%S<i86r}{96O~oq4qO zy*Iz-WZPrB;)mM(8t$(f4lH<NT{T<x%3-zUuKfGGTLSnO^Jwi0H^1Rz>tnd$$FVy( z++PijFL-1<)m!Jv;iBfQe1E;I0sN=ywAnRJ9<EoK^?dPpJprCyYyT|q3DsDb-sf~d z*?r-Qd5aB7P6yhQoDHlgIT^@g6E;s~wU(mQ(<PlB4Ap&m)c0>R<2&k5omNx-_sTrU z`w{l112yJ7*Wl4F65-b`7Li|HG=*V(@f3mlqA48t#Zx5Szv(?}aNwRrjrX5>^Ca(c z*KbIFws8O6`d=^7#hJcr<yQQ4qm^UtTc@75Q<r~D-QNCc)A6*Us_)xd?O6J!T$fy9 zr@BY4Ole2_8<&sEZgf7(-8i|u{HULuoVYdXkAOEKi*Bp8`U&n5w?6vfqvyrQg%tr2 zDt7a##pHVV-^LyF6WQB6SK^n5b>)lbH%E@UZwzi&chpbup7{3Q7QLgEM_<HLgh|X3 zk?ZwWnakCG?D>@bR^`iwFFVQ0pXaJ&veEy-`Ek(&^+Ttf=1Z)f^1az-{xhL-;yVTA zXj@FGuz!)UkpJLBC;6_!t#&-^T(vebI$u5(F1Vn6pwnr-fH+sJQjE@*&cc;1LIURK zo@zVqJe|9iW1YyafIHe>5_d$uc>K_BVSoSaRy)Q#(O)e)B3}qUbX(}(^V)TJ(?g#? zvzkvk!(KQSY<&?R=O@1NhehZUp$91wy*vK8>IwFV?>u7h?e_l6uktP3JE|_}{hptY zv%5ayYx$%9^43@WI#_@DZ}3u>)pSedp(Fm2;#Z#yNETLG;b%Yfm4TD<5<X>9m)8a{ z&TIG{n>@LixTfuoRnNtMfcA@0T(1pYO)l|{b<^#AD{Y<dNBv|!*Z-x-yXF6TS#Q%z zT+GE`wxS_vFH=S9_1Z~)?&NXJ+W2kq6Z3ZC-3!mgYhIH$bNJPoo;h1H*9p7dU#Z+A zEA@!|&b+OaU9&d6om`SXW8#wq(YjX-i>=)8Xhyfl?1Xhm!DoVV_Q{9m>=O>pk>^gG zJ-w{(+Pgzyx5Ele>!ycnVNtVxyTz;Aw@%?mOO5fG<^FY#(snu?b*pc6JnH^z`OBMK z@g_HNo%VTNzieC>nY7gL=<;97UtAHkk1z;5ANFU~ffU&;-+!C@FMTg!SyQ(+&gAAZ z|4XZVS55iDaJxaqSER(PPwkX}fxB@0fB8v^JL4}&Wa%%nh@JgOrEO-Jr^z3K-72$6 zHpB|K%A`zp;r#vFljpL%XWjkXI;P2eCI>Dx8b`a!rPV6wMf{(!r+4a|f=B8;XF5;D zs`&gcidVhybLozrV^`&G{kd>w<640u>`UeT9&B>HW3cgu!9`tZzK!qsle(jio@5Zz z-E~(_K<0n*jn%WAINOUvn(Drt(b#sAwQX+M!NYpHA0%&&?I<{)cf=w?SR#M*!ZO7p z6YM*x4Q3q>F8O=rm~+X`GiNk@PTgcaO<84su-OOW&AhDvhjz%cCQSINAtL;S>9EW0 z2Z@tnKN#NBbD5DOp479QQ!al^T$!Sa|Lg~!Mee?F>hLpj?0IvstTAEAT2bbU`{Z@@ zhHKR9&#;bs<nHBmtN)O}A-xKTJn<Ty&D?wRZ?WDJf9uq8uuSoo#B7B+gO%H36<#D~ zul3k+Ejv2fb<tL<+s2DuWQ$Auy2;A__ia-@W0|58|89ZByIm6&<@0Wt6QlO)n#^p4 zU)N%GI~bh5q~@ft(7*4{@pZPwT|dhj6B}bcY`CEJV@8@d6VGOD#kSk69LjH;dgRO; zzpOo2)|k++)_2mruNLl#6-noN7VVEsxREbz^JXKrUD=IR4*s`JJ+sXmSp@yxG95II z=1mU#e%X@ipk#HL$oaQShq-q@c-R#Cq41ENi$$h*(wt3eI+8eTv!5!Si!}P=!clck zPvFe9Egb@05;GSI_-svgE4-*@5VMI}PVY9WeE1uuhSg<?M|^e*B(}wN7~WOuc#+c; zt1u%cd$Nkv>=}y%d^V-K8J^ZN=-I?A=XRS_KKYGP!^SejBNn>_68FS*7#>t>`6D6y z)~SPcTAY^Cl)YhcNvm7CZI2~tt6L;lZqWBT#<kmF!=+im;Mz3psob@Qo&86{<Ptik zwnt<=6`U4X+4EFPPwZ@HnBRt5mjq5usywbIaA)f?myIV*NnVTE*<Kp<E_v@%_8Tcr z70se6TXe(Z9&c;y=H~joX3m3sOBJ0iI_-VS<QSjrC&IsG&cmfst1D8U+O3PMY<L|e zw`liN_ZOK@4Xget-sN2$v$wBM>9%KdNYmc=9q#*!t_bn%NNM`pw@0(jGNf%W-_^r{ z?XMnhaPBQQvG7xDPTtgxh~95Ip9mcPoVwA!_QfPlxf-Q;EaxYC?|65GQ|-^WiMB^; zuV0jWw=;#mJa$vRwVvgH-03oprg`@j98^8NW1_x#_~uWuUDtekTsQsps*_&!$5#rU zDNXe^yryd0Q+U#bso<oY+s-Ep%CScM3u1~|BK0hfy{U<eNuB$A66dq$+qJx>l`KCO zUKajMggeIQFwgWii4(n_t={MTtb4!rGs6b&XA2v=p9wnd)A{D1{<*#BhUVN;^BpJs z4f_5k%)iXnHpYFq#ak<@!;;hAJY3`bt?-;GyT$uS&UZ>q3hvsaCARqX`%l++4A*`y zS;4aR(`GSWy9=tqJVj4@FWz2g^rdix+%Ag{`M)w*E&upTk2T!U3KT5jDLcvHT=aXv z1n-KOx7%C&K4^XS2^K8lDV3f3aI5y#_Tzdtj|)$id9c!(@8cO&_lkFulJ}gLepKkU zM!Z<}6Y1$bAI$b&ob0+O|DUI~*vY#;*XoI#EMK?Scu)CBIlCPx^764o4SwquwZ3>W zNjat{Rqk<8-Udy+z1rmxoyzaegdWw}e0X8ojd`oPq<e46XdS$EN2>Z!$oj&QHQcXG z%uecdPK|gPV4G94!p_X<3dd`ite&kqSM8A5cypo9oO8K-Pc9wNobFR_X`=a2$@Wtl z?k%jk@gU&mGUM&>U8lvvWwTne`Am;JjS0=k@_#bTD<`-A`Km{^z8kiCZBXC7aPsO0 z)v-ngo!sw<xXWsRQp&>(&R+{pEM&8I5#TISutM;g^%aTVMWKSOe&s7zE*H%<>Qrps zoznENZ;$3OtB^KLzN?2*+FvD4a_%*_xbT?7N9&L8LPB%D?~mS|pY!s@rGWe2^eg_e zm%d!x(d)bR^)0hUcjeb~)(gfbABnDh6d!fzNV4{Jo@lB1R}taYH^zED=9fLn{IPl8 zW^ESZVv(*$ORmFj-f#NFt-9LjF~9x4KkxV0%ltEoSG9N)@bkt`v$b`zmldf-Z~wb- zXKnj2`P-#GMDNz?&&x7AB43wxTTHx8ecp+QTiSv+&)4TWG^ML8smO9_Q)hc3`)wm9 z=et=G9?j#Fl#`e+?Zbr@mhZkE8@~oK8`&5uJZ@aiS-B<Ksq4C0N=356`j+i#Di!HY zUEkGGek3^TZ|PT4dGUpvIjF=;(OF!$>P425{9PZ32XiXF2&D5$eE52#g{8lzSmc9U zPL+|2*}`^bwJ(LoTNu~PHaKF++@>01s(4UUI4H(U@vtbf+n)Ma6WEp2mRMvswH;^c z@vca6YFe(gWJjV?+wA$v`a@DT*tnMb-doY;f9T|s3!(PD_8(6!?B?XOnmu9BWKK!D zSHkD~Rr$~RzIeQslX;JEV#jv2C&K5Xg@yK*DIV1pHrivduw7j3%g2K)j8#&l>WWp8 z6a16*`g&YA-Xc=#d*Z_R7P()uFC1qOW|PP`B+$%rLjBl5H5G{@r+q)o6%QK-S4reK z$=mu!JXmw_gwBy%=1(WT&E)*aV5oRhSoo7;x)XoD&x^+kIhkz?5<7mgJrVw4EBuM| zVv9(*&xwM2EpmA?FC6z3X4{c?NZ>l}3H1-zYAQRjoVv8tQg-Ax@lW@8@puvEPp9NV z0@}TDhtxkjR#UM^bJ}-d#)S9!J}0(|sy*4wDg4Q|h4bgVgAFx-H}ajD&Z;fBk?quG ztM+BX?H0STnFa^^gxhW;I_$fUW3G76O!(7-Q!PBvJ}(}&aQ-}$dx&AXuf(Hk@3$UZ zy~ljVkCodhI%660UTeqxeA~Wz{<87~#&`DZD-BK#mVI}VWh48Jd~puB8?0T*WsMJi zEPr{;L2TC1@aK8|?yVEJb0Sb<-E$3|>vj6`Sf-17l$|I)TUzRM!K2G1@`~QNy=$eV zZf#kAxY7S|?$R~?E?rvYoUAne((NsK_FJu;&#-axp_%@dW5aKY?YsQDH9!2-5#c-b zS2_=E&pb5s{896xA%Bm{zpmG@E^JS(7ujz#_xXY1gOlvL!fR#ZN@x5zem<&p((6n6 zoQrpt_43a6;=Xy!%;^?akI%I_%IO|>+hl8gPPc7eQ9=I}8Gf1ENna+n&wnoOVR7Z* zL~HJ*<L`7lWasVJ{NT1-x!4vy=6^5FG$n3Xe6+<`)@|qJ4+fXsK1go4t$5(Wp3Aom z9C!BSbf05&FmbtG;fa;TG9|C%_$*50f6uLIsIB*5-@Wj&*nxZY%fxwkruN@kyfbOG zkl*uemh@u&TW#%6)n&Ejzmd_J|3OA;{uh~5?W_5&9-sP$>mzUcz7qwS@m~rI<G&Ot z#`6@|#q$*E#rG7b#rG6yh37o8-d!_sL3ZBn&grXnTZS~f<D0su-8uEe%K+OiMJw#8 zo`-iV^51vjf_eOx3;OY2F51WQT(FGiF*JzpG0=$bF|^n&8YNYCbi$n_`%NAd-s8En zZRbDz8po>Nl~MvPs&;=%;;NiIeNXJ~wr9)Scus3a?*3NOS2=w<+m`J6%U14NxKZwX z@#p_bmp+`XR&!!?wD)hmA2ZDVb}w*qDVw{mz)GOxpVIP%mCW+Sta3FEL@EMGv_B-g zh<osGp?hO{|2$SX#wyW@mKU)P1Q+@@y7%mR=eGRC^K(tpKB%p~zeJ8@JC}_{o_K}9 z9nB9P3br3Oe0(ocKf`x!8z&o$4;>#i98f-{{5>FH$IEj~Q$H*@(EMQKZw0w|J7sHZ z%B@){9+q)@y!2M!;ndrT$Fjq1CrkYCi~BOWO5n~voAmfel~W2<pJ;uMH<A13UM2Cm zwC<Q6m6}Bl)0drSoj+SiysoS(=EqNvz={QbHSdX}9C|)sVP*93Gp!Hm0`)}Rcg0BD z6W>|!BjCxS$H^1D8~j{NxePa-P(AcbX}ZL1E;o+D*P48Olx$jhqBWs-BKOf{O5$z3 zu6lB2B0GOXL_HBoh@I%&vDH;i@S4cZBN4F|{>g=jraYRuVbh7$1lNh&M?Wcvx23x3 z$(4!k{Ba}niBLlNMDGq+kb3c*M<k*z{IfeIn(}D6!M+o%2~HEaj~-DHZ_{+ulUpXX z^T&*^CqfBT6TLemUG)TyiR?TwBlN;Q#x(I1p(zH-PqZe0{Jcj=yzM9JQr?XV4k#Zw z#MCd*U37SseEq-jca2A<&JA&WF1_Q8<W!-&ns=8t*IAc#W&6#2xGMH}bkmc^iaS2K zZo6pm#mrZ>z*65*SAGAy>2uUh>OWOYfBNM5r%9Vtezu<9oV!e^n@#Uw%|4HUcb{{Z zbGlULl&)Y|E~^EqYYSd)zT*^l=Zv@{-;?m;hkO4_v0L}Nn{nOKZpC%ax&_xg={D56 zeYC7s*M5qDX}rpeY5GAv=fx${YQkRnJbImEzdd`pWk>$~fHObOEj2!KR*u=5E#qh4 zx@)qpt+%ZIy|b26eBX(1&U4XM+vcCjJZ~A&lw4+N`>wFvt3XLwVUkwf_bu;kEQ`Ct zc<S4mu$>Ff#uuuoH}5K9Yc4X<IIYy&7{6q#R`rLOz4D7ApA>xK{wR4iX6~Qr<2zcq z<ClEide>9tdC<MWSEr6kPMGc*DIWRdyk6lFz5Un9Gcs>){JY-w>!ZR0ZE7)vR)6mB z`P+N@x1F%qxm3;mLiyM4neVS$d9rY>_RYmMqJcJ-%I$W$ss}bji+L3ubdr>dT+uEr zcB;HvOlraY);5WRppS?8T7`Hv1#}%0J7sXh$(Cnpfc#+{lLH0ZyoQIC)L7p<@5JdA zsc|%eJ5?fiq1>@3jedPylLHmpyoLvt)ciKR=)|cOsc|%gJM~4*Lb<qEd;8zdi;K)? zxGpC3sMqtT@)R+z59gdT??r1IX6OF;;i6M*iP7t0<`Vik`xJN0*k`lnebz#jyE;=A z?QS*tvLS%qR`-g#J~!`$+fL433^n=Ne@AH?^x$3^k-Ly5Tj$E*DDJNt&p9!#*?vJl z+DB^IhKo*|W|104)3{S_BrU9Yp0m(yTVzJVTd`B$XQ=gh-N;<XvRh|L()L!PHyZ=^ zt97p&x8vsBaNo)KjJamK#_}kQ{+T*c*uBM0Emq<FYH-=9_ROY$echWc2o&4-?mrN) z@Tu#^qHhHOt?H*AswQ9PYLC#5(m2S<z0@LqA<uIkEv71NRg2_>BFlBBJe=bB^Hb3! zC(dt?8b@QeQ)eUx?c+JKEubk<%**hy)6YyZ&H3w=M{B&d6Z0~>>Lj^r#uWe6$~sf@ zqs6=oFFHx8MXYH5D0XUkBsZ_YH7DmDL(TbN?$H|j+&WW|mb4oAYzyF@t#jqL8#nKU zgHFzC%r)nSU60f_7{$FbB6%UtYTr}K_lJsk8Ju_042#e>Tr~MpX4cGBA)T!OU8-WI zHvIVht0bsyMM2)T6|;{>&o($eqxMw4sNczXZ&rVJv-1#ZnCqRoxEYHDbWR0oh&|Wf z@wW4w|1)?>TH)cWz;6}{^aORzOx6&4;>q)Qvw4@+q#XjGbq5MEzi}*F6gtl!W}2<& ziW67ed8SMA#?&1vXf3px)Xo#0)*toi_6yngDAuO8yC&q$+kTU^X>HpCx#aFxg&S+Q zc&7%P{e4bL;LP>bH6h$@nCy!|a+_iwTz*pi^4wOPj_sGEX4PkU`EHCpem19g_Vb|j z==cu(W6yVXY`!eDoxAo`f%@)y0bf_jER|#5bp5VQ7W23I+20IfMI*02Uf`W~KrvM8 zdd4K(irYmBhJm@uR{dH0;#lYDmN#7fH<wn;T%6qg%<OFL2bJA5OJ1z}@x%D(hnGv+ zcT73eziG91SIpVp3ny;B5d5)Gtt;Qy-0bT1yxYsxwHV%i(#2xDKQL=<NA9b-yv+Lx zW0QlOXD_p#zTxX_Ch?}+`^$uz-(0%1>!to$?;G=03%)sVxng7avn-p2Z6Yfpb-%t- z5^u7-d3*9C@26YOoSYW6de2l#!}ujLKJ-?pX<y%TY4X;W-ntv_c$?L&{5I*+)umqg zksp@M+kVUYnnb1d-jvdBFW+_DSZKLZXlhJX^w-p?!c)t-PDg8ho}_;Lc-Rxw^XvL_ zuXn|*H$DC1{gp-2zlO+spL<K^Yu4tTt88hJ>z>&jI}x2V?c)8V;R3;iGdKMxIsKGp z>#=G1+;d-T_!+%b;?k4j)$W{+x6E7kiY0l!r@YwqSF+L9P5*D**z(b|;$!6fx1rZ> zZ2LJ~XxWtqt_Gp{+sj@(n|kG$?(3Bm8<x!5#rE}ztk%oQ)yv;)n7F;<Ro|3L8#F{$ zhcBC+$?UjOWAB&KCPyZ^3g%7O@Z|KDrY$<E3pwnUbl7hQc>Q9fdvfrz4KKVG2fV!c z?r7S=RpPs@&uU%e&E>k`oKDExs1;w+7Ph!=4Upa!vfO#&t!?i#&bL?mn0iaxM|_{$ zql4k6q~=#$HUEF-%EFCHbBnVDb?<L~rt<U8*3#QT@2);@E!fVc-(_uDVR&cV!PAG= zO6{q+Q?j+0qq@@iPQg=^nn`65vCZNKS4r*B2)p*+=!QjX`uwM}KYZHwM!WRSw7nJW zd6zF}%saOC-&CtV!GD*3v;WW|wc*CCo|tEb(VzKicK$C`7OP#VXOlYrSet#f(SuoE z8K%B?zQbE>$ET@=TUHspf3F&&_d#LDm#Kzpg!{kAR!k_o7<F*dja?7_|GBfzSyrzm zwI}Y~XXn|=j(zbKeZE##LFSgp)yH?jw{6r7*(bE+&w=FyXEw+$T>4Sn?ritUmv6$4 zy$PQ0w{-f}(*0Vh-_M?z>AvF6{kCJ@7BR<Mx%PL{;r?FxW$t##x%ZEF$h+Oo^{b!h zKI?eqYfrI*O6Qlf1nbRwuw*OC$~j*eg<0iT!q>i?o|EW5>viLVipyVq-nv#6BY1c* zue|Ku{o73U-stb{seZcZ5tGaL^|^aD)!byT-M4M7h~l*J&v%PAKYJ{6d`&lJK9`-^ ztmsL3`)}TQ*fPcL(fzO4w=D(vlDb@{uV35#plGT8{_9RpS9Q;rbKz#(QI~(l??vX$ zu{!Af`pC4GBK>o$4p%RK_i%3T_m9_BvR6F5;=HHqm0;Y?FVlqNqL1e;6SgUOCBi?~ z>M-;2cMn^FzkfWqlKsbvE6ycF*X*6rU$4>2yY~6x<}-FX>U{6@Mdr!cJgS<$<Ina( z3x(Ef;p-03O`PwocH{LV&2KwW+V1vE3S3jPf@ihts`fm-tH%@Dr5;Rh<}JLi(9PmO zK=PaOxqWGcKTDcQuX?05ebk$oG{-wlB73U#{AE&~r|wLf68<>zR@k;h9Y-s!lt0s& zqT?hIyx(}bK`H;nsk4Ifmb#qW8txOgej?}eHmg$cjjywnv#!J((Mx)M-7VzE-Yc<3 zq_X{f=_OV3#<ASa`mpFmRI~WuX;OP^a@HL@eOxWvddcpN-gR@dc6VG%+mcry*t6<j zcTx&(9FKC=hffB3*!1NOpE)_BI<#C+`piPDFFE3dS9ms^-n6A#BI($LJL<Px*KC(t zu&F!Z`6SM3b-6t2R?TslV9jO2kvG%Z>ycuZ%AGCWgiFN>5BI3;?BCM8Bkx?>%H|uc zF~-X4Le{#(FrQiAw<DxnFnqt+v-xdbZn@iMe@+(;kIz1LNpDgA)@G2@nzyqhUg|BH zE_pazE>mv$TJ@cqx_3O8^waHp6jP;mA*hACXY1cdu}2b$T?K^lXBA)jtoK&wT-5pM zZQT}=S9ir8$;@8wQ!cptjDVHs>D?WZcSd%{9tkSGtrb!!UU(?VRB`F<j>p%Em1HKx z9x=?GFIKeFU*AOh(G}I3t}<K0H_E7f^4oY}rLa%oE0M`_tq!X!f0wu@_`Bi3mFyDl zuQ>M<zY;vQt7KpIj6B)xGyM$CuKc{==t^Us!dG%?)}`{3=TtRR`q>^aEuOCUQgGd@ z={2o!_gs56@~``(m$aqy!<6LfLT;8JP5Z7TPF$tRQ*e^yZ>*84{q!>imjaEt1o@^W z?r{EUaAx5ziB|#4JcTP1*{rW{G|Oc5H10e*@r}~A+2NY2j1DqR_bI%fYAmzq)YRA` ziJwme|GdVtZ1(PsQ@*li49W$0PbwYRaN~}v$%@?_xzF^RDo*U~aE<zR@-Xw$-5q<k zJPet7ivQF1E9+dtN&`R0-6~zVX_n26$)f9bm+2Z7ue_)Ea@pN>LAjUK46(XPwY{HS zD&06`-LEQ#bnln1u3V};6W4wrX4TTyUzSR7aP?Qcbvt)yy?y>y>+StFnsqNGow~Ai z-_BC+-#RHVYjh8E^>;{#XU-Nrx^UBpegA^?R!4oD^u0Rx?a^!IHQZT+$}{4-rH}53 zx+C%I+M{QQe!6*fY1bc#C4}kbDW+X}B$jyW`nuu??@X6le_oYi_P#!{S^D7Ks5=_( zu01+duw2ZXe|vV}=fc%u+ZoKW3%Lsyi*4svzvZV@vA6ugs>hQ!?`3U|3fj5Ld)_i# z*O|`0d~WLKUI|TUva~FU+Obn_=7Y|?Z*KnkHBU@Et!Uf9eXp-@zRQ@nXO;Tx)$?vG z_G#lj*pYwc=hnELm(6}jXPa*F{j?%~P4Ti#-gW7bNiF`Rvu!>}zwTV~F0H+OvEA<} zRw~^WBu^Vn%Hr+KjsAaX`!4Y}&y}J(*4^N~acAGA+w)fDo(<~X6sq^g;O)!_SC)QC z{de;g??#{JJNdV6FWjamJ4wG=_T_{8Gp=S+D?Mya+}m>1_T_;;cYf}-`1@2o`tLm@ z^KHK83a_1zm=N4mlyKkP-&g5(-8ZEv%%_BY?Mi8~`_|_FR4DGYd(f)Skw;br?<s!8 z^M3BGc7DIR?&;xQHQwERzG2gu4+m7MD_%{iwJAQy7`O9@!1>ss7I8hxV{iYIWr|6+ z)=qn{X_fGs(pMtYbF2>QdB;T8@$s$S`uy6eAj@^NOYNp=zf7{KTxpvb88&rCnebMZ z&Z#?<KHu^<dvdGe?!6bDN*6D@TH}&)`>K(@dB^E<K{>b28ij_`97(w!TW#T2xWecA zbDfR3k3u>3SHxUB9G7G2roAv&H;+YLH*nTNw~x!j%-im5mAP{GbX3vjk4wb1GxTQ{ za(`SQww;4tcVq5V-QL`4%h!>exzlSxo24DEN8Qobb@kD)3wy=P`E9cbKVRG|ww+;b zb|Lr0{bJiWm~}rcEbu;j+);1lg6=YAg{iAIzGXfAMoVVXchxsH(>K2TBV(z1$7Q<D zhdV<4rXlZTbFZ!wOe+$*omc#d<+kp{uu%Q`c3MS>H&))g?-9G?M%nbe(;{<rNAK=b zJ2~~_yEUpMB6e4Al=c602}{1cH-6^ET<5CBr&Cn7`EJ$OW}9R$elw}$N}Pk{HrqUV zx0_nxh24K!LX&Q%Pg@%D!sh$mZ)WF`ZZrSf)RD`dWqwj+ru)?PH@?xmx%|(cpZkB5 z+dD@)#de$Rq~NZioN5z)-=y2g-;|~_E66?Bk<zpk9NF_MN}HDZ&3!a8IKATC727=p zuk7M>t#aRZPSto#`AIpm9VznJF+~l&dX`7rrpr8R_U`*=u|IZ}YtHXWLbJn4n?C!^ zeY7h$y&_9`!c)zst*YB}y`SFrwo`T6+C9w;>C<j(bL`DEk4TDsxaion*DI?u<MzY` z>hI%eS$^y4hmeOi6V{e(-K+I6XEJ|;?cZxYbMC#5OFC)(x8~F4_m`&Ys(Sjwo!=k4 zJni7=<vUu$cS;>R9n<?LbltHJR^gvNsD*$2Xco?0U>?q0=)U{)#7s~5*lGGgI_JeT zr#<WD(f;hpzxtD_{OZp~8MHqg75JT-JE2Y>Z~Hfn=}S`QzUut;Y;J|9(O(Zixz+lC zWq)?c-mm`qA=$e&`S`D6LUKD(n%Zv_Jlgb&FVr@!F8;x#20hi%6aRGXT@m@ewPX64 zu$uYnh1UFu<1I=ttv;Alxsd;0)^z`0MOQC5$v1`G4_~%CzS86Fm4!=p<{rOx@@0m4 z)zf*eX6K5{P3R3?e&h8O$#0>*14HwDn6I;66;~;p_~6m@skcI1-(I~~-D<~D&RwhV zPUM%topt*bs{b@u$!Zl)dtLv0=Yxce!bcY-ska@zseV6X`Ioadl=-GU$k=zv|EyuV zSAk9ZTK$JD&Z;+NtT&so;oL%@HQT=~d*k{bdfUAzdpT>2!$ss*o)PC<_q=P*s_UOz zn^x~sTK{5d&BO;qrL$IdUDZ9e_r1>D&}#dilh%4?>ucQC|FZB!OodLH-~CW0k(1A# z^JR6KU47uXVJDk@m#%4rVb0d6dt+-Yi%yxHZ?&soj*We<GXMYI)fVF4b5#YS+P7W0 z{<*9!{ASe4xToR&%WporxXF3aLB8810oPXF=dYMhFH$J^G(2a;zGrK!{~Y@L#Cf{U z2aWwb`#bty_ikHjDmpizCwRHU>noDSEKB*F=j`hL=x2L?Ww~6^qTqgmlPizU__KF$ zrKa5OS<|N(9Gz;bI^)$9&1IIQZ7==qI{Pnw_jvE-Ka6%3Uj^jnR<-z@dwl%Go2<At z^>uF|Ib&mP8aJ(5_r{i|^rT(e&L<3qV~aWj^(+s0OqWRxG4(Tf@+NRy-u>uli_3Cv zcb%2nRi8hz=19+^GyR!-wmEN)i?3-jnOuJL@1ix`>t(+Fx@VL>b$w*#n%NhASD$8? z6Q}<w**dS%eVWUT+PPMa_O}nNuHr1d!^cv5mrvyL9X_7VclqSRcF5M~lw6y%Xn%0J z#DgogJSDH}*et&?G|#Q-i1f2Pl(SqeIW3MkReEmrwyhgq9ay~e)lq@f&Ya@hHWh0k z9yk{6I?((urLny|m{ra$Z-=&b;nBAT3U0oA@Nm-YgU4C7J2!mJYZrKahfna_F4?3x zCyO)gpJ=wer=9ksboM6ZgSzE>BHMF6#(%nNSXs0u^5%{$qQUELED7I#&$Q=R7mIUl zQdxMG$372(ds@qm%S_Z4>REbIZH-dc{0B#~Cz*D-ii(@YPt37gWn~h+e#SnPn?)&; zPlx-)Pgv7^yXJ`FyvaFE9yWDX_qYDNvh@EQKKIS<4~5j<aEK{c`={Vw&Z|qDX_lp3 zGyUc!w#DX8{#$TRYL?lemEH6CX3U#z^Y>xX*2<HalWP3pK7DEDzV=jA|9`>3S8Kkn z*z`j#m~-_f*QTkF*Ee;j>XfWt;g-=lTGM{YK!1OLi1sJfwx>TAwWt1E)W7s+QiJNx zq>i0GlUk1cOzLqh+xX`&>*Y_b`)W^!Yc6}z%`-XEDk6HxONHv6+yC6DEL-UK?SkB! z{}W<&ul>=%IN$cg<Q;qdo6XJU761A1fBDT;tE1k#SAY6;`%B!?hfD5-S5CfrPcwem zzsUcAZMs(*Q!YxHU9Qjh`rS!fYx&YX)tG-y={CQ9DE&?Rq@}&E_+*1f^Ge1_j|8>v zg_kV&j;_itJvRN*`_gC0TOW!i^d3}Nb5-Ku*ViGJB;|MCU&X4jsA2I|CZV_kU)|c| z?6O!@_B2TI>}QbX6-&Il{Uy5{>uEdfW63+u>&|#^DgEVKZPw`*{uWECzesy361#TJ z!tSZnFLIvRS>5dN{P@wBJMBgC=Whnr+iK5je8{jlcLw_lGnvF?yvJvJ+*zW=^32!n zZUW0?yXm`JXHGS^+QJg-<6(HGg=ezQi^N5owLS)3E{69KcpmdSOI&lXrpGX%;UXKi z;i-fg{`?IR%2S;F|M<MW`)2Ycn=7X$BwP}I>E5C0VbC~hN>Yza;}p%KF2><)|2{3y zb>{!;^J4LOPUkN_!>nxtXMWojz`vJAE3G&C{^`BiOI7b|NR(S$pa1OOg~O9Jw=;^p zO5WGXHY0suP4Bh~64!f;0^-_kw&_1josqhbaa(i|$Hub@dcL>nig_7C&HNM;b;xb; z)fpLEeW%%IER#x2PBPv6qbeeKp`BWE5r@G^r{o-iq7N0eY7$>RxY+hV$f!>b4&Stx zr_Q#e^^;=m!kU2SjE3#^XP6vG=I$-Lp)vFP`MZ4FPfPzft)HX+s^-p$&HAru4ozY| z>bzLQZvE$q+O7vz4qI@4HN57;+_Uk5z;|8u?hEnrt1Nm8&uHWyh)!DH`l;i@3G)Y~ zw^Ki+N@PvZc~B~yw$QFEBBSA;m{d~#(+t61lBL`gciALNo^0KbdPV8UR*Qru(x0Ma zwgm9E>s&eR>%EULPr~HU+uQq7f|_36e-W!CWScwT=*&m6RFm6wi@jPn+b}^X?!h`S zsRiAwZ7*^b8nQ{Lc2}OXlH6ygf75+&ilP2Zc5dDa$0y}?e7~QeJ*y+f{l)4f5lh^p zV%>SpHoBDyWWQIaeJiT?Uc)%NZC}xw_%^$HGg`Sm+-gybn`v;&W$&_(BYgT_`xG)b zAA5`W7@V8Lew2G}>dJ^$%Oh%RZ8rb-Rgtxjab845$8#~M<V}Sp8!zlPu5q2+Yf__` z-}&&@6YUJn^IuPfC%jubKT%8U{_SHQj}%oMcapsK%6WFz(=YRmUO&#v&HLem)6Xe6 zPqe39?)>GkFsX4)(n6l^I+hg`IScKUfuiuRlk=G^7bMR2)Eo`kac0Hrh*?!qmK~Yf zcFSpTL>;PDPB#3_w<YDE!3if@o^1j9K!LnaOe$$c>#-jRQ+#|@9OjKTT-dka0>j;2 zJ)LFe<k)(TilmzO>&$vSF_6P>ai0;NdfJstu~&&x3;UAp*?8-k98lus-54}~g~t5! z@|VVoB<8;UT4^RZXML|#$41MO60?)O&0TSR-<0t3=1QHm^83;k4%cj6VOKW8;DDKM z+l{P468T>q85^qRUs#^v`18|Z|C3C@J(tc~3I~7U+Pib+j7jFNdQTjgvgf2zZO*m} z4AD9!N3u>|RO-3r&nztbwP5j{B+1z1E0#B3`x?BOqq9C)w=!Ujsh8rkONL_c{LZ>p zj)!pbZa6n7zvHQxRPv;W%`YxS_l60_RxJ*EzGVJB<z>c4)gBitTAsHpfInL2%JDMp zr?;cIc{g5mO6D=tQs1`lcF(EKsRerjE!DKHAAcd7q&X*9#!n~ZchAL#9}nl6^QlkU zF8k||u7<1m<AS8Cw=+*RC9VtP*!b$~wg7o<9g_o2+`JoaI3=$!)>7Zr`nqRQ=hlMl zfjd+FU!NIe(BIi>)xGwf-{Xp;&s&e5uoQ^gW4<fl#N6*v=N1;cOOo-|nQPwrxby4@ zJLPVVl#kae;?B(dE`4reL0wv5T13*8O%V(AA~HHQ|N5i1>my^Ws=(eK3kvOytSLFZ zVzz~>ZBLoN(zmPETPZ2nEY@dB5|A<Ce(-PuXRVB3V#8v!C#I(SA`7QSE|J*&)v7Y- zbn$g3&T}u5qb~@Zi=3l*?)CBm!ka%S?M<{b)b`&TAeR)S!EdN*awPNgMWvbxyL;51 zy)t&IJgT`rBSg>gdHC1dh660qbt?m6jJy>6KKLv?@`&gBrU3cPx+Vu?xOoe27H#3! z`}N(6&dW7NHP+9tef~<>_kz$hsZzgnTi0%X;#hc8qjg5eb4gXP$**d-pH5!Y`pNUE zlk=NxGP;L^AKP@VEL$BIXL$A9wtyzfsx>+pocz7hJklQA`_=TKQ@fzLXH%zkL2z$X zM`q>5)?X1x3mK<HWpwPFw%D~Ic}vR<rtb$<Y~FEg+xZtC8&?$j+kO+8v0!>&dSPnb z{y?rm-ggbHziy;1WZV_?iMQ~=Bk9GnA8K!FJlzvFCx^LR@+*g{ty}b`1r{kX{%`o^ zOTAT_wnJU))#DAS?F{ZZCPxCfdp~-`&vUu4IcEO7qdRQVmWYeiB~J0aQog@6sO0)} zCc`QHuUEH8oNWH;9h9WNZ?LdmIPDXIy065eDV)h)E`JtTT-ti?`{aP<$`@l?eKrS` z`#Q~<SLN#Jve~QLmuc3zU8_4{^j@jE#<<>He*cG1xcB#26A~A4+Rici{AJ^bFFYG= zv?xxSVQ@^-*1wST!IHXnlern5`-XQdty-qEBPz{_|FrLm<hh*8YYY_c|C()Zto2P~ z8slxV|2uv6Rv0a=J-$u$^^v>h<>oIxAAKXG?wMHnezvsBlD|^(zu!*buZ=BgFus{> zX*TnF>U5cf>2()7S48PA_xtd$`^!%2-EmIu&(Gx(>E4unZw;Tw-p$t+thGAa{>EHo ztyR8VI&aOF;#Z8ntiKBUo%^)=!z=FTa>)(K^&1%_USu3lnG*jX!@<yXe~F00nQQto z%ME7kIG^4x9Vx3Z&zk?hhchdyD_&ixwJChXC};Impjk#&=g{VZezwOl-Txj{GB`3Z zjwN4w-`V^JDm~J#ERLRRGP+;b<(l#R#6>2h6VlIg7Pg=CyL;Shxm?2TVE&DVSGwPL zc_sNx(Tk+qyKk>>ezTCW;M6*A<rgRvcTUGrRwK>AZ(@hbcdPCbA8r(Kxt{rTZ{_cb z=U15bl)h5@W_emXaer`s;W<MO7oW{nC&sy)%$M05Afzu}GUu>Lk=z_x(fslSGoD$v zDxIi%o1r9_CtnnH@NnYtcaJ-Q`3tVBY`1uJMOmi&m7$+SiJ<qql2<ItWzrRP`tCpV z*1_;@A)8B&)%{4OHdep8hn<$6YmQic?s4SubI#Gr<q}s0_isG2u-m))v@Gw8n3OrQ z<vqJjhYNppb}f`$-S5q3piq7Jnp%6r$DPi78;thng}6-m{OPq?-lO0%C*RA2#C(Z< zYvE9|{#aqd6Q>7J+nEo(`QsU95pbqRY+_PPosP%RpOMekG_`;AyL+5%xm?2HVE&CK zSGr5g*xQ$NH94njiMaWcZ5B}$J3F^rxY(d{WAAMFGwS?CJ*W4v<zDx`@nPoW#-!Do zSwxa$mj}e?-hH97u-(`1?(wwcatYnR{2Q;XbieWJN^;JBopO<G;~j@ph0m04>C5;x zw{LOxwfl4I>pORMe*UDcQ5apgcXfeW+3pni>X@R2y?T~MV!|&f^_-b+eN^{U?a!Ir zdG_k|#TQ?vKi^(;>1F#9`IwTIp6l*Ny6p(6mUuU*_DoT#T*5MMKEuPR?h?<e%6b<5 z+;pSd@BI0g9P8yfQ}(?tPnBCV-TT?YqJNy?(Z`s7K32K;A@`)bdeVL~)BP`!c3(B# zpOdt`)L?&3lCi0(eeuTWv*z5FoV+$<`k&_R+6xll?|2T!ZfZ}|yLmidx=cccH(%k2 zt9pXdc0Q4?{q$P*o4xy|;O@8QAN&dPEwkVK$=YYrcFPI(6OUX=bErFe^jzAs)dg~C zyHn(gV~QGf>RCFKhwnZ*WBUB4H<8btZ};Bx_{RMEdXJJmF8EU>7~Zk_>2%*1?YIf? z+h$zPd6@q4xT;X(p5I@cWv^$>R(liNHFe*@>kHo;dOGoD_To03eKr>776$d4x;M%6 zN?GphX&cPHpNLVr@pzJE+3u9KSiPHv{ieT3ZujQfa96ec#xj;N(Vd^(eNkKPx8c&t z;57xWcusr2JUx5WxzpD?TotYV1x(+wY|ol6uB-iH>u%n@;@vHK`n|8d=HKAe^=D+X z*RTG3&-R1&YJo+s%fl28DgBh)_hoIF-6^r#1_y<H9zDXPm$-7O@R=<|T~EW#Z8&tw zcFmR|`PDf`mqg?q=E=^vaX3o)+s1Qk%r=`J3Y6zq97>e#D|~PK($u=Ka`Jt1iGy#? zFR2br4LejUEVRc!@u;`3(H^6P?bp@5d_1Nhcr?1%aF6kf_U~+;3lAnR_DSj-DQtGN zNZcTy{`BQG*O__cr-~Q-P)kjH<+1Gc<4-NCP9*KJeHftW_bhF$&vxq=ql0DBeKwv} zHI^wpDc83vMczH8sNt~QOm^>^*3VYO%*^ArDs5u+o2!4vZ*HQzZ~ThzuczM3m~-Q{ zO0Bv5_0DpEJGx8dnNEBZn)@K^TkV7x`7Ui%_w378_HEP^6D~hE`)GUPyQy4rD`s}h z)p#Z*-<QwWJ=dm3r|gqqm&fV_M>V5a%Y!ouH~&ms{or2G@lRSi)PF0A&Q5lI-PSfu zXxh$mNspX2?77f>oT=50N1MCWW{uXDj~jPgP(Lv1&1sXc`BfiZPJQ#+BCP+I+L~gk zEt-jYZ#O11Zx+b?5wvyVf#!tFM)sr6nE2atS>@!miB<f#@ob&W(X#E#hxxWM^S9mQ zvn$&v%k-wqy5+{>vW^Yc-wGs8zPxZ|yXGg=;>(Q>98X@oc6Lv=jm`&!ijW76A9oyR ze(2fQ-oBhw&TigLZSRkF-X8dH=<S1tQ*R$Up1s|<!91^BVDH`MCQkO-oqPVB`*``% z2kkb?%|Dh3a>s0r`epe4q2By2tN(GwvS($UH+Nqb>Rn^(Tl4&X#YW+SuGc@!vzl74 zl1;zqv}r|P%-Vz952JYF<knq(@bs}8ZyaOZwFgJPJD66u?uc&|zpp4dU!iOF(a4KJ zdRHE}ZrIPJ-^FZNVJNfa;OWB)rS{awtUIWEV4~C>Cz-VewGVBS+LQD~+s=_ky=!xl z>YOzPyB~ynWVF@MD*IHp>xSlmnMun9(!1v>&CxFFD%`h%cT()3+d&(}5AF{76`ZEG zUg+NSM{XY$ikWxyZ{1;7qGY<Gaz~JNp53l1lcF1@Z+#;6C`mU@Q18m4W9Kt?pLacq z;hp)>@=cCz9!q*w;bM!}Zt24-qwd(uS#$L4@y@6_OfsvF>K<7dbw?>?-BI0RAEnNT z9=<AN^!W14tx<P4+OAzFmpnQl>W&24^+(SV19bE3*seViTac}rr}*pIBe8}4x_O3G zR}F%zUPL;l#c|qZ6)H;vbW6K#kGdn_2GUZen`igz>LalPKixdVwCj(=62o-!4AZU^ ztgAV*LeY4C%ge1h1btQ??M=$k&EpBqD*SA)Q_Ng`a#kU?!A7y|0>W8^-3I%_wo5$D zvIu89c5Omrz@ZsYcQ~xBKXSXcSIoT4+VspL#k}heL?5Q{#u?`A&^wW~-?eP-l~q^& z>)QQ^IrL=Om-r@6-TK?F?A7KsKdUL(-Q{So@b3Mszx;XM-Y(TC&51qqXG4~tOxM4C zSL@HM5%N2&7XL4G@}o65pJF?6sx0(p+v%N1Yv|r|a(eX5C#P5C9NQrjdfKBfLa6dZ zQK%W`x|3>0OK+y^P@FB7cWPOG^d`xK?wqy=z1f0cC(?SR21!cxc}#0xJn8A<87Zt5 zdXb8L9$_t$Cy71|X$h;J9QE~)(qS!8fi->qvRCh)>cDy0#A!pQvdC?dLmOh1<;pUS z9QW&Gxv_Mjz;08a#D2G=H=){&8{JADrdP~&`;iu<T=RI1U|X@&#|ye)o&E2mI4?LW zD^_KxIO+Euc_FJkH6dd4wNr<!M1MrAZ(@)R5lC9hrIZuo*mJf>boNoUyn+QnO^yfc zxBRl6IpxE#>jIAacf0+&tdd?VQ|6B?J!0-_#dRUlUD4{L#v%8;F@|<OvT8NhvR+pF zuUNnIvFww%eH!;(bu3&yZ+g3>)hEMfcgD1r8b^F&U1uy@EOB}3=fegL%5uN5kGR|S zvb<P5QJ~gDXyJCZiVW#L$*cGKd)}UZbiM5K3+mS-9pjq=zZgq6|KDL`e|4&X!zK>4 zV^d#l?EAhmaFeG&l$qwS6KaPeZhkTFGppUR!f#LMp8UHL&kB^UE>4qm4PWU~Bdi=L z*XA*;f8iw2gc&KV5_*w>ZXRJh6R$3e57$q7T75N8i{aqkR~o(!oZC&EJ_IR?tT#FI zAxc^9UdEB*(Y-7c%O?uFzseM36To=bS+t^J36p$(sKA3xE+?B%$Ch-hj*l^Vk(+1i zT^3rM<HWHntUX}nhYSAhd(2;UBu(j)aC>#)Fo*0<iFL~NBuhjdIhMwG2^<w!`-W$- z>7&F+ZWZe<do*xLaT>-c3m(f<Id)^gCH2?dKLTW#zp}`$W?nLH`~ABCMx5_XsvWJp znNp!JTTbrOvVQkXk`FfKv{fk07R)=5){}m9$L4v9f=fHDf0q2a^U3M-&*GarKL(je z#+_W&etpx+$Gtgh722~E@10C*`M&97xctf<p1DhnHVN5z?dy54B`4_3WZ$;Gn_fO_ z$oXZVF`JR^WLn4JO_C2ga*kPOO}*$WzOpBd^SDZU|AQSlL31YfwoTsj^5K-6UpKU8 zGoCw<*0DKAv*yf{U-1pICy70pmg2fYH`4H3%m4h<|7S(5jgG2Yn}2uSc@Ycof8WFY zFG#%ix^U;NMe1{Q&%0jaF>iwA!zNzgm@SE2-Vf`uzs9TF(RsG4dmkgG-i!&4_Hb63 zy!t;qY;Dku6XsFVoo_DNvFHZx4y~yR4Py%meanRGZ>_o^;=gqArHKBG6Q{Ueb9%gL zvtE0RcgM#|e=gi;;0#P%xIRDU@)VhhMQ=VStDQ`<_L+8OTm8W)uWsgRB(6&Nn6c%S z{n7l)bL*Fh*w5YRV5TW{O6{=H%`Y1w%xZNeo@MY(HFl}L*>fS%EV*RjDG$R~p`S5V zLd`gLomA_elsb7~drs90&D1jn&YNs{ChEv<R?$9?bCS!@eUs9escR%YFFGZmF@LpC z)ys|r+xs+rz35oDzR%`M_rLjJ8jI!Y^KLJ!oo#Ra(e8@os-D?BT^}p9S;@`5J@vHF zf?27O9}fh$i!_%UaW<9xSmEnl^Ye;e{F>EwxBpz<t82d{_aAHeAFs(<wI6Ot5uEc$ zJAIAp`-$wSlOHtX1ihK=+g7{jW#amrUpJIzGy0uK>$tv2^5N8+V-=cHCq7!D@=5Ne zlNsl{(`rYJZ>Cge&6Yb?HR<K!&NZJzW6YdwCY|NrPc=R!y0V97x9Y_8ecw|jyGw5J zEDSf3e0O45`|V9HA5Y8q^wh=7`Obv19M@BgkD0FQk?ZqTikDq~N-dt3>Ex0f3bT2h zr(Qm6dGkx5n;G+*sb>YES6z&>mOsA4h}A+XQnAk?tmW_|(Z>`1+eiJ~ekJSfLg!@f z;8^EmcDwfE;t&37{{;pHJxmDb+<E(I_t}#lvIO)P4tBgMn$RG$?Z2lgi?xZ<#$aWh z-6oF`x4ZplxZu&SSBi6^zp|iNrpmEKd(Ei}Bvyts@lR~dQnK^n%WQeH!A+ts<H+H_ z-Vd9XPh>c5D)gwuO=->*kDlNxRSCD1Qy(P1*fOhwfALbOg)>$Pwna*PG>BHVdzPVc zAf~rv#`1|ApG}358>2Y+7hm=I;J7Wo_sH>^O1%L(*EkLf^;XPSC@6p0L?~gWo70*A z?ib1KrMvYU8qTg_mtUjRu_2VzaNEgt&!)r7S9v3yIKG8{?@0|hWF{-<b48G!#g^A_ z&c%uCm#sb(dbu<Dz3M3Um36gPw^-u1%~rb_`^8hI^lw}oWo37w??p$yk*s0P)rsxR zR-XzT+!?>U?l>=Q)wLnq-SAt+*TfsEueS4VzZ+#`cdzVahkvbA(v5}6{I^S=B+u^q z;pXqIIPay#p<vmg6^pJOKP<P_uI7pDwM!ote)n(};V(U6{@3bLLA<-&yO$aVVr5%* zES`GhcwX)ra1~>1DwNpnmXyP<HGBTFZ?aLzPv>iOFW7K<+u1Uk)&D{|6NMM<nI835 z-Lg{lnns!JwSOyv#1p%FFIgR3%J}+!$j$hz9n05DsxjB_vrk%_wEghStVQ#G8pZMj z=vTdT@;{=x^K<>GD4UXB!BXBFt6RJ`o%}v=)5&!Hn<+cAX3MQRsm33bVtk<VCQo6w znev_KXC;0wH43^j(Z$YK=2ThbAr%Xa*&^&IlN0ynRNYXYZTIa|T7&H-$)w3SZZ}kC z8<wSsXLasSEsxgP*d9IU>EmT7tUDAV74<yAT8bx$K2F+ZQm{JiNVsr_!v@>k;ZvG~ z^fFo=>~YgLm)Y`gjhl_kwT}MFQk(@|%8GtjDu+0Gk66rGJ2BO1qi^ZM^dB?aIQp_$ z9(A}$gk>H%%+&j1#`=j2pG}04*10L2x#-bzGfVZys>MwF(IF3#H*+z)33Kc?t<_QB z$-2k=1Z$?)#)FS?*nBwo8#ZMcDc{k&ZI#|p{jwuzVV{K9ixY=yWPi?Bt}OTArN$8# zS=SkB7fWp3<b7ZB(a}q<4*x#xqbvJf!MMc5FxH*ta><j#Red!+7XuqkT5%bAy6>6) zLgSd;TDv{ITaAy+4pH9YYATe_=jN1irKoR1h_d0dA1|MB1?^Qe*WP_KERn^S*9|lg zaCvocTrB5hmF|SB(khYdPp+L-J7{%tNrd)np6ry%hqG>e*%)BPyk?58T#NV81v8W) zc_yo#KCE)`lVRW{CZ7pw1dLU*54oM}+PKh4<LKOFLeo|^Bt(`@QCrY*Fw$qfP?Mw7 zLWvcEZH!VMFZe3k{mN8vi0^HA5gcpAvM^%Rv>EvwArBHaa@FVrIW`z;aTxm8dbKRn z>M(Qx*RWQh)j2=!iK~UQ2h5yu!QGwZaEXgyh&zvS$&<tleKkCn0vj5wxC|Y%HRa`{ z5+#-k@+X@<O73xE>bd685h&GZ5TtDAmLi_tu|xIxZYlFC!h24w<L6x6qIva$=bcHj zb!Ll9PMMszD5pw7Z?@gB(`gNbn<SIg<hV&F&o*@PPF?aswX?=eUUfE$a_Z!ymK>u! ztNj=rO}is}^UKEwGiIAfX9f6EjSn&3>?z!Db?LQal~?;y>mAjT^zZMDo#-pK<dj-J zd+KENmQ5#>oo+r+T$t0gLvOa=x|3-=tAiwMzf3rFqCB-+HGZG+YpvBHu_==mZqBKC zp)%Xf?o=e}4~5x^_q>;WxEO1-o$;4<SjWprqKUIoj?VbC{7aC1VBSgF-pkQNLeKWf zWv^c7u<dV^^lHl`+n?OKVQ?^8dRc|&^4-fs%)57H#wIv4eN|g>Bf+Vy_a@t<DfuV& z_N2~!)S6`eU}s3%Dczmvn}p+&m%DEAEsT|V+55FZS#(K}_q*I}+kF?@$lNzM*z`g2 zw>y0Xmuu6OzloclV83W{&bGtVn$6ql54OKyG7;SVWLJuLZ08Qu>sx09-I?@SeKyPA z)X7N=IYvBFeE0o0xvYQpRi}>VqVg2uBe^$w3cSsdV<vg|d<+u$8B^j6X)}l3Oxd9{ zTTahg(zs;$tS0`@lb<dIZ({l~X^p^MRc$BvlU*0h*Yq^LnRaLIn^!(<9PeItJZkKd z$a{6-@M49%a~z*U7xg!v+A%Tz<l2>e$KOo5GdXM8P2HW#trk@*Q*L{1_349?yItIC zjRS|XzOTJADLQuVql@cWLMOQznD2I1T_Y5CQf<Cs%H&7AIYxV?`trX|xqQ6(<`d&( zIc+~QW(&?coz`>i>eoH8N4Y$o*lzMJ)ApQE<8xBY)%@m@xqfDq>nHo(dz&)(yY<Z{ zvi@euJk!rgoL*{Vq%-~0iSXm1ll0?d!%wLl)w-Dyp*vgd+KFZTTQ^B2%*|<w(4Q@6 zb|S4OHAvD{XEI2!FG#WZX|<zbH=kHn-Tbn_*Q_>Y`dJ3+6yqa)D@E*nd4)C9O%h$S zKgG2|@#_@{{k>nN$z04osr&KL>WQMNqSLCT&WOI_`{4G507e$>!>5WSFxc6cIBkeh z7Rfd_v>{Ac?po%N<4nCQHx>x)>%HpH;w#m;F>)=-QKhS`{1bJh6mP5&?8=o&ys=J@ z-`eC+@^Uw(H<vs*?n-rTa91`|TQxNyV$H4(h9(ipEY2o}zFSHqN-PtU+nRmkI7csw z#7e<^Z!dVXT$JiGbX(ifuDXg_J|)@I$-qy!=KT`EHcqLJ1|G_GYMCkrQhHlvEE2nL zJV=x!Vp)^G=}>{hR<5KqQ}~1V_*PF(7CNMS_*GPdnrh4?!Go!?forY^9uAeQ+_6kq z{(9*Vb0I6P!eDnBiA#Y!#Zk2t0jn)P8gARV>&G;~gNm|&GS>tT3(8hntWuUgULx{f zN1xN23xO?@t-1<VNqtf4IQ}@Q_K0kO?%arNB`${k?mVAMpCmT+)#zLaY;d*W+8E(3 zIPHbTv7ohfa%P!dA1de1oYu#`_o>yRjjeqW&t9E4tR?$1V(nsv>=KcrwP8W>v$yUO zP3U&3*s*RR$8%Gm$4%ik|EO$#vaD^YYrtMrbKNyZHeAx(`SRxD9?rFgd5*3-ekLnn z?%heVm+ml0DEoFJC24u|Qp>K({WDhIcx$kJ)`}|cyx?utK6+QCZJ2!0>|oq9KgZPF zEs8e}#j&wChdLO>u<|H}JXpAT*2?}oEshJ$tcq2u6rA)$kGxnrWlqxkM+ee-?X(nL ztY+$}(@J=;o{671<U#TRE+(EJ$Bu(q9R@C}hIv6dpYZ&iI;&#Fq8l|o1K%*{Px+$A zmipItqcTs(8;QL});n8{FQ2bd`%_A}EQM9)w(6N6#)Ceh0cQdj50{8m%vi=G9~>%> zu!76U=iIBA401V|W`~`QJ`0|o^n1qo8;s91%Q|*;$tLzC9iI`qu|7BaRm7pr`l-21 zkGp&eeKtz=X>Ds)o}^nh<MD>1&!#>bHTks64l^G8wjp%W(R_h7!8vwiqO+6wlZ@Yl z<?!!TiLQyQU!L^2Zs84)+oH1*XD3zP(7*YJ{c4`J*+Jc-%XX~1!DB9R`*7(=G5O~G z%WklQi_U)3m1I08B!_>xN_5SEzl)NDV*+xzK6jlh@Y`rRXKK#Jm!FKgd<&vBYWiuK z9hN%!ZNrE7%TJwmezUN8J@squf2FF2O*)}TpJzOXznnN_kM`MaLw{D5;!p=ecUGRw zp$`(L@wnWdyOK#RdUZq6E-r~>D-RsLvgV2XmOLTWyGw4cNQ=&PZ|XX`A#UT(eg2zV zC9i9l9aK8HEMn~qp4BSRJ<F$don;m~nijF{M$KgXn@N9kLUWo_yL>lBY?S=<XLinK zx9K^Cb!l2=2YHS@(_MFC&q2}I+qb85e>8U8$f>4nc2wo)v)|T7zZp1gI{HI${f#}| z8fHf$j;2X0z9Hx4y-mV?vB>O%B}u_O0Xc0CyWS>#+rRX>)4w}cE^O4*OP%i4a<eJ) z|FkmqkI73H{azcwc#umpKqs8>u#sp*#IO9!4H^!goELbTIBkefu1UPi5r50%khpnk z$2;42WtPt-PKM#iJjEuD5*InS9RL4ey_RCddcm%8sl<xag8ca+N^M87dj<Af;yCKv zYp~}+N4qtbVDsadZXENnS{`+}Nyud%Ijr2;k@zV<nT6lPsW4ud=ex<HhwGe<G*qq= zl=IPk?!+@oQ7TbljUa!sh*H}*hh71m3mmTXy#`+{ceL;0611237^u1VN9FE&v-n;0 zdkwx^>1dbZ610DGEmoQ3u8GsdP-UK2lSd0T+h`nhc)5hB>GVyn5H^w1|E4qja0_9T zd-hlVrNPPfo6cV6i0?FY+8ChB({1u7aifz<<@Ah}gq3bTLY4@&iAsGma92!9$iKi5 zpKR*1(Nmdcw&|n9Zl@y$?yVAR`Y5$fVu|3rsiuz-JDiRjkXt3#lqmHu+OPM6?Q%Dp zo}dni8;eby4BV7!&R*g;?9lsxGej{d;rs=TgF3wdXRdJ^_Uf&OSTA6>;d*9ELa$pz z%wj>i#x(vtOO712ZtZCN8>Y;XZ*pjPTCai4m5%o3T!PHMXS)5kvPRI(G`q$BtklO3 z3e|n;iZ+kkwG>`x@<(5s$-biD{fp2C3+La7@Zov0PfGE{V!^I^Qi(5?3i8W8;W9AX zP_1E?l(7F&jYeE{OZ(C&E&gm#rKqH3ZXC<9TasqENi54ca#*CbqtP}}nPswxlcA$B z&tsEEiA$W09C)`*(C&VA%lYq84?pj9`%wdOxpijCgT<FtB_;4ryKbn)R#x6y@nV^P z;fCE=EepEcG_0~)7EX4vsR{AYVEe}2`(cK+vdyzg9EX)bOvR*x{nt3&mr6Zkj#jQY zb%8_v2A3z>Hn$%Ku5rlU0E^1qJJlRivTNffCf#3mBt!#x!Wa*0h<@NxH{Yes_Kl-g zfaf~LQG;Fso~s=3i$x~!<n#*gT;e$D&}+bRp`-mDmmu@*`EDG)vRW4PyGc}KA92=i z?J#?{Qn2aur_PTq+Vih*9M<fuuvjTzxWPZ8<-ra&jlRs5hb!D{WCA-RZnT>^6?lM0 z-U=L)<-TdV@Vu#&QoONRu*+5|@y1#~{@o%<Y~TEP1>RiXI9k?gAakXoz5SDd;MqS8 ztSsL{9SZ$fdCEf%d=E4d(5;DPW!WC$P#Db0V;=J0VGobX<G5hPgQ21UF@cPSQ$;Iw zs4!n#Cm!lh;K(ZSJoG?;AFJHCQx0dQzJJ=`<TG{l(@fVhQ=&r-7&x=a9XsvdGg&yq z!61-TWOB#>gGknz#DCYn$W8uITv?hFH2cIFrh_cI9Sra3eQ>?4S0Qow(sTP1#htqh z)!Y>&rY;WXITKiMVcy~jiM-GwSFCm16>m&l9Ps8`phVeI4Y_U6qK2;drvo{xo@>Zi zMT#2g)-Ne*ec;^Z^wG}!VdB0}!Oh2S%d|f7?K||r%KhVqORG9KQzvg-cJi85^(v2G ziFePJd@MM>s>AY`W1rIp1NVg$Qx<=aP<8+K;mE2E&X<{eP9JpK7go$${NabT`@`Ja z&?9fYYPl;`%v~IC=WL+Fy=NMG!XrfuukJk`Sn>XBpoZNu4V%1e-zGef^8a>c=AsWw zB^$X}V&5{kn(sdFow@9wGk^5PN!d=e#Uf3gw|S{u@8El;!P8zO!r#8OYsLSGGOZ6X z`VJ*;lxclf(f8<KOQ_)GhbLuPAB6NBdVEHv^`TGSq3e08m6ly7w&Xh4DAW4LsqavM zq5DI{&fL8sz6Ce+1Y$OFi-f;rI&8Z8!NXm?0$cYdigV=MV(p49YkasZ_CexeUxC%9 zq<1?QTr!(+B+K}eo<YwxZn?IbEE})zY~W`3`<BVoe)oY3*Yzr1Bnuz;b@{fQ0M9mV zk<D+J4(sfGkhsiO!23MUZU=+AdI3J0xp{)$Hnkg?Ij*q^e#3M$W%mJtlX?|1GK41y z+|v7ya80j5;`vg4>yz&}_newn;yLe$R$_LUzU@ZNZ7KZ=ro0sjTiuW_l}jURRYPJc zmyJ$%V}Gg^$A%DA#c3-PoXSOyRIIzwlC=4egLj3SsKbX4RuTV@1I==f;Z6Qffd`FT zPItl`TdK7>3d1!m4<{{svZlq_i_5JbnziOo5aVG@(TW`lndGmB3Oty_<rEX@*rKh~ zQ5dPYv%S~r<X#~?P0b_Qw}l*-E-7j-C%mz}SnES!7%Sts6$&omOT~ZRSa5^!ns!-7 zX_su`+N9$Vhc8J#tzWzT{S7haP3GM#H=C~h|F(VYzvN`)wb_4+=f9t=&BkxEn(gT7 zRR=#9no7-<^4g)b?^3)O$GTA7uGgW>4})%gnDm%ul~j$}S}76d)oh11T=D4J{A_ub z<A!Zn0Xl1>c)Eoa>w5NGHa)3e$gwM&w@dDAh4z%*K3^W&U|q{gOH8_-csQ?SJGx=j zL4!Z)b&pQD9sicJa^IvCx=$CE`W5-`bm@gQFN`jFapc8~eJyb-r^!?~%yKPy(HPpC zRB$z6#ta!NLo2oOvtoX~wBP<~e_h7ikVBWIeX3_Ued)i(wB`Rl-qiVf{_v?U_VTMQ z{b$ng{Lj5{+0*w?f7SoRAHSCSbI-3`E3*XmOw{SxKI!NOzfCrKrt8Sdt7so^KgsoR zQ)jqGMCn<3^Q-35HY}dY#8I}YA!$07MA_;Chvh_n+*sYj@H<4{k%RTVGa-&Y_pJMU zvO(my?~BCgoXm56z08mL%g%Uvn!*0qZ}tb9PW|7*9scjR?T%0T+mBBDU#qk7&-v*y zPW@M$w)OY)u>XJ0KRtfj%FIM{|KW=pm-=3wZ@n$^(3|>y^Vj}6sr6|m<KF*2&DZ{G z4>)QnXZ-P5{lDDR|MlI)PW}#?^jX$(<>T{juROV}b<#Id<$b*8x#r9?nc8aAotoWG zn6*z<TKM!Xe!oh^JauA?ld2_uM(yJl*4iMo^Fuw)IR~A$_|@lC6fAZ!J#5nF1x6-) z`?gNHGsX4O%c)Onba;9e=dT27jZ&?=GBN+a*Twk|qq0;h?@ZkN!u@`PXWl8LpHu4n ztaSwEPrf9Sf5K{wV%L(%o0YrwZo8TIJk5RE38kM)yo&Y(n)TbR2b<kF^-0a-^s*bN zQ=cr;nZ&<N<+*Uj&BW*7lV)%7u-i9jPX3lNe7hHbl@?BYQnNax>_#hCY5!W4=d)!u zAJ&67qD0m5{<;~mWrbkRF4j8v)9=We4c_38kdO2{XD*r69vcOAV8@!npLF*WzOjsK zH+7j<Qc;%jF{JTk;`DT|^EP-DU79#kw#*f5kn^Ud&vL0MaWh5l2)JHa7#XyF@y+XD zsh+%nDzcMRpIYZUUa@-fk~WP==T1ywlRay<P0c&2Ln(8<PUek^Z{oATQhcW-xyhd0 zw^a`;wO=Rm&c!$3uOU)D(&a94hSq$3QFZ$N`5V#4JvYge&6B^o?s{3|rus=ajYlV? zp02*PyUSzE?#=hISG?2Q`)*xb=v8LB8H>xe?Av?!ogtqw2wav<OG`_e*Lz~o8J-h= zl9y@M-H&&1b8}%+sk8ogHfTZdF17drV*4*O9zDYLuW-#Gr-I4nFL*I-3;Ec}aV~W6 zq@<+Jf4ZjM_>+|+a((p-F=d?(+HoyMuX3=h3)vXhv*F143PG_@<rzDoj;0wN(%JvW zbw+G&!ow)h=%XCPf4jsJ6HS|Xy{|Sjf4<t#&3)B@OT0Me+gsLIdy4*F5?9&rO*Ps& zw8`_%BjH_HJ7!FrS~KZ|@ZLRZvc4Wy*&*E#xvK4F<BX-VA`V|?PM!E@lf65e-p^(= zy<g2@dOw=Y^6G!yJu*M6Y3Ztb-i&){+kcwBe6Y-ZR&dX@tMX?x-EKeXfA(mf{jBJo zZ71c=>bl*2(Le2wZT*%I!&_Bga@RGNNBmOKKNh7q{Zv2b@=w;H?sR#(k6!QLwf0^$ z>o;DQwQTO|TUWPiSu)$|x~a*tOFvC!r(Nk-^Rhc;pKbmA{gVXGtoT{^$lWpQ{+!&* z6DBF$oafg(oVzLEgvch#X&h-+Klnv<ZT_Qkwd;K8oP?*(FTI^!DKz;DTa#fG^U;vK z2M=WIJ$QuatzUr7<sxS9t#^(%>2BZPaXR<Rg(CLLTkjk-(e+RK^xTPg)%mU0u3UdU zX@;)ZIw9p5i&I33r=B@rqiSpze^N&$z(_iH>Y0N|s>K_3JZtZ?7njKY<-KHy-Q*)d ztcnp~pQm^3pD<D7*J^=-45Eu9RyeV-t(?$#P%9;|L+QQ9Y0)DGxL6H0207}i2@;TA zEqdgj6l<YjkYmsbb@iJn_v+NtS@P{Bi}_ExdZ|`a>Baw@Oo4ZTj~W$(xBA=(Q{+A` zQn|chtpZz|W=H3AuB3;pO*bmmDe%c@c67IMO*+(kzHY*gbq<$wCA8M=i>X!b`4c0y z)LH6qR^8MenF33!mwqla)%|MPI;%u)`q{)I&kwB#`&7}tm|xs|tB@XFmvUC!#2*`{ zh!@Q~cC3W2Hv318#e)o;iiw|Js`Uu<+q>;OSha;~<3ztV%e*u?TfN*0LQZP*Y0hkX z?3MPYWm2TY%3G{m8?{mr=5WoFSnnjJwqinWr`D5%NnAT;tZ~xx5sYSa{=>Y#MsNBN zhC+$2g-vI9t^78|p60E&dtiDzlfK!>;7w;lSIzwWWoDmn&ZOKuhcvfk?re<RbVk%` zrgg0Hfn_<{wr*SYu3P2Y3dMtwrb{f;<x<v`R>npCS?e2aGUdT8i}h<SshD-hx`+zz zxs-T~^Yw;CbE}+3Yx$xpzVEEsudyxT+pPm(yrvs{opp1r2S{6+Tsf%6TUr>j*<JHZ zh?x7d^#*LO3=S%MT=3<gN>!$%qrdL*7Ym=V?aJQSsTY;EaCP2y4Yt=C8u{mEZs_c{ z%6Yh#@3zFM+uNEIt==r^=UaZ^ck#mm`MK9M<5y^Id3w=zPS#d4Q`MhqYRcx`m?m** zskFu1VD)Yfi&@&e9$tdaJ(Z0n9oe~sNpOzG=}S6lGmi-Js#a($JZrkArsDZFmr1vc zR9^(IUMBL_<cdQ*uc_gJur*tizVaR0H_2;lLQ`GPndiQ7jXaao;tsJim(ED);M>d` zxGP>}d32Vck7s3O|D}MYPARXCL6^QxUD;?YmGx*{TU5o0g=TV{!c9U?gYQJyOyy~C zeRuVVL278Fsbx&N<~xt7J}$El5$(P<7ZbVtOK%+0EnF6SXlG5MuI9C?T(;fj-Y2)6 zznZ+8rK}{|bJMh=cLIN(KH`69!?JzLrux77`SYDhnOh`B*;TIBlP9QlN=}q(nmJLf zD{`V7^XA2yd^Vb&N=m4oa`ebVjqcXPfnw6f7wPbcxkSeJbZ=T>5NorhST_5%R9EmV z%dJk@pEC+uypq;ftov<o&_Z{)#Q&Wt&0FVkz4Y0)O!M-MN$ZZYeB6_Iaguknn9rY> zh-J^Lu3de8L$4<CPWR4D&(6ub-Q@dq#_|T=o4fQ4T;DL*oak37TXAN(sNCVBXZ==9 zeX_^rW=3Oc5cBWQ*|{svFW-N}C-UQm_^Xd*s%p#qTODIlyyWQRb!yX3UQeApJA2X$ z>4yq4<R31WA^tFdeSyVaUF#bQEWDgK!?HDwYVn$Gi1Diw+$<HNvevObZBoRVg)H7C zQ<7G;NkxQd>+1W>zV!BI^~>q*793$N77-^`$mXm`Xcq`uT|6`OvwrE#R8FyMjibBI zU%7H%!ucg<uVw%6HGO+ILiu&&4Uy$~vsY&)I$tO#{PVf6Nib+$?zt@~TwmWjkkLFV zm%nOGU(k_n8rf#rM<Zt(|7=<*SGz^2s(qIJr8)hA=PRFCefnIs#ywQ=+RQq&IlWp7 zRhDT>9^_G7JY!`FTbky~&YNCoiG7o9&RCto_e^tU_fM~BhnPYqZv5yf+N-uP;3S8b zrsPo(RpSruwriy=nr$Q({a<Tlub$Vl2a}7c_3X4Fx#a6sYZx&Lhs-vfRI$|Sl0wB= zuS-W<LRlkcEO9bZTRow9q1Kb6Ra{FAe9J<LuFUJ5^3`+C&$WHRd#1iitZn<s9-O*Y zG`fC^>9%dAPcP3<dY$%K`jV{mN5_m!Q_?=IeHo+I`F($AaFg7ox-~AERaq+<;~oh$ zUtH$5b#7Glr%IW1Q{UzXt519Eyy;*6!b^KzEMR)xExed{Q)VXX_4MdVFLc+gUglSG z=~Vcp-zl!s^1QC6-nh|jH{a7NVA;|2{%<BeJ(#a}LwEK((`DI3TW|LLT;`*F?bNcq zx0iBy60TnRZmg>EVtJd$-_+z}!75!r=9I73uH3q_?%?c;d6S>sKD_kRO|8{(X&G9_ z?l0=NAJVr!a@)P6wj8_5K^dA)X3a>SyryZ!@*7NMr@Z#xluqatzAcus;&0re+lk)X z*G?N<pQO7%_xcsHja{-D+Iv?uCQP{JU>K2aa3S{E@hv5JYgZnZyvaEI>@~6S>J@8d zpV;JD9Xzpo_0%uxZ|(`#j!m8VY-&ZUbFxru$&3e+E991RY1+No(8%xgMt05b)U`Jc zuaUd2{kUrPSHI54>2r=m%c@l@J}k1n^u_`0kJ}aq>6t#d?dAN^qe3xmho)rI>yI&e z>Y|!*e$Lk3aP&4~q_?(Z(al>X^RE60eUvWM9R7#h{`c%7`lic1UE`}==GF6k)l%Ck zrFnUemkHJC+*N)5D#F<}S1-8z@TPq$Uu3L3{kUUIy#6Db_^&ns=b!(yI9RK@e24nk zx}TpO+}<A^+{DY9<+ah(nfFb`gfC%hXDGaW9>x6kPDP~q$@PL2wug2^J^W?AIA@bl z7$3*66KqSbl^vbTxHxrhI%iex%N1*Wd9h8qIq}nxn+ML^`ppsf{@KAt`*@~*zGNHW zDKmL%FPFN-x~C%QTkah2)HN^kx>mk@o3`oS#!Zgvx601v2>JHlOM0MOQ|&~#uFVtW zn4c@zs-5%xzGCOH2>II7boNtMvU$aqeBA77y3=Q&aFzGt=UJa~7~}3Z>1P%v@|pcT zVvzZWr%Kk*|7Bv}lALR+7mDmXdOD(~>hWjSn-}J;*4)r}I4M%6??zETtA<$D^c7tv zv=4Z1s(o^0H)Fcv+$RaEr~ONfjEnrcX~yT*D#tfoKc6oB>81YU9ZJ%XtJs=WZ!+9n z6ZL(Tlu%5#bC>j{f6Dh)w)z&%GSZ!)s;%3%S9YIfbXC!*q;nxhzjzgu%+>Ml*~BL$ zoqD`>U+_MgXFlsM7dB;XI&!;0dfoe$m8Fwxwm;o_yKVUi<svu3$ZN~GKL1utI{KO0 z+UBHZl1|@`Ng0b|K5ADBhjb+D;R@^tR%A{NJ#j=rbkl|~9sa(q@K@J5StEDdn0h2= zW8xHvgGQ>0Bi5y`g=x&}oa&X9IQ7P?8;qx=oL{c`tMzfgxpb+$T~9@K1nJ0``L2E} z-WI-E;9!^4L$-rV|JV2kofc_H*lA+<e&77vk529D;CQ!E;ApL=(FccCpF07H-2EXZ zjwOp0eQ;@wu?bx$GVkiC)166s7qRfJ2^0|a7Cmx^hjn9N@I+?z?IK5x=CXP|?3?mo z=EGuE#fpUrBH|)P4sfy>76!>Q+a7xEz12e1kB{r9_nD)cy>m8+JXEj{ewZM<l!JY? zT4(TtNzG?po;$th`Zm)m=~7aA__H5Wv@}0RYH5Bn$>RN2nWq=aw%%C$!{VUS$DFW( zyZ0USe<FH5EmrKhq$BsisT$lzuWEERW^dZ78y%rO{m54i_JfBx*pIHT+I#qbN1;_f zNkzD@dxplr0^X$(%NDY=b?vQgjK7(AVoS=Ww;RIdNLS8?FFhns*z!4HpIZOHM;Bfg z)*a<&R<Ye#o@CY8sO4hdu+5TxW9x>BMJtbR+|!giTCHmQ!SSR|O^6Y<{?s#W;!o`? zUaU&d+oc)FbvM-2?P9!Wui8cDlN?oAlCJuy#vit3U0>O0`slHTYTCn&NjGmSP2ua) zoZ0=@YuX{zkA6p#j4$|at!8^YHN;bDjdhS}=A!khnsmMdDoW2+N#5TsvnNPVe0``! ztNEo<p37%P-+s8O;^l1Pe~EWv-0ujymrC4XwCv8c#HQa?J|DO8Nmr=p7Pm#e{um;) zwP^42&MjVhUfcE`{T*WaWbUEbB_7*K9z9veH2qwGt-$-jkBRxQJN(QXe(AB@4bEBE z>+5E<<-xi&o3yTX>2;N_6FC)LS@cqB+mUGf*H=zYugp8?wXA05^JP+-E{55Z$Gn)4 znK^xBYVuLjkK5)s9e*~<$2&G!@4UZh>{V%wUmefa{blRASJm7YSJm7(ud2CKuBy5B z-6o@qbtg?fE%h}wRe7<hO(Zs}W%I_?KHVD%>sE6weQkC=b*(}{QuW4)w8d{8sBber zd27Y{Bj4OV`dP@U|5)%e=gCylN2fa9nm_8yy&tSEeKh#`zVx_lSt}anKI(kybUZ9a z%s)qTRr=caF8{Tndp2Lwf70;a^7BJCefa)}r)-QYGnslmXZ=)J{(r`|qf@?y+iu?L zIj`d5nQvi{Pk)@t$)B7*r#$c;licmpy+zs9`B6KZ=j|w8EOh=ySZ3gKfA9Q>&#W)s zx^?D(Tjo9souhH<d(M8Vn!Dy?<%F#sGE=Y3aOZw`t>&5hjZIISmb5>pS<?O}X36mb zH$D10jW1=Lc(OSs-{1TDwwiT+rmU&wn4^7PZSAs8J3k3unss8+wZK>B`Cs$0w0~ji zYOZQ-WUgxN{JUx4j;s?~wj8(1w0x5FnfGQ)?5z3M?rZBF|CIUHR?2Hbw6msO$Mt^} zzTsT~rz1JDEVUhU)1NMXy5`4`?!|WRrq26uBXwa(V$ymZQGK5`fr?=XT1TFBKAL(# z=~L9}vdHYUF*72x<IMGKpS*Crr`UVv+M)30o^P%MG{s7tGFrQkt?cx!4>i9|Z`<;{ z>EPK-G1CnXs>v?9vEneB*^3RGU#)Ty=kndYp}AW7eHQP2?myGkO!_Qqq}#hg(|3E( z&ZliAcRxLyX8S1eol>gd`jtslZy)#N+|Y>6yQw{!^QYeSm}#<Z`%ddlPch#8>FKoY zN5VH{s-{Qxolq9j-#%yk!}U^HhaRO@Uf=fH?@@GJ>sN)B3p!o7HFM^0YUYG+YKr-_ zi%2hb>S~^>sM@-hv30*-U93X)pQ+svHv~)q?{K;rZ%|q?V}@jq&KcgN$;o~mg$9~S zc2r1uskhGKy~=gc%sf5X>bjZO^~qaz+>6Man;N#u?CkVhe(C-5fB121zjpmmVDi?t zQ@_e&U#vMd=}0oKYQ>_3BL1dRbmy90Ir8~f)@I(|edhWtb9whDW$fS+=DD2Mwa_Z( zfp0V0Y>7qPxrrP2Zc8jV%*SRHR=r$EZ@=mDIb4D=p^9C}S}6~9aLu$>=p^R1O2Aqt z^u)oJ$6f_3ZHicYTvGCZfA^fJ%uUL@SF<(hCLCdAReW*X&rjo*c1I&4S5ne~rU;2E zezP*KeLng9*a22cgTg>QotR0?($k+FI4EjaP#DS=v_p;cri$8W#)BT2H$Pv$Z<9Ac z_}X3#j-$@3h95i}eM-Wg9B{I)T&5{!;?dZ6y(r<)RGEkhO?I=m6OGN!iyl0hDYN9m z7R5V9uUG5uk<`g9t*Lph+{5|x-s`-!E!^Mt^|Jn(wewT(v+cJ+-{(&GaPFs5v~F9L zu;PxjzAYlcFK@52I;}BV>hw*Cb!{xs(ubW>lU4sK-&!e=y<$?%=j$)0KAtxr<@WLJ zn{rEiTOKSin|Ntof1da|z1h;-_r9<mmlElCu!AdbPJkk_dgzHGnxdNu0$OwCgekIL z4>@r(Qq=3=viGTmu1D@4yby3YK{(pv@nV6g#qW1s;^)1(v_jzO^1Z@t$t`UmW*Zi# zuMrXRzxi-(flkqlsD8am5lhYO_e@V)!LfJl&n1Qxmw$!#Mlm1E-rM-7x1dIZ_s^fx z(TU%VO01mrRVGp4`5o=ojGNw8tq8gHUP_hqv1CN#{^^H;BF~HN%H)fTte<d9YwA~( z%(j|T+vsGCc|O;qIqY8Yis+}N`gWz>-5OgVcjwjKm&*5}CVMZQy+%^>v~s8NzVFJd z3bQ|-OxP*Bdu<zwc&jU0d*%DN33prPZ9c<Mb>dT0iszaMR|5rwbi$pxmS$xnY?PW6 zv8YXK-?Xcp?3z_t-!D1ns~TU7KB@C1&`A35lrsm}Qte{bgl`hLwo<}3Y3(GzHGxK5 zSH02_rcRm}q4`bxsQC_+!iZK5zLf$;9Yu`_99n(ege!9Y4moiwPPAx)d&J>s6Si}_ zU9^xTwzYL$znWoaJLj^O21iR|%?zB|ea~D;<lb!Z+x4QaGw+_SvH!S&g>6j=b-!E* zklt%@#WA0^^kTSk@C>EJOQTyR-&nhlMf#}T3Xh+jJ+WFR)*pWt;@l*g<*_lAmp3Qa zS@?9w??+poS4w#q#5-$>WvpmymC8z*Xt1X)GXBxg*)zAV;5~Z%bB@@wx<4^O>zjO) zG<hesx3s2I*4W$$*!%6Ny3XdaPt$|lOx!9tesPCBtggsfYB1y1ytz>e+eA)Zy>Q@C z*~3Mv4m{h|m$Uo#7q+IqRm?{j_Z~dpsMx$O`?dF#2?-mef^>qMnNPR)geDa3=YPq& z=Gs@bF6FA`#>H=cSl{YqtIHO$Ke%Vv!m4vOFY~PVHn;7Y3U~iy#goU{H#~U|98t8< zU``E}w=~nv4}Qhxxo-W4eET)=<dGR0QW9$-HW^y#Sk4fd7URS1t$AjJ*B|Zse-<5_ zmDM>_<(k9l>c}e^eXXit*Ah=2S-2r3F?8#S?n%wfiPO886E$1^X8x0H>N;Zo{)pPu zmkxP*`>W-}O_=*x&K_fSEG~$@5OYU(i^#h+qsO5yuTGeF{UxiTabfTUojU?1($`sg zb)PuT`o1>YQF(_>2Adw|tk&+PwB*$bEF(lO{V+ck*2Z=4L92nY!K?_q46|vRvznJS zJxl6buyljPl8@*2>4}&$u`cA9Wz*cvqI|T*(b&-cg3cLH6X{}>vqy6ry%WPUjK$8M z`8Hu<y<l1Y0?8Y?89An^8D?divzq5NO*_;#;h4SrZr=+WVeLk_pMCO0dPJgG&K^l~ z+`Lh9$w&Poc^tV%9!k%QdTZ>hbhJuwQ)g*YTJqWjJ0p}cH1BX_{yDs1v-9oJNYC>k zChV74bz3hg_SQSpELspbLm`9j7-tk$a`Ro||2w|znb0eKwQIxK1G_e)B;`gFZLreW z86i3?C_?hilJB}7pYk?pJ&qFk%&L6U$kEt9*WyUUeBpSdA38qbHD+SmcH-SEx~;5= zz41A6Dj6boS<kwdJ8r%hdLgESGjq@Vxq_#R|8M#h;%NNA@Wz)t=R3~})rj5Wwv&F( za`vFKWAVqR3qcj4mwvQc%;%cby1nUHLP|z`<#!vW3qcZcmny<-cE@fwSP@&Of6&7) z;sVbX0TW?nma|Uvj+-y~Uy%7CY9e0CqT70JVc5IUo8hmT-0r1*U&)`%=ErF#oX&do zP^IJMLdOd-F(M}7(^+&|7ndINsgC_NZNkLzo7Y*+9`JQEF7&>jb4ScX`aA2{gUpV_ zg^?G6Zb)7FA%4`HTS{8X$@)33XgiDY(K8n|9@(#L7k9zON5q8tcgq(U837Y<cITOe zm1*-@dTM+mMY81nSU+COa`upf<7Pv@3o$;c`134|n%~h)c`{$EUhWjnf32U|j>+26 zj>R7WFGTc*%S*`qc(U0&La9Q!>twOHNzURK0x_H);y%X4dKej6%1q>)pLp`vjSWv0 z#BZ?SvCHY@{^k_p{V363H=F#nD(;EAJz^%plbg;Se{eWV|6uy!<qIrd=w;~HaaMXh zto3+&xxu{2?L)`~4LNQpYaXZDPo3|1I4191xxi9FF+-29ecQp=2c87%{P63Z-VYuh z!5TF)E<0{(*0aZA9g7RxFT~st*dnryoj>+)^sW-lzDl<LDW7Hrq}Z9w6EG3K+p<Hm zgg3LM;<td7gWaCJ;wHlHS<W7dc1(U;=dn5BafM<A%lYP5w(FC2C`)L*<DAu)-IVrd z*Mg0%YZlzxp_!qV*KYKfH}JfZo1M#DaT9KS*0Tqb9g9D@TnMU=y0yW)#)l(RSYB%x z=d8|^O=*c;3oK`-Waz22nV5wn#H}#?)YdQ8G%;z;0!az&47Fn%vsy1Ur6o^Uuv0=a zLz9OiQ}f!kDlREUeaB?k6$>O^C}*hs;+oZ3*Oa!n{zHp^{a*tO9YG$xqfb<KX<C?k zXs+_GSk|~O@#K*W8&VQ0A~qG8%-Peeo2c1(_TaG$wa9<wTvD^|vq)=PpA?}daWg35 z!i`1#7jCTbzo4^5&_sGQ>)C@^j>Q{;E(ApgUi!hX)#n1ov$nEx(R<E`^@x0KUfguj zeJyKKTGGM=8(kMqnAa=W^ekc7f~5x9OFsTQE90m<L+F-Zep|7tLC_gt6XwsXXOA#B zZr%`ZAxB5ZguR>f>`@^{@5D@v%l8fzTBSU3Kd$O%To8D{Cq}?z+YCVy>E|rEt;UMI z^AB39X0WI`&-^y6*P2E7D5s-wLCgi8Gn}T9`*Lj5e#qnqZxPwnZen-sorp<OY?Ir^ zt>7|8?Hk9e*0oJ($@3Rj-q6p`<7+XgTl9Xz0zny0(JkgG@nSt9$D1b0PhKy@&uJ&j z&U*G(oTK-{9FND{+cTSvxy3tfHZ-_#clMt-ao-cf&q`nWF`@VUt*Z(0XJ?;&Qs5(e z`S7G>_lF&NaxAL%bl$b8Ui-}&J<pucS}x}OhqfYZnac-GZ#W`Wn0WHg>kUVy6(n}* z8XOAZQf{(78GYhp!oCV-OW8H$>ubO8svTSXH^yRFt8C)QW7{@7NdQ$E+B!=&SZjQ| z%);C>^FcaiTf1skV&cgI2R5WUinFNX);~0<ciqDdzPR>Sy|}ieBJ)^Pr$7A{7@;&n zBIo73Eg!OOxEWf^;I`A05t`;B!|A?dqrHyh4e4nyZ#ca*Yh-hn?nSnXA3Sz=d8KpH z@(Dk6@-ref8R+P2tjvrk`k*prPj`BvX6y5-`8lakTz}%Nt_K89e;0Fm<<(=$^{-5k zRo03+{@&xqf`u&a_pi9KMR?JlL;Ck#8XSxcy>;*T;rkgYI+w3nQaMqjP-F2y7ImYk z)xN40oyJk;elh7Tw^;g=%`a<b=ijKjhYP0Nwpj3V&Ccwd-L+Bk4#~xS42rqvx;*F4 z)gm*oY|Ep8y5<Ewr+sCv7ja+Ta_3m7Zh3+8>DW70K8fVL?7Ds+HMT^#^LCV9f%|FA zcUe0dtE2KB?VA=|vEZrMx~!ee?NRR@O`Nv;Lqzx<HNBUMW_#LPENWUF<@eF&G_TF| zB4Pb4cMf^$ZvW_Y`ewj7Z8>i7(i_KEWy=cU+GFQjPBaTY7b2G^Sor)`NwDv7m1%CX zIi{u5&HYi?(z*6*@(zx)V+Da{IvyGs*tVTMvPD9VJ2-hqj!);qA_L2|(?^#`tP@dl z3l}*0=*5y79!837-3c{3;zxH#=rM0j+TqjF`*70+o3_)(x+L`2wUc+mtm%5_l~`KB zDj6X%S46t|+K~dmHN6iPMTj3xO{nFW-pOkiBW8B2;AVu_;iK2e4P*4oju$N5pt`Hc zN2kZHt2Zq=c?ZX~qXmIE{SS>cD7T$Ha!x{zdw0@~oEq7~PZP>Z7Dhz~<Zd#wzx5;` zZp+D|cQS&xijAgD|K;Hm`F`UB4eo=>%ckx=E;>ym&aH|g&ZSD@oof|O$yt|5ADa%J z<A?rw^!M(bD92u|WSjF$@@3-Y^JRjejvo!RB+WkAc7E9~Gh<2nSvO@{HaWK{AD*67 zdS>@}JHOnJkbPNbpmphSQpytbR>45`)<*uo-RF+&co;Z4N%_tO5B{SUJo+0IC&~#o zE8B{(xmM-a^xr9GXI8pgc+vjSWT*WrRvCP>)3VMnc`dzF(`?$;&MyI9`o2iK5PfNQ z(fCsGLiZ)d-M)MDH|GVqW;~1yxu5(vcgoR&vo*TAuLn*Oi3^Q)7uaHwDs5bPXR?3N z3Gs<)O$XyO+REo^cE%aT<cR!<-O{}%y=M#0myU-<7j4^4J8hTHla5W^Ayd<{v0u;b zNWsz%|H>zdx)^F$Md!Fwi|=(bTei3N;i3xR!>J3rOI{lp7~D!pa@cax>3_!L-nxrw z?6r%1^?td`4tnwTXuNveLi5sfzK=p>qz|6f*Sf#*@{LIn7etP`U$CFnzbIa3e&fE4 zHEQ#Y+~Vi1O<J11I9z9b^Sq5UdR0e0ExoW;(`{?uo{r7C-*CJYOW*uP;;q!_<8C)2 z?3mX--eSjm{_zbTo9^Vz7gYUj-jonJ{w$$DW_Gt@VU8wGPhxh`>V5Z*ZrJ$hS8R!? z$;QRo;&tS$vyy*m*rh)D!Nb!rRW7>e$fu1v^L6I;9^6=yW7E4;KF6i^X>xLwn%maE zlJ3d2cI%Ga)RB)&{(0ku)am2Xv<jo<H@+*(QL8$#G4h4v@ncp`EX>&VKE83oL;CnM zr~CIq)sFhUWIO--bJg@$@oteadoD%o>HfBUu?~xVU3g@`37vaSe?Oln*OY$Cw^IDm ze3wWco2z-9>m?^y$iGyy2%p=#%EnWs*Gy2R(=2dKhnb{>Os-L(#;ufx30qDcYs{G3 z{P&`o`1M7;ns++ah<0tB$hk4@Ro<4)2XR|EAJuI+d7wFCa%cNRHSYdJzGianvt{n| zneE&mn(Os2EoH5Qh49P?6OZ&}2p{~KA$;^`#^lD;7uAHr7x{|mxzEmt=`yq2A&^_N z(e~DqLwzTr3=6G{6pcG`FRC@Iy{Og|dr^%!-hH;un?AG18^XDpHk#jhl8~Mf8D$~* za$}*<rN;^JOO7A?=;6<Ge3h_SYrDVbqzIYQ?i*f|@Av$pb!+;OGZk)4k{^3SE<cv! z_32JGF*Kcb@z9hCH|fcbC1ua_rI&0}ooCp3c4O9rq{KBWH(%_~oHsEc*+%(jTZJ3* z>&KG5Yr507Y%rU5@z|saH}>pDlCf+0(tQ#`*GQRcPzY<vQHhm2@3BE?-o&Izo5hW( zAA8iM9W#!SkUgJ~>|(RHIq+kTp4&0wWd<f;yt8J=Yde~~;_FXWkq|rYVW>9m;(;3# zZo-crN$U3Wr*AP-oOkiyk%*_ciE%pfdv|WE;d^%E)6N+pr>7rUb3gQ+=Bwy^*Q@xI z?Ed~fm2KI4=777X(MP{losz$6nQrb_-SzZAE7#77bxxW#zpG#N3I5Y~maM8=u_Q&L zeAW85yoSvBQ$PB|1RdLK@M*i&v0uWf-iaHUJ}x)>ts&{S{(jiKhvI2D4?^Ft)c^Xl zCrSNB@QmjoJ@$c>aTC?*H+_0?|FrRA+eAy>jpBbiPk;70Hm%C*n3#-L+QS8tZr)g) z!Z%NAW_P;Rv_np;kNK_NhZ!~Hc1eAi_u<aU9*>P7CvWU=Kk1VbWW+st>X~C=s>K^Z zPu}<xbn?ckpo2e}>w~BEoc$`=`pj0g!b@^u(!5C<Urv}bbH}n2u{@2Lz0qFJ9&}FH zS+OETQzppZ--}0)s>&A2Q$)n4oH^jCnq0eZQe?!E6th0fU4s7}JT6o%HuRX8#-%Ru z@rUum<x_edY*}<$%g%Lmk4K@~NuD{OM#9ghoH-QddjItNO?wvfJb$!e($WIYgFh}$ z3m36|zc=RbHY25@p{m9Oz9)TR!i~yQG-vkC_ImbcTGPjLllK~u2lZ4JN32g_Gt-#a z+3S^-IDb*m&)_+;r+&O~EB0j2ja3PMYUOWF=}D;n+Rc_Y?TB2eOV?Ykw1oCaGjFU+ z5#!U~YO{~n<tKUm;B~KON!yz~{<T(`pZO^~^i8Ou@b8clhw4N(6*{!a$b=}0w})u7 z9{wb|xJIug@Fd5s)4%=R`}W&TIddSi@@YkW-J6v?=?^y<#c}Nw{rF?fw)K-{zF3|j z_Dg$aFQeD9gawm!zF3w}qh;fAlB4aEy2c#Uj}C#JHCi@4GgmY|^h!&bGAUAGZHn13 zjhWrmr)riJ#2@@o@_peXL7R#F=5tg(#z{_m)V9d<<I8uks>UC^W-e>J?v=K<dr`uV zDc>d=#c|1t^t`{H__J%~_4}Tpn>M(&=E#IAvL6rWI4c^W(W?Au@3*Yy8*QZ4&tcX| zdC<bOac3Xb%^i!K_~cduaP>dg`8|wv`_qd*j{M)pHM3%&lUUrU3BAv?o;;YxwR6X6 zC(W2?%66;wYu-<P&#NjOdXXn4T#-9G^u#ey(V`9UtuZ=*3q{0E7r*!2yZrRxk2Am5 zh#DD&x9Xe;RFvKvdg7ptXpv!9-;Xa9U0jkg);OuDt(?%>sg;u4#APY5-bwG+$^b6o zryc&w_lGH(%??xC7PP7^TdyO+IYd!dJmkb7UCT$4@9}=h`C$3p_NT=|-^%GL7X_{f zR%Ff&J#j=zbkl~Y)|@p#itO5<CysK7dL{nM*N(q=!t<Q8df_kbwT%zXh)?A|?#=5N z(_0`A(_Ikorn_LnG@*wEh02E#AEvb&KDL&lz4^8vpLn^FjOLxI;#Nl^YlTcYs|ER* zehcz-u?zAs^DE1!$+=qS*z^_Lun>J%u)+Ay!=(Hc<yPBYPUZ!E^IIk!dB?$i@VX#h zSF9i(^KE4rwQa5zI&V4(ZrqT1n2=o6a`<Q?hdUSh*Vep8d+a!kJDmmjnhp!{btwz- zF}o|vsP(y8=*aXJ+^`UQSWplYpCG39g4ay%6)&IOOI|&_*SwlBEA8eh8-Mty-f4JI zt?A;`=c}hIQ&BrwAn4QgFe!MmgdVeU(heV=?uVN|jj&@q5_;^$$va}sfZ9@JC9Hux zU4C7?ZpBGEIG%wTYuyix4D8!ZA8C@%<K|A@k;Bvbu*g8C?ex(P5_;Qgy4EQ$D=(g$ zq<npngkIB9!x)j%NjrFS`X3r?RBAhY=#+$>S!LhDq77DUr;jd@SSNDLC455X%8Q;G z1M_lu#1HP0(ChkY7{g|Eq+sR^iNmRh!93G@&l<+?ZF3P{)w=Pbn)KsEzB#=zxkZMG zx27CAqF*=RN1pXR#>2N_e2br7d-m<#eVsP*zZM^BIai$DvRq<Wn_8RMUz3l{g3m7d zzO=HddS&o>cj=6;GFCZBE|=p~9z3*qmXZCye)5egN~>6;qxVh!Q5NBww8ckFLe;Z5 zsoBMJL)@ZS5$b`uYo@L+3-b<P&sJI0y-~=t)lkti{J3{gi;Jp+W}xOV&k*Lrf3w=^ z_fEC{W#O_&h-d1GH6J}fn448sbvg=NJ><}tmE7P`dLcff*mKQ<6)f7ST1T}yvl2R8 zOgF|Xii%JR%+;B;f^XWd&}FGn6+itZuV4vQ)jC?ynU&DxVrm$<C~AgEV6M-U6?|$P zTH5z@g{CH~c3FBuE%0Vj(4tv4bOLW43S4yShGwAbn=H-p*wVAC_twlVUgNyRJ3T6D z@{e7Y)^kos2oK)5`pe-Qq1#Uz5~44E7rxKQG%Z5t@2pfS$Ny=H3(v*vE9;7W(2(GM z*<Z+>qw!jq>CY0qyAzJM@uqIQ_~q`A`C%Ecv2AZpc{(cZP|6UQ&U*I1%4PCu|Msl< z`Ro2&E~gF4U+N3jD=O!3u61Hz7kwG{CRBj=x5$wrb*vi;92|3Gf(6*!MUNa6Wc7Tw zp+)5PYjZBA4`GfP_cS{iulv@|`(bSVP+n2~f=&ku^K9+oey%=`DoRV%u3!;Y(K_nd zne|}O4CXG!B)%IJ3SDY`-4}J|2)atAyIwqK8CX*2Bob^PpHaiR^UL%<kMGTm{<J1L zSh4A>mdi%Z)*Exo8h)JV`TOkiR+U}m+5(Q|qKjUvc4GU*QZ-K~NqUy#i<PIW4)!=4 z5572ALZ6-G@soz6H8K(s%6H9<maNQ6m=%(qEw(Z*u`6V|VdN_787fy}eWtw9^jYEK z`L`-~*RqAhw$}T^UK<=#GR;f2{qH2J7IERr;v?T#?%avFKIe$Hs`3swi5E;dozhtz z1;M<Ud8-#TW}o^KuqVKX`TW!~M~qcBe+W65V-sk^-aqxsQEgT4hpXOLyt{Mj$g=$H zAsr9;xB~BlDl&f$IdP;`bkhfi)*PD<MfUy{wG-c`e{Q?w!~Kk1O|tF``=m-KFT-%Z zoBbuVLVIUjow8tiThNy)0nE0u>ZkoU&5-)}u-E!IM_#GPsz)q+Dx$sR&H=B+?b}Xo z-YFS9@A}8Y`p#*>I#-LjQls(`cAl6$>(Z8><;5*p>kHymZZ4gX6t+NI!_VU9liRnq z@@IK`2;|kYTe+}NuhDS*)c&<wZ*CK_yB#N-am&tSLs+ZEwUq+V_t@1U<dPV}4p->A zX>EA)a7A{i-Q|c3-Sd0PKKp-Sb!}RIduqC0sA1<>p{WUzU6$U^2)t?Id`IG_UZBZ_ zU=iOp0<PSzT`wLh3oO~-D-xR{dQ@avOY!|Tv7e`}U`f9<)&JU$D=O<wq%@s2n)zsw zk6A(BCfBabLRSx1c4j@=<Fd8DanUUc)j-*p=_~Zsd4`z%+oCNmACsEf-&o?iFW@-; zZrd!64dG1IOS}#9S18Wr4e8RGeD*`Do7(B{Bhj-|jSkk$^x5dICVfMCTCwLguVW`q ziCj}Re_G(TK{(G|`$lKFz48ss?eoRn?1?`8a7u#tj=y^n%x!+RJ}VMG_|@uu)Q?$B z8!RK2a&rq_%UmV!+DP<e;GGZw=ItU!j^wg#EO2(ru?ZDmFBds-)SA`v;ocSz_r6}P zLsgEf3vaAZU`u1o>YM1Zc>C1Wpt%WEPw)6R_$SSi5jZn_nTuiYD(M-DSABgZz7kvJ zSt=d8bXW7upu0z9R?a(g$6@j53qI5SuRAL?sbaAc%lf4&CZuN14A*k`u<2)b=lrmV z-<OsCJEpzOB`Y`k)bgJkyVmZsdCgHNk#hLiw^MWcnsT|VXPy2V(eeD1b>ea@qxbJ; z?K{Aj`lN<YJalG$_S%%X?9?CMZEDU>j!@`g@phS*v{6GULL{T|=%p{yq(M`@Yh?m# zCNppQ!6oCcCOJ)O{#%tog9zEsOFQTBy3bhB;ZfirI8j8|FT`bu#hMO}Zb5YoB`J$V z9T_6ZetaS8wr_jp?yzxXf4Fl~VU~ws7%wkRptErDl?#V5SikUX49xN{2;$XrTd}b5 zVOB=c45=uITQPQlXA(Cm-&e?BdCl7EdyqMK-SUscSF%%$4oc1R*%+lJ9U+?WVP4Fc zJ6@Iw5sK_0r=K1;V3atYM~BzMbzSK?U-OjWm*>9D{N^chHL)q#%BL`<op+9a(W_Vv zzelTN1Y#yKcbzUuco39e@X=w~{fMpJt2Q6)3t4_c_bTt3l`>D_oFCg~b+f;EBW9^M zW3hrrvFMQlHLQk)-i|tFvNikj9S_Cxu6AOH4eeMon@jS=Iwv)|(6*Zbn=Uv{D_{S3 z#!3YiW097mT}=`Z3l!AEv^rW{H`yk&OpWswReG`1Nn~&62?u#mql@9KI$u`bk$kY# zz09XF{PVl8Dv9|%1^#Nmcc!0YlMA_39h!Ga^<%&)VVg;>MEaNRYE+Lozu{(n?Y#Am zFKsc7_qqD0PWiRbp{mQ`tlJKpPM0cN|8aWfe2v2AHM<1ErEVmdq?}9$QNDe4Lsa+0 z-ZdqxH@%<dDQ8P2KAC9UYw!6-!DW{8Po^p7*L*Yiqx3OZ^r?2T%;VEfCn$FtPI@c) zK2P$tgr4@kNo$VgE?n~?Xj4$w_LfbblJouFai_XQMD_}w>iV!rN`H#z^t~l{T$Soe zH|<iKBKmRa)x3pkYOZLne(V$}7#1e1a$9@a)g#AT9`ScA_ShfuQr+?Yo%t&NW_~yB zd^q(!i?Y^!O}DT*X^GIExk)8Yx3?Njy>E6btj<~@{O8-Gny2Ml!lxn^NCZsF6cX0n zA6Xv#)A!?t)1UI0^|kl=?pg6?+lM`$ZXerxDxck7dw;CW%0GS&KTcn&BOwuSZ_30Y zi#Hurs0jV(dvW<A!wb7V-F8`jDxcd{dw=ei6@SVu?EQ4xb^EFJB5~6<CnN<#n%$HL z`RV&%<s8<@kCLBmZ{(kPU+rFaU35k8&)mnkPq#OhKlP22h|#N-u03rp%cJ?f#Bj?W z<JQET`D*_r{D1O&o6p%WCYH;a?tA?S)SPgHE50LPr@qU^GvY~~wc<}45sE)?C@KEL zF{Stu2c6<i9A%2vX#IJ$XXC+jT@l^0o^uPH`P!<{_RDEaP_1Iv+I<46BjP(k*Xke9 zx-On{^)>g>3tMLyG8?bz*t+?;?o|Otarwm(kGUl!-g3{Bc*-3q@sj(d#6xaNiFe#P zC7y9Fy|8?ip|J7lj;;F?_X!+Ki0??6pnrr<I{w5FhWHas_3<5BjpI)^?tgVmw`VO| z&Y5*=GG|t@#jIJy78Eh_Z{;KRgVL(mvp1FAJ7av_{`AIQ(oxLO$}f0Dw7;@#)BAZ$ z|AxXMBa@FYPn2a?)(Y}<=_|{y747%=WAfyIdDJ%1TSdA{Q=Ju0IbHa+`pEe&(^UR# z_TWEQFaC1jkN3*9Z2#Il`aA0-UvB)+KT%Hlf9{gw2kV7j7Jdv}qTc%7YL%A8EqB$! zySDz<y5#&J-mOaGU$;kp<A0k=$%~4Y9CtFilzh13i^YP8a^fnNCOa9e(&8!U@M<f4 z^1p6L`-A)4UjqJs)bH>9GUG?NvaQ&^$|c8--FK^!`J;B}@uPetTg^WvtF(Mddc4|r zW9|FC2>j8w<ot2^L^)>ro-Z?gL@qgg=)AJ6*()VmzB<9s7BMT=$ecgUQ;r_2mq{)B zINv2Q=!bv(+#fqHP5SKPx#p+amhObN=Kridc58$S-F|d~<J<9#fifM*#svm_XOAqj zFyn52d?V*gcXIIt^S-l3=UHqMDLcMGyQ|btDEaX1>xDTiyC2=qC_A=M@`m8?w4`d8 z*{x3tbIgt%-DoKxef(LHk4!Yz;^Zq+8^0O~B|pBu!osX+VquPm@}nC(JpIYWhB|#` z4_&Y@lXiY|Lx!g>x!6#nPq%fS;j2?e+9WzRGH&vhk#>A<Vb&E_n8Q|eY~#!q;>VAP zO)JbXdv<K2rG&uZ65X7hhnqHPww*rsOhQjQI%!AHjbFX*Rrd)OeO#aVH%QI;$5e&u zAGtH@RVQ=S{r!8S+<DXYudC;Klr}{vO1{?)*EPDyvj4b^#^+eCM^)V-0(Tx<S5{Hz zROfOk@OIRY)9h$$=Sq6i*c7p2y@J_0&5q{XT#p|0H7zNKj<XO6e;RnhqsrHjqfDFQ zXdJ8I27gDNH{k-@>>@{wIkFZOcsRz$giR3XJHdVYfN!OUS&3|@KvOc8(?)kko;d*m z!s?<&4r#J(ER1rLnG+}=o-V4=TKwsd;l~KM)?U%)qAd@angU`%1em9b963_Ry79x- zrW-rfDDbU2QGVr+x8;V9PJA*p)0xH3KT&91uKQ<KFhfZE%&9K@`56X|<!%3iIw$|I zFPZV6{(53p{o=!H|H5U>KJI_9q4od&bg7)h-DT%x{`6bDc@+P$fb0L{Wg(ioUT!;U zb>e?yJ7?Yc!y^BqHa}jo|IM%7`71uBJ*dANApC!RThxzw(<{gRFJ8#^FQ2#cV|``@ z*Z<`OaeKUedcJ@8sIz!~W5O--A4`3=Dt1N+@-^KQ<m<8&<YRuUEThKeW}$PYyWqwQ z`G*A?6b?O1N^DVXb^YbEe8wvOCRz4wWf>N4B^eQKWf`_<FO9Pjy6z<;ZMv6`IO$$O z@}heW5}NKkNNT$`;n11<KR(F`lV8YZSRVXdzfRMm?%>ziRm=zHzu*<AUtuosBYE$^ zBl0hK#rCZ>zwx7Z@4<uSU)aR|_uZRt$o}FqlcV3aaBg6JJpUChOZ@`#fIr524<3lm z_?)4z@TuCq#ZSfdt$k{?;3?lfpVP5_R!&>~LHD83viY9_AKP~o2>fY3WchT0a_9L) zIxO?uBQ>%X>9C#A=<eL#w<%&p|E3!r?vXMkE|D=NZjnJ5;(`A}{yVxTz418d63I~~ zp1Sad(y62cmP*^%f&}@5^I8r!2|wJB=x!ksA;>3QFaOZv;r9EUHQJNczKxlmnQ@}z znZNSBQ>`jZ>2;c~cg|~9ZMwemz1qJmTB$#I_xrQ-KFI7_zuqe<ecHyfdHc`36e~IV z`R2Bkl5^s>vM%cWU*2i|Y{vcOX-6K<(f9bEas2&!ZPrMxpR;bM{hKy*kBi!i+0Rew zsMSyO+rF&$>06bH?tC0o&wujz^Q26E5&F~j!sa=vlMa6Qz<zU=_W$%w7sD5#Cl1fC z_bE_sKQjMALALMS^a=qHj(5-h*?df&7Z86ob^0C!p_)||w^yC(U*z%O;BL;I&Yb4= z+WR^0P3@jyK2zo1uV$9~Q<eH6-=D@Q_!Ml9V3xcetMccvTynRmz!XluE~EbzM>S{q z6zq!VldRh`v3^xz&TM-hgLC4~<R41Oa|ru<X#H6}^%~nDmeaL~?H^Mc4Gvx^nlb-s zN==Z0kHJOpXZQC-3vmeRWPM&`8f<g^BoB8p)4`<u2PQ{Iif~+;dilw7yO|Fs>z`3B z$$!r;{N+<?<;8EjEu6o89@^t$|LfA@)LU1NSI(^aP#CvtZ|$7=!>j!j&hULPTi<_T zid>sl*}B8?ny$<LSYonGY|p;~%TuFneXc#W?@+(+ef|m4oPN(*w#_7e&hM)e9yCY= z$%HsFw_mw%<RR1k^<hli?Rjy&oN`$jN0oU^KSVhD+MN7sJ+*erzGVTEGY?K|Dt{6F z)yG@5uPuAQO1b{SrWZo)&&u@OEcY{3aY~8a-4pU<)$tr5x{0B`6=!Vte&g-&ghNZB zw$5)l>cbRzd#NvH<mwRTuDq;_1-qqYy|^f*@kr~-s&ADWn3$$K*wz*_=V}1+bJHtF zjwW24RCM)Q7I%p5$5q?XqgSv+T;0ce-C=1~KHKVPQ&xWqJ1E_9y6VTz(}!~#S!(;^ z<gy-@uj)Rstze(-hP9`dr?aP8ojyF}k&D8D-*SuZ+<(@4k*E6d%#`Q1d=&3b$voQZ z)veF?kK>qgV9N2?3TCy9(yVp2s{_^?o$Tcpdi9r2wT*5|QQ(#6k86UOR0Hm>Tzcfw zOEsJIB}$2BUUoa}6k(pSc>2`lbuF7Lrt?3YVZXUEy!DdAtMKfPyNX(-J<-1@p^za` z%X-$q-_iJD>;;{gGwr6*?^(|tw0121xXEJW%B-Cw)q6PeRpuZ5nQ(Hl*WZ4QYg+G{ zIgWKG9nEk|d1|H=@qb=K_r!z0zK6v9|9g0+#`XU<68=sO_{-0KZ9jjpl}^O})KeEP zB>esCZluTldcMf(dXEkNy0diI*t;iv__bej4f~<j|9cil?$FLqd&fDe^><U+<CP06 zcj#s4tz&sT|GURqLxnk+VgH%Am(CR2Z*(Z#>G!GNrHdRkY=3*ZGk+TE`{dKrPFx4y zH5^j+uy*D;*w=7K-Sf6H*THQKht$2Iow*K9YdECtJ=>Y<pxS@G4?pi5Ti~$ap#1UQ zIrrvFa56Ao@FAtFRitS)W9$2$UnV#iC@=W1cb8zm54#wCO_u9ST=O&Hc{N$WnYjLc zI{S2jQ^Cpg68G4doVgCRHXQn1;^m<@Lnh$IJULEHmgh`d>mP4cY<=M4@Nj9N)shXY zz77wc7Aq|gkm1+ZQ`6Tf(zKYdRp0GeyGYY|X0HGHE;deZD$rW+q3GQuyA=W@pS9KW zpUWw>>J~6_=|5*wY+X?A@bGEP?fs>br+=Q?aOm{UJP*Yk;sF(w_xUwh_?fu$pSLTv zJ_vMp`1Fs(5&;`djXiVnTSc1E|2a=ce_k1&Q1MW_A}x-MwJE%=G5u+2KmvF6{sYFJ z%rrK%?u>tM)z(U5L*P0652xznML5EKeqUF-POo$2&jn5fx=R9l_%+$mrc8gd^m}-Z zhCa9V`gIa=9Kk%?ExbIs{=s|QvwwOsO_ET`U}<LUU9^3Hq=ZU_8XMQFR=eD#ffuDc zw3e%<P4l<-k=hjbbY^+zw__7;2F*?Ab9sLJRLV*}1OG*zlauy^s7EMVtZa_axXLN! zS#r-t+`F_%RcNmHwWUFG6K1+R=Pm9CN#Ck@vC>#p>ndkk=hZ{q#XEe|BovoD>g`uv zYIRVf^W5(h7lr0tKP9p9+^<AK>ph>P)hBZv>o)SQY4TB<p>b*tllsY&rshRwswc%7 z%{(jQGBf;M-b|kj?o%qSzMXoK<@cwheeLq^&Ym$h&=BC!`mS(KI&IPmc@AM74S$x+ zai@+Ry*cgElRN6yl8P&f-Ua`BQWIQRBzGly<HkJ-d`)dpIU46pPA{34uy;Y=8etRW zY}T_!lpHs2h`NxoM#zL+oAvC`u1}hiH$D5hyw`W8Q>=9imw4nhz22u!UHu}T2){~w zxa4L_Rc3_t20q6N8e-hD8cmzhk^**o;Rf&d;(pieeV=*F(+7SP>|8nQ?^w$h?C{zx zox`y-^w!)2=M`%%<*{!Lx|Y4sdd5_v1m1_QAIJ&b6DZ@9I_l?WyutZ`PmZ_=_iYx? zT8-q_Dvrg4x;F$o7AVGWOjC~ky|mCYrf-j845#{8sY6dU9Fsbv>bQ7^Mh06S=d8}n z4=?GPw+KJHwBDpe{Gsdv-iH;Hp)oZN)1Ny{dt36HC!cTgY4vw3M^@LnO7HLVa*Vvb zc|na|zylsX-T4=}9P&GDy=JX5XMA<Ta_W&iW_8=UCT%|Q-23xcS)2Qpr@R#ZY#Ti5 zeNesCrnMz4EcXI7?XOv<@S$lE^V5lE|JMm!V}C#8MNNoR$R4|A*Jl^ic-ZPN*W}m* z$*DEH*Ra%@9I34pzF*xurT9;(Kl7>O)~l7Gi#wED?E_6NY}a{atlRrE^Yx8yO(%}k z2QHCN?qWN(RsZce^<T?2F+XkSttxUbjNs$pnaC{c{Pe(~4d0d?KE?b`NoJiw&buWF zBFdsi4y^dLbla1OpB!!X)n+cx=iYpZccV(h^%BE{f8|yGc?Mf=i_8D}_=tUOmb-@9 z_Nqy}wefZ8AJ?kY1#Wzj#;sMi@}~YqSMmL8-gut9In76L_Uk)Mx0|kYMgIvhzHH?7 z(#J^JMEccitBuaHzuOBPn&!aeWN7T;VYxMu%gG?xQRCT%#Gc9LzLu_O@UpI+Bfms( z>I0W~r7o_fHD_y_|IO~S{}Q0qDSDOR;3=;l$*4NfXra|VVpgxH3cq;$!_`PtpAEC- zPg>uy`ufH2&;J}Qa9(@jZ5^ShTi4lC{v`I)?l|4!m#%j2R1Dg)=HTNRj-_r|h0i`- zT<Wo>urZhY^Uun23yyV(aciqaPurXm60fj=by**`>gi2QFBhhG8s5}g$kH+WO^V~w zlYWoVHM+CzRTq9fvcSPWQQ?BhF?QA_N!D$j^f=e#L@0&-jJmU2Ack8@XQs4b>w~nV zK8L?stqB!qDxKPPWLMwUs|*J}dChtBBW9+<W2dDmr&^}_%iDeBSQWo$d&1M!YpXvj zKJxvLR&>mjt0sxc@?uSIyuYm2viMWX!a3867xId{UKOpO-|~Fv>V&72mDBj29S!!b zyeV>u`(fqJBjT2>8zUa4Ykhsm$+Dc8Y4xgL$HoUKOOI_{Dpeo7^6Vm~4{9qmq{Ow0 zG=)!9zF&X-L|UiUhNY{1y_34B709_QRLV)Q^X*lJgM9h#D-$bDA5hu$@pi;2ri0&P z&ZmYZe4aSr&@&~))<+2*h6Nf+W?0As>Bw+un)S7bupf8o>VB-K+A4f-?t&YFTQ(fB zmki?YYZd9bta$Xm%!EU1Wo)d%yPKL?*Ecuy-gP{9aNdFkN%;XDiSx}Tg|XDF|ImGH zg3E_JQ_DN+G&`%C+zP@kXuRW?)p)xpZPDxLiD#Y69XA(7b-aGCc)`ve3K^Pv_}@z% z-Mpdq>H}*><qGW#k$jf32VQ?r<FVoOR-4zN%Dw&2q+a*LlLrrPc=E_G!t3Ea^YX0o z*H|p==4PGmN_IOpImjY<O4j5VXYTJlTDQ?_){*x=lI$!0_GdnIH~fG0z>Bc+k888m zUHx3qYQ0rwdBFp3Gr@=VFC<7mpPTzJTWssrHK#59X0s|;EOrt}4?S^UXZ&W>DLhXv z<**%kFFf;Oc@_J?GaT%*+gvSp&ivXPuU5CHBxUdJUv<KXiWLH>3wOw;8Wy}axA*;X z;dh(oO!iNP-||goB=qoYesw+3?D_v_vs-Ki=cWHkT>BY+n)4tl>q3iV3T%3RXX)0m zK6<Krk|*M~w5s}?^})rbepZ>9Tg?9^eJytSbpcb~oS?b|&H;)RQb8Jg?ILDDts=tg z&Ro(fow#0KbLM(|{jXPU^giLTvV_IOkt?&qFJGFJ*8WDX(`!TcqD_rHi==L-2l~Ew zf52*ASJa-pg@MaAxNQiypdrRFtMO-3TGG4)kuxMOY|?%EnN{Y^iDl0m*Y@q7u~&Ka z#L$%YWu9Gy|9*Tm{rYvM%Cl0QY?jZgy-%Yr@aTw`2zRr9m^nHxU#vb{HSg(C*_#hy z0&h6wMLHyO?|kamnBb}~kw<!(d%H+kN4rSZM#ZBCc1%c0s`1!pptGZ}YpUbH1FIG! zB$WniFi_OEAt5Is)5F1~=f>75;>OCdP2%N!MFjz#FY<Ce9!Vh{iW0Ix8pm2hn3J8m zItvw#9{Ta%m?j%5D|2&mQ>UWi!9y1oBqT=!6d2fO?2r%?33?%SLURA)Jf{Pf6nppn zYw}Kiz2WG4kMz$s?lgWspt>;DZ2Hgkb8oY6S#2u(TB~lESiH}#X2-M%DrGAKX8&F- zaCEuf9^+{X&-uP=V_LUjkyOPJC$()WC$z5Zs!c8qXEog5?dVhU;z+v>r{=SNZAyz; zZ+CTs89wrLb&=jKa^#>NYvIR0$DkeR>T5kqezIFCR_N&83A@H-wo>4ro9Ln!-}o<? zU5LtK4i63FwFy)dmJT^_sOo53uuX^n^J&o|N31JDw@tfsz|_@+n_ooGJNLmZrA58J zxgI5KZrbr;)r#{X^U6N;{`#ZGpSAho=UVqb(+`dTvd{KvEO}t?p0zXU;hqJbxX-Jr zXdNx=%zDt^VruBUC`v+i#h*i^_MKiA!xu@tP!9C{GHr#}uC~zk=eE8Snwm7r#nd2l z(X1Itfx13oA@5fTc6u4cEs~m{5a{bOWrdg;r`G<9iES>a!F-)r3ENyu4c!+-&Cp)) z$F1|I(A1<(7t;+Pi)KZr1?ut$gw&<`ig$Wl@Ld#CGJOSGl}CuMt?H`QxTaJ2-(Khk za@w`_J1q<1nNq>aGiikyn|BDeGt1Qbj3yzAgm@;e5IL;6s!>qr>Jg95ti&k`KHZMs z^9pHl7Mi;Dh{~!)WudD_0z0!F&RFot?$r_(RSVTXO+W7t=5&=+otuTO9<p?NYI|L^ z)2kqIQP7*|E7-Pqga~V^tZJS8u<Y4g#a&u&zP=NR)eY3V<`Kf2t-7kSROsrV>rJPs zcit76n$YjE^oDle&8YB2vm}%QBV#$99{9aaT4?HnT`o&^s0H%IOkJV2&Lf0-I_uQ$ z9n)2`4!U-F6*?}Gvd|CowVAv^%<k9K`=VJ=dp8T-wyv@ND7*iZ{2ia`$+PQg4}N`? zQ@c#@@QG`D_MU$h_|&KyXYaMvxxPI-SUB7C${{P&uX!u32Q>Lgd2Nhz_RYB(z<qnl zl<kYxn@&mC-WK%cVu0{(lPibnRG;d;-q0p==5j!nr_`x5W2vmfRg*p$R!(aZI&&?c z%Tg*UVO`rM%ZY7KGuAJu;fY_ekfqyn%Gq3#DQ62!rkpJ`xpJsT^=a}ySKd@@2i~O> zD;KiO%UaRtE|v9g;iONNhlF`mE0!%3Id6L9fGw}-M<-|9JwZWs%PZC|WLa-I<<W{Z zDT`$b)%vnmv<iEjdajUeI_1HfwxBuJ1GXhy2@rNSxpGKV^y@oj`^5`cmYYs_G^I_- zV&y`$dzmX*w|kv(U(GJ%RS@s28J4-CajH~SQhQre#KI*tI<r?UWHC0GlC-N$YR0mK zYHHalTAjU4x$laV^4bvWtQnTQqVcU%R#JCc)Qt=Oj(5I4t?}OM+KPppd$TegHgJ7X z{=GnIR>XofF|kj-8Bb5}74Hs@uKK-ReQHQkozT=ri&a>e<yBU7=E?rknW(Y)Ceyxm zALbs}e(GV2)qK4No&Ohw+TFdevzGfBca-+D(jShGcBl5%FIl^6-@3m0$8N4)EF!=& ziMi`wQNn|b^-VEMe?EOxU+MQDaFY7_X^ZqG=~iqPn>+D%!s`G_g90x;pE*;Qxx=3x zIL2&Qupx9_ta$Ykh1Pensj5yN9d~h_y4HAUipIg~vbEj(@*YVWn*@9U1-edhB_&L1 z+Mv0(DPqP_1+!-w9nCwr9zB}Tw4@*=&O*fc$$<k>mIfOm`E+EaGE2KZIdCx1vY^m| zFX)DTt|;3*?|yl+7ha`icf3la^Ox*ub`QFHRD0z-r}~q8DL->1g;md*pUyua@iJcN zw}ViuXiLJ*rhqj80%i}iJ32$Tk`lKz-H2GMz;{inqq~%A(xITrhXFhjn3-dr95|w0 z7rlo==gZXN8!o!@$$Xi_EN=Tmp><wjK=|dTjMGamUav1^V*k3;Gv|du2aEJy<z&y4 zVy#Xu1MfvaXQr)KGkMYqwP#)-+@Fv11m+02G8el{^gZHo@sLR17Q;{xSs!6n@yo7; zt&&dfic8}Y5_YWi*#5!T|Api_=6};>$~~NC93Ou8{?>^{wiaaT3O40+S%`>>6y6B( zarBw<`oV6uNVT&!f0;z5t`s<!D!ORL5+}C4)e|~}jY8(Qf8`R7nfG+<b`7B~g05ve z-HRH#AO1SC*``Evu5ZQNgXO#X)wi8C5&00{p)mP~cc4mzVpq;a{Vuk7or^k`H_h-( z+NU9;BjDPlx+o)IqsFWV5i#zsl4tnDJr~+_w>~_$eC^hK%O)HP`5){4=ak;;1V3Su zrkDR*x}$`$T_+~Y)d*T6?#e9fa`A{;;Fb-ZCj^i3I2v#8zYw7<YQn9~diI#6V{t*$ zg_t>lTSU&aZM~+ljYI0-wh!k%?v~H{sl8D{=#GeMm-M2H2fH+8S?G6(t@|NvG|yvW z?1`m~bDPqVrZ0%xp}!>k@w^3=JG3+O-f={6ZMXiBmy<F7oT%0F+o?DI%WO{jd941t zzr^NCl~4EUE1mJ(b0tyyyvdBK&!W#BtGv4BnydN8nD*E`FP_Y1so1gQ{Pw@ahqV-T zJeCirX>fh$f8Ueipf2meiZvc*4+ygw76wn8)hhk(XUNomJ5%5HHtzl;q4w@%@`i2d z>^YH|?0oM|Y=8J@p^Y0?|B?pNw&g_!ydt8a{wVC%($%e75+5kgwY}>K&z@ib;pw6) zBP*2e)?Z__nf{*lb>tI6pFI<fZ}<?zm$PRQGyC-?w+lW-$tky*%hskB-rAnvp>U^b zq3r&dKMqebS?g=Q>8H_umtw;M&rV)tm_0j;naylE)5~eURCd)zatg#e;kOcD7Exc# z$gCbU@yFz(1)IOy^=Tii*tY%Q+@m6u4GH0<4j)TR6B5cbALLfJYcl2^wqDKH6?wIx zac10t&WhWX4^$tpa`1&Qi?na5pY`K$(gI`aBYe|mY+o9?RArBD?>aUOx7w56PjQQU zf3?Ity6b4=CGR8L0&`ZeX`BmXUX$U)m>+HH%~^4K*ORFW#1n$=XB{{Yx!z*0L;Cwv zjptn-yN*^aoRPHAB0r2-q`9k8q<eEb$R(j5muRnMG%H=f#&hQDw;S9g-|mTiKCpOa z(oeo`L0_0y4zFS?yHT<815-|Jq|&{%>M24W%<gQLTJR#CSK`H6Rx1(jZ>y%y5?9y} z#v2f`o{h&wgLTi_Gn%Roa-T1o&aCfHxJJsL;D~ml{)L;>r5EQV?MXT*r@t&h=VtO% zhNBm=8dQV0Dx~kldmXs_;agTilB;P#!p`tbaSL`lG>-qL@p139T|T>tW1W+It){O# zef8>A@9VV_^9~+gA8}kXsrRLrn_bP<&qw-ej#O(`u3NkH!kqk^%xu4;1NK?GEX-fo zu62B2W7hw_^jgBBhx`A$KB8aK7Gri=w)AF9-`~XQ$>+BCUw`~Jyngl%?cTLheYuQu zd;f^;ivR2TX!@ef3zr34e&YY}ZTNqikJ)qA&w3)gbF1oYr6s?9t*)Q=!?$JYtPk4t zcdtG(`r4Z#ctS?E;^)=%LiW0ocjXip`+C>rM(^9%rn^z{`T3^DN5ku<{)jvgta<+u z^Wz==u7bv7XKz|6GOzaQ<Rkn}8!Yd}Eb`x<boz>bZtDBa`paKmek;8>%}MXJOLo!B zww!g&zJ2zIA8*8`*99xyp0m>1e3NMYz3SH%a+z~?ewm*8@=@L6hvEDE{=__5lbx%T zGV3R|?~T642gCQdsg`bCpXv3ePDc9RUJElb&+cU7g5&iezj9{&(kb2)yJxN0hDix+ z(f^`8OU~lj(~_sPDKH}|_v}(>BiU}(y2q>IRqAwZ{RmOKeNg+=(@$O#Wr{BEODe9p z7@6a?Q)ii0#c`*FZO7+7vfsBVrtFB%zgN>c=XYFtHmh%~>D*bHOxH}FE0U@>Ln3wI zj0IbsTr&N-Mro3Se5#^^c<Mq4?fXBA`XAo^Y4~Z{#Ytzkr)*w(#vm#$BRBl=p+1!~ ziKp*nR<~^{=<WN{wbrP1ACLC+i~l9Aaj^YYj@Dz}s^a%2PJq*|OX;X)ph-cLi0>Rh zSMKzB`E!EWeu~rCj&aWF{MeM1*tFp042=vvHO^Vxn*X)dEWPmgK8yA0nuW_QOw*Tj z`1;am*SZ63J%S6Fx4qCZ|JSv){zZY#tr}+Uqc?4@aCM#AwrXK}{f(99Hy5_@9^Lvf zMreASzB<cv(UwPDO%gj+DX6W}>}Y+>m6W`{$>PSx>iZJm^Pew`pRV`*lAmb`H;-uw zH=k(=w}7e1#^>MdegACWlD-x7ifd+$x21P=>5PQ=e8D;Y|85t)zvhwHwrQN2IgX!y zzgOA!H2lV^H}UN%O?io(i?Sn<g1>A?c@%6>$t{0)QZIkv$%DT)Jb4rw;q@>#qyEM$ zos>nMdpNyW)*lu+x_U#(gTx4<LSvoC9ir26?(li@y=zewFFzc__1)?BVX>)-lMkvX zCw4w?j99coa2k&dm$#Z+yDGQ;p-H{V6Hgx8yy3~C$_THAt{L?{GMqEdsQlASelMwZ ze4<*~zxH>Qd!uGfjjSxb^zz+2ulYYW-@L2x`^JlRZ}TQNXR2mdZoW`>LUCtI=GJ5j z=J$KQ->c5&e82a5n*oc1#Gz&u#~IygUgd?P)(Bp;PwRMIyZ=nr4<n;T-8z?FZ$6Qj zA-0p}v{L`W$S1~1-Arz2fhShzbV<y0HB8>5k?66^p3&Xd(Xp>E@`-_6cgW2Nu5!Yg zPoxKlvHF}_G(j^`<f3|a(yo+1r|UlTPJO9Ohh*+<I<c@?Z0DB~O8xU@Zg!73F=58M z_$iZG<l;qiA7pJ^wIXNL;_LBZp_??<<kdu9b-miV^=nr9-P=jBakX(K-f6jgPwOK? zinFYaFaENAuhd?xUu*9@?Yz3Wcm37he-gej`meQn;=a1SBJFF|t*yUW*2YI%dcEP$ zw79AN47Xig{rGs)-qRMVzf0tQwG&=rm$2w+yU(Uy9Vf%&jc>gYUUuu!>rI!Y#cloJ zyLoQh+Lp^}@1?d~tv<7*YJOyxyus~P&TP@OF8R?_HesUS9+7W1-&)3e?MBIosM&oH z>6Z;p=U6?|K0W8zrRzl-cD<6$xs|xscX!UF%>J`xZ#N%Z#=PxT$qAd;eKXQ78(z+} zN^DbIF85c5ef6g7Wxm#DHf2t~Y4+Ce__Eq(w@MC#%;uY!e_4<_$0}{1ue#2rCs&s5 zf0_{bOLtplyQ<mSO;?s(J(P9XZo7_gyOwnRtu0y~bFCh=`5uqi{<0-IenzpB!GTNq zp>=i1mfN*l*WcQ5T6Ffe9XXfnrsr5a$ezu&Gvo5AiY+f^d^Vd~aAwlw360a{tP-l2 z|Mbo(nS1${_1JT6Jzn7ZTV~VChQnsEMMst?_uVQv6F1w>BJX785e09*#WLzT&--Nj zZf!ZOIs2PM?q$359IFRweB0-2e%T>zCj0r)GU2$$A}Qk=lP+7hPv5^OqkWy(+e>Gc z+3vc%#h-sRUq#-_GMP)K%eH8J&aqn7@2mdhuXeGY@Uf_(Sx;W1Tb4h%aDQ2>T=cHF z6?Zmf&VQd{^<bTE`<=}%JARwVem<~FSgtPc%NvWuvscZ2{UY+uxp=7wwZ`jrt?Ju1 z^K4yeZL;j&eHvADvk$f1>N0;Nebw@J{m0|7|5jWv3ELa*zJ9+()$QE}qVIXGPJSTn zdOn_eU2yEz^HQs{r^<XedjGw{M4$V@>ytg6P54v2v{dw^byO+O*(Xaw%T}F!oj6l% z+qaS*;;!!}YTeto=-b&}$NE-EO$?oy`&06#Yv%D+uR?`i-(Q;-GFNV@XRvdvZ*XmF z)s-ne(o(Nx&kEkBXT06yy4i{x5xwZXuVSx1gf6?SYIdA&cG->0%X+(WZY6K`{rzU+ z%Z8<9vPRdJDL=bea;9dsUqr&mm8aQGAIuWpeqouc*v&1clV*R5NV;rSoMV+R)3;q` z`^yedGuh3TmI;SN7QNbdv1r4sqieTiwws#0Ez<w2vC*aa&95_yYRhhy9FUvMcQgC4 z;O`u(wEe#7GC$7D37+peZOw|<JC3J!Ue(K6?z>xNTV}tq+1uhP%b5FamYgV@-Di<_ z((6FlX$zj4NgD;Tb<NUdA63iwzvu;TN~-nB(C1ImT~}|63fEeFx&Psn>!HiIJ^#+m z-xSEb;$LKOX>4d|=;hy84_75$P5-~(@O@3r7dI{}c_+@f`nSs=z3gt`Yu`8*cP(4? zs^n|j_sEM)p<jL~Z~Y?C6II6J<~xt=@y1IDR~*bFN)374Vm+EWZ)nZ_C9}8tzPY{; zUoFqErRxt?d@+`opT{O_a3JAI*!6@fZEJsAHqTv_e0uqwBA#tk?TTUVjY7Wpn(E3c zONad_Y)SgRd0NB6qw;~;oE4juqyH~j@gj3_Y0dY@z|yP7KBqpO_Gr^a-=*`LRy_We z?)o%4*Y~dV+WFO4SubvUIxjQ3J3GemL}KvA=Ve{ZR;JhY2L^_Jjq?rteEa3<>l-)z z5SDXYICBH<QTdZvT&M59<$Za)e4p08kc7e`uOl13wjC4q=UHRC<;C0Ym!3VpJ*BQM zZ|%dcm+H6G9VqZE+}52WrF}nP>%$+%UOhY3HEY+D4O+90A37fDbt%GO&6#bx4s1@; z-Xxjv^N#QGFN?d+`tn}A8fv+0I)70_m`zDMd+60`VIgMM_e7t)l*7)=_;UXz+chhz zyH$_Q-&rLa9R4?~w%E6I)q~Hu=Qr+%EnMZ06F%qkp|YcuIvVpVt@d3t{J(wis@JcI zzG%$j?Cx>)Z!Ub9Y9P1QUi+5FGPPKxUoS2!e4p-md%M_-<QWdK^J-TGPj6@XTA>m! z|2PwGh0=uy?^&fjHajHG<1%@8+<z<I?k|^jFEiuf|02BN??Hd2(n`I6esQL+m6{hC z!kKtqDs9nOwAvy07q>~`vbt8=T`w1|>YA?_(0=n5XARp6gM<Zq&TKCYk~Z)qx4ke( zT)}pE{gQvJY_iY(x^DaWvVU>?<;dlH;jFKUTo=eqXMI)dxqvaA+vLe22W34DlV^Jz zgwK^;vwC9`{(ghh*7UE!D*~(C7U(T!eO2hSz>b|&YD4e>#oa7Y8>1H(R<q375dMC{ zahbh`v#z|m?7zV6ZQWlMyUkw<g$=yh6^%<J44vBz`%7mOto>~N=0myngX-4#99Ca; zYRK@NKJ>Ih$ENSpq31JnnEFmDJ!#QV;ya=AY>JMMUwzz`R!`Sop)FSDYT`ol#6DE_ zhJJl{J%z92oXp3#<f<b(&v&o7#N=ncIW|6gwbz-?O*Q9NuF1%%S>MM!U!1>V+4f8O zS4>ZKKWU&D(C)0Nf6wXaso(cm-&}}2q<2^4&Bf3|cCjjJp4Bg`&63s+*jg>V;?@@K zkhfP^S8aR26lz`Ecx;YHhST}~aW+h|?q&VvI(Pb<>-?SiLal#SX>HZ62-JJRJ8wGo z$|uW<6hm!Z$AqMcwT6U>Z+&+BlVkpeEj0nE_EY#*J*s-XXt}bh<JF(fzu3Ht3Hdzj z)gSe<m3MZoc&5vn@z=zv-%9)~XLpj6RhY@u&^?C_pL6vW@v12;w&myXJ#m|B>+zlW zHZiRQ>x(a{Kkd#5E-enutu6ldTX(CeZ1$|3*&eRTcl`-_wfj-Yvj1z>WN6%)FSgI+ z``72G%`$3J_={#n*qulY`l&Gg<b3hBColcqHtm1b?)v4MPg>qFvzi_~x7+Mdmi(P7 zD~i@GJ3m|X_?8dT=HA$T^!myNm!53j@}%vk)tWakCduX{XY6~kEDOp$ro^q0c_!k2 z=BU=(OV6h*S^D_4=+?6@r|mPl9&&uorQ&Ut%ll5wpR(fEsq(8f@qd@EoE3P>W9h2P z*%Md2Tw0kKye|CsmUFJNm+T3C9?ZPEZ{^Zup1C(aFW%QTasHGU&-`BHRewHPReEM~ zf45dcTi^T8CGp&Q|9D>fGNW$e@~@}apQkSLGKpNF<tw%-U~=nu&q$Uz6I!GAQv?gw z{Mc>Q`|^8Mk<8T@ha-D;#$26o++6DO&Se`LW=nDJT)MI2_R;$dzWd+a{55xV-__RT zR>4-{xre)%X5I7O?|t)A>Vhw`bLalAZLiPm$^JX3pKH#QpY~-x%8wmbq|dVQM(5n_ zeSNe1>eli%XRX%0T5lZ|zArX7`ew}S3oEy+oW*(cs7LT}^DB~<OY;A?9N>Fxr#VaD zRzcrfrSco9Mbo+Ez3(LVOT6D4{)F-OlC)iGrffLQ#ra!jdclDp&h|A^3yy?w9?zLv zaOm5kmrEP2h6GMctK08sDf44VMwMourC4oF5g&K&xzxssm1oSY=2yCj8+=c87LVbZ zRrS2^#F84m*&zo+Cv(kA$#Og5GP!GJLJ;R;d%am3W=U(mnsv#&DQliw{GL^!ornHq z&pB$<vo&J6q1bD~TS>KUkvRt(x_MvT+?nC4yXowE^N`D{E0*896LEdQv*qvBTFv{n z>4jPC_DwrK-96PO%4=CAeqAv4&cgl^vA??RES`U&_E)z}Lc<B?Dv|sv(fND!9rez7 zt$Y3X<3+U|x6hhw`>`|fcCMM<0=>tquM8a**a@>r8La&AG4XZpmFWDn$Kzywy}6ut zpiZ_;=0f5TN7-XB7iUi~_;Y#Nd)}-2CjVaWu~}yO|6RFXbrh!VQI{(I5tpoI|EKig z%Q=5u?)w?<ny4An{_bz-w3V`N*1!C)oomhFRk~M?{rhTiXXDD9%RbHh{`tp=*GKp6 z+_>$+lgagyQ~8ulmuZ#vuKXBzh%47_fnj^IRI%l6^R;)^Rw@5AmRt2~?w>+7%QJdz zzEijMiuU}~Xug-=BNl38)xGM)Y}czjXBL@DPIS#TwCTQ=79n=kz@+<Md|S#zYo32A zN>!GwxGbG%Uiszpq8;T|%hZoAc;p=X#Nfl?YdYH&$*eYgw!tfTmD7b8TKp!<vY2~W zbuLGo2<mn9xgK#QsaI9!RN2{72Q!_&JQI(}h-I8f-FM99S>~Dab;p>VW$2`AJEoMD zsgt(sm{3~E?q?V6rJp_BvC6Rh>;5xF(@Uj3N31rSZz{zdv3BExP^s>Sl^bV>N_j`j zlU;20chlVu#X6_uURmp0opD;Ix6<eGjPpvptU6aBPB8Vlp1B@z#->;G%-J&Cgph67 zj(wIlotaJTGtZ<>J7$xXbtb*-7}K(Bos^Bol=`xDp3OKW)R(qfY?IyXtp>*@zFD~N zaANOHnM*T{AAIBOvgY(XHpA@A8i~Gadl!oJpV@h@;KuyQlV6^9Z_hlFxcXSkwv02$ zn~&9)W$Gj>KIUYWp_4S_SkkWyorUGwvh{v%O3b?UdZ}UiJgMTB3l00<Nqv5~Y-7VZ zDejkxHg<&1ocO72*4MZ!orkX9oK~IEskSnGefPB7s<gfHO`d)7NtUzEI`e$xF{Zi; z5huP&byqCfIODjKcg2*qv(AU#T(>gved4zO)7Q2aW}L3=t+cs5<9x_lQ>JAZIw_lu zDXC@Zq%Aroq?W>-a^PLgj9FigW&4EwlPZ>6ZP;%p^;vT5#)f@T+>$Fdb_hy!ORn44 zl6Z4n>zh+;D<kt)9Fu9wIFq{Jm<?NMyi!uS*wHs97PY*U44IjnAZE#PVo%D_SzrBX zW~B0|+n<qnb;-WkEOdGL#Pj|C<Jnf4>Q}Fupd2YuXwrS<&}3K6<MugsCx%=V+a|X^ zt2;AC!$#d=jw@gLrV~#S#46vMQ<@(eDYC)1+i6>R;E`=QU6J2bZ`)>Ww!&8|y36rb z=e)B^4<ue*A63u2h@oiBDS`H>l8-hiIonK2?>K*rhqEH!*CRb?@5bY8K?|0x2@=?_ zPo!myHmhK^x8s>vK@&FYV!86%;_I(j%MU#K(tb48-(IuG(phF*O2_G|JRg6kIoGU9 z^*HeLl6bjgFqh4_X-xBrmnuA{(d4iU;Zo9@)-+?ix8tFu{Qt|^`PMGj)i?cUWY(Ih z`O-%j--mvF6u!2+v^4ay^n3FV6Vs1>!q@W85m{Zcd(paeyS8cmHMX-q>9ls{rU35c zI#*t4a&K)prSxQ?j?%7Lt*#kM=Wp9LKUn3G{l$aZ<xd@Zy6j-Z9V3bPx@^K54kb8+ zN%<VnYVM4@TedZLaax<}{B3qNzK*qbXPf4iX0174uN?a$^Y!m_UMq9<ght2i*!8N% zv{WbKx31~Z=(_y+y4#O8eEGg1>%Q)pIeTx}nauxp@yZ?ct@2N1-1wHTVydOjulTk8 zVz(mtZd#Q{tahHh(<<b5hU~91*Aovg$+qcSNj#z?draqA;vu26)Ac5sJ~MPpUe$Cd z;($qStIzd_BRst;@9sM$l$ILt>qqv=V=~iHt)|!O{CKtA^u-0&{*srgocr^vzPwy_ zxIxZ}=jF=79dTAYFV`M!v5V4=otAwjx%F60Se8!0)MHM+GIbtR-Y&izCidAWnN#0; z((5JDwcYPen)hVktib=fzRs)WE#~<jZ&fOx7%+Vz(^m=AfY3i&CJ8+b&d)eZ9xZW5 z_Tw~pxaD4AsNAay$qjt9Y_ALwCh$44y*5bd;7e|M6)^R6<cxbB=_M};%x<6mv$iC3 z0iQa{t0Lb8a@Sd36+16ryw7FwWSN6<9;eB(Z4SckUdWbNE_d$#Z1rX5g2N5nRy;qW z+6AYVNEAo5bB22@{OnkjU%TA7efQG8XAaB6b6!bob_mVX4(Rt~`g&96LW3?7?@g_M zt>OY1XUZDY-dwPLQ{>++_r3JRXNUHz<BJYYFtrM~x|1*Y+Dn7PTCru{uVu+vy*c&G zxISN2Y{g;0#$7U^D~=mB{*n>TI579pO$&eLWt=8SyBw0!I7|}LURDKEuxD+b$J%de z_2uU3!wt1oJU7=L?qIg+v0QPu#d+z4zY2S|r%g4pYvtSQ{d;D+<ua}-i7Opqr*Ve7 zbzpt9*=d2|Y8I)DQ46wuuP8s1+Q(=6?A3+m2jop84C4EFA{QL)XtnZ*nA7@l?MA<L zzSo;$Pu-WQWqEZWe1YCymRA?!7uYegNErkyP;6$AGK^SY=*%+9Amsgq)8STMZY*$~ z-+YsM@;#};UrtPrv+8-V^6-o}E1ws!TzmO1MK9jXC)+mtn=yZ}kHCt<B8|Ir1Xmn) zZTwZEdtt(9rrsS&7iO%kQjc+aaUppLUv1gcxJD7So@>g^$6gpDt>F_smY!IZ9dmi% z;jcN<KW#r&+h}DYu;R3HW0j52iu1{hZGSW_bfhzdUS4;PbGo$Emmgv6cDpy9^lSgE zYisnRiBEao<-|jKgI?56=zF7URdOTh)>4}@8$B2BwX?h`@>w8vob^?)(*nWetWw2p z`Rh)b$yViDUwD4rr7g=0Qr62!Zq(<#Jh9TRo$vW(-n0E@?(V;~@~~Xvt~mlLyrwx^ zWm3H`VI@;<gwll>FZW-wdUz?eUCy>-Mb?xYuFDf|diV3ZTzj~~&dTRSI9KzZ6LVHN zw?{6GJ#|<no8{GpumyUrSzc|7TVNN@X_9o~{tJ_|ANMoPmPW4lelU3=U#;G>Wu~o) zr@x-)m>M~w?~LKiaDVq_J93;qD{o%yAS*ZZ+R4gSpCT5>*>hfbzSBWjj>}}(?0YfO zKU#g6vA}u0_sx{0hjX{dNg6H}Y5O_xYHT~7t=HvA?Uvs-Odd=DcZMD=`j=$4w`9dT zaoJ;E&L8@c6@PW%;azV|KVSb%s&Q4c^8&rktgG^P8-L{pWE^m7bjuOSIHT97_U6j& zXPX?_<+@8>Y<6i^)Gn3S=+$nx+B<QIyx#4S71K`W_^dxIDr*&^^)_8@)mO&_eD18T zid+`RwX?n|_E;eJn^kJFfBw4D*R8%-L{%MLdg1vDKIe0<+ui4Aw-(y1t=?>K_5uG= z>B}NkHSt#MPZl0NbII=M%F`i@RX!KvPe1Ma7bU0eWj)nt{^X?wC%4y!ytJHL^ZWT! zzjK-;GlF;ZJXxqUhvn5K{{?dHUoP)Db8X=){ms6<x9vajAMIavncd8?Y-{bl?Ncq^ z=-4JFa@9oLIxn)ydy(_h0NE;=YYWetTk%vZI6R@+D&*-}zT~{u1`oq-t2ae2Jk7WE zxm>i#v(1sojLxQFpMt7;JH(~BE7xsoNtc|~w{W&p@ei}hJO9WS85QsRbU3SpFShOV zh2$B0wYy##By>Q^r3rk=Y_ALw9n>bj?AW*NYfSD1lV`@B)@BKdjyb7i=p^kqmUJvj zC$YqH@!c=7Ei!*M8|;m9_by|td{M9@B|M;kZ#T=UO~DJ~tiN2|C39_|`~OMRcKo$$ ze-=Jj@^g)~k6!Ico6?yo0sWmz*N5(KVfKz+UC_(+@<MunrjE^R$wkid7cSkfL04|I z^Zdf48#egfT;M#vcj<-z^LIKk*)K0Iw%j$PXZ@dGm$<2Gcq*qQCudp(y)f`<*Lz&@ zqR_KFOYTbI0bkj+J698r1j`=Fxt4h7-6V7U;1@>zuXi5bG0EIH_?dBBGNZ7Gn2~>V zZ-=W?cjU^AEn6k0-3zZadA7m+^3G#lPU-0F)#g^N?c$3KdwC&wE8o>07Z=X@>z(${ zhDUPk;f{k=Ju{acZrM3=^@MvV>|5ql&$%+=yk;-!oU0KY=O_KtO%<#)726!2%xUZ; z^zi9%;pzKy<{5Aq9N#hN)=~#%HExqfQJ!<d7I2z-8BJMkr(Ggp<dq+GW`>SW`<d%E zw>>+4=J1=>&-Fj>Gxh!mT)=6cI(ur|L~E;%@9X%I-%UUCr81+hJ3i~eL#IskPX@=o zUE1<2^MGMvn~Z425ywWg9Idm74{zp+%d(YRO*~;Ddo1T_;-PIiKJR~izR9vA{6mZx z<4^4!O1J;~5xt{uVM6%Q@C{E-z7=Rx>p2lO<IApdSEZ#5;@cIuOC&ajv~!;JN_<%P z=If<>+ia9-qc$;XU1*SJmHOnfz)+uM)`v|g3r`1c{WMK&!G|X$llZQ#U3<7A)2e6Y zI%m_ZDT!80Wog0}W~e=gc)9e{<%Y*hyq1a=W?W|SwODL^aPe}!+FvgW7Odw}W_uBE z^?;R+L<kr6dE4?SY74#wreuEpY?83q!Fd~pN!o4);j$OLA9k#9p5JQ46S3rQ$5N}F z$YqCHo<`2-Yk0T+yorfD)31O0bJ@NKXL!^%9^(<nICS9uLeqnfU&Lo#Sl%utc_Fx6 zaBqpk<>+?KTCc>1Kc948^4##D=2PX%4H=bomV37N>z-G4=P*fG;-Kut8S=L7OWDf3 z3;CGYUK^x<>uH0;2(e|#50>XJT?%~=X~y_7^ufFMmnIM9IXJ)LHhI+OAS^enui?<; zqlQ(<%=@k@JLkPNc-F@!yidEe)xFOi6hbFHW@a*dGB|$u(zE9)qoi0?O=D|x`=fH9 z<$2Za8o8GS4>s~S&wF9;Y#N_%-HAhgiVx&4T@w6IYsUCf&|pOg!}H7oMvZMc;u%M{ z8r9b5?7h*NK2QJ4F}6Kd7HV0RNL+TcPj|W=6j5RIYsr@Nnm*x;m!>_-JP_2_mLrmJ zMy*jT=i2NC8@<}?R+ma_aBCOLE|u8q*UtHRvw<vQ|7Ok%AK?w!xBvV(I#2V$ghHlX zN&O2goK?a$+g@BqU(Lt7?WIA|ZocHQ7Y2#xnmRV|bs@7FL{_o*=;zkWV>=^|aU!B| zRqP4};k4JjA7-p@4&{6m5ZYwbGh@-=87r-PW=v~+$?-#PcEcZzh$*>sbu2n!87G7q zkL3tt9QtzU_tp<4wSD*Wwu)a!JYXa1cIJBG875gZoj>wNt=}I?E4UV)I`i<GOJU1& zj`PS`>0DiS+DNua=la51C9e$<mhw4=y);PL%9niYg+b!lYu?i>r9RJCZ8*PDDtNY0 z?@HU5$CSjfbkZgs6B0|0urj)yc_z_wS@F-UnH%_I+g@Bqoxo>%?8SxjHGIs+UK*tA z;Zs(7VUV_nPgpH;Z;9k8!~Q_2&yp(*L#Jlxr0h7R)RyUU^_^7k)M`%cocZe6XA-?U zpMTOho+)cJ=i<WCk+M~DE-yS^Da#gfA@PK(teec`#51b0YBATUUK)ia%Uw2kW*n8w z7@w{4WXCZjzYLvcOO6TorAGYQTi9zEbGAgU{(R1bg@@f_cja7Kcsxz^SI))617Wgl zIhPkkoh)4uRwTP>YRv1Ur_H54?^tFy|FsnNj)fa1<eQ2W1}7WtHktMzlvCTLAY#2? z`(4$!r#H*Em%K0tZrAhwznsn1?B#{@&3w$;UKpgT=2PDG(jaX&pK#fq^Evvl-!g2! z-&k!pzgCL-#`=vDn5DWcR&1Q%Eah!6C-G-`;!LyU-_NY`dH(79nM7GDnTrcg2g+8- zTwZv-P<B=BR6ggl*9J*_e96yV86?iT<~{wc)aM(E4d?etao<?Jal&7zZi@vQXEaNB zTZA0q>d$zVeJ0V-^Ldoqg*8_e9@diGwdUHw<5IG})?7_IU?tnO=6d3haH|m4jeNr2 zvi6olt}yI(mHHgHW@Ce@6nEsRjUACv-I41ywunkjyKkCt=3(Bl;-A&e*k!HmTwHj% z-|9=nGUxg8OC&zTv@7bDNPG-xH(Xyb<HFJ>vHL`J-ML)#t}s5?j^9M=LqM`(zlqq# zh-5>5lW8AnIkj!Byo$Q??2z%=Jk|Hlx7l3U6>#aXP05ZG&ePYIg6b7J^%98!*LKD5 zQi+efe97xx8a&)}t$6xrsm~TG4d(|-aa*k2IKfz|+hX;`8O2iG7V{Hd+7>$}^W9Ig zn!Z1M-PAX+pD#Sz#TT3R;=<!-*<W|ABp%R}ZM$<V@rbJIv6|(FTXw5fzY*DW=StPP zLhod|?WSS|amk9)w_fkqv1sFr)l%L+mbHk=G2gp9<M7`}=blFP?X@bovD|t3U#l+` zpoXm#kHwP16AoMTSS&g`W3iQw#j>xLo;Dt{k;^*seBv=Cxon*$oyU~+U5_|Z*sJ>H z<c2TvZmu<Ke>d~o)AuvEtwJ`><hz=)%(>s%>WgGhQSr0H0|ByaJeL!X6v!UyxsZ71 z#3aLcPSb^>&R@-0W@tJ))8}lORPg4R$F9J+s%xG$?^Mk_XMaDX1e_;CWUYLzE<9}_ zTjg_o;dvfewlh}}J<4U>Y;<?ts+9P=m``|Krfs?8;;(m-*Bq-kmZ_7l0n)x$bSz0N zQzx;g?dkpNr?*({O`n$z3d5?jqRIQyt6pAMx}DGV*NY3w*Yh#|dTFp^Kd1sRNL#=s z%$8|eUb*n=oySX$)x>4$Ea)!VH({Mr_lsp4XUK2)tor50{I&Z7;)1Ww`L}-8$Fp6h zoLX)@`F|}(R`1WYuh-jHW<TEHYb~=mbF2B*%>KJ(Z#Q3G#{BI@$qBvLeK#^M8~)C< zdf1@4T<^Iq`)*6VTU!n*&Mvdexh(fP=hpK@zU(#|Ursn~Cj0TkvShhiC5M>3{cO)g z@_j41vpuuD+U#x7^<}pEHfPSaHj^#5z05i9X2}uX*?m8+Efda*D0)?Vt!Tsi$Gd#3 zV>V|_&(FE_c$M$(n2j$RPMgUVom{58Z(EA$gPx;pbGF^+2o{qrzLX^F_h;Kz|Mr*P znpeHL)%CsDM@Rax!OQJy>sd}qOinKQsnBluv^nP4H2zOB!tG9^*Zo}3!1}b=No*Q_ zS44=NMq1d<1uccC>$^@r*`yw%efY*FN514!>^pn(<ewPstC?{A(I-bn>r?ElIr{P< z8`stZoVoVNku&;KoM^vhs{fK})6PC^mNA>kUvv{R!tMN1VgCA4?5u0_<wcBE)dU>b z^~upN`!u`i8qMm^nq|{$Cq~T;1I_b<+MP&E{i)C|`n0)b+H`)dh%h^il+2$CI#g4O zB@Nc*_?-B%$xzVv)UhLHbfhO6Jlwvv{!dWH%Cj4vnwz~ZcwoM^KFIHj<+j_*GTUx4 z$85XBY;)}fbIrAz%uLsAF*}9bW>yNj$(*!r6T3>zhO}F$`@gbeZM~JjA5gr=_CV@p z+XKmaZ4W$OV5{(8maW1Q>1u}~q16s&M5`SRrDiX?I`3>1(^jU~P3#smx%>q?Gx$I3 zNZ|iqna|H*k<QOyna$r}k<8y=nal5Ck$QH^`MB4dS5_5Wl6z2aNbW(=9k~aEXXG9f zUy&0iI3g!dbVE*{@Wia;4YpzOn{K~SE{m)^<G0%X#>d(9w;#q!)F$elG~6H?$k$x= z`MuSj(?x-nZrjy;LhrxjES9`I&!E5XE$3&+`|~z5aK7c_o_S;5h7QlSoZXUF=WS@I zd%Gh{ulaVN(bi92a{XsG&;Qo4^Glxp4EO)vT7LTE`9~Z$^{u6KO@@EOkx$=Rj_PFl zM;y9T7Ngy-_|{@()b43p)XyXqRv(HH+p2yhIkEasjo21-orJpTLrzs&)pe5Mst+YS z+orCQ=x4od>iG+0b9~m;ZN4r1%wV-`qMq?>;b(@6Z4>P--xgl>%^KvkTk|$_Xuds> zcVI)AODj*ld&rTFGMA%0Iqo5c8tzAYOWrDfr9A6g;m_+&lVtS%-r6Lod*q2lwB3n^ zB|jCWuiLLF{p918KQn9UbmT=otf>h&;s3N*Y2I}HuF60=jfbf>S3mkxdQ*7i)K8&5 z725Suh1Z3hls`59<l^X`#y?B+<wY(mt_kos|H)DC{?z=6Ggm)3a^9b^S4&R(^gNHX zo!3vXTgK?iKPg&VGvWC5r_D8a)A+e|gxYC5Df_vg!}@9Sm2<ml0uJ5X6n^x%(@o)( zSKr6|RA~SGv^hp@3je2y2)h%{1Ai`PIR3QRY2Fn6t{?ky^q9|0@8z_NG*f#Na&yKE z<J4rKbANxum;De9_Nm=<%=SmGtyApP`+rvR{l6kM@p0Hrf%g2<tvh)B=FSx8w|nYT z^J^M6=ZkPXl_m8%Cv-4AbxLBJ#_cKbCA#`w?7OKa=R}=kn_elqwU1X*e9^b6r%pC) zQ@KA%#_6dfxa^!TVd7IKrMM}lz0WKzQsnGE-BaJcJJoN|wKeKbon-dui!WN$QKYzP zleYMxSG$T7SFO?(Uz7!6EYcPi`n(~gW7V@$Pwqe8ZKNK!`ts@`#Z`UU#tW{Ty0SH< zW7We`tt+_J#dNH?f2wuGtF<v6F6)hq-4Dz)+R3{!PEF~N+suv`vs0CX^8P>TxBe4o zw`kk7+Qo}jt=hJ2;jWDn-`D@+xB4?z>0ZnM|GIm>rt^!gTf4G)`O2M(b_NIc9p6+? zp~5}Aeba{u1@8I3k1krcao^5;oA#OIUfr8?F(NiH_H$lovevt4U2I$T=B)8QTD~je zT(|YnMJso$TeWEA#$W!I%|G1wCy~;<!f*4+Bmd&J{`-1FXky*6x8bgqKEBS!yH1tg z_`Q136!X%otbk?f{@H$;(js2**ZPiLUf}21Q%y@v&-w*_onPcFkf(L-@1C!lr>$I( zo3W;5x@>NJsd1|P>Xob3KD#}C`#;Saw|13lW#3=9?(wnn|2^C51025QUkzE7yyRuG zIoE#6TaTG17r*#<aDK^;<eN_=Ql{}WpS_gY5n07!>ODU(P14|wj<eg0)FU37dn8k* zaW3w?S^P4!L~d{Ix#j&AS-+U>no#E^e)0Z`BsPh;Q9A!tzq!;O-ESx}z3xrb8pX_? z{qgbt*SozeIII)9&(ynrcG}D0(>ly~vr|vVZVtI!xw&U&_B77vJu^!s)6c9qUa|P| z&UBmf1F4I-cP5nxhWF|{lV0q-L#^zdXM1Sh@3fa69_-&%XAn5ua$e+`!~SBgKix^P zjf+@w{s8|OvxL1z+iFrb3QFskr7b?HmLv3j^PbS`jh3h7`p;Z?zA=)`#_;rn_h*eB zv2X6F%$mk&KP$ybFxN~~ac<|f8K0)l@A?+C=CECN>COC&a_l<So-a7cCbQ|rgu`Oe zg-4Q-`=W9V85S$WZkx6AxY_0}H}j@3TAwjWSsv;3#_05nyJ<5w_)UA6|LnO%-ZVb- zCx4!%_PrE)ZE!d#HZ5w+ah>k3GjlgG7VDU$tUKy<X7i01oMN*#9GK%VeRA4M!}~h5 zYO_)gsBGq$nKMl=_>587sz@~-<C7(MQ5n<tx_y40KJU9)_gZ4_(Oqk{M)WWK<TZW! zs()J|LK~yjta8*13tcF-`c*);uY|^WhE{v&4L9bb_N%77+<ZlcdD_g>6GfYQA`+(= zYM(VqoT_s<ULm|%&yuq>-dA4d`TD2VFCULsv+BBT*ww{itF?l<zs^k9$SAC9mNMz6 zo6oizGc?6!8(f{^vDMzx8w)-?<6hdzZ_CwYp7XtY=2GG=&HA4^U;e8%j1D~*y++Gh zH|*+CvDI9`-Jw%g9$j_mN>XTU)<#2f-LTN*|Bue_m@fHDr`pC;e&x3{Y3O)nf&a9Z z`jV@9XGX57ojGU!o--Gn<+3YZU$~qUTNSzHxJGwq>&m06PF+a~&CJ@k%4};y=$xpW zLvob|mW1Udo{bE%j@bOAGIJW^`ZGpLx_3GX#-24=Hak+S#QbDQ-mUCuS@CJ7;_LFP z@;0t&+8z-)Eo#ki&F-(3xf>bNb<CcuIqEiN^Nksw#by^Aoa3>z)zo`yv#IyiMpN&t z^`_oiYfZhkR-2xlk(;*i+qz9Y^@c{rT{oBfh&mS^w~FarQYdTw##K67BSNP~t<mz) z4GUc+w%RMWyLU$B##I(uBSPoS5`MYqkj_=UYdTliuIXI;cU9-=x2rl=-(A&7el~mQ zt=+RytjyP%d2iJ>eyVS8p1U!keXZE*P44$DKV5sg{QudU+ILYo2W-1}cjj*t<kvBK zw(zK$&9D4pE~|9cSe}-9edbd7-bl8Z)M<*+XN-~-M;^;DI^A-1lTZCj<KxMeoImf2 zKJ5gB=*LS*vT>1Xyr<inNB6H2dwuzA67#O;91s5P-WQo04fpDpE&M)TTKMIsy_Tot z>}M=JAH138$3-3Ic{5Xw$Zqbb%$vrk?_)G~_OBU}CY<vX_fb}|H=nyHqW!Yi>!M>x zw&$YOoVV=ewaDM7$gXSlXwlJQHMtu(r=N)|vrL&L*M9cW^NvV18Pn4flG7v$@8~4^ z%}71u8M$nK!>q@fBCTR3ex5$vGB0|~E&ixAr)7THosaI{DfZg<d=m4s=$sQw-MtYR z8x6a4%n~=&L@&Gcbd}|4x%)Ggo;Tghvm<YsqWKx4N70*mc4kiF+zxW%G_V`JeL;CC zTlZSx+@rhJY>(()uX8QE`6ye?mKzg%#iTdhOiJDsk#i`lSSfbe%%#VRHh+msoW`hq z)+l9Lq}v*!(=)E7&D`KN?WO+NXA;TN`2K?P`9rbS28WVj-J(FL@~dR-M#frQvy?SQ z-Fi0PnDJ3;w!y(U9@GD&y)-<aQ_D6h^?<@=9?6_(g3f1*(pE&O@qqIAtc+=Vlfn6X z_S3C8`Lg?_m*-Z#{?vT5%4TcCe0g272Q!bh{Ylv<cwX1+S?f`?JyY)Q_gZ^8rFfd{ zvKdRyhivAVkv2{7@>!##w#Z{=Ois5%ZtAi3c)l#WNGRy#1y?!!%9)GTA6->>HtFiw ztc|bownmihj#{%TUN`LS2C>!eBDzDjuQ|G^I&7VK(CbTAb!>OdT<TxGnWrLYUxlLW zS$DTDMxdNN^TKhJ%R680TIu-mPD#{0>CZu;AH-H`Rdk1@t~|PG*OjEu#H@{s%XPx0 zE)!dw72F+qbmh@iudZNZpwkn$(^i(PPg`lW9-4tHBXiH3x%99PlqxSe?+gEYEpg`2 zT{_z%`bEWFZ@!eo92S{#BCESMB6*`>wys&?+?w;tu06Fbo@V=Q*3$E~n|XHRPgCSS zWAtcY<S`qg(=EqACDLnOPmkxz)`Nr9TIX8g@}s-nY>Mc=EB1Qx@g(MNQ8_2<x_fWr zZ!~1rF-x3fIZ;pg%%$YTk-u_`PB$z~liYMvM|s<<)H7zAeQx}_zvO(+^7%_n8y<Qg z<915jH@43+?WMs@o!DhFmL3n;{6#Wv8sp`&Mk#HPZf8tR&xlN$X>fan?esvm=Z3dl z)EtwDJrJ>&TQa>w@NutRTGK^UACny=QnQjv_=<TwPy0Iw|KA+As&;<ls=YmU@R@qE z)bpwH3^&Vt?md^@c#&1dWY+{&H}Q=(UL;MEh&>ef@v?5-ud7Wmn~$D(vh?tr|2k)H zvd&Cid306PiKI~5l#Q$8HbsQ;N3L1bt`m0ES#0$y#qQ9r6OO9!n5bV$T^Ct(#@Ks4 zXPV@O13J!Pvr>;JZSIN8na1huV>GuT?w|VNl8UeMd48VucdhlgdG@?(t?sp@^N&`2 z*&Z>UQB3;7p-ayuI8IAeW{b`_Bhc+Dk#%m9xA^8SFS4fD-92NpV0UEO7lYFs^U@?Q zpVkqsI&BpDsffqeyZvF&zfVTTYr0D<k~iw5>t1_2=jg9F+ix^*i%Az<Oj6#r?Zymm zvDpPT=XgvPOnYf~NvGCrX6k{A%{-FH(*%>x8l}yNRO>N6S(3LaX&PU+FDQSP>s)(i z-Cepfd!yWUoomnc9c8oGaAQKdnDoaJNy&22IfsOcm16f9diQ6iy)3?~!|XRZ^~Clx z$->Jz$$2wV59LNKi`PA|@wkrcwbMUOpO(3;do8j3=&m<gBKl*+UT?mg#C$C>=R{n0 z?~U}0hQD>q9yVA`)Qg|F^f=q*FE=x$G0r|?l(IR}EywWmjJ0VqH=G6KnFWznGDe`n z{O(lkDSJw1<ZaY5*149v?C7sEn{G7R6q7bOo}~OND(8$%x9<#n@dYbOEpyIoIxe{R zi)Gd{#^Yy<p6rNpn`3Z##^kh_1zyu$hI1F`Oqe|3e-kVBQ&3wYRP6PJTS>9kBGw#_ z>i!y;zLD{@uGtgq?%o>-8x5s(%@QYDPSoo@b18Xa<S!k=(+!zvlAF%xC{LT6dWLJW zPekUVmv>C==*U)q+Cj6~qShQ%=q{Da*(m3%b1i+vQ8u2<HzvFjlQuk*l<XFjb4a6D zDYk0XQg{8$UtZ=;W2`-6v}Apx+ZW^0GybK`G<aa|d4AEQP=n(ci;E?c=bMyTE}OOV zaM0$Gohj4grk}m^yepC|#`N@r{4++6W<?&0F+bfReeBK79#gCSLbvC}_g*lmNyMIT zS=>D%rNnS@uU=x;!KIfkY<GKJaCyR(KPq1?=*Y^=TzWcr^OuUGX?FK#ryk%>ll*i= zN4d`A^o;bhnI9$_R_kr=Irn(m#h-T!cQw54(R-4;xO+!>iQ)HNy@!o2E?w0<Uc9*2 zLPt&a{S~?MI<ntpEj?|w`OA&`X?E;qj2<kAY?CoM-ElTeviOLOaG%uX=bMhcu-PUx z_q^F+?i+a}iq<`PNy{%DePgt%<u2RwbC$<@&OO|rnEBK4c~hiSjEVPj>7>N@(^tI` zynphfcj%WHOIKB%F-qAHxvJ~9PUykpX{+x2)L-^y=NyByYmb*){5i*HSHopD@uFid zl+H=Tp0Ql)ZK1#Gi}aLL=LCPx`!A)Ld}PVfxvPrLTuPi3xy#4gyI(TxrSWAQ=Cqlq zCvrCT%t)SQSbWwfah_rIs&j@`q0c4et}5>FyK3pS{FTh&Zi$={sBnzc^E2jF?W}D} zo<5(mygqFTpZ%<*r;Rs%`EgRGcHZpN1KgW=Dl?}Eu0Inrl`(DRg{w1cr|Y>r|M=j% z&$;IdFS6Pg?V51hP5k4L7fJifceTj7Os_LGayQ>t|8sr&rC1ru%IV1skybn=-qRh^ zUK*a%sr@!P^#J2$9?Ohrg6(IFo^6O!lQB#?zqI{g<rfpH`EhRI7jD0B`Xv$T62G|n zWqJwcUtYbr#eGt94;wmP`c!<ba&yU!glT%>XD>a@-uz`}$~4C5XN{h8MY_e9o}Q6^ z#^~WJ!)m?bz2_dcT>Lr5WLHD5n|RT!7fR<OV$awu_O?*p^+meha-H<t!@P!>mD7`3 zBdyk$cux;ad%5wJPVKcBsRyDq^F*dk6MTKvC~aY+T8=^5`KQr~KmR!OqDD+I_CV5N z?#QGPLGNC@w3!!Gb<B5^oV%G(!Z(}8^YnSk-DfW)_DAk|W9r?1Iw^AEbi2i8j1sm) zw)GgE?%0?nX?#vc_*i$TgI27WwDIeWM_<%zlZriHwwU{7UWuS}k6zmHi>hygVt@G_ zlMz0$@A>|7Ctbj9oEB+y#>{)VW!g)_>pHd1W~Lsf*~}A}FinvAtWny;NHra^wDYNx zE>`-OS<TmU6F0c}!fBag?2(ei-IAFloW{I*|3zyS7e{1yMAe<ERJ5CV(z|_n+RIO; zbZmFch`+pibtGGf@#zWg(j+e))=93Km3rv=qvuP4Uv4@xBeFlv?fK{Ck8>FPd-a~U zFYc~LD>1Z}j6GC*aq0blGZ&-ZIRDF@^+j;<v~tU~SxXNaY%Y<^n<l4x=2CiFB%6%! z=?Rb1BnuDeB>T-uJ*1iZE#{ZhTzC7$pI_#eFf#Y(r7XDU%44)^#zD8~21g!TvV6Yf zqU9Vz6<z!1F^1mltJ7Y7>W!?5G4`JSI!&_Ru#WRS)6*TZ(<F;e>j>vbZ+>2M?S;)b z$+_n%7jy4OC{Yye)q9k^xO-<x38%a3^t#Um$7L56|M2sPy7x39dm7*CGnZ2LMy`r& zkNlNmaJr#4O>)yo9c8oGsb|DC``pMnGw*cl;?FzMOYCm<=q0pXY+Ylrt0UA+eDkdr zLf0f>te)$bTeZIh=Mv_$mjxGeV)<q+J)XGvi)GR@#=A%J?>|fRFyGwsB5Rsq>=~nn zQ-74#2EE*Lct&LZT({?&PrqO)la4(hx48R8c8THd9=*i>^Z)+kdlGwmR$s4u_L>!| zvd$F#eQs5{<Jvd%&s`aPpM}bHXeLfi+<(D;ZJ6dmR@-%4SDtq{Fza!fJelNhl|}c$ zjBY019TOIYik=m<)T><=+<tog^fF8T;yaTz9M0w3eP`l^<K;r%c4{Y@GJ0Apnct%P z%iAKYp+%UDtG0Zn63cE&HqRT08(L!9JZ>bfXsP|>Ws$I>#o5inB56rWvYV$x;+6~L zrhcsn`o|?Wt$U_!@DAs!{_|sIL+w0IiwEkQ?Kaa3j&O4x-!o;yEH3-Yzu$fCy^v$? zF3xFfBkK2dcgf^Mw!6G<EN^dNuJW>2GQCB)%G+XDe~WM%=XKi$vjk+GL?|S-w+fX- zswDOw75Wyb^sr&65MQL)!;YsyeKYkRwoG-p@4SxtU}9gWP+5d-;&fA?ZxPyw^Gk*J zBJ>|lcq!B;q5nng(ISWBeWDqM&Yq9{zbd0K?7HEWlECWq8@l)eSIqiV7kFtM)7gvO zhxqKLtXstT=906;*3$}&RXidq&NDQ!eGy*~WyT_PF?_)*HlYls_dLGFGZ*i6klmGP zG;z6Q-I)}n{;NGoiPM>dzTMDB+?qJC;6S(#U!?xSj#8n%NbSVXP1CQ5R<03aT=+gq zG*)+x^V&tLRo-k0IwYs9@@8|)A;!}xYu;&by6a3VIFrPwt|Rp8-9^_IyQ5sixt<5C ze!;}K`^@wW$89)&pP5>4K!&sZ%(Q|dI-JMPOer|Tv+%v$`j&*PU3ybD9FFANJ!j&E z<C&bl=S(U%;K|uOXF|b|LeAqdlM4<7E_~0Z-<q(sOK#$Z!-<@$b(go;@_F4zpWMRy z&D$bne#_Nq-iHjURpxAneo=jbTQOqoqTMQQHUuBalGjS?e=GFurrN`XwL*M1l^%AS zb;?(o$8GXVy;033`}gfTtgFH<&O3VAvG{ge$bu~WD4WPFL0iSAZa8eoxqFVN-`a1B zm_kkWIa~$Hf|go|uDI3B74p`Vb=9{;lC#o+LiyxhUs?G4_|n*Omu1e^YbLbMS9$Zv z<&d2C(&FDT(+Um<a<<D%EjVJxd0b|C!6D9t?^js~HC@%J3OdBMT;)ws)FHWal{dv< zhZvu$$P~pL5<K5hvO4t31d+KPwq7XT8ZUBgVc13QLzY*xsv-|%8S5vO@_R(&GODgA za~BGeX6JOjGhu_)LDe-`1q<KX-30~bJw)b6<NU2Nb;GK8Dl(g54hd?j$ZQTe#JRd< zAA5;t(^aiqQHS`lRo-k0J0$m7<;~`}L#wi;6`Ww>bkCVma7K+&T}SYj+$C3zu+=Zb zIIVN0Za8hmxjHoDke#)P%!c4Yin~>0Hbx&>)g)jg`SI0stKLe@3oYXNb)sTse~!LV zyENPVwAj}z4p)03cExPV3*`5@@vxyK_8a%|GE2>XeqpAsmU<T&l9@tRcRDEdahN>Y z;2_MGnlbC7OghV}3t#oUcF$REIWNs<;_)ng{lw|-Ro@gkAF}ILmHFUtNReMv=A*+Q z!|$qd3jAN}_7-3GKC5uA_8e#3b@x3Zd^ZVw+o78{KU#=yhvvfx`KmG-9S<3HtIXLD z@M8CIZN&)Pb=)d%4B`*zeO7s67=FmESVhJl`jFyg6&b_eLx#pGa|~i%>^|=eQg~VA zjX}&Iy<n9$hLMNtCacI81RhcpR*^A`J7oA+WsX7Ei|Pa1Ace^)Zw#Ui=`B`yV;FSE zPFY39AoP%8wW`cU_d|xeRp)H*eo=j*7^Kiz_00z7LwdJW-)!_fWEZU}v%&R{;%rr! zjh=@LrCa?#O9*D9Gx`4bD6ZO_y{kC(Pxt=I59?1aZkyHi>eQ|Bh(9mwUw`Po<?;A@ z(bW9#D_2cIuUy*`8XkLekN^L`_Wjc@_L};>e=;N6fUnpt_iaG8=j_SJ+gfDPYJVM< z{pWGmvhK&yBeMI%cb-n3SbgSa#3Q?4mvwA;(QAW`#HM+z{XRo6asJ);92N<iL}V}d zSDozmC)6jY@UZ2beEK@~(%jNi-_Tss%Xa^c%l@m|m|5}r@3LoI&-{FS&dXg1h+n-f z>GSWJuif=G9=;cweMrzUtwx8dedeZunNxE=?9M6)E&M<E(!}Re`u0@MpJJwawRK|o z^@J-*^E`J4OH`Jtyeas#`I2D30n24|dWG$pO65Bhi~4^neXmsb)X<)r&mvklT{Sn~ zRV=ZeH)zXwVNUillL}7waJrwFupz2TWsbqy&u6}7NLTjT>C0B0dp+^HMRmaK6VdY} zEB#)d`2DXqaK)}rF+Kmyym~!*<n8rkEAw8SsGgP?wTfH$y?S$D#k@+@?FOIXJZ?Np zO=A%$=v(jdZbR&oENzXV{<TWqZz_FiI2&YqRnFs(+;7!4oBa<lR;$WvazB){T=U_K zSRua~Gxy5nD(45MJ=wY5{ob)Rj=9SDv1w0su2s%=Pixr9(Y|?8f#r<cg2X4azaw9s z`0V9=@5_0w+0$MfIx#!VfG^nia&x#uWw6Q{154xQq6K|{O63xoMbib9zRyr7nlGxv zAE9S@IdxKtt(w=3^c^kC$Gk04=Cmju^Rh_mX%TiyU+;eVMD#b1$#MOAmfmc><#zAZ znG>I*-0wX)@A7bihnSx6CXm-zXHI-Ry>HLYnah^$GWhgNvgh!fGul5}Cz`t-;=60C zyr7|Yj?m7-*Okh5Y83TzD}A@r|I|<%WE?Bzb>necOYJ&uiwCn>ocB#EI1<WvJZ56S zp{H*^p`LE6e7@fH)43G>Ea}Q@Bjxk?vX#?~nAf;k+?mpPdTmZKxAJ?xv?r`fmEY$r z%Ur3~v!|Nvae@73FUQ0uwi7lSK758l<AKa=mv;saxI1@$5!`wF=>91?&KFK(pYz{) z;Y_{$CD*ELrfpdDSw-f<-WKP&2?a+KIgh`YP;lrQ*#77LHkCYKUGIKxh0jL0ExmiL z&YUQ|rEibm{EkD3J9J{&Qn~&tF!<D#Rwj}7@`UsxaJ1+599(hL?6X&5;uGJ=8xDW* z_i}e&d>)}t)ZVI89;s5)e^lxFOWjWm;xp$)%v-JMoVEA359jYQlL`*xaJHYBSa2kU z^Z1#`1&98CU2xvG`u2yfCw^;g-p+g5?cS<0C%B{C@Ac2r&FL<v(Bhshze!TJXU}^( zJ=w~@mnW(rPI$lZpoPt;JwYK7mHeu2J}7)HS=><k<xEcxQ~3+UqUrCHzQ0f_n!iqo z|HY)88!F!w#b@n3Zp-=m%XB}X3;u@`YgJ_~x*sysUpn3A#Z<}4z}qLPr%F~{d_B=z z6`c5Ig2b|B&%71YqSc(T@YRAGdoJboPA9X?#cel4f8@Stq4VGM^>@7}-`eACw`O(i z@(Mk-&30~1VQb>{kVAalb%8ZMqYL{E%6r{OoNywRt?N#5!-=aW1a?YE$#b18sZ`o} z@A0Mi+&9vfluT+66ylTAd)SdE)F-L+utiZmZC&W?4ZmNWH@?1V;lI7Fj;;Fj{Z-MI zpBJ9URHdK$@vAUOzq#<mOw0MfCVEvVQujWsSi8GLc30{;|JRGREmaDC?D0ge+x6W> zk0*BAu5ue3vX+6GXC)IioL_yWAhYtyx1d9c`YJLXV-6YCE}iZZF>P;H?&GAoZ&9MX z-IZ?3%?f84@2k6EdOSfUE&becvEJ^;nQhx`IHYwG+a+hdzWhreXU_@QW1V+W*PO6D z)^R6&*$L*dlyz>Wn>V}+dTqPR^G5or7UpFh7Ac!rl+`>f(iXJ{tEH?Dy}e_{gqiwx z78MrWdm(e~Z{<tLc>6A^Yy-Y@qvxNyjvamJlNK!(Ja^KD!zb<a_u8%PU&+^TCvpFY z*l(S89v3|OwcT#nE$w6MZzj%qS>$v`?zrlkVxL2d?y53HE{6o$Rb`4j4so(?zP>6q zCg*hA>P3Yc@4k>JOFQ@Dm*Yjf=E56uE$7FZ=<PdgV)K8B@^NEM>pwGg+Of`gyzhkU zyUsgL*PXE4C${sv>_qlE(`LQ=6mm$;-s8seYEE{Wi3KN;Io)k06`XPARJS=1w|ZM) zp?3A!u46}i7Z?99D?WC;zc5dt=kV7vKbsa67V2L9@W;4A=Dx>`hgr{li4^qZ*-zi$ z96oV(iQvxTtIyN~KCshwU1xS{W~SBo*mWLjzwgjVoZl_PCvo$e=XWKUOWubBZB=D1 zJ0Ie_TR(4S*n0C@GfnL^q{Qtd=N{gC=G2#8il=j%19!hY^YhT6!jH~sn|1jU_D$b# zc=DOAnY9eX?oYDn1b3d^a%M+HErW6B6T4s+IRned-=?t}^e??uZ8K@Zd1p>`n+XLc zYB}BSOfEPR%c)*79h?F#ff8IsjE{*`JLk-a-+l?6sAvwn8vm>&@Zt`M*(Y;OKb~kP zR3<TZr`_6qcec(ui3?7|vUS{9y#GY)uTGl<>#z7s4;1?LLN{@~pb(#g-opurLVXfi z4`(O}`AN(H*|5kToW{6k{{FqS*kXocL+I73veNgSIXpY|CgS3cuwJXHbrY@M2=6?7 zkN<yM`~KJ?(%Q3D-<-^ux29(M##Os!-j&~0w`NsqxVh%8*N3mof0(hNbaj^0YVFyp zS7%*5ZgkbsttM*<pYqwD*zC<;Byy+OCEMlxoilyX)}6;**{42luit+7|Gl=XSNC3C zInc*{d!EYGU9Whqn!XP+4>LF0^taUf^P;$q-*0}i((L-T=Ix<8-KV^=(N+6mp770% zkG~MLePwX4b7^eoU+bKzTE(o@_bOH=*G{!Me#PJPg@I3dmixDtulclo<dvrO^}5d0 zGic{ssz2GtRKIqKW4ot(YJb^JFYCp}t9pN~xg2pIs<(B`#fT#oZ%dPpW&7lQw9Beq z5_8*%>B^ai?_s5(wXvp??X&)B`>ijndZ=w>dj0ywACK$rJ(TC(R?sA~c+I`U3&%g* zyn1!bx}*=Um)}+Wws+R5XWNT;HfFXjytH%n>{(XVLibNko^jNQXM4nSL9y3{my&AP zqH+#oXvXV)yL(IPm`AMN-d&nm*^<9M?tk^cKYHt|Z?k4euhzB_TefT2FTdU_Tj}sG zzd-ZGrmuEf*PI(vvUT&d#8pREMJ+pVE$qrlONYDfeMPsnMi+D*wVLzm#aG{X^FFP8 zWwm|NqQ=Yee`oXk-_v0pVq&&BIr#3Cl?xZ{3;a6YSbp!k2Cm|ur?c~yy3g0O%l4jj zN%QHP6SC*J?>ucfVXN15=Xv%-_LyHUzP8->@a^~3%;48M{si7;{(tM(p)ZkN%W8|0 zgN?)O79GBy73zDo^i9Rzf_>XE`fPqqY>?fjdC2$b)>mIYoxZenQH^N9>8tzoxZ9;& zeRJ~GtjK;9arw@rBdM;sS#@_8<#)&J;<dgrWy9&U{r_Cs>n}A~n~J#QIwyafo%K;q z=kjX1%-NCF`=UcX=lNPK3447Z(bsUzy44knx9#dvoxkPj#>czA&YiXMZ`HDQKk|Zi zv6()Ph_A_Dw5nO^Je_y`7QScKxWA<>-*xI%Ik)&rzuT#Kt3s6bF6EtVmpQjOcoyGp zE3^7bzc#*H_I>uJ<9R=y#Fzg_ue=oVI?QAxk7(GoQ^#Jdn)U3|_SM?=A0AZyzwPk; z4aZlse%Rd~)xGf2iW15Fx3AScy%O{{Ewy=7n9+x~Uw#Klm%P}pac%SZ#J*Uj(i^$~ zTN${nB(Ha<{l;mMu;0O%kHh5A0*7QiPLqcl?j=t5ty(erafgF!AJ>(qGaPLDxUW2K zabSM8wf5p$Q{J5_7dnKQdM(v2v~=&kV)bTYWPa1~XY7Aj<{f5k+;vBM#qsM*Uw0~8 zX!y*;YpH#q<1|z6POS?q`Cs^c=UlXY^L)p$`3K5(C<RR4&GfZGBVhhyCSD8G3llCg z^;#%jnDL%vR)PEbf&*cD4?FhV{>91E9?ev`Q!}7HAF|J<nnlWJ^=3ciG;Wi$J_q4v zW!J1^Hu=6Un2|iMuIWPJK8IK_jw{Iv|0N0D{u-&0*3KtfR(ECBW!(dPqFeK?nU{s6 zo?UcqZFk;5lYcsQ9ri{>|Cj5w7MHzp!EEd5$a>F@j_ZA`Uf%y7`daBmOvLhce_#E7 z^C6#m!M=ygp~j&r!dI@(5Bnb<dH=?YeJQ739b2vcKe@eLy?x(Gvo~9hZj7z_|G(r1 z|I?()m)5%FGQN6|#kYR-`pf6;z5VXj{(rUX!}akGo=*So-d-PC<C0o?yic?=G`}S4 zRm8<5d^&3`eEIQr|N9T?TX)Rb_2tQ2sadPDW_@b3t-l|&HihYR^tmgqzyIHKcs>WC z>}u<6E?>^=3k&_ad+OKHv%O!l*5<7VRDQ6x>(~~j7oUIs-+g$$Ijh<A@--{h)UDpQ zYvs${TUDWwUv#C{vN<h(<+S|e_uv07JiITlNISGP?DEE_t6r<FfB9dVwxna?`>-p| zBre<wXm5PW8#?**^tU`;cYIHMJ<XAS?aEc*7gxRh{?<{;TkFNa=`&A9h%7mkAo}sc z>1$5^OI>_J`?&W{-f=78&$_J#^d4H4oj#PfUMJ?;$wSHeb!zxdDLt5QBWn6;rSRZA zCu+}i#)RrA@ms2W>X23Hzp44DC3b)I-H<JxmhCDzI-%eU3#Yn|$c89+HP0J~vsz-8 zdEZDr_TGru^Q?N-t*YI-7OmQ~aoa!LtvpjZ1H3Q2kJ|pNtwZMVsa6Xajn7tD6SajG zitRBHw9`K2!t&+Zy{MTT6E>!v6Es}y<8tPSks#;e`s>>~v${RfFTGl2_3h8PuaRf6 z!<R2>J5+qeY8&^<ymh$&!afgKLrVYo?wruk{nRN*Zz{Lvju<r;(f8q}S}kI<#UB;z zjp;bvTcl{W{ZuQ<9X)ZOqUA9jNB$Nm8uFiNRguvYUR1{Ae!A6SjlTGzZAYIv*_ch^ z{&+J)PbHyv=Y$EmPo0#u{ag3d(8Jp!aOITb)Nl8)Hkao7j$QWeq`ipVnzb)CcTKCe ziuq?0EGx!dmbI?ld1~m-_K=q+U+<W-R=Des@xt)^In`Z@TEmtVJ2Y!u`=J(XBj&zx z)w+Fp@~5}zpOszpZ)VlrRj*bp`~F(XGCT9(?e|M#Pi;3`yDKju&iZe9w(YOC(`R<B z(pb0g6K`JBxyuoE??eO!PZ!Foy}50*#*xyR)yc)7dxeW`Cn&v>@Hvy$?0G|3+WdH2 zbK#A21HIq8#~wEvToH64fvHT==R{(2r$v&1p*yeI!x=eOY-(+)O)u9kzrOsb;49A6 z<(5BFpKS{+4-Q@|btcPX{-wU$!j~K8&a#*rJB{0}R($Kzo$qEE3G%h?%c<>Nq`PS2 zr@LKhp~2U!vUY!G_Y7Yi?7S-cpJR67?6SR9VSf~jpFY`ZRqp!Kx>V=C^XuC)b<O^7 z*tKZW&Sm@hQtMBc?DY_<<H^dL$<eNwsuUwOz4Jpvh}xm_s+k=Ptf@*)&;BcJ_SEgl zsN27AR%QK(p2_9fv$gN9eG_qULh`zcmS+sDrr&gXZg~1dO`25f0iMO&kw4ncOmpj< zvZ{pHZ0iz%{A&3MFR}msTjyS%EbBV0JzP+F<K|g6Z{C07cjoZB`v%5SXQs+8HS;-c za(C@*-H3U=Iz@#IA7$Pb2;!D}viBfY%Llg;4K^H{{7jrrc#9hLd_VC{^YZq*c~&<+ zSM1Z*j=j3}RB&wQUkC5Y0{rv)1UDQ~PnP=JTlXe$?g`OrT`|eMCwRlU?;KZ}$X*fl zL~))_WlO74|3-%=oX@;3FZj4IWRBmp&o1i>Tvr`S*xXW^Gs!?VKt(2Laf|YrNd-N; zLVg?KUi=8SwZ8bxqpj=yNN364VE64yULzg4fA;jpC1*Ep;}X5rv+BP28fX4+)>Q?r z3#6vAW)*lYusg?Ta^Pmxag(s{g$Gw@eKFk1#q6{4kbw0ngG1Z2dNyw65{_AYz>mN9 zc!<K%2gyGytTX?8;|@ul@4)+w>&kJtMz$NVZ<~%i(lV*o$W^;1pp|i3NMZ-G%(Yqa zhxlH1<YvB;{1tG0!n?dRGFK}MRsB})y0-0i+x505;Wn?^IA1=DJ^NC=VS0bo9-nR7 zU*}oniiKzBOin4RJ+}K=*ZoOb9z<EKs{6ciOYHZ{OC|FoPCBgmBz;+SF8`y_drAJ; z!M`dRU*$=*y<g*9rCF-AJ@WIUQ{VKW_#R9R>|MS3_vQE6;_|`wtlZ4F?0Ylc)HHuA zII%P%_SFji$L{SP3$G+5$rR3DvFC_AG4JW(dy$*(Ef6W&IptX1bfX)OgY3TT3Tdd- z6MeMA+jYmwAj7<!Ax*dWTu(o@)>~R|eW}U2ol_j|KjwRyyvCcg;?Pp3p5m1pk7Kot zu}t?exVW%}f4{}Cr`kS6xl0XiF6CLaLnKx?M(cpdbS}fYOO?(Ptdua0)jFut&Si6^ zOtaNeZ>iz+r997ePU)BKo5{}<vt3MNr<XjNWbDt6;`0~Zwb&ID{@*<<+{*0Ij|+)R zH3~DfWXoN%?m1+&kbCCG4^7^hIz^hj&tp$Lu$;a~b%VES#j&MAHg6@S9g8~dRuVP$ zVGtL0tXFcQx8#{}P5I9;S_fjLa~U3As&uAorNrhKt%E+tZ6wze=1loFS$}#^#KRz| z)3K)#W_tguxW1H0r}WF+<5|buO4d~z-x$~s+SmIc<m0yF#RBiGeOkWDs2!WlH~GQQ zi&HPV{91W-yPNsF>Avy`>p%8q`)v-(Or7}0w&UfsnJYJBPA)mKd&(i7>6d0a4Px6I zd+NB%^hL?{mO7m&Udi!!?a_jTQg#x`xijl;CoC*oZ5{r`I?SIlIBMS_i?9{DD;|FM zmCU!a;P6tDx<bw7*?LbOPxEHo@is{D-Hwo!-Tk4<w>*69|9y$>XU(^pul-)Bb$@My z&8?>*_X@0fudjWsr=_n`vE$o~23C=I5~c}^y@kx;1`_rKjPk;3t}Om|wEg;;;I6Ni z4y|4+{dvZ^U!Q-ik5F$vw%k)aYGv$CmzR?lOTGX4eaAfhqbjeD2UUh;Y$_FAxcpjR zHlNTQn_W)M{N5~HFLyeansHotu*;z~MmVEkH4|^4>jFVNZj)oGjcPk0mQHNvW(u`X z3+OFoGPTeSkWXikda%X8d5%y<%VehBLZ=0sec_pJ9`11vRg2zkU$n~5a{-$_*OlWg zjchX%E(knkl}eoBkZhxPfyI2)Vh@`yp{LcjzPD_WDre0qa9?1T$8B;zx3O)9<^_)Z z945#37fZh{O4+j4c5me5byK(PUV89*-pj|<+j%ye)pgD(J<V}{S87lG9ixL{%a^2- zPL9^9c{)uhe%I22;(0G07jNhJFf+RC#}!@Wnn%+N?aEHG%$_?*=*IfHK@ZcnU-@xT zm$$COTi*Sy(E-8jJcT!Om1T-fOR(QHI>@(tN%uv@?IAbbPm}t6=TgG^7ssB)?|-w- z^y)16PaD!1R&SX7|55cN^?zY@aZ9=0bA~*wZIoIgq>*aP#Jj<5f#NkTlS5XG$0GDE zut=|(67-y(Wz~m;4yMyMt|T-%)ar<2G%RP4dX(AdR^hh5aGq#J)ALnRF5P|3x@v>R z0x5ltD-T>7e?{nAV9@6@Ia1T;RuQ>iON&TGQ~2sBeh1cbhCKXwT>8J##ETNzs|*f) zI)2lC#q$5^F72nJ{XE^z%+6c+VOn(7p10H3-tSo2zcf$sLF{&(jc0U~b4pH2$nQ*T z^7ZpPem8A<$d8CAKRG$(NA%B1*|fX8<)Gf{Dc|jL-yXJ}Wo2z;)&4yoyu5GS`-f5P z*}=l8s&dn&>sx<uZWVo6Em-;@aj%1@S%~(9h{#s9_n`~>!&ey`Sf$0YaTk~Jnw5tn zvR4}%+{N{Wd+zmB6a2D6S8UwK#cQ|9Nq+V!g9FdBcs>@2UUGU||NFkynFM$7w@ZGC zE;BfP&mvXsSeW9|6I0ikJ`0<1a1PgJ!`+9N&aB!XV63fksE4b&@O|Prp)Vhcwj>`5 zopFe7pYGdfZ$;g>UvMohEpEM7wDVBL)R@FA7Ii!RujZ8WE<a%|b5Z}=J)_&Q(ON!< z>i0t)XZP{!dvxOXbQ#tkO^XHQ7mGYJ?n|mU71-o2v1smwZsn+71xq%zD@Vl?K1r5$ zXZ%(y@`%A*ux`Wi4ZD}#J-qSP?CpPj9#*tw&iPU8Tzd2LO^Y7|hdtMrm3X|>&$X4~ z;|zJY!NIhT<H~~-4z+(YE-+k|@?XNr`|+7_sMN!??yufgEL|PIpMTro;avA$@s{Tr z+e7<Jc&t_BwiPYe(5u}0-eQrwu)E#1Vvz)Pcg3<IkwkHK!?NNj3H;LihqA&t+J30I zbN#lsbmRQQgN%JOe+~pTG|RMF90_bWEOXT2P+-&I92=?Z2*oJ9zb7Uh@|Vf{b7tZ} z!@e4s=Nbn%`<(7b91Li=?ta78w4(Xz-Q!knOl#6rj<C5Y)g18X;g|H>aM5mq>9kv} z8Pn{NToQ$qrDjVS{+J;sKi@#;L9m-s%`uOb^OBt(S(Q2GZDUXTur5~R?`J7xE^f(- z5eGUB*0|N2Nme-!<K}cGQRR?|Thf^%m4iOj0(R4Gx@v5kaj@f1iJQqX!z6>Lg7U!< zoCY%|3T&2KxTIgu>xaa`l=E^f4NuD^HW=BvX}nHuF|u>hxSiZ$Wb3AJI$7n2kekNt z<Q5}qH;vVs*#(nYV^x-j^b2~um$(>ly5pdxTg{v_l>?G)PIFRK4q3V-%}G}|$XYER z7<S85iC<hYaL193W2tUD>kJ+})>1B6o2qi8)J^G5g32*ZH=#RQ*aaWk?)G@|P)wO? zyX3_khdU0&y4BoCR<0KSwA@M_F)Qg32)qH=&;O^|flsocFfR_OkKWVD2TNX0+&| ziZa_|iH{qnUzK^F?dDW-)}uwY=g5z?i7fZ+g8n;4dUegYd01LmW!;Bo9lw;H_TD+{ z6{)dDoxAsYYT^bjF}t|Qt_`M}I5vif30^y?bgU{;<%Yt!j$_e}*k0z^MFx23aC>#9 zI<DNPqhULZb?J#s3rr%#rf8jRx+EQ`^22A2VDt8)yf2dW28eNSrz|v#7i0UJ@-TUw z4%3;5tg(Wrf{D{~l6<DPHc39}`BCA1r(xZn%c%<ue8r@KQxgqb#q88hD;-dYY^ewm z6HGg$bS!w&0@XPd%8b*xq%Rmfl8n@_(dO=bo|5=sk4}xwB-aM<6v0QXkuDKB-4gmI zl@1COx;gF9&U46~G(C0Uhb|qHxT&oNvo^lvIPD^ryz_+c8i~OD=}{m4?f*KbM4~lV zS?b-gtDmaZM10Fgo^|h1NOsoBs|B~b=0;gX7aqGc<J1<r8$FxFa&lj-+WO_esT0S1 z)+}EAdHoKnO%K!97JlLE%MRNZb^5Q|xkyVH#n#VZ?z>hhi?1=u%sG44cgKlDt1aHW z8`58VxTeTou_LCHD|}VJ<1A6BnAJ}5*H=#{3gI@}dapd*o&Q~Liox8@csCQ!Y7pBm zwpom=8~e@9IDNamQ}e6;e6b^kwR?qUDYb@MUaII-ZoY2$=_8vv<Gm*uN1|n1D`qd2 zcwaCj;iX5l4Oj5Zij0o;o8GRtV$^3ctvKZai@Tkk`8`YTzy@uZ)*Yt;Te4-2?l>3N zB>naLlcyb%T+QU7djA~Swz%|!Z=XqCVM@VlW%+Uou7c@{1-@H!T{xb0FTu)sTg{mS zl><I*PG^!-4&}JLU^(N_^!8ih+QO6X_|9J9t5%-1WNuH!o1`NN=E`>841^N&l@-4k z3MJYr8}b=Udca;S!1nl!(v~w;Cpr!(x|#49Cl#~^%HKB@df@Kn^yi32%Y4bs!k&pN z$KN{skjNKbIQ1f@n~0y$qQWl0=F2@lEDn1#Z0>2XIPTH%x#!4^10GFhzbP>JZ0Np_ zl%}dYh1bw2Q$Tsje}hNKrpi-38aS=wQ=anJ!09Bf@|3GLnmG(F>N&mc=a*Q>(k6H+ zMq=TVR>4!D5}X^F1W)-%EZou}c*<R3q013DmlpN6N)y}9O9uXE671ENH2l#eC?9Vu zl)$a5xGz!V(Dt4qH%@sp<$hC0^4ZjVL~dWQ%fl75Y~7~MjGR^qDce0W7D`}LRunT5 zdZ_7^WOLS|Dg9Lci=`?DQ+A*3Y)^mNE7ba2;$p=-!DiQ<9~F%g8T5^Wk_?pvpBV@x zb1HMj$=%%WYOTHdgTyr_MD?!z4_|Q9)<;&8>(slHg@&<WY{n@Mlb7i*oteb?boZu? z50i9~a;CdB$v*1I=n*zw@cOl1q{f>`t;g~rCEiSI?cckJV}rk#;<wXEhZrM|{BRWG z<m)m{GKjm=pm(i3Wnn?Ynv=&_CbG}aDDrydDwjCvM6!=qWs~OBzGs$q&K}D#7ItMT zNl9@o3bzS;{P0?bR_G4hfL?1R(;e9tO)`D<C;#00)!J|JG5NLMG&6J7m6ko6H&s$} z<rDvFi)_8w>z{c%oN?7<7N6X9qg6_>HU|@}B-P*MR%cm+svq7Ro#p$s{^-l9$*dPG zg6~VSE_yRH$Yzf0&H3rkbDlk%6YBX*_42-7zW0u`&v?<IR@AC4_wxQ?vE}pgYr~_R z65Dl5ww*ropgQu$4?i(RyXmeSYd0-8y-p{oM!B0M`jxrOn&W#7{N@N+Ev(hO=Ayo3 zeTw61o=AxrMQ-`qDUOz{IvQIiu%=3ETHxX=HYLBq*h$@_(CyM2=QWzXPAQH%J9IQu zC${!4-n4+lRcy-g6HS*yBLl7}aeKubkz6Re`A7C5;ms#r9?|*4QGMiB@*A<rrr4Xh z+rO1@RlRh%a62kn@|tx?#`^Z&c{v%Z?+v8nEqV^J>DO*wowZ5W|JS2ipX~V~91@Qn zU^SV>8*|{nUi;<pWkn({e9mWD|F<aMjLQ1cEF@+9&yn-gkN-35F5SBqcRfsg+2517 zqRaRaOqcN_@T&dSwAGN-DLwuA?Tcfsp`u!%;w%e;3*!XTM4yNJ>NSl1@=R9YZQ@?@ z3E>gu3$904FEEdI{P+2T``2z+wST*J|K`POmm^=lJ+RSmPU*wvhW|}}d_Qu_CqKH_ z`}c(QJ@>X<dsY^ebmq0RigxQyy`>*RTvz_%nqQ{bnjYiz_~t&xEexKa`NhG}`TqKA z%`y@{djI+)x|qxSvi^)GTh?whZQh$JYrFU5^%=+iq<z?*vg+*NPNM*e$n~py?@#wj z_fMbR9-M4YQQ(&uWxMB|`3gaeJ=e@T{8URXMAv9uJpA%Yv!Up^f@SrqUKdYW$C=rl zm{j}YY00v6Ynjg%E`1f<yMEQbwe#LffBBsgJ~`|Cp7m=xZyj2+<?#N^ioS+%Z=}Dp z|KrrCNPiu$C*Y`U%ks~wRys!<SRGb*wYXANYju^M=<?9>@1(!%KDhr}q50fbHfNGt z5|{a2%GWShw821izotjkwgq25NzA?wbyF?mqO6<9yJhoFojegA9knYe>*z)o@z%|J ziwoA(Wt3J~#Itc7fBk#^<{AE0H)h?I%Q(9FY0a0_C9{?-ZU49bc7?>UFz)4(&6nn1 zsOSI3e0=ZQxxUV~3JZDGuSl0rn||EiFLbZ-`VVHG{+e}`dn}c$+VrRO+vP3J-!J9A zDvbK_T<CSb*Kgy6cP7sL`L2GZV5_Rx!oA;wl)1Wpv;B47sBGPR*S=3VYM!x6Xzx>x zh3jRa!;5$R*1zsAsT#T?=n`mmBU9*(FngmHLFIdSMDmt6mUqkDDw^M}JNK)@!`DU^ zBI4QD;>8@j-8Z-Ijs5D7STC9JMvwWF>?egYw|=UuSKt+~(_`*Eo0_m;CC8>RGyc`= zT(eI(Jf3T0P+`q|EA-kq_ej=Aht<E0F6@Y5W1HTkkp2ATqNX)-pPzDg{L{!FVhZcl zJ+HbWStAz|F67}kSN^Z;!~SQE`mycjMfFzK_yxL#{aj;YP?0Oa_TP%X%9>~G<8A5N ztnYiLZ}QsY@UTQOV~!Sc^JLcvy{|IN{zfh+Si)n%H>L65ku?u>UcCLV;$dy&;h%Zd z6R*#BzwL4Af{n|0c<S_;);#R*;@Z?^KCSWCB_o4~x#n}&Di5n0|4y)bq#W5Bxu9SN zj|tz@#)AiyPDt&W)~n4evTiz~uIT9l30~I<#Gdfh&o`gb|HC0J+3rzk>Vl2yd3e5w zIbQqV?aCT?`1EOqWLHUvnyKb7eLoItsF(h;ntj%+D*2q%TNAQxon-HRTu~ZTFQ>6a zlR0X}(&OQB7bZ5XJQ^11KF@RZY3A1DryHjErY3wyyfxA0#oK@@6W<#}%iIdze67p* zca)?=%=uaC<Zo@~o^HB#$qJ?HsvyY>AARQL%*e|>3-7Ic@n);;=A&`@XJ3tY^VK?a z!A1q?w2jxFi#uNXu-9n8<;=k7Yad!KNvt_5m3QNH_NPU4*FJpNlOd(yqs`oVGBu$< z`~9T(rhjq+9j|?uox0#-n52ZxIcY!USte2+uO;r6`g8tn{qOzlAN~LH2Y55Hh%hiP za4-nP`$YYjD3tw^fq`KeBLf2`11CdHeo4MwW?phpXb39<b86k1^xO#t{aOqJ+TPn8 zy~BIfr%~*iR^AgO=_AIOH@bS4&d7`G_1AyHX8vkhCcp80`_Jc1(hSz+bBl)TmaUE6 zb?&v}?gekI^~t-x?eskNaKYZdYc(qq+_vA;xW9MSVzx;Q3eVU-{`tE<drF;B%z<_5 zx}NV|wnnZ|qQ6l&Rj{i=?AI=vwo|k3S)|Ti^Xi^LY7oc7BQrc|yLbGEXl%du?tH+x zih0i?dm<MpKbdeyaYdck*#cYjkC$45H0E-iwcxM&|8w0PITr6rJwh%*o98re)Ggl} z<59V4(-KRkh4EKXoNfIae^(V9<dOQ|zr9peyv}_0-VaBP%zSq8)cqP}SU@p=1FEUk zC+oQY0|N+izyhi$B@rG@8e8@MCh)twZDYvVb3rxu!i0`u0lhs<UD6z{7TTYg`R&Ie z#qb*k<m#A@9_BBcU8k%xb<d{ViW}Pw&D~OSW6F>B_ijzEko=Xp;^eLWckjLp{@+pI zRm^5;(CZ<(KuVNh>E;v`7baUKTc&lNX8XF$TgLZaJX-f&v*oL!V(q7Ez9zkX{AQiX zz4WUsk<Ir$8>N5z>A?5YUjMV0eEVaE!q;bCS;syT{F8M#^<UD{(wXbGu^pbRTRBa% z=K1M8t}Ip)4~U#PwWNrvaOV0AInp)XPv>#o`<xmV`Be4&zYbj??%-3$QvW?V8pd8M zt}oQM<4kDyboGdRFY+f>W<;9a-@DLjxj=g*m-<t4IrgGB^_85P(;n>9FBTELqBr}D z+V+z+HB(>i)cY*@(#Y{*pPc^ZKn<2x1vBSIwfHR&;9Q~<^tbJ_OcQrb9^>cCucbR5 zy|9jZwnRKydy<#tQ`?wl%dNx24d$24R^f}ZIevQ2l3xk)xMnH@G%gQ3_2SDsy<*X+ z?adD#hN(=QlolPf?si(Q+WNAObEp68nz1_K+S<M6+b<U^aGRcfZcdoG5bOD$M*M+6 z3L!5=m|_<!n0Gn#-=kQgDw$l4zO84<riXu#f2OGuwIHtH$<^&P&i51F9cVuud?bbW zeeKNty4Ef)6{d|JDmJVBy_P!fkaYjr4@Y$3!jsA(*H`3qAC&HwD9-j-K7nKD63f>a zuIpDz<$B(^r19bY^vV}Ur+Gh{!qw=d;I)Oxd%+bh-gAkn`IT9R)|f87QKV^mJat{w z^fOsYHaP{Ci<(+ze=*DF+uC-z=I*t`jl1rMONmvQ-aaymrLNhMdA)9=Z`q#3*Yx7Q zwDSuzEqlwo`3T4B9ZWir;n9ITpC-A@aXBpAFWFZb&}<eZZXG22USMCU+09)$K3Fhy z1|=L*-tbO~OV(}?i`PcI({0rq-%7pL)`oxCrY3kjDteE$<oj7`E0Zik7jX#XYTuc9 zWxB~j<`;&mk8PY})zbW@?Qdqtsc+lN_8fjU`RTL0j%#bfy%tR2?oZCm6ykSi@qJv; zXJ!@|>nwP^?r_}up1?(Cw}mMBPwtp)(|)pU{*E<^!*zFlxbg76)t<^|A(4+cENg9o zrSE;5a=2#h@k<ZynXM~3B)-%-T-f>JG3BLd_ZHt^GMvJ6@!mA)sNiPl=|U<K4#=Iq zmE@Xr`gW2l58I*auyvAsogd`x?Ybj-tLCV{yN{{5hD!Sr^H1*fH{SA4c7LM%wb-aj z&o=m6yngt<{TtWS{;Q5=6=z?4s9bm3DEe6Y%|$uSK1>il$alWM@vv^{Dem<hg4ZT4 zJ#4>4_<p6`oW9Ei%yze9=UK2U3*q?l{9}pi@`tmxOXlm|;^{Nnd|+bez1VpcPaast zZm+c9J#Mo@S99Lyn>jMeAI`d86L&nb;9zg6amA$)g=L58?c~m1%d`+Xyzi;!r#WXI zTlgOiOmXn8`TnxP!tZcsK?2*9y5|jie|GdQe|=`MoqO=%#`))tRmNnRS6l)~9;r6F zf9#{l9J{LznzTRZ9GsxVZ1pMnd7+&Qul+~W04|r6F4BBX?7mNxrhfF;vODcekoy$D z>l}`kcjry5ZlCsR{b`wF<uliBD49Lovg@-^{lSAaU-cv>A5nZ^d&d3Nv$guCpNane zXjJ}y#WkS(Q>LrTt4+_=a&E4Buv@?Q3iJP$M(%HCYe($M$bVcIdH$nIjPk}cv(|d+ zeq`A9`J~SehV!|1+QnZTT03{+$s;Q}F5k~_iTUk6eeVoIzL(v*HpCh}WVTtp)ql;e zf{D*JTrXoyVSe!Ou4(YbJ$k3K3RmpxdS7yLvzN@JaMhRRb}VJuzD`3i@6!T~=Q|uf zpV)m?`0jL-!j8P&K-Ipp7c#T2P3!7QRt>$9RB-&%CKa#D&Sc|^+t04$x+=*OJH9TF z?K;~2ct`)$t*uFxp(epzk7n>lWo<Dv)6{ZpT{NZ6;#kwP+{kMe&q(wuduetg>t-bD zzVA4^QPkvO*P@sm$yyoG7f-na>Y2zmJKkQZ`Z6N&!-+??Q_Lh~6dp%}JH$NMu6y{W z#k}XQYhs>%-1YR=10~gcI<proTKXhYO7r90hcmb91-ty{DBY+M^=S9j)TtIKhuq!5 zpXp7r*rMfqZz`A8mxqe+k9KRbm9HtkZ`7J5eNE8xQ3TJeZMnCPoh)FOkh1M;t&z0A zdhhG(?<@GK=f3q*^uL@jDg5cf{KHnWWZzjjYH!@85PXy;FHIxMj*oqEq`|XeJ-Rdc zp5M$dc-%ViV!?xqNz<oAL^mv4^J&`7T@KQ5;=d<pd(M+O^Mi@!tVKHat`9eY%0(Tm zH-*%?@*K^mh`tx7a+vpcPDSn4(-Eh+(sFM39NH+eCHK)Ar>PDrMf2uuDoy-+*!xf0 zUp?pbR<}fA8+V*&|256XhVd*j!~Wdc-)d*}a(}eiqQ$3gS$=m_ZTvOal-W1#Ua|1` z_AoW^(zaX6j;*=xU3d82mTjzFs$5n5%eQF;JXJdSP)_Rjw3|j2HnM$77fk#xS-f+4 zMWy=s$?pqpz5W{asY?H6uiGJik3C0Dw;mTTuRLjT#Mi~5Lud<M`{lx$5?Wf*rtZ6P zvCB_pw&Q1;{>P<v*e5@qQnq|cfK&L&$;?^;eU%Nrc-j~4Y-nhG>2m5r4)g9!rLHFn zxDEwo$i;0{d8EpJC@@3iLYHu7Yg@8>Ms&yY1so3~Ei%}0xE9=hzR>RAu|oMxre`O= z6MLN|5_dq_L20c*E7t<<1S@$CD}FW)rtoD`&PQ*WW)l2LWY6=TcK*>}cbD>RHnqJu z{fXrxfmY!OkF2G?Ka8~qw!Y`<xyL+h;qIe-(%hFjQ<$1m`I=aIZyQN3JN&RjT7NU6 zz$d>?v-MtY67*|7oN9K)t}yG!Msbt8-*$6yO)Z|uHi_T)^rc|(+4R#n3LEr8zwkbk zzhAVe!SI+sie#jW-=#}QFIP|VvSGM5>xt$iv-!Jk39eK*<bLn5h2G=n*`c>CK6_pC z|Ne>1e?Cn3Utsn7$j-x_WfyGrFfS0@$SJb+n%Qg>zKK6N8T2LdYon#tE&BXn*H-%< z$Et5IeDyxf@=^RaQ~Xqx!inE&l4BF6mLJ(xIX@!mf{62ulkJ~v=J(0RJ~&sJeL=+X z56d0N?Iu4~J!x;RIcB|Q(OI<{Nt5LIW-jP05c>ZzPI*qtGc7lnqxHL{x3|x+VAR)F z|93fcy@<-6Cv%^euRr43Ve!|0`d+^Y6`X>0pXR(f?CLkC;d@Qow%*?#COxu^>6pFe z_!0k}9cGU-w75FDD=JP{cXG%pK2%rDd(^V}2S{s0SF^?nr;-lMWfHRl1vxLNc(My| z3UxGp+_d}xpOAxsqd>Dl-(v%gLjsE=idzI03WC6`C5F$P+m=f$y&O@kKKbdQ(wAyC zrl?j`M$9Naz-j8uE_#e>(z!6Ryp{)_e2>i!@n$HOWo&kjbgJt)Am$~uD0qVd<E{|d z8P$g)`29O#g!mq$%}5I0_0anM*2Pw-Su>|eh+fE2QCrWlBX^eBf>fWA(+sqZCC5K^ z=r}P!XL2pW+0PX#cKus>wajBx^|km3JPbFTW$*rTd=}f9{7aR~O4Y!!QMvuy(*-A8 zw|2)^rY-onX5j@f=d0;=b9X;GtoZlO<pjr#HNwHOLYq}s_}<@hm2sPKAb7I(nz@{j zMHTX!KXIJx5>H*bDL!p?js$<$$|k|4SD{g}9S$%3bU^>)LjRz%4=>40mgT&lb@k3u z#|e#7+BvzpQ{5h{G5%WLx%ZT|%1V)w9viYk)DP&-ayu!*vQIZT;;7Tp^e=l`gFp0X zv}OD_vbJcKe4&^(*KW0yt2RvwuAOI^H6ilp58f3QXY)0SoY%YZ-rnf<*;|GV%vS{G z9OEfTn9jmxUj5zg(5Z=6PtN~np;p|(_`}j~qIl~D2A>d4g`BC^=b5cCdX!_|&Fg-r z@Ws=`_6{lMrMs_|e&Nl1wZm>}^LsuG!$XS4CYJbTZ~5J6xZ?8;Nq#vtrofIJf>}M2 z3e2?vtDkGXx7Yp?Gf8G^>3xnlGd3-i{PucvxWT_kyMMmAYCAvTC_}SED$``$(w>`3 zJ}jT=!e_7{zg@7nb5erkiSHpg##0Vhot}{#d3JwLS>3O+jQd-J0%wFxDac!Ece^K7 z+UrmHhp%qlp=EQn-12-JD82KIv*3{knIN9MU8d}z&bu!BUn*~BYB;lygMIp}NRgd3 zl7^=aw46Q1Xf5?6@qd!o*Tu>+xu4v8a(MFgdPZB$Z_zViu5MH5^g1V+bA@Y-#0J4t zaV+1H=P(@nXrRcH6cw}3XUdVt(kHvPIz70}u5pHoG^c7g>~~cRFFGsGSQRB%zA*UV z^qrOOUrw})NbffA5m8muD{XyOonDhU<9X=3mnJJ-Ze<iZ-*aH`C$>M2J#B7HTj=+0 z`OF_N#S+Fkd#xQ)db<OT9P{-lt@7G&Tkvl2hlgwLoPQS@b^4u7*RP$+tS;Jbi;ywS z({;G`aHF2w7ySzkNz&U+Owc@7zo7SK!pzE!%hIm;lP~cXx-m>$e&k|e2Y-e^(G`Jt ziHCcZexCPnk=vHNQp;9a>N<u!(G~LuG)j@vG5r*%qsS|MNOBds*}09&Gi|FIRyi}r zJ$uYvpm0IB>zJp@A~A89?Pk_3{i1?BE0t>|9%K2s;>*>8o6QpUoNN7PYV8rci0R;^ zq!s@p@9}w5sLu9h(idX>^ZL}6kPFp1S+|d}-+WXSa@jz~I`n^|)-pcVy2u?$mySL9 z|M`eIb4FI+HRWG<F%L@bbjGgM(6+Z)b}BwvT2fBwNXfikzi(WA5~cO{#555_k?q&? ztwVz(C3uoV>uy?zPUht3_#7{#o!213{U*!m>Wny>que*_qkYb~&ak<iGc#!F+8y5E z8(i#UghNc9ac3;N-*W5gR3&cSkg#8K#fy@dg|E3vJn=lot5zp_F#f&LtJrU1lXsUs z3p{Rnzq)UUkGIXs+>R&pOKT@o%B1gqZ{xD(XJ_IM!K9M&)fYK67Mc9oU$^|AMRts1 z=O5nAn8_Nl{L|m%h_75`{2{oiHskXqF3%aPJ4y{Vd=I{SLeaBd;Hh0;N9kF&=Kpn{ ze55aB_VXlqa-SBS)Ot|n!;W9qF5fvZYq8h$iw=3|XCs!Ty(>F=rt)xIy_){fuAa?T zz89=`WH!+ydfsj;Bgq%5l`IwVm&{eWwXkBr_LkC`9l^1hKAc`(HKxmj++b3UXkNE? zk;o3VwU>H!6s@&6SoCAT_a$7lZ_az9EZ(Ek!RjEbG4Y#s!zq!YY$g$_-lhL!IBHh& zIk_QFsE9%M2-}NwH@}v@-Q$t$op;(SU#0KV)!8+Fb5{K+J!0iibaay2I`&;Zg$~YE z5-q;8lPM=sO(Ijx#!0!?RU`DxPAUIIch~IZT=KMuOI?16;ri9L^n&CT%$Z}mMB(A5 z7j@s(&)&FuZ>z(d_SW6}3$ABO`WKiTQ`~-jQ`?M5d;ffOKDj+FaXTxU-G85-9xcHM zo$)ii_b=8gxLNgc`?WZ~#|+jb9bOrV?(tuwV@fR#dhngPB-0eep!}6@E9atbti=<) zF>=2X__A%moQ><1moI*KV>ySb$7w&CyOGOxeJ|+D&)a&H>)Ynp)1v!RH-F)ty5s0~ zF_VrwpZ<;07CpIGe6i^86n)jOnFf(}R~+jroBYzpNpDLuizioyA@BM~RjDGEi^odN zoV5JgJcU&}_<X>Y$xW^byl3B+7`9DmebR7a(Nn(Sto7^k8+DX|olGL_O{b=wbMI_A zRV{n-cje0FBV0*Av8AV8i?|y6Ghz|%<hE#Y6*8Xihx_>ApiSamc}_fh(cj$E_RPlU z1drSFl4X@wx^uqo_|r4>(UT*|=N6dmG}htR{M2lDRP&_nVx=~tG>&CajIdD=$nen* zXZhdHnHU&W@-Z;*LPtg7i&7HxvWoNb;6o#Cr^RO9HV|oh|67}{(UE<s{ila!J73Sr zmEEH0yD2rGEl_9aMK$kvD$BVS|F4~NN$7gytiITi4N{W?_MfjTRoN@{Zzub=ES^lo zsg3+eYN36+lNViVo*(!5SEtUjuGsUvd-wihF8r?Hcy`6jmh(NvA0HpEN@0{K=5uDx zkO=a<+BAvbTIjk1p<5r$(AjW8qp^6JCTH!g1j(!YJ52bl+4e4d@}W~}@2hPeJ9P~v zZs3?xDsXt;TaC>9ZRV<vS*$K5UV5u$P`LP^>Wz7i7sqM8bd9xm-ya+Nc2%{!^s5-z z|9yUn+iLE-R@r-PVX}4Tw~(y;2F86pjmx-HJ*7i5S%j}o*m7}-<PQtoR?UNDVmqIm zJGOY$;m$L~IvvJ=b=pBrrn|*7#b)T6UT)yHTyZMXYr{cfz5Jk6Q$iZ{c~5<HY1-;k z)=%0q?<G8~c8K39B5*F%ue4*fu9KzS;m_OuPVRQhh<<j-!^PKALX535EnDk!j@-Q1 z9{oSz3F?cTr-|G29zO7{bVpRqm6tMG`2tJtDW2>Kd%+XzTJV6aZo`_osJwZ6l`D2k zoBK)G`beS3%(GS!Gk51op1Krp>BE7yUAxouo(j0FX5IMYs?||BspP-BY!=`3sx;61 z$y=$txbnlIg8s%b38j)_EUdqqz4NN}YAgD#;-0lpa`6-H1xM$6C}9tkUvOUJEKhWR zy<4p8tsNWYynF8R;yPc)t0O4}xAtFtb*W;viRE3b-tFFpPd~nYx9<E?k>cMOw|nMZ zWPNJjkbjZGx9ni@v6rilKQMN;JzL)Uu0;0iUCr+%x4Cv-E)RGXyvpI+fit2<kG#*Q zp6XYAS1yDvIR2wT+om1$_g){HDsXM-^dB}%|HD6OTAaHx@qZ2DE0((!>t0V4adi~Q zy_SFewD**48?0;pn|Xa+>No$lMU`hT@7<Tb`j>g`oW3Q!Z&K~+^{?v%R9Ba;UjHj@ z{gap5zy1$eA>fi=e_Pw^jIQjDSqY0@-AwwH`u>|ZYSvisY?nlbC<DWcaz+ODfMIz` z8X{N3zTNa#Yu(@a`D$inM=EFAdB&L5zYDp$a@O<xQHSmy>zvE$;rIUK%gfcbC3HQ# z6$~EyxBLIz{{OG<|L=AE-+1lnH_!U4>ir-4dyThk?B7*7RXaCv{+Hcbb^rd^(7O6X zT=x90cVl08`7SN9FW#&ws@7;8d{0mOSAE>ewmQw1{>iIm7YcE#S<B&CYaHIBpnN}8 zA^ym}32{!lCQf*_B13ga<>Q(4lRy5tdv%>r>x8>|zkEvLYEn?U?_k%$eR93R{%Mor zxo^wgnf@^T_VGByOV6ymb@z2%x_`m+@hay_i@)udf1>~H^SUO*y!F2on=<~sTmC8e z>z?^1`mcYlQ?gBa|GV?gjKA-ne@gy(V7_w4RrdNmg)sd;mQ4|VKRoA1`g&x(LdR|K z`i6v?(!r|X?)}$vMfWZ+dZnGUuIrZ0svMD`jpeJv{+>|hzgqq)-#bF?K-$MMb5Ge% z^!*WK<CLEFw7Fq%0C)Y@?fDZLrI);&d*{dTKP&$~befRt5tQ3_;L`nBuj*f1yD%YH zYM+Z_)81e~X0{Lh^{x9t0-IOu54`=+P|NS&&$T*g^RJzo7(X$(c52!V$E#PT>|Cr6 zmHvEoV3y-YhLsNCAB6w*%l*`PdviUTEa%F@qCb`5SE%aQ?CaYb-hErcrQz<^(_6Gx zC|xhS!Xx<eu9f{BsckDKYTnWP_uD`I!|9T>3_IOkb%kwrOwH)rA98!r<x-V*^{xA+ zT>c;XODbz7hfes%@Qj>^pY2{0y_8yCJYTtP`MxXvV>n-Z-o0g0{Jql(*$RHsRy=$C z$$rJ5$@Vcn=W40vzWsZ8%bJ?iO@dwLne4Ce=ZZY2s6LxuG@)r#c0n(Tyk?DuJiA_! zi<9WQXkn3}aJ^5#&tw~Bgv@W#{wY?=Q?j7_{5j)336aN2eO(Vui8A@ElT5gK{@$XG zi|uE|S~BP?6l0BLaM7yB^WE{N%RRxrtvlRR#`5{K)8eHb%2{3i+P-A@trNV*^=rH1 zi9?xxQqtf1o?9!+c*Nqy!bAU#oix=7&}2S(Lp+zQw=Vyy{i}(=uGim9HB^5r7GASE z;m*nGz`X61BB!sKoiVfdHd*jK<A#?ej~AU^-=*;9Q02Fm)r+UKal8$Y&)fR(+lQ)e z9h>e%-OxYL8o-f!CI2Ij?W5w|r+0pRasTn$m~O@F$4PhBFm`8LNV5L2UiJL98pqpz z{cgYSG&-#-|N8PGfpGgXQ|8C*ULb#d-Bjskp*M@KIqu$ddiDkG4|9uOb1X2u=5QrM z;_9^L*V?t^(zW&(Pd`+hSSRgQ|2O(kovud9f)CGENANZHEnmo-^P=L@i>@#0J}~TQ z+0~G__Hc}4=*6`*%Vw9EO;d5bz#ovRA>Cv0$Ibq*!mKZ!-yWG3mb_l<LdFfrLY6y@ zzB2EU7^8jpt>UC}{&Kk#YtDa`wC*XZ+0&ELdouPMv{`WbSkAQ#^%<HhZ?Ah9>B)VT znbCQnbB6m-$7Az$IZyDbd2_AfeWcRDSvmHB?Q0gqSFU&6voTyIC&uwoxk+I`Q$FA8 z{GC4o#0BS73s%3j*s&s9^;seR5?!?l7Q;u2ySDt?^6=gy<-@;hByIoL-rK#;#A50~ z?+<3yd`4$tKkcfMI~c_H_{-MHx>(^&8SRxU3=c~8IKP|3Xc+IB<@QzCW8=lkH-(I) zpECbm^ZW1Cg~!cb*(9~zw|VPa^Ud7fy6cU(e{{S}i*QZx{>od9G5afT@eAmEk{8*y zzw%aK$^Ob)$2kIDnEN*y?bmhXnse&H(`4)QyiY`>rm`-X_Qceix2d%+Q>4B{kYy@^ z<tmNcoTY1AkFA>HInn6at2qi+SbiOm-N?eI>L6S1BFr+CrSgxUzd%^co0!B?f`I}_ zHMTptekd<f*ue54xqn5|gh!A43?6Ycb@kslcK%B9f=9~b5j)#@x8Hc&YyLRFHk~h~ zaN#1>M?uMAXSqtU8z;C*mm8N^oX_{4I`K)|_D|*iICb53PB6J=@oXu}YX79<Eq9}q zG3@GGF~{T0#?VmdZ0SXdt3S=)Ij*so>x;qU$BN-AOe(&W>OD=kvifw2?TWtGjqKk- zj~;X2kG~Tt)Yv;~@^Lr!lpQOMws{Gqt+@EnDMsU!NWx+z(GP+1Te_6a-Z<j^Qt5fx zMM1Y&zPDPlH~qRG_2<!qPYR4$`K6&#ukzpeC|-KKuHWV1h82d(WW2X-i#UDiQiZDh zJeg^~k56j)Hmyo-gN(Q0$@+Iz^A_$2JbCVxBb!t0Qk7|w80QG&9S~UZ=$u6GtS<HL z<P$|FEHrM*-!(bW5xierTk;;?d-lAK7s`uo*j41U{5o{4^4O~R{fbJL%O%gBb=U3H zQ;KAtQu83?aA#@Tv?j0ODaloqT_)!Yb&nNySiO2B)xMb7Lb6YpvEXOSDZ}=)Kfm~% zySPeS@5YB&uNH3#5InhK@qS0c|JA&g9k<QbU+0k~+RGhx?u&@Yj#oERd1oxG-sBUv zJ=dps$I<yaK6!Fg3PpC`Kit=sG$AH$O@zG;ul=K>?Ee;DrdG6H*f@3Rgtl#ard&TY z#aE<LOjoOD{kxJkOSgE3WD5UHus>t?aUR1K4IOE9wudPV_S<$8b_YpIyBbwEvF@(x z+WNX=clfp{mrMOO;~SLE^w#HP_+QvK!B}1=_gnSb*=>3O%83%WHn)V$_u5u}+Y+MG zXLF`~fw5Z^>&bwl)l;k6m$F`1KW*vjf4&Wk(T?*f3;xXIuR6Ew$1OMYy*d|HZ-06; zezn?B<%OJ;4HB{UEEdI-@cS&vdeyyT;+^gO$@QD3i0_;$bt`_Fi>>)z$M?tNT&*u| z{WbAc?dg@-VVzgFrr(Y1nyGTG#D(9%{FI;4`^GLE{=YuU<I8RqSDsMi`f^7`a%1Yk z<kOEFug`s8vt7Pw+mEQPn`Da8Y8+K&s@$Gyowxb8!uG|XH;WSgNxI5Lg=X;oQ~G># zWm=}m{2w2;K2a;1XT33x_wQeceTODgSLeS>)I8BG^SVR$$|O(6{?oR?>kobm>(N{4 zvhI4StwigM8$uV>PpjkgJN*1Z|9N9}u6c$Ui+Z~?-%Z&1%TnyJ@tql6H@`J+cPnm7 zKl}Umu0qXFKAX!5k@M1jN}I2j5Zr4!Yu*Z@i3O&5V$Xk=zMqo+BiYkQ<-dQ_oRxet z?ixRna%Fp(dnLU0W6&oZ7nR=uzdK4-C3jytoq2+t^)K)KLVK;_AHTn_IPIqB9k<hX zexb3a+qMZFfB8>8E*4lJvG3SJ^MmEToWjpcTy~-S-ltr}13K!<vL?T<f4%w8C+>6Q z+pa~_XzX9$ZBeWH;A6H_|97GCiam|{lh10MU-JH|#XaVp_}6!ZzHm0x${p`vwVNs# zSjRnkoo$}inR#j-EA$K7kMq8kULHHo`~K^XYLZR1a{DK|Vfm)d`)N(<@yR_4o(bKr zvRV3Nubh8-!u;ctPb^=S{PttZ+A96PQ;&6GuRM;c_v~j+l9BTldmOiD&he$mcV6qi zEZqFG*tp8J*T-`G=9<L))zThSa_>2q>W)YCv<N-j(^t;6$?p5}4JRx1$Zs{-lV$nf zyUX5RG3$E1r%SL{8f<SB5mq?5znyb~!p<|XJQ~UU5$r#k?#btFxcvF!8i!j}(@uJP zs;E;fp8ovu$>|^d2px_)zue)0mY&#$nm2Ows=;SI$L(A5+*&&2d(HjlH?p)J1%Lf9 z_sI4*`HJZdyIRhR|9bzSB8GcH&YERU=c-L`-k&_D`R;-mKch8CfqSf7DjXv2Yvrsf zHaj=xDWA;ctvmi~)vBBPI$S-Zt}#_j#ZEmYamE_UGwn|}<9B>y5#?R+<E^5_neq>= zDpQWkzHn!bqcq#=3Au0ns(OErySu*Z=Ve!gYZKpTh9tjb{l@j>(eLonpHElJ@K0}K z+EZ8kSvM=dhf8OJ?7r!zUf*clSfZWgFd=X0bheNey}I*HCbmz_yOvOTDveu4WHwWD zJSRIx1Yg`j+ZVsGzla~b#x+Z!VgAA|CvK!|xKrTV_4#&1O2z(O_gTMhpD6iIFC8C0 z@sfnY^WP6v&B_$%h|FtqcNICKyF{Ds*)=W~rfXe$P8@AMbXoDu>MBug%Z+~ei<M%Y zePm9Yo$eIAd1IKNhJmiu%oP>wURFl;9&)?0hpqeA(<n9Pcaq9uiNwajj+{4=Pp)qJ z6MXu0Uh1Q#3{DGgST+RM^zkKx3J0?r%vam@XI*LX#ws@j{oBpnktV*n%S^kLUAru2 zTg+B8b^T&B`Lynp&&8HoH`ITQ@fB+IaamL7@wvWN<4D<>tg=sg9&(8oO6NA)Y!=rz zGGp(SHk-}Ur*RrcR|l<&$kymsz21RybM~H;hknNrZ@>HU_|s+fI|s@p=c|>en@yCs z(dlcUSGFibP}_Rrx2gNO<`-p)hY18!UFj+7SaA09^roiI3oc7L@9D~&b1<OYclOh` zeV<>fnej3Amb-_4O7PaVzs=I5Gv03AKl?XFr9M;HcIn*uW82I0OA0zn*Ki%)vFxd> zLd?&CW&EN_wyF2txgNCb3~Z~Fdvb7w?M%K#iEAqw?_O*0HhP~ey7yw;lT$PQGyTk* z@!zR^vVna6*W(qN?P~U){(rt9-12mIh5zBVqD5<a>Nck>N#0zx<kWGYS=S6}JFE`e z|D!#}?#Mmv#<y>ebvP{*d!1xD|K0WXzc0(of1PCf=9bd5+@0Fm*Hgd#-Qj=XdcFex zwi)V|53alI-z&A}#L1mY!tU)quy)RO{R#8izU!A*aK8U|<Cw=go{Xu*HLc%G&crER zKlQxw+tWYSb#=QH8Y^V_Bjb{fS*?2bP4LP7$m9h9L3h+MBF!euU)6i_f@5~}<_iy8 z|DRXg`sp8Q-qStxjekt`)Gs{jS+V~V-;aG^+ty#udj5m!eddh4PV1(fpUke1G%L6C zb%eED`kl~k%zIvaI&5Ci++)Z3iD9v7*@Zt2&2a*I8nQKZ)@e`3HNU0pEA}E#q*cam z)5G_xjJkh#N1RdmaI*gYyHmIPbq!s%OcIq8EDgRs|LwK2n*!SHmL#oZy45?+IC{AS zTjP9Z>)d_PX{s}F=j!?IyHs}n(r2qRYcKq`*6LL*H~ZJRfQ`E>*OkS;+Z`bPx3%h4 zY5zafsJeWSx_p*@ycd_-e)>^;s#p6(yv-qXt)h+7Hm9xP*!yb*=OeG9^VZDWvDNi# z+?|6nE*L($wbHTS<F0Q?@2)Hs=a{dsO_51;a=+qo?mHhuvo($`zrX8aOpQ(3xAcy4 zEYoZolt11%!>Dre(Q}4n1uip0c6;zIxOM#fm1&=M=-4IQIvaI4zUHHn^ycjXPo8`c zW0N$?UpVp6M=`lno^SCfr_V?K*R|r@R@Iwo`D!b_!R9;v%JKpZoDV$laiWk|`9blk zFXvZYo97&r^-lNc)8sorMKjVv#cme8$=Y>Z?#J|beW#@wHq7|uTAVW>dy57`{1plF z?Pc4fbWe85**lm$U1OH>^T%JyV+ATArvmnM&vdfNs>-%|aWRpvlS{OtdflGx`6|nm zTn<`vs<5pPOg30_WfAX@X%=Dg(irvRlGp#7nZWAFQZs9Zq}z(gGZWXWs@R$F-g(ZI z_S{*eFPz1nEOMH|D0k{>-)xQFS&8A&Cu~!U`G0*qwYqTn=lhQ?Zf^B)ev^}US=2IG z!?|#B!q$uZ2Cw?1s!lLS-d)!9Gj~JRjL65AgbcG&emzR<4UFEhM(|ai=;{7yv0FUv zOY9CWQFObuqU7jtsdx=DugknKA`f1#ZdMg_bKAZuqbbZvPpl)v<>)1^T}erqhOvSh zx6J)k(O_=)_`(D2V<B6+CVEIrpLBymAy{0Iv1g&h#8aydaa=v_^-|+^u<>=%!;4kS zmWf>w6AsR1O<Jgu*LgNocjwX2gKfVa9XRXeGEF;qiFEkn`Qa0Iwzkc<<tDLjS7q42 zl_nbw{+M#=<+hD&>&tr58*5yvd4BJmFMU1a*!$=sT*=>c)2<f&7TbGOW^?|<*L~sE zXQyXBzNo)`!3*IzFV}Alc({Z2K}<ZS!gYcDj7wf?GrrmN$fBXLR&_xu?~%=x={y?( zez>Nl_;2%D*>U}4n4WB)#nuViZp@0(7BF0-Z9M(Xqg4sct%U}+omMFDJ&TqyJ#z4l z)2>GedAhlU8=i=Tvr0efW!8E7*tbz^?v&l7#ddlopXVj1z1sWdicNjLg8cgX$($c= za8y2%&Cc!2-@fby|Bl99=KLqwZu~zdOix^OJ!;nCdrXXF%OvynJALe%E*X89{jcE- zl@rR0Ygm2vIpxT=y@}ynB#@FT;NP)d^Pc-V=f&;EznqZ0HX*r?!!eJq-Qa|wMT=Y4 zenp+C8@Ipm^7kv67f-)bytzy>zHg(qisH6qH*fyn>$F-I$2#-YNwG(n>vx)XS8KCa z+)Bv|4)=L*e2ald{%VyQC-YCb%s45<y?N4BegmWD601Mk*iV|<d%Ds@&AC^I&mb$o zq(pjh{+wQwr!NvsI%if(_?y|uyNM-LGWE$HyBfY^!q1jTe{TL=)%2Iq<&mJkfh8JT zOfPa2goNe<d=}icicK;RLvp6oSVMq*(VN0bv=JpyIcY>3ni+~9OA{OI+PqYRr& z(`>_&COv;6uPD^t%%J8vQNUrjni$iKU#AkBQ!h3Y-!8OMcKfQ45%R=Cdc~2&NzBt1 zow(t!Le0wT!&gzQ9)VpR`{P269Odb*Fgc^USe@-z7?*+l!JJ=?A+@Hze)w%Kocu`G zf_IZu;JNi5JwDg<dhmb0ej}&hzmV>KsmS=Lha?VkPG;3AT;b<<THZ-Tj-An`OG&Ze zTvlf*1K0-zsyw237B?j%3ObDIw{*zu>%VsK&?n9O9bJF!v{g$<<sC5nlj)b9!NU~t z_s5;;H?yADp2~hXLw$q)8kukW6HHGyiA5?^JlXN<Sy<$%nLOcfUeR%@tnZtd9Tor6 zRPoa~KW*E#wO*gz-noA1d(`W=>!oJ#+y1?Mf5ptT>wWCriTkdb`Cff?=-J<A^3pn! z6R!T2ep#(Md8(=0-8FwbKR-Qi{gUYIv}yV2+j*Z~%gQxAeR$HFt5wReOLG<Ww%n<l zJ$bF}yp#8{7d~9bmEBcwJ6dng*<H_1JzMSgEzUai{r0rYaW`v>PTb3$zDBUkc>Sr$ zYje&THNV+AP4L{z#_vYkL%S@WU*F`Pq`iO9_0Ahv6ZT#YJN)YW4~~!dy|x!6#O!tb z1tuEma3!Sl=0DsrBXxoM!@FFD6I0eDa(8a1Ic|J{E&g)O%9kfKDjzj#-(7Q%_udr6 zrpCO7&+dL`cYRqdYH`Hy#fFwWvLDu-KV}wL_@awzn?smL`Ky2p!Bg{27B=h+DBSP* zFFiiy>BJOQgRjkw@)HxDC3tV!@-_X_W-qtKsrOTL6%=Yu*~)yF7+iLNd1j=7$mc0H z;&tX9n{)TolfrPv=W~MQ=6nk`I`8_%_F~MkcJYf<6QfhUe!KLjGWXqv(>_O@3Ge-U zYVC>hamnZ6jJ&4FxZCP%yYxsUST}H*Y4MC3b!L5a|90=4xlBXfw6x&rfA~62$c#p~ z`l|mi3=9l844@^l&;_x%WySDeTVvyEoFBh@{Zf40)Z}`h-YK1vK7MBcIsA1_oj&7z z{p0ELmwnHj^grk48>r!@tK)lC`y`8(`toN_3M3DiM!h(2<#(ExICEy}nLSOHgj)Y7 zwf?yzB%rNos=jd&H$#9oBa;X-Vs-AxL?MMBCI*Hab_ND^23`gbU}RumXlMknQj!bw zDspo|LpUKTbwR6j(M{kBx^mNtk%1wTiGhI|#e@csx%nme@x>*jNqS{qQ&Q^Iq=PWJ zDQ$8Ak3AU~7#1@zFz}(6@`srLyk;0`N=6FAIUqwo7~P;1D~o2zGBPkoFd_^Bd8MJT ziWO>5L1Iy2F5F)rLqHhaplg?oI7NV>h>?Lo1nMG)L1);Y24x0hR2KUel;{<u7UU#W z;`QK!E!{`9N-!{-^I~A&LNP9$9b%kbaYkZ6D%cQEID;^{o30;wsJfhmfx%Q4lys0| z%T)ntNJUCA4kI9|jJ1MGo}bWWU;tqb6n99gLX9X$Ny1?SBmw(9_BOuc%D`~K6_I8j zAtMwBHKHO9uL&$Z0f(lUGcZgvNB9G3!gQz!si1ibtjP}IkFM=ZV!iqd3?Pi^kL%~4 zMiiGM;&27Th*#bZzFRUdFn};>luZ5yH6kUgf<RzMgf3JTWME+6XF!kFzc53RbFy(7 z0&&UbKe2Co-!m|PFsf(l|3h6;M8Jp?qpOq8N-!{hFsf&EzheYvAicc2oV;SZA@V4C zk(DAZ0|N+iq9l%;>`V|tDw1#}Fi7gi{?_+*r#B<R+X^<cgw7=jH6tap45vdNhM;a5 zKu+ji5||+lfovhblR{9}(?iUFBr@3~s2N2mxKaqj8K{%b5F;R^qj53B2&AcKtWJTL zgE~`(Y>r<U#2h{Fyd54RP-_ijBUpQ&Mu6)O6eC#KKpqxj5My}5%D^z8hnYc-!PO+$ v+uS!hr?eo%BfrYm#3j=^$0XD!In~oKuPDRCyCmD!xY#Vi$jslxE7=wRp-J(3 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.pb b/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..563d9db7daae4ec36861dcbc899c1b4a76798700 GIT binary patch literal 106 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcBCv)x&hpD^_3=9kpgcvpmOSMXh)gH3FDhU!<AkXkYUh1go|Ns3CQ`JBs540IJ H=tu(qEBGQ+ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpt b/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpt new file mode 100644 index 0000000..434dca0 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpt @@ -0,0 +1,2879 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:36 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +| Design : loto +| Device : 7a100t-csg324 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- ---------------- ----------------------------- ---------- +TIMING-17 Critical Warning Non-clocked sequential cell 63 +TIMING-18 Warning Missing input or output delay 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (63) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (165) +5. checking no_input_delay (3) +6. checking no_output_delay (13) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (63) +------------------------- + There are 60 register/latch pins with no clock driven by root clock pin: diviseur_freq_1/SR_counter_reg[15]/Q (HIGH) + + There are 3 register/latch pins with no clock driven by root clock pin: diviseur_freq_1/SR_counter_reg[25]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (165) +-------------------------------------------------- + There are 165 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (3) +------------------------------ + There are 3 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (13) +-------------------------------- + There are 13 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 5.769 0.000 0 26 0.095 0.000 0 26 4.500 0.000 0 27 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +sys_clk_pin {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +sys_clk_pin 5.769 0.000 0 26 0.095 0.000 0 26 4.500 0.000 0 27 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| User Ignored Path Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock +---------- ---------- -------- + + +------------------------------------------------------------------------------------------------ +| Unconstrained Path Table +| ------------------------ +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock +---------- ---------- -------- +(none) +(none) sys_clk_pin +(none) sys_clk_pin + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: sys_clk_pin + To Clock: sys_clk_pin + +Setup : 0 Failing Endpoints, Worst Slack 5.769ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.095ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 5.769ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[25]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 4.120ns (logic 1.639ns (39.784%) route 2.481ns (60.216%)) + Logic Levels: 5 (BUFG=1 CARRY4=4) + Clock Path Skew: -0.138ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.918ns = ( 14.918 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.180ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 9.021 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.001 9.021 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X51Y100 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 9.355 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[1] + net (fo=1, routed) 0.000 9.355 diviseur_freq_1/SR_counter_reg[24]_i_1_n_6 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.496 14.918 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + clock pessimism 0.180 15.098 + clock uncertainty -0.035 15.063 + SLICE_X51Y100 FDCE (Setup_fdce_C_D) 0.062 15.125 diviseur_freq_1/SR_counter_reg[25] + ------------------------------------------------------------------- + required time 15.125 + arrival time -9.355 + ------------------------------------------------------------------- + slack 5.769 + +Slack (MET) : 5.880ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[24]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 4.009ns (logic 1.528ns (38.117%) route 2.481ns (61.883%)) + Logic Levels: 5 (BUFG=1 CARRY4=4) + Clock Path Skew: -0.138ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.918ns = ( 14.918 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.180ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 9.021 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.001 9.021 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X51Y100 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 9.244 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[0] + net (fo=1, routed) 0.000 9.244 diviseur_freq_1/SR_counter_reg[24]_i_1_n_7 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.496 14.918 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[24]/C + clock pessimism 0.180 15.098 + clock uncertainty -0.035 15.063 + SLICE_X51Y100 FDCE (Setup_fdce_C_D) 0.062 15.125 diviseur_freq_1/SR_counter_reg[24] + ------------------------------------------------------------------- + required time 15.125 + arrival time -9.244 + ------------------------------------------------------------------- + slack 5.880 + +Slack (MET) : 5.997ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[21]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 4.005ns (logic 1.525ns (38.077%) route 2.480ns (61.923%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 9.241 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[1] + net (fo=1, routed) 0.000 9.241 diviseur_freq_1/SR_counter_reg[20]_i_1_n_6 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[21]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[21]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y99 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[21] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.241 + ------------------------------------------------------------------- + slack 5.997 + +Slack (MET) : 6.018ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[23]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.984ns (logic 1.504ns (37.751%) route 2.480ns (62.249%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_O[3]) + 0.313 9.220 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[3] + net (fo=1, routed) 0.000 9.220 diviseur_freq_1/SR_counter_reg[20]_i_1_n_4 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y99 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[23] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.220 + ------------------------------------------------------------------- + slack 6.018 + +Slack (MET) : 6.092ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[22]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.910ns (logic 1.430ns (36.573%) route 2.480ns (63.427%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 9.146 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[2] + net (fo=1, routed) 0.000 9.146 diviseur_freq_1/SR_counter_reg[20]_i_1_n_5 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[22]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[22]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y99 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[22] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.146 + ------------------------------------------------------------------- + slack 6.092 + +Slack (MET) : 6.108ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[20]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.894ns (logic 1.414ns (36.312%) route 2.480ns (63.688%)) + Logic Levels: 4 (BUFG=1 CARRY4=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 8.907 r diviseur_freq_1/SR_counter_reg[16]_i_1/CO[3] + net (fo=1, routed) 0.000 8.907 diviseur_freq_1/SR_counter_reg[16]_i_1_n_0 + SLICE_X51Y99 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 9.130 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[0] + net (fo=1, routed) 0.000 9.130 diviseur_freq_1/SR_counter_reg[20]_i_1_n_7 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[20]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y99 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[20] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.130 + ------------------------------------------------------------------- + slack 6.108 + +Slack (MET) : 6.111ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[17]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.891ns (logic 1.411ns (36.263%) route 2.480ns (63.737%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 9.127 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[1] + net (fo=1, routed) 0.000 9.127 diviseur_freq_1/SR_counter_reg[16]_i_1_n_6 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[17]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[17]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y98 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[17] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.127 + ------------------------------------------------------------------- + slack 6.111 + +Slack (MET) : 6.132ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[19]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.870ns (logic 1.390ns (35.917%) route 2.480ns (64.083%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_O[3]) + 0.313 9.106 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[3] + net (fo=1, routed) 0.000 9.106 diviseur_freq_1/SR_counter_reg[16]_i_1_n_4 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y98 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[19] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.106 + ------------------------------------------------------------------- + slack 6.132 + +Slack (MET) : 6.206ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[18]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.796ns (logic 1.316ns (34.668%) route 2.480ns (65.332%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 9.032 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[2] + net (fo=1, routed) 0.000 9.032 diviseur_freq_1/SR_counter_reg[16]_i_1_n_5 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[18]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[18]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y98 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[18] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.032 + ------------------------------------------------------------------- + slack 6.206 + +Slack (MET) : 6.222ns (required time - arrival time) + Source: diviseur_freq_1/SR_counter_reg[15]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[16]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (sys_clk_pin rise@10.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.780ns (logic 1.300ns (34.391%) route 2.480ns (65.609%)) + Logic Levels: 3 (BUFG=1 CARRY4=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.935ns = ( 14.935 - 10.000 ) + Source Clock Delay (SCD): 5.236ns + Clock Pessimism Removal (CPR): 0.276ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.633 5.236 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.456 5.692 r diviseur_freq_1/SR_counter_reg[15]/Q + net (fo=1, routed) 0.698 6.390 SC_clk + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 6.486 r SC_clk_BUFG_inst/O + net (fo=61, routed) 1.782 8.268 diviseur_freq_1/CLK + SLICE_X51Y97 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.525 8.793 r diviseur_freq_1/SR_counter_reg[12]_i_1/CO[3] + net (fo=1, routed) 0.000 8.793 diviseur_freq_1/SR_counter_reg[12]_i_1_n_0 + SLICE_X51Y98 CARRY4 (Prop_carry4_CI_O[0]) + 0.223 9.016 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[0] + net (fo=1, routed) 0.000 9.016 diviseur_freq_1/SR_counter_reg[16]_i_1_n_7 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[16]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 10.000 10.000 r + E3 0.000 10.000 r I_clk_100m (IN) + net (fo=0) 0.000 10.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 13.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 13.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.512 14.935 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + clock pessimism 0.276 15.211 + clock uncertainty -0.035 15.175 + SLICE_X51Y98 FDCE (Setup_fdce_C_D) 0.062 15.237 diviseur_freq_1/SR_counter_reg[16] + ------------------------------------------------------------------- + required time 15.237 + arrival time -9.016 + ------------------------------------------------------------------- + slack 6.222 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.095ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[23]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[24]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.464ns (logic 0.355ns (76.531%) route 0.109ns (23.469%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.264ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.995ns + Source Clock Delay (SCD): 1.485ns + Clock Pessimism Removal (CPR): 0.245ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.566 1.485 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y99 FDCE (Prop_fdce_C_Q) 0.141 1.626 r diviseur_freq_1/SR_counter_reg[23]/Q + net (fo=1, routed) 0.108 1.735 diviseur_freq_1/SR_counter_reg_n_0_[23] + SLICE_X51Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.160 1.895 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.001 1.895 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X51Y100 CARRY4 (Prop_carry4_CI_O[0]) + 0.054 1.949 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[0] + net (fo=1, routed) 0.000 1.949 diviseur_freq_1/SR_counter_reg[24]_i_1_n_7 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.830 1.995 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[24]/C + clock pessimism -0.245 1.749 + SLICE_X51Y100 FDCE (Hold_fdce_C_D) 0.105 1.854 diviseur_freq_1/SR_counter_reg[24] + ------------------------------------------------------------------- + required time -1.854 + arrival time 1.949 + ------------------------------------------------------------------- + slack 0.095 + +Slack (MET) : 0.131ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[23]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[25]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.500ns (logic 0.391ns (78.221%) route 0.109ns (21.779%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.264ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.995ns + Source Clock Delay (SCD): 1.485ns + Clock Pessimism Removal (CPR): 0.245ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.566 1.485 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y99 FDCE (Prop_fdce_C_Q) 0.141 1.626 r diviseur_freq_1/SR_counter_reg[23]/Q + net (fo=1, routed) 0.108 1.735 diviseur_freq_1/SR_counter_reg_n_0_[23] + SLICE_X51Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.160 1.895 r diviseur_freq_1/SR_counter_reg[20]_i_1/CO[3] + net (fo=1, routed) 0.001 1.895 diviseur_freq_1/SR_counter_reg[20]_i_1_n_0 + SLICE_X51Y100 CARRY4 (Prop_carry4_CI_O[1]) + 0.090 1.985 r diviseur_freq_1/SR_counter_reg[24]_i_1/O[1] + net (fo=1, routed) 0.000 1.985 diviseur_freq_1/SR_counter_reg[24]_i_1_n_6 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.830 1.995 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + clock pessimism -0.245 1.749 + SLICE_X51Y100 FDCE (Hold_fdce_C_D) 0.105 1.854 diviseur_freq_1/SR_counter_reg[25] + ------------------------------------------------------------------- + required time -1.854 + arrival time 1.985 + ------------------------------------------------------------------- + slack 0.131 + +Slack (MET) : 0.252ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[11]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[11]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.357ns (logic 0.249ns (69.714%) route 0.108ns (30.286%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I16 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[11]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y96 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[11]/Q + net (fo=1, routed) 0.108 1.734 diviseur_freq_1/SR_counter_reg_n_0_[11] + SLICE_X51Y96 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.842 r diviseur_freq_1/SR_counter_reg[8]_i_1/O[3] + net (fo=1, routed) 0.000 1.842 diviseur_freq_1/SR_counter_reg[8]_i_1_n_4 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[11]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I16 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[11]/C + clock pessimism -0.515 1.484 + SLICE_X51Y96 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[11] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.842 + ------------------------------------------------------------------- + slack 0.252 + +Slack (MET) : 0.252ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[19]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[19]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.357ns (logic 0.249ns (69.714%) route 0.108ns (30.286%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 1.485ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.566 1.485 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y98 FDCE (Prop_fdce_C_Q) 0.141 1.626 r diviseur_freq_1/SR_counter_reg[19]/Q + net (fo=1, routed) 0.108 1.735 diviseur_freq_1/SR_counter_reg_n_0_[19] + SLICE_X51Y98 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.843 r diviseur_freq_1/SR_counter_reg[16]_i_1/O[3] + net (fo=1, routed) 0.000 1.843 diviseur_freq_1/SR_counter_reg[16]_i_1_n_4 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + clock pessimism -0.515 1.485 + SLICE_X51Y98 FDCE (Hold_fdce_C_D) 0.105 1.590 diviseur_freq_1/SR_counter_reg[19] + ------------------------------------------------------------------- + required time -1.590 + arrival time 1.843 + ------------------------------------------------------------------- + slack 0.252 + +Slack (MET) : 0.252ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[23]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[23]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.357ns (logic 0.249ns (69.714%) route 0.108ns (30.286%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 1.485ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.566 1.485 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y99 FDCE (Prop_fdce_C_Q) 0.141 1.626 r diviseur_freq_1/SR_counter_reg[23]/Q + net (fo=1, routed) 0.108 1.735 diviseur_freq_1/SR_counter_reg_n_0_[23] + SLICE_X51Y99 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.843 r diviseur_freq_1/SR_counter_reg[20]_i_1/O[3] + net (fo=1, routed) 0.000 1.843 diviseur_freq_1/SR_counter_reg[20]_i_1_n_4 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[23]/C + clock pessimism -0.515 1.485 + SLICE_X51Y99 FDCE (Hold_fdce_C_D) 0.105 1.590 diviseur_freq_1/SR_counter_reg[23] + ------------------------------------------------------------------- + required time -1.590 + arrival time 1.843 + ------------------------------------------------------------------- + slack 0.252 + +Slack (MET) : 0.252ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[3]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[3]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.357ns (logic 0.249ns (69.714%) route 0.108ns (30.286%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y94 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[3]/Q + net (fo=1, routed) 0.108 1.734 diviseur_freq_1/SR_counter_reg_n_0_[3] + SLICE_X51Y94 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.842 r diviseur_freq_1/SR_counter_reg[0]_i_1/O[3] + net (fo=1, routed) 0.000 1.842 diviseur_freq_1/SR_counter_reg[0]_i_1_n_4 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + clock pessimism -0.515 1.484 + SLICE_X51Y94 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[3] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.842 + ------------------------------------------------------------------- + slack 0.252 + +Slack (MET) : 0.252ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[7]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[7]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.357ns (logic 0.249ns (69.714%) route 0.108ns (30.286%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y95 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[7]/Q + net (fo=1, routed) 0.108 1.734 diviseur_freq_1/SR_counter_reg_n_0_[7] + SLICE_X51Y95 CARRY4 (Prop_carry4_S[3]_O[3]) + 0.108 1.842 r diviseur_freq_1/SR_counter_reg[4]_i_1/O[3] + net (fo=1, routed) 0.000 1.842 diviseur_freq_1/SR_counter_reg[4]_i_1_n_4 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + clock pessimism -0.515 1.484 + SLICE_X51Y95 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[7] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.842 + ------------------------------------------------------------------- + slack 0.252 + +Slack (MET) : 0.256ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[4]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[4]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.361ns (logic 0.256ns (70.880%) route 0.105ns (29.120%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y95 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[4]/Q + net (fo=1, routed) 0.105 1.731 diviseur_freq_1/SR_counter_reg_n_0_[4] + SLICE_X51Y95 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.846 r diviseur_freq_1/SR_counter_reg[4]_i_1/O[0] + net (fo=1, routed) 0.000 1.846 diviseur_freq_1/SR_counter_reg[4]_i_1_n_7 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[4]/C + clock pessimism -0.515 1.484 + SLICE_X51Y95 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[4] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.846 + ------------------------------------------------------------------- + slack 0.256 + +Slack (MET) : 0.256ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[8]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[8]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.361ns (logic 0.256ns (70.880%) route 0.105ns (29.120%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.000ns + Source Clock Delay (SCD): 1.484ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.565 1.484 diviseur_freq_1/I16 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y96 FDCE (Prop_fdce_C_Q) 0.141 1.625 r diviseur_freq_1/SR_counter_reg[8]/Q + net (fo=1, routed) 0.105 1.731 diviseur_freq_1/SR_counter_reg_n_0_[8] + SLICE_X51Y96 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.846 r diviseur_freq_1/SR_counter_reg[8]_i_1/O[0] + net (fo=1, routed) 0.000 1.846 diviseur_freq_1/SR_counter_reg[8]_i_1_n_7 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.835 2.000 diviseur_freq_1/I16 + SLICE_X51Y96 FDCE r diviseur_freq_1/SR_counter_reg[8]/C + clock pessimism -0.515 1.484 + SLICE_X51Y96 FDCE (Hold_fdce_C_D) 0.105 1.589 diviseur_freq_1/SR_counter_reg[8] + ------------------------------------------------------------------- + required time -1.589 + arrival time 1.846 + ------------------------------------------------------------------- + slack 0.256 + +Slack (MET) : 0.256ns (arrival time - required time) + Source: diviseur_freq_1/SR_counter_reg[12]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: diviseur_freq_1/SR_counter_reg[12]/D + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.361ns (logic 0.256ns (70.880%) route 0.105ns (29.120%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 1.485ns + Clock Pessimism Removal (CPR): 0.515ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.566 1.485 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[12]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y97 FDCE (Prop_fdce_C_Q) 0.141 1.626 r diviseur_freq_1/SR_counter_reg[12]/Q + net (fo=1, routed) 0.105 1.732 diviseur_freq_1/SR_counter_reg_n_0_[12] + SLICE_X51Y97 CARRY4 (Prop_carry4_S[0]_O[0]) + 0.115 1.847 r diviseur_freq_1/SR_counter_reg[12]_i_1/O[0] + net (fo=1, routed) 0.000 1.847 diviseur_freq_1/SR_counter_reg[12]_i_1_n_7 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[12]/C + clock pessimism -0.515 1.485 + SLICE_X51Y97 FDCE (Hold_fdce_C_D) 0.105 1.590 diviseur_freq_1/SR_counter_reg[12] + ------------------------------------------------------------------- + required time -1.590 + arrival time 1.847 + ------------------------------------------------------------------- + slack 0.256 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: sys_clk_pin +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { I_clk_100m } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y16 I_clk_100m_IBUF_BUFG_inst/I +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y94 diviseur_freq_1/SR_counter_reg[0]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[10]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[11]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[12]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[13]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[14]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[15]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y98 diviseur_freq_1/SR_counter_reg[16]/C +Min Period n/a FDCE/C n/a 1.000 10.000 9.000 SLICE_X51Y98 diviseur_freq_1/SR_counter_reg[17]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y94 diviseur_freq_1/SR_counter_reg[0]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y94 diviseur_freq_1/SR_counter_reg[0]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[10]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[10]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[11]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[11]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[12]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[12]/C +Low Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[13]/C +Low Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[13]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y94 diviseur_freq_1/SR_counter_reg[0]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y94 diviseur_freq_1/SR_counter_reg[0]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[10]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[10]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[11]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y96 diviseur_freq_1/SR_counter_reg[11]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[12]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[12]/C +High Pulse Width Slow FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[13]/C +High Pulse Width Fast FDCE/C n/a 0.500 5.000 4.500 SLICE_X51Y97 diviseur_freq_1/SR_counter_reg[13]/C + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: + To Clock: + +Max Delay 178 Endpoints +Min Delay 178 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: modulo6_1/SR_Counter_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[3] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.519ns (logic 4.672ns (40.558%) route 6.847ns (59.442%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[1]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[1]/Q + net (fo=20, routed) 1.523 1.979 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2 + SLICE_X47Y101 LUT6 (Prop_lut6_I2_O) 0.124 2.103 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17/O + net (fo=1, routed) 0.426 2.528 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.652 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 0.643 3.295 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X47Y98 LUT5 (Prop_lut5_I4_O) 0.118 3.413 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5/O + net (fo=3, routed) 1.222 4.636 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5_n_0 + SLICE_X49Y97 LUT6 (Prop_lut6_I2_O) 0.326 4.962 r tirage_1/registres_2/O_7segmentDisplay_OBUF[3]_inst_i_1/O + net (fo=1, routed) 3.034 7.995 O_7segmentDisplay_OBUF[3] + L4 OBUF (Prop_obuf_I_O) 3.524 11.519 r O_7segmentDisplay_OBUF[3]_inst/O + net (fo=0) 0.000 11.519 O_7segmentDisplay[3] + L4 r O_7segmentDisplay[3] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[0] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.397ns (logic 4.474ns (39.256%) route 6.923ns (60.744%)) + Logic Levels: 6 (FDCE=1 LUT6=4 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[0]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[0]/Q + net (fo=20, routed) 1.263 1.719 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2_0 + SLICE_X46Y101 LUT6 (Prop_lut6_I4_O) 0.124 1.843 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7/O + net (fo=1, routed) 0.608 2.452 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.576 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2/O + net (fo=7, routed) 0.935 3.511 tirage_1/registres_2/SR_Counter_reg[1] + SLICE_X46Y97 LUT6 (Prop_lut6_I5_O) 0.124 3.635 r tirage_1/registres_2/O_7segmentDisplay_OBUF[0]_inst_i_2/O + net (fo=1, routed) 0.941 4.576 tirage_1/registres_2/O_7segmentDisplay_OBUF[0]_inst_i_2_n_0 + SLICE_X49Y97 LUT6 (Prop_lut6_I3_O) 0.124 4.700 r tirage_1/registres_2/O_7segmentDisplay_OBUF[0]_inst_i_1/O + net (fo=1, routed) 3.175 7.875 O_7segmentDisplay_OBUF[0] + L3 OBUF (Prop_obuf_I_O) 3.522 11.397 r O_7segmentDisplay_OBUF[0]_inst/O + net (fo=0) 0.000 11.397 O_7segmentDisplay[0] + L3 r O_7segmentDisplay[0] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[2] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.380ns (logic 4.698ns (41.284%) route 6.682ns (58.716%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[1]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[1]/Q + net (fo=20, routed) 1.175 1.631 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2 + SLICE_X47Y101 LUT6 (Prop_lut6_I2_O) 0.124 1.755 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_15/O + net (fo=1, routed) 0.451 2.206 modulo6_1/O_7segmentDisplay_OBUF[6]_inst_i_6_1 + SLICE_X47Y99 LUT6 (Prop_lut6_I4_O) 0.124 2.330 r modulo6_1/O_7segmentDisplay_OBUF[6]_inst_i_9/O + net (fo=12, routed) 1.148 3.479 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_1_2 + SLICE_X46Y97 LUT5 (Prop_lut5_I2_O) 0.153 3.632 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_3/O + net (fo=3, routed) 0.517 4.148 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_3_n_0 + SLICE_X47Y97 LUT6 (Prop_lut6_I0_O) 0.331 4.479 r tirage_1/registres_2/O_7segmentDisplay_OBUF[2]_inst_i_1/O + net (fo=1, routed) 3.391 7.870 O_7segmentDisplay_OBUF[2] + L5 OBUF (Prop_obuf_I_O) 3.510 11.380 r O_7segmentDisplay_OBUF[2]_inst/O + net (fo=0) 0.000 11.380 O_7segmentDisplay[2] + L5 r O_7segmentDisplay[2] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[6] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.348ns (logic 4.671ns (41.161%) route 6.677ns (58.839%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[1]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[1]/Q + net (fo=20, routed) 1.523 1.979 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2 + SLICE_X47Y101 LUT6 (Prop_lut6_I2_O) 0.124 2.103 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17/O + net (fo=1, routed) 0.426 2.528 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.652 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 0.643 3.295 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X47Y98 LUT5 (Prop_lut5_I4_O) 0.118 3.413 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5/O + net (fo=3, routed) 1.033 4.446 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_5_n_0 + SLICE_X48Y97 LUT6 (Prop_lut6_I4_O) 0.326 4.772 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_1/O + net (fo=1, routed) 3.053 7.825 O_7segmentDisplay_OBUF[6] + L6 OBUF (Prop_obuf_I_O) 3.523 11.348 r O_7segmentDisplay_OBUF[6]_inst/O + net (fo=0) 0.000 11.348 O_7segmentDisplay[6] + L6 r O_7segmentDisplay[6] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[4] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.324ns (logic 4.475ns (39.516%) route 6.849ns (60.484%)) + Logic Levels: 6 (FDCE=1 LUT3=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[0]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[0]/Q + net (fo=20, routed) 1.263 1.719 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2_0 + SLICE_X46Y101 LUT6 (Prop_lut6_I4_O) 0.124 1.843 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7/O + net (fo=1, routed) 0.608 2.452 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.576 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2/O + net (fo=7, routed) 0.991 3.567 modulo4_2/O_7segmentDisplay_OBUF[4]_inst_i_1_1 + SLICE_X48Y97 LUT3 (Prop_lut3_I2_O) 0.124 3.691 r modulo4_2/O_7segmentDisplay_OBUF[4]_inst_i_2/O + net (fo=1, routed) 0.811 4.502 tirage_1/registres_2/O_7segmentDisplay[4] + SLICE_X48Y98 LUT6 (Prop_lut6_I0_O) 0.124 4.626 r tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_1/O + net (fo=1, routed) 3.175 7.801 O_7segmentDisplay_OBUF[4] + K3 OBUF (Prop_obuf_I_O) 3.523 11.324 r O_7segmentDisplay_OBUF[4]_inst/O + net (fo=0) 0.000 11.324 O_7segmentDisplay[4] + K3 r O_7segmentDisplay[4] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[1] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.248ns (logic 4.485ns (39.874%) route 6.763ns (60.126%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[1]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[1]/Q + net (fo=20, routed) 1.523 1.979 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2 + SLICE_X47Y101 LUT6 (Prop_lut6_I2_O) 0.124 2.103 f tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17/O + net (fo=1, routed) 0.426 2.528 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_17_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.652 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11/O + net (fo=12, routed) 0.643 3.295 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_11_n_0 + SLICE_X47Y98 LUT5 (Prop_lut5_I4_O) 0.124 3.419 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_4/O + net (fo=4, routed) 0.970 4.389 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_4_n_0 + SLICE_X48Y98 LUT6 (Prop_lut6_I2_O) 0.124 4.513 r tirage_1/registres_2/O_7segmentDisplay_OBUF[1]_inst_i_1/O + net (fo=1, routed) 3.202 7.715 O_7segmentDisplay_OBUF[1] + N1 OBUF (Prop_obuf_I_O) 3.533 11.248 r O_7segmentDisplay_OBUF[1]_inst/O + net (fo=0) 0.000 11.248 O_7segmentDisplay[1] + N1 r O_7segmentDisplay[1] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo6_1/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentDisplay[5] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 11.232ns (logic 4.484ns (39.917%) route 6.749ns (60.083%)) + Logic Levels: 6 (FDCE=1 LUT5=1 LUT6=3 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X48Y99 FDCE 0.000 0.000 r modulo6_1/SR_Counter_reg[0]/C + SLICE_X48Y99 FDCE (Prop_fdce_C_Q) 0.456 0.456 r modulo6_1/SR_Counter_reg[0]/Q + net (fo=20, routed) 1.263 1.719 tirage_1/registres_2/O_7segmentDisplay_OBUF[4]_inst_i_2_0 + SLICE_X46Y101 LUT6 (Prop_lut6_I4_O) 0.124 1.843 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7/O + net (fo=1, routed) 0.608 2.452 tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_7_n_0 + SLICE_X46Y99 LUT6 (Prop_lut6_I0_O) 0.124 2.576 r tirage_1/registres_2/O_7segmentDisplay_OBUF[6]_inst_i_2/O + net (fo=7, routed) 1.265 3.841 tirage_1/registres_2/SR_Counter_reg[1] + SLICE_X47Y98 LUT6 (Prop_lut6_I0_O) 0.124 3.965 r tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_2/O + net (fo=1, routed) 0.805 4.770 tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_2_n_0 + SLICE_X47Y97 LUT5 (Prop_lut5_I0_O) 0.124 4.894 r tirage_1/registres_2/O_7segmentDisplay_OBUF[5]_inst_i_1/O + net (fo=1, routed) 2.807 7.701 O_7segmentDisplay_OBUF[5] + M2 OBUF (Prop_obuf_I_O) 3.532 11.232 r O_7segmentDisplay_OBUF[5]_inst/O + net (fo=0) 0.000 11.232 O_7segmentDisplay[5] + M2 r O_7segmentDisplay[5] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[0] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 9.151ns (logic 4.169ns (45.551%) route 4.983ns (54.449%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X46Y97 FDCE (Prop_fdce_C_Q) 0.518 0.518 r modulo4_2/SR_Counter_reg[0]/Q + net (fo=19, routed) 0.892 1.410 modulo4_2/Q[0] + SLICE_X49Y97 LUT2 (Prop_lut2_I1_O) 0.124 1.534 r modulo4_2/O_7segmentSelect_OBUF[0]_inst_i_1/O + net (fo=2, routed) 4.091 5.625 O_7segmentSelect_OBUF[0] + N6 OBUF (Prop_obuf_I_O) 3.527 9.151 r O_7segmentSelect_OBUF[0]_inst/O + net (fo=0) 0.000 9.151 O_7segmentSelect[0] + N6 r O_7segmentSelect[0] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[1] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 8.989ns (logic 4.173ns (46.423%) route 4.816ns (53.577%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X46Y97 FDCE (Prop_fdce_C_Q) 0.518 0.518 f modulo4_2/SR_Counter_reg[0]/Q + net (fo=19, routed) 0.891 1.409 modulo4_2/Q[0] + SLICE_X49Y97 LUT2 (Prop_lut2_I1_O) 0.124 1.533 r modulo4_2/O_7segmentSelect_OBUF[1]_inst_i_1/O + net (fo=1, routed) 3.925 5.458 O_7segmentSelect_OBUF[1] + M6 OBUF (Prop_obuf_I_O) 3.531 8.989 r O_7segmentSelect_OBUF[1]_inst/O + net (fo=0) 0.000 8.989 O_7segmentSelect[1] + M6 r O_7segmentSelect[1] (OUT) + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: modulo4_2/SR_Counter_reg[0]/C + (rising edge-triggered cell FDCE) + Destination: O_7segmentSelect[3] + (output port) + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 8.834ns (logic 4.173ns (47.243%) route 4.661ns (52.757%)) + Logic Levels: 3 (FDCE=1 LUT2=1 OBUF=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y97 FDCE 0.000 0.000 r modulo4_2/SR_Counter_reg[0]/C + SLICE_X46Y97 FDCE (Prop_fdce_C_Q) 0.518 0.518 f modulo4_2/SR_Counter_reg[0]/Q + net (fo=19, routed) 0.830 1.348 modulo4_2/Q[0] + SLICE_X48Y98 LUT2 (Prop_lut2_I0_O) 0.124 1.472 r modulo4_2/O_7segmentSelect_OBUF[3]_inst_i_1/O + net (fo=1, routed) 3.831 5.303 O_7segmentSelect_OBUF[3] + N5 OBUF (Prop_obuf_I_O) 3.531 8.834 r O_7segmentSelect_OBUF[3]_inst/O + net (fo=0) 0.000 8.834 O_7segmentSelect[3] + N5 r O_7segmentSelect[3] (OUT) + ------------------------------------------------------------------- ------------------- + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[0]/C + (rising edge-triggered cell FDPE) + Destination: tirage_1/registres_2/O_reg2_reg[0]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.304ns (logic 0.141ns (46.436%) route 0.163ns (53.564%)) + Logic Levels: 1 (FDPE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X47Y101 FDPE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[0]/C + SLICE_X47Y101 FDPE (Prop_fdpe_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[0]/Q + net (fo=17, routed) 0.163 0.304 tirage_1/registres_2/O_reg1_reg[5]_0[0] + SLICE_X46Y101 FDCE r tirage_1/registres_2/O_reg2_reg[0]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[5]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg1_reg[5]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.310ns (logic 0.141ns (45.457%) route 0.169ns (54.543%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X44Y101 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[5]/C + SLICE_X44Y101 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[5]/Q + net (fo=15, routed) 0.169 0.310 tirage_1/registres_2/O_reg1_reg[5]_0[5] + SLICE_X47Y100 FDCE r tirage_1/registres_2/O_reg1_reg[5]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[5]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg0_reg[5]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.313ns (logic 0.141ns (45.111%) route 0.172ns (54.889%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X44Y101 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[5]/C + SLICE_X44Y101 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[5]/Q + net (fo=15, routed) 0.172 0.313 tirage_1/registres_2/O_reg1_reg[5]_0[5] + SLICE_X46Y102 FDCE r tirage_1/registres_2/O_reg0_reg[5]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[3]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg2_reg[3]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.314ns (logic 0.148ns (47.193%) route 0.166ns (52.807%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[3]/C + SLICE_X46Y100 FDCE (Prop_fdce_C_Q) 0.148 0.148 r tirage_1/compteur_1/SR_cpt_val_reg[3]/Q + net (fo=16, routed) 0.166 0.314 tirage_1/registres_2/O_reg1_reg[5]_0[3] + SLICE_X48Y100 FDCE r tirage_1/registres_2/O_reg2_reg[3]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg3_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.314ns (logic 0.141ns (44.859%) route 0.173ns (55.141%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X44Y101 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[4]/C + SLICE_X44Y101 FDCE (Prop_fdce_C_Q) 0.141 0.141 r tirage_1/compteur_1/SR_cpt_val_reg[4]/Q + net (fo=15, routed) 0.173 0.314 tirage_1/registres_2/O_reg1_reg[5]_0[4] + SLICE_X47Y102 FDCE r tirage_1/registres_2/O_reg3_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.326ns (logic 0.226ns (69.310%) route 0.100ns (30.690%)) + Logic Levels: 2 (FDCE=1 LUT4=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C + SLICE_X51Y102 FDCE (Prop_fdce_C_Q) 0.128 0.128 r tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/Q + net (fo=3, routed) 0.100 0.228 tirage_1/led_pwm_1/SR_cpt_leds_reg_n_0_[2] + SLICE_X51Y102 LUT4 (Prop_lut4_I3_O) 0.098 0.326 r tirage_1/led_pwm_1/SR_cpt_leds[3]_i_1/O + net (fo=1, routed) 0.000 0.326 tirage_1/led_pwm_1/plusOp[3] + SLICE_X51Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg[3]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.332ns (logic 0.232ns (69.864%) route 0.100ns (30.136%)) + Logic Levels: 2 (FDCE=1 LUT5=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/C + SLICE_X51Y102 FDCE (Prop_fdce_C_Q) 0.128 0.128 r tirage_1/led_pwm_1/SR_cpt_leds_reg[2]/Q + net (fo=3, routed) 0.100 0.228 tirage_1/led_pwm_1/SR_cpt_leds_reg_n_0_[2] + SLICE_X51Y102 LUT5 (Prop_lut5_I1_O) 0.104 0.332 r tirage_1/led_pwm_1/SR_cpt_leds[4]_i_1/O + net (fo=1, routed) 0.000 0.332 tirage_1/led_pwm_1/plusOp[4] + SLICE_X51Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.347ns (logic 0.128ns (36.884%) route 0.219ns (63.116%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X51Y102 FDCE 0.000 0.000 r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/C + SLICE_X51Y102 FDCE (Prop_fdce_C_Q) 0.128 0.128 r tirage_1/led_pwm_1/SR_cpt_leds_reg[4]/Q + net (fo=4, routed) 0.219 0.347 tirage_1/led_pwm_1/p_0_in + SLICE_X51Y102 FDCE r tirage_1/led_pwm_1/SR_cpt_leds_reg_reg[4]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg1_reg[1]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.352ns (logic 0.164ns (46.529%) route 0.188ns (53.471%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[1]/C + SLICE_X46Y100 FDCE (Prop_fdce_C_Q) 0.164 0.164 r tirage_1/compteur_1/SR_cpt_val_reg[1]/Q + net (fo=17, routed) 0.188 0.352 tirage_1/registres_2/O_reg1_reg[5]_0[1] + SLICE_X47Y100 FDCE r tirage_1/registres_2/O_reg1_reg[1]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: tirage_1/compteur_1/SR_cpt_val_reg[1]/C + (rising edge-triggered cell FDCE) + Destination: tirage_1/registres_2/O_reg4_reg[1]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.359ns (logic 0.164ns (45.651%) route 0.195ns (54.349%)) + Logic Levels: 1 (FDCE=1) + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + SLICE_X46Y100 FDCE 0.000 0.000 r tirage_1/compteur_1/SR_cpt_val_reg[1]/C + SLICE_X46Y100 FDCE (Prop_fdce_C_Q) 0.164 0.164 r tirage_1/compteur_1/SR_cpt_val_reg[1]/Q + net (fo=17, routed) 0.195 0.359 tirage_1/registres_2/O_reg1_reg[5]_0[1] + SLICE_X46Y98 FDCE r tirage_1/registres_2/O_reg4_reg[1]/D + ------------------------------------------------------------------- ------------------- + + + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: sys_clk_pin + To Clock: + +Max Delay 3 Endpoints +Min Delay 3 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 1.719ns (logic 0.704ns (40.946%) route 1.015ns (59.054%)) + Logic Levels: 2 (LUT6=2) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.617 5.219 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 5.675 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.345 6.021 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.124 6.145 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_3/O + net (fo=2, routed) 0.670 6.815 tirage_1/automate_1/SR_STATE0 + SLICE_X50Y101 LUT6 (Prop_lut6_I4_O) 0.124 6.939 r tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1/O + net (fo=1, routed) 0.000 6.939 tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/D + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 1.439ns (logic 0.704ns (48.930%) route 0.735ns (51.070%)) + Logic Levels: 2 (LUT5=1 LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.617 5.219 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 5.675 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.345 6.021 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.124 6.145 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_3/O + net (fo=2, routed) 0.389 6.534 tirage_1/automate_1/SR_STATE0 + SLICE_X50Y101 LUT5 (Prop_lut5_I3_O) 0.124 6.658 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_1/O + net (fo=1, routed) 0.000 6.658 tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + Path Group: (none) + Path Type: Max at Slow Process Corner + Data Path Delay: 1.206ns (logic 0.580ns (48.081%) route 0.626ns (51.919%)) + Logic Levels: 1 (LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 2.025 3.506 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.096 3.602 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.617 5.219 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.456 5.675 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.626 6.302 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.124 6.426 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1/O + net (fo=1, routed) 0.000 6.426 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + ------------------------------------------------------------------- ------------------- + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.414ns (logic 0.186ns (44.894%) route 0.228ns (55.106%)) + Logic Levels: 1 (LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.560 1.479 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.141 1.620 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.228 1.849 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.045 1.894 r tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1/O + net (fo=1, routed) 0.000 1.894 tirage_1/automate_1/FSM_sequential_SR_STATE[2]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[2]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.517ns (logic 0.231ns (44.699%) route 0.286ns (55.301%)) + Logic Levels: 2 (LUT5=1 LUT6=1) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.560 1.479 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.141 1.620 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.140 1.761 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.045 1.806 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_3/O + net (fo=2, routed) 0.145 1.951 tirage_1/automate_1/SR_STATE0 + SLICE_X50Y101 LUT5 (Prop_lut5_I3_O) 0.045 1.996 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_1/O + net (fo=1, routed) 0.000 1.996 tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[1]/D + ------------------------------------------------------------------- ------------------- + +Slack: inf + Source: diviseur_freq_1/SR_counter_reg[25]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + Path Group: (none) + Path Type: Min at Fast Process Corner + Data Path Delay: 0.595ns (logic 0.231ns (38.802%) route 0.364ns (61.198%)) + Logic Levels: 2 (LUT6=2) + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.644 0.894 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.920 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.560 1.479 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + ------------------------------------------------------------------- ------------------- + SLICE_X51Y100 FDCE (Prop_fdce_C_Q) 0.141 1.620 r diviseur_freq_1/SR_counter_reg[25]/Q + net (fo=6, routed) 0.140 1.761 tirage_1/automate_1/out[0] + SLICE_X50Y101 LUT6 (Prop_lut6_I5_O) 0.045 1.806 r tirage_1/automate_1/FSM_sequential_SR_STATE[1]_i_3/O + net (fo=2, routed) 0.224 2.030 tirage_1/automate_1/SR_STATE0 + SLICE_X50Y101 LUT6 (Prop_lut6_I4_O) 0.045 2.075 r tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1/O + net (fo=1, routed) 0.000 2.075 tirage_1/automate_1/FSM_sequential_SR_STATE[0]_i_1_n_0 + SLICE_X50Y101 FDRE r tirage_1/automate_1/FSM_sequential_SR_STATE_reg[0]/D + ------------------------------------------------------------------- ------------------- + + + + + +-------------------------------------------------------------------------------------- +Path Group: (none) +From Clock: + To Clock: sys_clk_pin + +Max Delay 26 Endpoints +Min Delay 26 Endpoints +-------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[0]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.945ns (logic 1.524ns (25.639%) route 4.420ns (74.361%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.420 5.945 diviseur_freq_1/AR[0] + SLICE_X51Y94 FDCE f diviseur_freq_1/SR_counter_reg[0]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[0]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[1]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.945ns (logic 1.524ns (25.639%) route 4.420ns (74.361%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.420 5.945 diviseur_freq_1/AR[0] + SLICE_X51Y94 FDCE f diviseur_freq_1/SR_counter_reg[1]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[1]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[2]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.945ns (logic 1.524ns (25.639%) route 4.420ns (74.361%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.420 5.945 diviseur_freq_1/AR[0] + SLICE_X51Y94 FDCE f diviseur_freq_1/SR_counter_reg[2]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[2]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[3]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.945ns (logic 1.524ns (25.639%) route 4.420ns (74.361%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.420 5.945 diviseur_freq_1/AR[0] + SLICE_X51Y94 FDCE f diviseur_freq_1/SR_counter_reg[3]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y94 FDCE r diviseur_freq_1/SR_counter_reg[3]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[4]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.804ns (logic 1.524ns (26.261%) route 4.280ns (73.739%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.280 5.804 diviseur_freq_1/AR[0] + SLICE_X51Y95 FDCE f diviseur_freq_1/SR_counter_reg[4]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[4]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[5]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.804ns (logic 1.524ns (26.261%) route 4.280ns (73.739%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.280 5.804 diviseur_freq_1/AR[0] + SLICE_X51Y95 FDCE f diviseur_freq_1/SR_counter_reg[5]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[5]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[6]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.804ns (logic 1.524ns (26.261%) route 4.280ns (73.739%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.280 5.804 diviseur_freq_1/AR[0] + SLICE_X51Y95 FDCE f diviseur_freq_1/SR_counter_reg[6]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[6]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[7]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.804ns (logic 1.524ns (26.261%) route 4.280ns (73.739%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.934ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.934ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.280 5.804 diviseur_freq_1/AR[0] + SLICE_X51Y95 FDCE f diviseur_freq_1/SR_counter_reg[7]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.511 4.934 diviseur_freq_1/I16 + SLICE_X51Y95 FDCE r diviseur_freq_1/SR_counter_reg[7]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[24]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.737ns (logic 1.524ns (26.565%) route 4.213ns (73.435%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.918ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.918ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.213 5.737 diviseur_freq_1/AR[0] + SLICE_X51Y100 FDCE f diviseur_freq_1/SR_counter_reg[24]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.496 4.918 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[24]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[25]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Recovery (Max at Slow Process Corner) + Data Path Delay: 5.737ns (logic 1.524ns (26.565%) route 4.213ns (73.435%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 4.918ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.918ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.025ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 1.524 1.524 f I_rst_IBUF_inst/O + net (fo=88, routed) 4.213 5.737 diviseur_freq_1/AR[0] + SLICE_X51Y100 FDCE f diviseur_freq_1/SR_counter_reg[25]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 1.411 1.411 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 1.920 3.331 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 3.422 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 1.496 4.918 diviseur_freq_1/I16 + SLICE_X51Y100 FDCE r diviseur_freq_1/SR_counter_reg[25]/C + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[16]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.031ns (logic 0.292ns (14.355%) route 1.739ns (85.645%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.739 2.031 diviseur_freq_1/AR[0] + SLICE_X51Y98 FDCE f diviseur_freq_1/SR_counter_reg[16]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[16]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[17]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.031ns (logic 0.292ns (14.355%) route 1.739ns (85.645%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.739 2.031 diviseur_freq_1/AR[0] + SLICE_X51Y98 FDCE f diviseur_freq_1/SR_counter_reg[17]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[17]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[18]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.031ns (logic 0.292ns (14.355%) route 1.739ns (85.645%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.739 2.031 diviseur_freq_1/AR[0] + SLICE_X51Y98 FDCE f diviseur_freq_1/SR_counter_reg[18]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[18]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[19]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.031ns (logic 0.292ns (14.355%) route 1.739ns (85.645%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.739 2.031 diviseur_freq_1/AR[0] + SLICE_X51Y98 FDCE f diviseur_freq_1/SR_counter_reg[19]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y98 FDCE r diviseur_freq_1/SR_counter_reg[19]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[12]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.084ns (logic 0.292ns (13.992%) route 1.792ns (86.008%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.792 2.084 diviseur_freq_1/AR[0] + SLICE_X51Y97 FDCE f diviseur_freq_1/SR_counter_reg[12]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[12]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[13]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.084ns (logic 0.292ns (13.992%) route 1.792ns (86.008%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.792 2.084 diviseur_freq_1/AR[0] + SLICE_X51Y97 FDCE f diviseur_freq_1/SR_counter_reg[13]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[13]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[14]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.084ns (logic 0.292ns (13.992%) route 1.792ns (86.008%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.792 2.084 diviseur_freq_1/AR[0] + SLICE_X51Y97 FDCE f diviseur_freq_1/SR_counter_reg[14]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[14]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[15]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.084ns (logic 0.292ns (13.992%) route 1.792ns (86.008%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.792 2.084 diviseur_freq_1/AR[0] + SLICE_X51Y97 FDCE f diviseur_freq_1/SR_counter_reg[15]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y97 FDCE r diviseur_freq_1/SR_counter_reg[15]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[20]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.094ns (logic 0.292ns (13.921%) route 1.803ns (86.079%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.803 2.094 diviseur_freq_1/AR[0] + SLICE_X51Y99 FDCE f diviseur_freq_1/SR_counter_reg[20]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[20]/C + +Slack: inf + Source: I_rst + (input port) + Destination: diviseur_freq_1/SR_counter_reg[21]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: (none) + Path Type: Removal (Min at Fast Process Corner) + Data Path Delay: 2.094ns (logic 0.292ns (13.921%) route 1.803ns (86.079%)) + Logic Levels: 1 (IBUF=1) + Clock Path Skew: 2.001ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.001ns + Source Clock Delay (SCD): 0.000ns + Clock Pessimism Removal (CPR): -0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + V10 0.000 0.000 f I_rst (IN) + net (fo=0) 0.000 0.000 I_rst + V10 IBUF (Prop_ibuf_I_O) 0.292 0.292 f I_rst_IBUF_inst/O + net (fo=88, routed) 1.803 2.094 diviseur_freq_1/AR[0] + SLICE_X51Y99 FDCE f diviseur_freq_1/SR_counter_reg[21]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + E3 0.000 0.000 r I_clk_100m (IN) + net (fo=0) 0.000 0.000 I_clk_100m + E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r I_clk_100m_IBUF_inst/O + net (fo=1, routed) 0.699 1.136 I_clk_100m_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.165 r I_clk_100m_IBUF_BUFG_inst/O + net (fo=26, routed) 0.836 2.001 diviseur_freq_1/I16 + SLICE_X51Y99 FDCE r diviseur_freq_1/SR_counter_reg[21]/C + + + + + diff --git a/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpx b/LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..d82836991978ac40b18d2452de50f1db30dd81e9 GIT binary patch literal 203727 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jVqjq40;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2hMId_M{R9*%K28IVh z3>$={S|!D557}Oo1c@w=XZRp5byW5L|9*$5Y9NsZ+6)_Xqzx6JwwdS|3$12~V$aRY zi_cB0NMbF{Nlebp;3{COJ@v`+V=LpSPo9MxjLZ`l*%mQwXDmuB$S*31F9CZdz8K;g z1>M}liui)Wl8j;nLjwg}sG`!m<ovwilA^@Sywns0-L%Y{RE3=Ul6*AX@kRNiC8;TT zMFk}ax&=x2loTW>=oS@J;8OyU)-6vg%8Sp>i!aN}&q*xF%+FiF$hd)#VFx3_0Y-)s zj0_hT8E!B#K44_{z{og*iE#lF;|eB*4NMF>n3xVQF`QsxxWL44gNgA06XOdeh7U}P zKbROAm>D{l87D9^N=0%p=^6?#NWp?k;Xe#8YOoqGSg<?1VE15%U`$}hU?^ayU}#`u z=wM`+z{oIzkzoNN1I#ImFsCqrox=Enkx}U?GnYIUTM#&mg+!rA0GebJAi`XHTx>3> z#hK}OLM))b<nrNS2}mp|5pr=!Ez3+!wKY#PG%zSpPzXp&&Q45EwM{NgH#Ra+PzWwa zO--@YHB`_D2=aFcbq?|L_fs&`Gcr~%GBC8zH89gPG}hz_;bIR<Eh^5;&lB<t%PdPw z$yX>dGB7gHGgQzpGto`TEYVa@2o6_pD$UGEQ7|<yH?y=fQ^?O#2v1E>@J*~#Ffvjw zw6HQZvof$$@O24M0BPn@;9_w}EJ+oT0I70IO;Rv4QZO{MGBvX@HUp_J<r3rKf%r-x zxCEq<EeM<_xDGRNu{-DI<|gK)2o2fPr{%z9$HiS-Ssb67lO11>nJ38b`Rm{R|CJrU zd6a=6(m@fFH9=T|!GOU^0K@`gusUG}qk}A5e90N9$=Q%Z5mZni#GrJAk&7cQKR!7p zKRH_}At*IHv$!O+NZ%*1BsoK&ATzI6p**uBLm@9;0jxwJr6{v3HBTX_QlTh6zXU2+ zkeO$tkdj%JS)5v06rWa<S{QGr9~=~)oL`z(l3EmBl$suGXd0^@NK+e(KsIPFX)t*( zDG71$feVhryb_4tJQ$QjxdaPJbBa^r%QI6-GT_2KTnZ?qOnfHD&3TDA@u_(!1^JnI zCB;%Ip(y2004QA2@{1I56Du-vOLG-cQgae3HJCgYmGrsz^77*|^9o8!;=!U)k^%We zC5T`IOCf16<l@iEkIyeHLFkY|)d7)1(jvsgms^@sl39?G3ipKwmq2=IUTRTdNoop2 zu-Jn^iIa;pCqKUc#1!WeE=VjY$xO^acAW=<k_49sOafvP#N8eYO8i{hIiPe94>iMp z$7-qommoL|W#*+Tz|swuI9v!d(7B}G5*Q)Rr3sfK6#Pi$qlPV)1l$a?FhtUV8dO}8 za4qQJ1<f5GpMgEhB?DIq3m|ahLBfYi7DE;}__##i(nw(_)Ww7){DdmGN)<vfQj1a* z5{pt5%nTHYVEGWNm-_ioLBqq--9uBLRH%Zh1j%Y+TG|ZGpD>$CB<zL4kYWin;RsoB znIbt0Pk^B6(-Sb2U=T{gm+pidxoim=ospTGp^%rFnxcS|a23E&Dqt(YAat3Lg!C)q z#pQ-%rJ<QABt}az5=+1_o(C#Pk=i*JMT;IR*xZD|xq^|*G9c9?g_P1%g_3*)Shzt- za6N%wiKUD}+ZmB!1U0J(IdRz`*=wu-&I$^kmK@fyO`*6TH90daGc^V7b~~Xkt{@~c z3<#Q$R9d1?TAW&>kdaudkf@NBm{Xjp0BR#boDXUhmgortNiYk|X2M+-2-$I2Az5o^ zjOJ=cwuO|hSe$Jo6u{+!q~8Fac4AyC;3JgHl}?I@;3_y#0n%cI#F#=#Y8tproS&zV zS)!0%q)<?lUyzudSOO})N-~P_OVcwHGD`%~C3uCxk)kyhrRET_;xa>V3C@xSrVnC$ zv7UgL1cOi(p5j!<o68-=Xn1)GH9`SB{pY17gL)+;`3i{;B?`s)rA5i9dIIhe3_|Yc z<+G46mp+OG$@#fSnR(#GdSZ?OxC~dw%u^`INL5GyH+S^}^d%UClJFPoLJnLuD8^!> zbZBh=O}^k-9x2@j*hnx4#Sy3;gsi#DQ7l2qNHF7Zq)P#F2?n7w9QBJ2mj{ZmXh8z1 z&9McH0xZEm>Km|+1w14eq|~?=gczil@`{z1eLbo)m<<@5gu$gCv;(F9>8Ck$vT!g8 z32?Cl=j4|QF-N8rOMwWGh+ATD2|`3lnM=ksFEJ@6RlygO5EY#Bi}F&76da*_RESD> zE(xfjfYjpR%-qc4T!o<2-2Ae{9EbvAE*T6Ng`m{p{G3uyS4~LRFEz0!wYWq9)b7vE zQ}D}ANtIILQh=K332L4xxPTgM3NEQ>iKRIu3eKPwun?19zLWx&6jWV6QEG8&iGsd@ zb53evk%D7UaxqwiG?%DLW--_oZaJCh86^<2Ad+HS0-ou4`9-M;p8Ea@0iYf&Sd$)? z2BhJw;F?&JQ>oySSelodp^#Xj;FMoflwS_Yx8P=XD#RRZE)|G`LP%zAssgA^@J+0M z*recEk(^op@@g?8K;*c@eN&6lQ$cD$2BFKVafx|?nzg#YK0%;BDM-yL24@r@9_ReL z;?$zD#FEUiR3#@aX{aNe^YhX&(@TrMs-PW5^rRq?3|0gym>_8(=rbeN6Gm{lVSsl@ zG{HR)1_lO07{e0AaD*{DVT@GJXha5MA&g%OVt~8AQWF@@FwSKJjZG|IWL(Jzk^teY zU>3M<E_H(O4C7Ts&|t+4M#hI=36PQ(pq{$)PdLAk2_y=_9ZU=pm|*?y|BN$`*b9)@ zE0EY9m>7OAF<3A<FnTZqFhnpUFh?zx=b9;l(nAv}<l^$p%u@(REy~PK5lZGgbCk_U zwET>=k?cAt5kn<;4n`qqPjG+E(7+%!-qR`6E#4{A%{@Lduee0tQ;RuIKha1B#Y|BK z1_ei1kgH`G7#27v@o_K+aUskQszk9en>Tx&6x7Q2f=&Y^bq+=$Wda>FgIIlMa;&aG zu{sCcY7GuXAr%5v8xEx1h6CttqXD$rcmVA-89=*D2heV_0kqqkJiC>OxtM+O%Y{H0 zdzPVS`I*&*vhf9+B$O%O#sO*N8N$+>d9w^fE6A`E)czpR(h`VIb9u8tmLhygd=W;D zSK+pzd6fiP>Frl=kx6&ILTshCU%^E*-Tex&mEL{@R|$0YE5ugP{i;>M#p02fo*|UO zn+3|SWn`2RpneN!r35u>CBv_!P`~D)`;~-Zf(*}6!&<ug7E(~q-M0{H>F!%dQ9*a# zLae2`Zy|*R-F*wOmUQ0=S#W@QnCt>vvY^Ru1xC;uodtsf1GN9hkilF4n&q9v$gqf! zVHG0-e5zUM6cd+F0BGJyAsjSsg|#crXw}2SB?F)K#iD*>&G|Zs<!0tVhVB)>vwhHU zstkq#M$l|JV+W&s2P5MoM$QmVUr#@GT|;vuw#6()0q3I3lFa199EI@2qP)z!^jVDT zP}U+wX}|nDUGQ{hYKlT}YGG+=9%x=oAvrZCXAz^UZ)R~ZXbc8CiUt~)MIOle30k7T zAcQ=VD}~HK8Zm*++Zw_qdXYv<pi-VNDZC>l3<L*E7)T#4VVJ?hfI2$Du!)JgD6=?K zL017p?Ly&wVB-40#C1ggw4OzXn5xPMl+&b$QfU&a@1n(E#o)x?#Sq1%;p`X`6lr2> zq?u)mG+hpMlY@btfq_9@v4XAwE~(N3^>6LK15T}sk>PLm_kstErh>`2U~(yAUeN#j zYZ+h4w%cuGw36RvzZWci6eMnc7R<g1ChvmDr(p6enEVPR|ANU@@BmaVn4HQ48q%7} zbS}1f-%_y1S}?g4OzvgsKeW~EDAVOH_iWFCMXrL$yI}Gun0x^mkz#CMW(2P=VVJ?p zuz;Ci1vA42W`-Ti3<sDQPB1fEU}n6*%<zDj;RQ3p2WEyJ%!~~z3>_>C6Id8#urMrO zVOYV!uz`hf2Mfai7KT$SsTxI@;Dnr-lAfwtQk0pVo?4We0#4=%ZZ6KQkU{#?6wqn_ z<nUCe1|<M^V5cSK<T#i@L%sk!7-nk-jfq;#OLVg577Gig*nY%<oZDWZ@IImNCb0@? zxH!8g=qdz*N#}qd%^9qW3s@OeurlpnWw^=;8iu>U%J71f;VYQ^7fiOYfy8^k<Ww-Z zi0u{!2cu8}BNtKW**I1|P>3hk$J5z0KEl*6($Z3jH=roLAU-W6IW^unK2U+tiv<+^ z5eyj&Rg6UqjEudEen|p$6Brq1FfxMI2SL{<ffp$;JYZx1kA5-;6>@2FFbk>UABKz1 zi#LdmHZqP?VDJ*kOD$2*NXxf1)KP$}vC;(D+Q7)r%b3%7*OsuAd0hG&j6&M@t&BD@ zh>gz#EgFe7jz#sm0)rO=$V!Muk(NN=@Zw}fE&~omAsr$uboR%vQA!LP+R2GUMU^J; z!O_OC@nBU7j9zSz!Uf@;UPi9#%0vWw36~xRvyc{Xjsishfv~UHY-&X~>~pzvIT(dB z3E64_343QxAznnPGc+(DHRvZW5*OD{`~0H~V$p1r5=IVsXU}+$8tmaNr5{T~>LW7T zAufu~i#I0_?x3tqINWoH%jlqr3oQT?7|^peIK|`3)Qi}TvvV*B1t~Ci$!dUGB^Z^P z0;mKBXIw}wf>dgR{SnB8KEJ@_>F*RDVHjy>WQLkLh&9rOi;sgDdCEe8!HWx4$QXd# z2rgWR3+QkzDGo*<F~kH2WD*53e<Fm_vEVR;ETqIyiWJ~6*dN(oXwjURRGJp=8Sf7& znnCk8$R$M8ym}%^h)6DB4rU>KG_Mdyj(Z-f*b|P%1TJ|3Q%ypApm`_fkRYG<2!lvN zw74Z4T$O}u@JF`+8g5CYY3Z<V133XX+`jz1Vnf(-aa`gY%tFHGWt=D26T+~RWn_j~ ze`%c-AR_RxiOb8N<|;<Tg;q<0!x4X0KE%$!JTkL#2%a40iJaqL<xT_2f@nJA;}nJm zw93bf_l$^0im`-pnJ0QKBPpzCl8G}XzNKj<mWv7@BIJm#ke#VfAwOm2U=eauVDRFH z)W-#|RV<+5tpU__26glZmu)p%Y8*^LO6X-9+RADp6O3jQuSb4P3ar220;-f?{ROb? zc3jtqXge7pr5>c}9dEs9ufX8NTa;Q@nhEMDmSpCp!o3_B{&qji%Zw{vJ1Nk6D0T`A zUOb6KMVV!ZIiPhV2>n|1KjATrTR%CD1~vL1dsg6%1$D;>I(7#e;{`T`4{Qvy8P_nS z>bOSqyZ-|*u5mz`%?|gthPvU2(QysR#!p7aH5f<7H4xiuU;`=O5ew7?>FBryXf%x; z;~It-6*A_yhG8uBag8^DJBX;8NgdY!_2}@8<q_B2CbAg?s<^1pj2a!+KwBI-I<9ep z5ot7gbX>!BbX<dLkPlIgj%yH{l^Pw_z#N>U>bM4IsWUYyWIB&)m|`@ehU2)#MX7)E z8`l7J$B7@;2;nDsy@nxZ)f-XkH9#t{tk*CkbG?S={cW&u4XOOo`ym5WsOvSle}dL) zfSR~l8O#3dg|FA>{`sHm^%}cm8tvvXX?<hfk8Qoit)F#vN0~qq<fH2~;3rlnkhCs@ zs^c1rB(B%E!%F;m4N!VUU9VvVo!p}9dJRKE>a5qu<<jF|B)C$+0<vTPv^WA~kO9=s zBx$+E1V&Qq!&t0gCMAlzVgTfxc#tCOeQPOwVpgmabLnz06I?X`34!>$coPD1w4iba zR^&3^9QP(}nh})NTrdKh^l8S?p7m(Y8s9px(VjKdp7p{`>a7?6Wo2h7WaWdSD+WL( zVj*@=;~pd%T`_>U$$aFk7>HOA3h!@GqdulaCVoP2!2qbILAVuBP1J$`LqpUxwq{a; zv0n}9&B1((d%hp{dI67Zui^2GTR)Z73xK+V1RV=sFAz>bzuGcZ-<i06wI$Z|0_66q z-4OliyZzYv)#|(q2iAi3GNA5*Q0HZEAa@@GC?H4s)im61F`)hG`=s=%Ez$QuSPV$N z+LAi`YU1`m7@FbeSX;mrV?kDMgO`%xU5Pc3xW)J|3qhS`l-8&P4SLqZ&00g9MDMOO zsQ5$;fzieI8K6C>kRerA?S{L_G`bjnbTR&*Sd4E7YBNzGE01=qi5?{z?OKm^t$P_C zzNBK;no60N&RuIu)ULI~pzK<MI&`r59Cz0kch{Qd`f%u4lW@d<@o3kYfnoHB0niE5 zc#bj{GF@v>%152D9z9|JewG5&y4J9z_!wPl%K__JL!CtDt~DsRq0U-cj&`j_yVg0` z@$gwY0&6Hn_qhuVuYK;LUF*@VHO{qAqwB#j*Mn2DYYnQ8QD?2mtB>j1wKhUO8EUj^ zjoya9J0XN+mpf>(mEbr4eAe0<GHXrD9Ri@!P^5@dIJy>mv|~M(JJvTqbJq1-M5boc zZnX*3W~>Xiv^bcB)bJk#0y;P@KAK7g_Yt=c9M`#hpjFYdSO^Za52H_QLW4dvaSOpA zE}~kOI*ZtphT5YBg*WNzz>kfd97pWpQDWC!jGi1vQnshbp;NRzIgYq>;6&$S)V4Tz zIr+foGH_yZ^5|Uk=v;L#BXP^X>6(WhQ)v}=6_+XplaM0*wj%nm$0mcaJ3WjSfs@dk z23^@jRCn5(s`J#NTdPNp!q1K`$jrlc6h5h2t4Ziio1-rPHyw!Xv^jOwe-qc8h8#q$ z58B6!vVhT))aBnq?yH8{htZuj9kA{+#6@)OPJ_x#jPM@qPJ>RWC2srr=-Thmwcli~ z{ibGj8q`#xLQWp-PLIw{kIqlWrxm3Z#vAGrahU=&yVF$4!}RS=o1-rLHXZFw58Byj z(5>S{b*DiW^kCjBNA8a5DX(`7#7Si=n$37lD$5sYwmZtypK^WlX1U6tcv2Y&-D#6S z(VaFS)SU*UMcASg+?!2F>`oh?mCm&8PNUn0-kmmx#dEcpT<dEhjsPcab{gKD1}*=g z`~+~~#=}A7CKVdtqqEaQH^N6}r{PP<<3aa`#Y0bl8j1IsQL{S@YAR77Cy#cgiO$KR zv(uxq)6|`vrcxfJZ+F@Rt-&@dyVKE@Z|HYK8K@r!Yggmk^i9rUZwt@~WyCCxwV>+k z^k{c_bar|mXQwUDyVKM=_M3#o-WK%iPD9ST)(-|<+>LxeJ<U)3M(a*vocc|-?ldF> zsCH2}aouT9xrx#U2Zi_O?DXjDG`!C{x_z2)1|z<kwJF#>P0j8!sHsGSoIKi{9_>z# z&Q1@|>@=0~Fnznz7O36n;d$csAns0+a^klUs6Va2!6>9cU<JRS5v@AZpabF{$G)Q8 zq(zGpzd1(drxj9DMo;`sj|Qb@)cw=uR9o;}$feD}EToP<M?v;a8yZokPfg^B-$t+# zztK9mpb1-&wo(#%;y2VnfAn6wISnSMi9GQe;v}kdtBJf!3siifw8lX}PI|w3^fE1? zTjQhC)bK9*h@Ym`I!(pPv_NepDrDu+W66ll%A@ntqw~~MKJlAMnV7!Ek{KGIcCF0^ z<xDlGLq~A(R|xls-||s(oT&!&2njkCK2z-=O8h0&po`Fnx=hQ+6uE0Hr~z72W^1V6 z>=+ajX<}=lnPpOpv|P9-vpChk&_K_?z#y+!L017o4k}f8`AV}xEdzssV=H6-zd}cB zUF_BIa}TU#bYSG&x0Ufx*K&uw;0v~nGOmuF3%*oK!SO2N;R^z`cNq^~m|*)9Ec+Hr zeg%_%!DK5FXy0Bhn4HR#Id_M{T&9_+7IxS!t3G(@qWw`O*CTQccrUAFXkcdSU}l`a z%s7LYaRD>K3TDO)%#1sj84oZsoM2|Sz|44qnc)F5!wY7H56lcdm>C;b7&=%OCa^Hf zU}0Fm!mxscaRUp(u${9G&dD!-#KMgf^{-HPpHO&RtjZc1A;Dg8Mhe;rA)a1wMw)Sk z`XG*rm!^Wg0*Dphin{MwTfsRXNOJ}&;{sNO6|AfzUTJ+vfP^cpP0{DJiRp-6t9WS# z%eJ@|ZU=C2aWD(91v`UU8U*I8wk$J(uaCiPVi=bg2cwV>#6*;4H7_XJI)?=L#77uJ z8j!l?J0FL+{z9ncLdPhQO4HKgJ>&fq7`<3P_C~-@6z^qRHv0$>E4pzU@$2m4t-#<V zoR?anpplkuYi5XfI%uWb6CwhN)By>!12;jvW0D3WCNpvwa4-t#;2(4W4g17r#vAH8 zW9&jVmlDIc-5ab5`}N-GX>3G<0;xk1pmam|!ucF7T@FTqmx@ELKGS#hMCr_sbNL%l zArHN64jiVaHcE*ghrF|AJXj6(aKBpdl8DqzWVl0|1R9AWP&j}pIKssZap4X<7au*` zLC4~fl;S56m*U~pVWfD=feLr1lZXiSn$4zGgu^|TsPt|G*`5LlcivzhPiNQo2vfsI zLjzErBPrk~5O>=l%s&5U!&o6y8>NKN5<Ex^_Vmufw3>*Dj=1y=by0j?JZMo5HOd)c zW{$wkTeJWey^;Za!z$hdB}3xGi=C_Y5>X>YatU)V3-Nn`T4Y3D$&fjb(SdL=kiaF+ z!6+n+W;9A8Z7^=pp{Tt^#BO;9;fqAX3z5?ppiV7H<qc}6kv@%ah@2B76&wktfj}-k z4hA7EL>ds{^7MC#k1&ihGy)ZRNCiElLrmC6A1*!)W@IB37`(V(4P*mNP}94C_~n5i zTv8m2LShI9#(O%2y1}-PVR0<o$3Ep2Zy_SRkd==o9{Ngz(L?b{2Gh7*L^Mlrbh?Ph z#FEAPi3qtIE@CTWXKGZ)t64Z$gd7zZy!exI@{_X_3Q~)UGjlVGbK!XcGz>&IhdC=S zcnLy`D9uYwEh<UO%qyvc8*=!9z+S?J)N-kDFbOFU=>Hj+VzkBhf>TRM3*ysKl2hZI z<6S^qYBv{WSGe`tKi3iwwMMWWKWbTk_m%{E1qLtPqSV6D%%ap3g_6wNRJf&}5j&Wl zac-c&GlqI=z34&0`pI!LsK93}&PhzphC3EC<VVo59c;E2*cd*rG0<iV6|@Z;etZVi z#!#Ex8wO$wb(hv2JY%R$QxA`hp{6J#Ricc2D^!C=#-XF%gfDp*9YY-*LmeGMy}`)v zfRW(^Bf|$q2BFb0R76-1H<mX#h6)V<BF6GY$55#`c0JO@Pz^BJ?U-Yz2C>-3P-UW0 zXfTEfY6#P93>DP8rAC)+bp0smz$2c4mw{M6Iy#10qVF$+HcCtDId39{Uq;7JN5@d% z!^qI|n3+_X23vyx8p8z*hd|1VUdGWeR5CL0kQze;A9RE|t4r3T=1?3%HNj|$4a+f9 zqnE<;8$$&R`4K;cdRUki>rf5xtwS{?cO9yeCVUK4_e?R?b*NP@K<iMs&YszWx(>DK z1^Mex&7SOcn9H;+vKQ+*R1;&+80xDXqw7$S7Lh6p_Ayiz64#+#=N(;#>V-7rJ%N#7 z1|!}nsnK<)Xge%m(|VAW9pL~R9YY-*Lq&uDaqIGi_84kEk;@?=cUS9!mO7%WAhH~& z)sPSeQEfFOaVw~dK#eR^|C7~k7#+wP9mre2h<l^T=we7)BQwm+D}!+$kF1U0ql+PN z9!ge#XNjdh@_NwGfjpr~LTjeU8I7m)Kwj{)gG4NbB)&d&rb2zZir`vE&`<<yga>!I zO_jBfHN>rjG(_#|SV|4bVLZ^F5iH!uSqdq1i;ly1piw7+j)gCUq|Gp%F-?Z?ihtF? zmO_GJ1hRS_^~5$>4CC2NIDlsuPq_Jj{ZXdTVZ5QW6moPJ54PfNbUQiY=yq~O#$LwJ z?c}g5LFBe(=$ILnjr<k^wXGTIq#?Q#lH_4Lv=bY!4dWT(SdiE0xPW>K^5DaGCREwP zPh__N)X1Vjw_$V`Z*&-MbQrHJvpBW1h=eoNM~Cr7*W-<@$3x6Bjt=7)$Lf#NVLXh6 z*svVN<7=VgFdk^sX`qMkKqrRd+|f+-YCLwks(~2BD|vSa&oG`H*Y?q2ydk%vd2|>L zHfAw8j5j)r*DyMahrE}3bQlj-I1sm!9J-$ubUGpOZKouk3Q6)X9@>%O*oN_pa17&R z?J1$bFrFzrhw(s-tkGdS3eGbdJ(_UzXhJS=4rU=?^pm%S=(gI?)p$gY$d4XPI25jB z8B(k9Fs@|*HGoJzUvwz0#=~fc4a;G?&mlte+f)l0bsFelJOi4n#(QF3IS|8mZH0&N z4C8(IyJd73Z*&+hzcjBTwJ5$QH9gvJ^l}$Q2BFbSwWtftK__RCv@3aZQ!Qeum$>01 z=vp-N;iS>aU9eneG#C#iBzYJQ?Lud4!+3N#9uK}650uF%KOT?B)p(#r*61+a=rA7W z6kD=xCK$b8M2P&$2}W1rjjqNUU5$rmqK&S`GZ?w6@h}=<!*UoeOPG$sc%V@y;)n6P zg=la#o}oFuVLT&p&&J!sHXy@zQeF6l@s`aV9mY!?a>IDcB%Y0TpKJ7NywS7qI2eVr z(I@VMgW{8s$8e2e!B-{+N24t?2lenrhw&7O@=HrnQ%JoBkiNrsL=GpxMh!8}XtNlg zGuogIqVpMT;L09lI0-avO8PAH=;ltMmy!^Bye2izb{f5GVf3;E#-avBM)0Yw_%2{x z#5TGrjOeU9x+-jRRah@0HP2`R)yJrtJISk$hv2F(Lvz%rbBp15Mw<dV9fy-ZqaOo3 zoMcIp;iT2;$_HXqn3CBMJgdT5UvC^;6*fAYggl}!x+-jRRoLioQf9m%ozDt`4yRDO zDvadeB(#x3Y{N<PI4cb5|M<LkdY%<#2&(Kyhm%HECylO7QegCAaSC;FN4_g@+3X{> zu;w`KbFoKPCozm3`9FH(e`a2BiM}WL<(jZds)!nT8}X}?K=tuR9Zs?w5yMF`8wKgN z$q+R90js}o-cJBO@}D-VlPqX5oMiv0Xds4@BBmU}Gn}-cXvOGo(&%u~=x`Dv!wuvE zmqs@kqE>CAtCR9=X}sADI-ElP;Uu)960i*?(c{c2sQ=^h;^}#2l_99IA018_9Znh@ zP8uCfV)($wFuFQP%yV>g63(%)SVC7kjt(a=>|kU#z{qd`tw~MX=5tVeJW_{~EKu9r z!*q2LW0L^=hLb>}9|Jv{WJZ(WB<VMW12LSGC4L;wa8g>@veDtB(cz@g;Uu)WZ*({b zwQ3t3P8z<$NoZU4u?;8D<Lo5Fa1to7(d+CaLr`TuI-E2*oHRO|G&-C#I-DfM!6-C3 zoWzKGA>Qb4k`Bg}f2wYFGebW+Y2<8nGed2257XhK3I{q4CxJ#kh#yY6%fP_E#io&$ zpO>mB#303>2s#@Tgf&3~2pfV35ViynAnXVtK-d#RfN&~^$Y3l4Q6O9kA{rPsF?KL+ z0&TXQ%Lo!!z{t1~%m8t=f>}ElH!&Vy+{AbS+!=ic76Zw?U<6f6KjHjFCXgrycQ7$b zVEPXOj5Cnf3z$+?AaOr1as6Q8I>-z@97>ymQAoWcvnVk=HQrD^IX}0c1atwhp+4yB zlY)}?vcw$l`PHCv%%n6p7=={eii=XyGmA@#Qj6n_^!-7Kj6g&*=mb*uZH*inZY}|? zwuYLew&k<!Y8e<B{<nf1-wP(Ef=NgyECsXIg2}yL@+_FV3MTJ@$){lQEtvcYCjWxT zR&X%%g2|~&3=9qRbHVJTU~(;(+zKZ5GWjJ5*d1lk?oqQl3l_NwChvmDr(p606XOpi z#s+4F4rYc4%nUP_85S@ztYBu?z|63Nnc)C4!wF`F3(Sl+m>C{0GrVAC_`uBYgPE~` zg`tCmVFC-o3>Jn3EDS4H7&fpl>|kLyz`}5fMNy+Dvp6#^T_H6kJyo})C^J1hwJ0@3 zAvrZCM*$Q>nzvY3+!BjR9<e|Z-3(U71*{A!SQ$w?&FB#`2cu9a7b)>7#2f76>FgRG zVPYO>Xke(o;Kc%R67te?Pzr-(9^5rz3nMA^2BO(3#T!tRUl5;`Qji+&93Kd3gQ7bF z6nBK3QN*Rq!HkruF%qgFIH8)x#v4Q%#40d&3FoDjC}^bR+Zvi<R%xJ=LfFn6E}}CB z!rNwu;C6OJ1UJD`7<RA;USMPRz{WsgCN_=LcP5mHVPT{1f|7}y!I}8&`FVpW6ZhEa z4x&u#>^e}H7!<FFRAquaRY6Kz!YP@AObp(=3NJjs_QEr9GEyc+PTakW)X&7GsF~Ol zGZTYS3SqAk%*2Syfe3C;nP~tiGm-sCL?-5^Std4s7I0WI@mKZ*gDDfwS*t&qiBr>~ zM>8=>O^hWIgHj3=GBF}^ATlwiCN`pDCN?IbCWbVpjge=dLCtAMP3$^xkYwV3UU9pl zOjc7hM>BD1dNe3rQBxI`s(}VIv2m<EQga%Vnhl7ki9vBkI75-roHj<SiLs0jfl>-# zI|<grh|GZqZi`4m11!N!L`^I}R!wX|L?$){*Tg2+GqLcyL6V6<O|qj*H!2NBGjVEq zG$>vXsS4bz#jF}=kcmxDGBMa*A~G>3?g(cnQZlg#Y9_`~6N6F;VLJ(CVnpUZ1UINT zO;Js($BJcOD<?H2zMwoe9zL#>lbTWt&YGZmHl<KUOK>Yjsf_tG++0AFv4T%%hzV$j zi|zBW!O^hp&PlgB%Eb8jjP2kV;=<EAW+HKj>nIzRAuimJ28v;L`Z6_)G&I1HzR1qb zc<n{h$q;*q$j<pf%*0<M4<C!g=?c)fI`Pp)pd1ZfM`4WFKL({0VluQ22cwV%ep{oB zA*W<}8e;}JNrz;&qK_tG^(4$Xe>B^q_`suyIi)2g@t(%<{-EJRP)0=zv-L7sZaG23 zfg5RD3LMNrGFW_FkW*UhUl0v$^uR-x?5$?`XoII%y$de0K*bQ6cQGp{BAPwSkY*1p zOH7oO4|0iV3Mw&6Syv5?5;JpR?r4dLQYR8jU!x@^5oJdX7cnKK3ADsC#0+#=l$cQK zaF>{-@t%h864Mm9#QgI23K700SYm=(nDi_$LB$Ye;F5Zf{SG#0iFp9q<SFj*8q}eX z(g)ozfu$0GPPD=@AGm-AITy?H%g=Qi2S;%UYNQ-xYVl4Op8dsJ*yd32M=!y|MT6oJ zrN2n!24*ssEC;iYB$o7A5N{BlnWw<u1<$`GnC(eW(jeTPEaoCLQxFVhB3eBxkX8?g zbEk$x4E8{#hYYa~_C%F!8625+?t68+qfFv|9foJ-C2;`+C|=>I$^<-eirJPXJM-dR zP-BQP*aNngh~6P6?g-~AQU-erL0v<<3m`x#g|M9j2YV2i0}<Sy!5;EPY@rJvuvWx) z1D)Uk2orD(j3X28c)xuxW#Y^4-G^r;eu%9G#viYUR0YmVqnQ}xE-p|?A)JY!`@G?` zKE}!^MCO2Rlrb@jv?OO?E_@M_CpUP&R*{2INH#Y=r8Fns3^GpZjJy{SRQ*bDFbIkG z$D0?Yrst;SmAGUU7vv;XMjOY%+sd*?W3r~UhM=KYGh1T?f2UA4(0Ic(KMP0DBE<TE zUWE9iVXDJXrb?OH4tPgs8R)qP(b-k=5(}?JerZWTX^BEXeo+Z%JyUQ_emTaXL^cvf zZ0`$kFbZXH5sqR+s<Mc*v?M<dR}!`>5Y;XsD&K1rFNqkMZDQoI=3o{w$B~K95^p>x zX-0#3<axy<@tN_Up=o$+Y-E7hvaWl6$ewUUuIIAnU=*?@#SLb$@t`wRK{p(l>w6j@ zic?S}4O$dR(on<<MlL%JMj<P*U4R&!fUHVIjOUugdm6z<C(IzD6KM^MjJ=H8KmR3S zbfS%s%YlPg$c9{}friwPyFXY4e1CknNkmGiqbQOL^*s#`N!SdMU7&FU3J!2jh+zSq z4J^|siz7pQe^mQXqR0R}ia_^^5gSGAltmHjHUW4>GBm=>NNx*Nh{#B_lq6$QeNPia z5Sc^jNoW*-f`f1rO=IM;<zN)DBrmO+BF7NOdPEGF#(SE;V+cCL2TC=)jDJ>sBq9^F zGIH5-Fq2!tn4;$)EK^cB`EzI!KcM+3NMUOTD(84$i{C9HEkWCjq45I>4BEsGvi(Ro z2xL7Xey|mCpd{1FSov}p5oHT>{05`31gUQb&RFrNJ2?=pWfHhlI2eT#a9RScQ1m^8 zI6eKH;v)<rEkHFoG<-pxAsoJyMA_hvWCOGw&rd2%i}!@2a8MNssU|>{_A&}}CKBPf zFfIuWX6(&P1qKQ>Nr!OZY{nva19p%((siKt?q%G;CIT7NQYWS{4c^Ry!xaXI#<Unx zW7^Et1m23yjW!z`t>`eFeutw>EnRm<Ml1RVF|BA&+#>26+P0z%Kx0_&@)KkiH20;Y z;9mYuTr0W-RPiyBR`DUVqT@lMO7Q9*TRVE)wuMAgFLjhu|K_0TAHF>TG%7)IGkQ8G z7|5>w&GnI5(O~;gDi}lq0NRR%6pzHUqA6<tn4>oUur#9g9grp>hA3*F8R2O|g93wa z1w~l{%?P=H25Q2i#1BPH=mIV+4rU=WtPRKDpm@}=73A&=mMw70BJ*hz69(`;r;)y= zDWcdiLurc9E+&xeM{0_JtVf9nQ%FO?Cp3gkIR(jKMC6pqj|ylVKZg3A#)$X<wN<gS zQ1JFP$;l~*U_j|?f~-f0AH@1DGU5k+QyIKL6h767rI<>#@+P7+iNC1~^(RtO*%EY+ zHWi!7FdGm}WlK|NWsR??ER{`BQyCn1T(I4>2AZH&cmpE?vD0Zdo5}{U@TM}>fFi1? zEKf{R88kg8g`=r#glH-g7<X<K9V9L0w(w~VN119bUmY1O=B>oEm_bpDDB3`+*wJxk z%;7Tg+-XGAF_euvn}Wt;;0@-17<UGZn4vlVrJkW^+_{3wh=W;34>84sW84HW5N{R_ z>M-D|e6BrvM#NxH5tlIsqmVucHd}(aF7Te6IT?e?-HcpD9E>D+*Alrk46+j?>?!K4 zkkg$+#DgK~v<$Y<<m!Kuh{zrkbykc)xdR?2pf)N!nqY`fFh-6ZkoAb@F-4w|K^aZv zSR+G30;Q}oX^h@P#xk0`HH3vW@nZz<!k|vbn4@%IXg8XSY(G*L24p=Ve$3)MadalH zR;(l<e(*PuQFoVMX(CJgkD$1T4D}~c6WIc3w4S&wBL2~2m<@;~vV}PjP2^k45{U2| z&e3FW;L)IoY=mecV+|;xn#dF{%`hXniR>}KbZ`tR-zf(z&DgkNgfx*ymuA3^FdJQ( z0XoPHYbYRE0fs1p%HTFSN<BkS6M1xL2IPQ0q0yxoglC^AYD|wV&48|+ge(X^<Zoh@ zX4L7m(55ksaE2jD2h@U2jcH{2ks8w=>ro0h9DPx0EX^<jt;fgL<^K}zOhkJV|9CUh zpU91A&=OuMjyJ<>Kx#}|5Yd=^R7TNwGdS>Q(3mzuYD{AbD54tEyu^$*gQo9sjW?TM zZ%i9wu5)fT7$l8peIw8cjr)2dWS#S3V#b?6QB1G#=Ft@zC|d>4E4$GZ8t`2d7(s(R zsD@=+XLN-ICF=rE<^xQ?hiGH%dm)xJ8KTYyU|FfL^I$CzEi;t)0HSO*LK%AowTDO@ zdq$lPAktEtZ7^fx0cT^-v@&F&B(V$3i@9_;n1wX4u8zT&mWd{KT*2JA{zL>j866g+ zxJOy4WI?C3<jD4;Mur7SgBZu?GI2}E@Q*GN418)Vz<~M_sX=UMMrsPjKe`OF0ns3~ zG$W!xe3r5XF*xvO&>%KJG>EYV6j2RgPhuLxpxJp`4Pry=4Pq=C|Gs}W9vq{~`K_P^ zaYNRPk+A?{baZ)ibQ$HE5Ued6=wJb4>!Cl|&O?-XW^{Bp-Vk-38MJB`QBx5&&pbN1 zOykjI6O_?Q(9SzV6cIO7P0ngrL^d)(UaA4I9+8Q#ZTtf{iP)AiWlJ?o(0i3w&JKEQ zRzaJ_v?20R4I`8x7;J-jRA0@EY(KunG)5suO8nq&OoLB*!8f`r_ST2u(PgMVksH&- zq^5uTjcJ$-NR4S@A{x^^vPndE4(C!0aNyCPF>Q#{n8p@RL^Y=Mh-plNh8}S>rcH@% zOmE#jNE*|BD?yFv{IAzXMq_$({~ytf>Cydvpu<E^mTHXd|4XbyALJh0|5ucn9u2P7 z;Ee(-qssNKiP<Yl#_*;oyhVl@6QCw5sVxR_TFl7yBelpt)+1WXxEIe7H@rzsiy6sb z=q+X}^Duwp{b<u-MmPht(+OH#OyBrH+5ZQ!9uYsL$cvkyi_fXoVg}zW2hSW>0)OU0 zV<H-r_}61V!x_274BGiY#q}648<1MehD5ZOGuS9<F@pn-1}$b&q!u%_fFi2JEXam_ z?i^@V3T<yRxWz2a!5}1zm=+37%}GrziN<kKhLNoy{G^QA*+PS3*tsdC)!`_UY4QA_ z*-~aE@w~b99O&oG;fPwqAOL7X3zhc$VYdtEyg86v(9DPLq>N>=iP^If$tA?WEW`)d z+y$~a5dBhHOU&JTYu!_bs4)tWw{U@sM$ZF~_7rq)F=+T!-_sBgq@Zouq}CE$j9i8s zj6%8y?+~^VspbY*iKrQjkk7F<B7K%PiA#oqSx5qx3y@Duz;eKt{i`M-Du#G2We!Fm zd0cj&AE9K1ZGZspNL2+f79gqxGhzl(YBv$HOfQs6oP!x_!Aa2pO2J&X%47JZaIB$( zzfur}oS#H#rGPXgiF|w#a;1=SnSXFp3W1%VN}*kL)@Y@WSCU!;KKB_^-y=#eP(eLt zDh1HoPA?-3D+LucDpm^6AzA{J0?1E<57{BMQb4wIU@8UFt~8e3LaSvprIiBImq?uj zGZRv)S-h13%mSoJ!35e|!FN`O<R3~Z1#rlb-&Md>DIkrlV+|#`R0>E3RO6}?3L|(2 zN2TE03#t?rC{G=&6h<orhF(VDq7dr!6p)WTMLxY4bvYw-D+Odbktzj{l_)(0#1cko z_Y?@8wz^xZl+sE8>Pw_b!JN940?YzLrC?4>rSPAbm;oeQJq2*cQNL0!MhqWe4JD!~ zg(nOQ3|wp)dHH#%nnK*gmBsPNIoa_AnR!wSiVRSo$?*B>-~a!G9Sk81Wd}>B41*(# z;R$1;f*2W$g&+!qYe7T<BXb8M^8`kQxr`w00!GG_U<Qb@70lYf$b5j2`2-`w4Mv8C zU=ffUWFP5IIKPnzBnrYEObip4{=)#{3?%jfrj!*(+z(95KbV+xIl;qZ8XSy5Dk+&| znZ>E4Me%7xsfCbB8j_JNX)rPc-O^ye!6;+`Us;`4T9TieSdtoVsP7i+8(*ASSelww zl9`wj9~=}P9O4+_3T}5Bp<bE<I%yAdVZ#ggE9Bm{a`wy_o4br>&-mCr1&6|0F!>cs z{soh*;4tY0lT(=(7#iy5g4s*K<XSMf6-@4BI$36Cca(|qWG0dKtuW4DW?aC`u!5O! z12f|eX2t`|3@4ZwE-*9RU}kv0%<zJl;R7?n4`#*&7KRQMh6yYTGgufFurRD(Vc5XJ zu!Du+01Lw@mQ;<R%;L<vbcNKE^i<uFqRjO4)S}cBh2+$n90kzj!wShc`N`R-DGEuI z3P>qXL7}=RvpChkK+nLyAg@><Eios@!4%3VNG;0DPq8(GO4fodd2mZCE`eY2@QMXF zHFvQpYiNW7d&L<kXe)$xdc_%O#u@5^I4)kA3i=8lR)FgaR>lRa3@ca}NgSyA%gVth z)X2z1Z0bV0P?wlX?uok}JB3S*gIP!lTe8hB1&#G05B6ZW)*h5H2rnwD<g(;o6f(tT z3y#bY4LX%1-Vk&;ChS})Q-erD18k$-c!z-|F>;ZbF=2KiR%Ak~MpT<-@t!!2#(WcK zLBuKY4UAk?9Lz#yqz4EQvtppaj&PLJkQ^-V@(R9C6%s6vfr21c#5g0kXN#{gS;TgN zor6gzNP)piRs)=uQL-^86NB?U_~1m?4j1D570AWM!63xt39gk44GeOHxS*%78ycZ) zZ6NkIMjtLd4rXK{DH_HL=aS-J6cR%?Fy7NC)D1S?iPN#*FvXkF3-B21j~oinK5Zt_ zQSl%rAmXo=v1(pD5yvA%atU)V3-P0Q1-Zn)G7_-oF){Z~Byh=dFbYYd86EEw>gEm$ zDn1a$IV8v@KEfc<5G`&A2UjH_8~laPY=DMaQfXQ`?1+7k6OhC0%ik+Dg!5h;WPljG zRpANt1mg5=EX8f32R{+L66l?D=;niNTt#oSAo4Qkf_TvB;iO*<%LW-jUdu&~1{2B! zb^IDWp&{^XFNT_>p*}43po^~llYY?^b=yrY-8ptgnYe-{jkcTcwVRkoY&U%aO>ho} zc2nFMej*B3GTKdspgXmV2d3QwwVQVBrgSbv4rU=)Y|SQEuL@Lz!I!OInVsDBQ-p>A z4?ZrO{tZ@ez=M_wp<0b-u$snuBF+j&St~@l1}nr-1KnT+)fb3L4%UTWadR1Mu!08z z2)9;78?2)Z)?wLTT}GD%s{xh~J|oT2j&zB^)Kbmu+%?)#9c`&%>2i*?R8cR#A+Eth zg_defS}JW?s-Sg?prKV0%ytn;E3e5JT7}w;w~K(|ltJPGo}8goh@%F&r3$JjFk@u2 zrAqw0IioGr(U$6HOO@=oXix#9#bCwY#Nfpc#Q_>xHM2F+EM*kF18%9-5A>L7q@{`d zQKpb@jrM23v(s0><XtfN6imKgV*J6x(7?>l!OSp$d1%c=2j}FMQ)MoC5RR!5clRl! zbI~79Z=g+s2|SWD^u|<)+onl{mg>4TZ6ao+$QaCqG|WJU%AgKxlDh1d&Mj5q0)Dim zI=Tn|zDi**E&|xOdM^>#nyf_tnG+d_Sot|b7Xd7reT0ZLK;$n1xc&1S5%Dr|7Xi3x z?HEiAR()xk(FW@f8(JN0urhpLWDp{!-2`nc;~n9{v3QcWb`upEthrgoY1mU3dW!&v z3wUxGtl-pOIM73@ql*9x404D6s@2g3Yl%KNt5!#HgLOc+`B-Jl8XPUvM^z&BN13LE zDvY*N@wHS3;Wi)ov{XSUgYZf*GDi4@=r$kPHJBg)GSCes(6+?Uxo8G2uDsL|1&y?P zTLbV`q=sQO7d_fw8f`E^w%398OX1tHw}TD3%?D-wH-i-No>`>*-=NKU3=9m0P?`a0 z|2K4NxF>9DIF9|_QXPy^`1gNH9blBA&Hit$A52`f9N_ItoE!{7te){j#U)Z&9E?J0 z1U6L}n#Jln`vf6Pql32AfwpuPd}nkZur*1Ym*K!#Ms;2W2gnxpz2FtvN5LXz!R)JG z@-CR9#`bO*r>S;FnIh-i!{6>>Y+z>WU}l`a%rJwQ0ldANVFNS64rT_@w|6t1V&T!q z%qu7@Q7FhSD$%^elB7|Tnwwvin4^%Kk(!*XkeHsBnO9t*0NDes3)%w?+3$_AN0h>? z-U0|GKn(wcvio}ytDuI9vx|bRLNJ(g4hYgDcdz#f77j)sZ!Sbaf*#jq5NV2Z{Q~Il z4`Mf@x^r=IFhf%%MZNk!E>R9fAwh8RMwx-)1n-%}bRoEyz`F}I3%il9<1;`;LibT( z-+u}T2T-~s+}=#!lH_0(5=GCFjzMTAL0VW~o&*U>^Mq~4<su=|!_QAMHH2JKhqRRv z<SlUE;VWYou^nXRU>=#<qC@cPUiL)Zy$qZCZ(w8q2PoduNX<RbDGU#Y7&ML~XHPWa zJtHD!^kNC^SoTEUu}o4}(PTq(=ES!&-4OloWhfCLmrc|`8{oPdUnT~ft_@Ce_%iVh zwg||6XfhiR=A#V=yywG=HXuqy8xR3S`33RAr~v`V^!Uo2(FVi}MtsGt&}ajKk&!?U zjW!@K`vFvKK!CC_zD!J)286|E17frR!3G+5n+hfuv5k&E6obZj5rZ1TZv+C8>G734 zqYVfGwc}_5VzdE)K9oqrk!)0LK!CC_zD!J)2888k17frR0d6{sHXx8@MTYkj1|-wt zD|<#85TgwU=xP`&$GVPAVSq3F8t4WDC>!I;#B^yu7~yI_9Ee~YtZN{CCOjQo12MV= z0_iAj#Bc#@8nucMUI*fxbsj2fAV6uJYHJ`MnI5I=F^3!t?+iT}9v*o3#_>njK#*`W z{OB5pTu1{QbPhX#bK!{!tI;(OplpmU6Vs&uVLaM^7#)Ea9f3e!mpSN0AgJ1afMj}n zWzT2>Vsr#zbOeHtVFx3_0Y-)k;H&Q*Ffu^yyb~h-KpCn|VSutRzD!J)2879I17frR zG1`C_Sq%tCrpH(Ij5Z)f8xW%nh(X?f0A*u*nV2pO2+)nbShsPGPGNv==^fpLF}e$b zcM$Btpy~(&B-7(7dqx`&qYa4B2E-t5K!CC_zD!Jy2859Tt`P_WR<^;q2IA(<XQOK% zM%O@$s5KCvG*7KH5YSAIQubJmu7Sv4AmQAs(Onp$yD$cL5hXYq<IBW!X+Ri`HXuf) zFh-{^2K^KURT~hHOpmYZ86ANb9f24fff(E)5JsSEj4u<D)__RFIEhk|gHcEoy_FOk z6rY@5npcuq6kn8@9*uJVqSbOAcmM*=eU2Uv<{ntf=<#4K+Ch{c5!gYLXV09mxyyL= zjE^lf4x+RcJ8XZH>05EH1EISeAqP=Xc()_t0Tu>~gD8_Vic*vF%TkLfX?hYR+C`59 zPNM8$Ro2i53HFLJQqWci@$`x_!gfG8>Uovg3Y45zxqS4zN|H~D03~0-qX?9oR|(1* zgl)*-BED!qDWFWq8m2ffdR%34PIkPZfdO<M0_fmc{lRfurQG5zM4XIB)^U{+4}B%V z=%IMplxf^9nqJ-4TY8X)kjvsy=U^04CZdAJmxt-m$N)8Tur8ArZDj0V8Es_zWd$8W z)e0WP=mnFo6D39)8KfUcHMANTkfH%!0Y&6c#%Lph=v#t`+T%#gGqFb-8R$oDLywkA zDosm|_l);fVDw@EZBC6~$Y6lnw9(5rlo}bJT#PRd)1#3A+LAij$Y@|;=wM-(z``(t zg<$~;!wMFL4J-_!jSL2c(MAR%__AK=oH{YI8X1tH0bc<%+Q=C4^ChE=jA7o$0OewQ zd6*uJ4A8dK(MHB-BZHOHJ6=aeGKTv|2Bc`fS3r$6GDaI2xEE!NPM45-G$u8tOF+38 zUmm7MBLlRx6>B4-bo<W1x+de<$Bxl88K}2|j;_gIKpZ<WXzviE{YVBVYfx)V2Bc^} zDWFV8*JKQ{ts|o&8N+-e1C)#L<zad>GC<p3M;jTVBN?M38FV<LduTN>AVmYd0%~+5 zV{{~AbR>iN+e@f9k^#!a`0_A48X0D|cBhVxWQ?xK7+sSAJCk>)tjVC}NCu>6z*j(x zHZn#V8KaGi!QIFJ<zjq!m>!J`&~@sgBN?M38KWZ^qazt;yW1f}1HJ-kw2?8|$QW&8 z4DLn-C>P_)!=yDb(lE}+(BfbeQX{a9)(A9bgSC}WF?~CsMH#E(K?h~5jz>Qz10+Jr zgEC%SY#UvaG0=-LR*oK&F$fRJ0A&rri!$=KNGKbGc!PaBon7N2ObsIq4GhRymT_qG zsEpC0GH}(#qeo@vC=}(FmZYX=f;P&6kBgNo-cQ6)897`U9E?IL#MJS4b1_|78lZ`r z(U!*Ocm~Pi8KW(Y!MMkSsx1vj*?_l<B637yw52h6UdD>imPT4pYGJ&gzNev?0)v-u z9&{+k$c)A<4NyMDn~O<nX@Cw*;;<25kYG?`U|`^26q3!&PbtmGH;FgW4-SfVMmzA6 zpd!$D9u^RjOiD6~64O)T4fPXCOY(CQOF&GwVBh%S)WXu#ypqhsocQ3N_}~!75Lft# zqU7qO#9q+$U=4_yRj|3)A9C(#W?nkTnflJ^5VgwK)#k*f7p11=L6oXOlq%v<T9leX zjKboQ{GwEdLT!kN>Tna2^K%PIQcH{C4M7o>Tu>5UmY4%l3qGNh1m&P0BtbbS)JRYc z+8#xMa?qd|3Ccma!VnTNx|ktTmY9>70tp}V1WmFgP$-eC32Rv8q^86dl;=X+1&X(v z)Rbb}5r<nj$VnJsg<Cm^j=>snxJ?H|oIWHbwBa#<Q#shXAT1dAuP8M=v$&)vwK(2L z-yfvR07QV(G_h(y;X<rhP@oX278D}HswKs5phQgATtgDV0iu?;aDb>KE*v0gi3<ma zTH?Y1qL#RDFd`uwAZm#V2Z&nY!U3X|xNv}|B`zEwYKaR6V-msvqL#RDfT$%d93X0m z3kQf=;=%!<mbh>*At4+fYKaR6h+5*p0iu?;aDb>KE*v0gi3<l)62bwZmbh?$s3k5O zAZm#V2Z&nY!U3Wd6b|5aD>G)h)eKUdqF3{%?N%&`Kw&^o5y<oGpt@}-BgkfD5R*|z z9&d};CkWM8><w!df@XmnNQ7C2vHECcVeu^F=zdgpVOK`jENt$=Vir;E0);QSAF-Ha z6szw-Za=|*0=*zVkZUi<&03K3q6TjkA@z&U`xrh!7%c(Zs$Gchk+^6=9HENc5y+jF zfFM^w=EA!#K0(CxbO^W=si#B8OoUPw9f+qi;GV+YDRRYVE#p=1q6;xzlQ`o8f?P>( z4=De*l3)Qyy-yGc9ss3U65IpIgQU0zq@E=AfN}x}?g3RIq__v9o+S4e$Lf=ken1r! zDeeKOC&@h~q`3!F8Is~2kb08bV;ZaPVhqUu`j{C2PuJQfh~$1UsB|XTE=Z0b*)CAN zAlWWRYA4w)P@2cc<2XCT;7%@<IKry|vyj5A91>y}We8pkq?iv0B@)ahF??|3aop|) z<#7_iA4i_WZ9XW^Vk8Qj%E1kEh#jPllwelict%QGF`68B6k};};8snvGeBvLXlH;F z6YmUA4#sHC;BYc1NfPZ0kYeJU0ZP2YIs=q)iFO7^G4aj-rEy}N0ZM#CI|HPccxQmJ z0<q2j)kQ=*1EiREXMk!g!p?w<H-WlCL^uPXm>6fkRTGg@AZkI0pJ-=*6cg_Z;&KYa z8KA^Zv@<}8iFXEZIR)YjP~s=r86d^PJA=5K0&xZ?@e}O~kYeJUL0nFOI0KaUiFO7^ zG4akIE~h|7B#F!^2*t!W1Fo8goB~k`O8i8G20}6M&LA$QKuiWDexjWLQcS!vh|4Ju zXMhqv(ar!VCf*su<rIiBK#8AdXMhwF?+oH{3d9+p#80#{K#GZX25~tBGG<L=PC+Op z#u;$cMC25RS|W1_LNW2qATFmsOa>)>A|eH$n0RLpms21ngAzZ{&HyPU-WkN@6o@lG ziJxd^fD{w&4B~PM#2KK(PqZ^YiivjyaXAGtt3hN=K`1818F1A^<P?ZnB6A8tG4akI zE~h|DCNifW6cg_Z;&KYaWKiNKB5fcP6YmV-atg#`P~s=r86d^PJA=5K0&xZ?@e}O~ zkYeJUL0nFO%zF`;QxJ-YaRyv95jh2-mdKofP)xith|4JulZnhJ2*t!ZgSeamF`3Am zf>2DnGl<J65R*ZPpNJ%iP)xith|4JulR=4}XlH;F6YmUAPC?B2a)U;s8HCt9<CAjo zle2jsTn^9pq|%a-{5(zwpVc$IsJH~Ii&ua_f<XczEaD$;UYweqo0?bRl384klUNyT z5Q|&R5RaS@9ywz?awd4>O!3H>#fn4xAdK)saB5C!a!IrSZYe|DQbxF?jALP;><?Mf L2n$uvdaM)xOD%ov literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_utilization_placed.pb b/LOTO/LOTO.runs/impl_1/loto_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..ee88f8592858a46f5d3c8129b65abde689377e72 GIT binary patch literal 242 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZmh<TRI;Va0d%r@X2A`)%I}F)&PW+AGYkqTIbzr04u=+g?cq1_p+^k_-h?+?Gm# z*lXn(7*;s`m1kfGaz3iSz@XrGRuv>ZSB-&z-TA2+h}~-hBJUb8Fcdg1H3qTQS}-s$ zIQ#{XPDd>n7*04$vf^+Gb#r$P3Gz8>2U0TEo`K<j!&7?@`>hLz-0KPwX>|j!*9L;f Ozkv)44faQaKx_b?yFyI> literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt b/LOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt new file mode 100644 index 0000000..f464223 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt @@ -0,0 +1,216 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:56:11 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 73 | 0 | 0 | 63400 | 0.12 | +| LUT as Logic | 73 | 0 | 0 | 63400 | 0.12 | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Flip Flop | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Latch | 0 | 0 | 0 | 126800 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 31700 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 15850 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 84 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 3 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 33 | 0 | 0 | 15850 | 0.21 | +| SLICEL | 25 | 0 | | | | +| SLICEM | 8 | 0 | | | | +| LUT as Logic | 73 | 0 | 0 | 63400 | 0.12 | +| using O5 output only | 0 | | | | | +| using O6 output only | 53 | | | | | +| using O5 and O6 | 20 | | | | | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register driven from within the Slice | 53 | | | | | +| Register driven from outside the Slice | 36 | | | | | +| LUT in front of the register is unused | 18 | | | | | +| LUT in front of the register is used | 18 | | | | | +| Unique Control Sets | 11 | | 0 | 15850 | 0.07 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 135 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 135 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 270 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 240 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 21 | 0 | 210 | 10.00 | +| IOB Master Pads | 12 | | | | | +| IOB Slave Pads | 9 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 6 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 6 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 6 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 202 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 24 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 300 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 210 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 210 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 24 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 12 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 96 | 0.00 | +| BUFR | 0 | 0 | 0 | 24 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 84 | Flop & Latch | +| LUT6 | 43 | LUT | +| OBUF | 17 | IO | +| LUT4 | 15 | LUT | +| LUT5 | 11 | LUT | +| LUT2 | 11 | LUT | +| LUT3 | 10 | LUT | +| CARRY4 | 7 | CarryLogic | +| IBUF | 4 | IO | +| LUT1 | 3 | LUT | +| FDRE | 3 | Flop & Latch | +| FDPE | 2 | Flop & Latch | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/LOTO/LOTO.runs/impl_1/opt_design.pb b/LOTO/LOTO.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..5e5241a7efbcef6921ed020a45e5d1021c0e6784 GIT binary patch literal 15463 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5Xkbth#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^7RM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=Wb-=V&MX(W7Hs;z{u6h7?PQrs-RJ<X{C@{P^w_7U|?VcLWT;~ z3aL4X1;wc;2tflqb0Y;k1>e-%{Gv()4PPfsD}{p8#B2py1tUXKV?7fykhb*1%sd5K z1w%tUQ*#RiYlXC;)KrCnjLPE7<is2WTLn`~1CTPXa9L(iNhw&=(8SCT6gu!c6iRed zOL0j!Cnjg4DuiU_f>NF*B7CsLzblujOMYIeLUC#dI2lE_I4hJubRs1naL6(ln`*H^ z<f2%OEp&}dk>f9ni%GRufCZjgY`Lsm^HM-Az~vlpdR3@VaL!0g&Mq#^wNfxLG)XeG zOi4}VLQdxEal}|3BUd-B7&FHfW9GP`%goeR&&a}3!CC<tT_%>6dKN}l<IBX<7#5Al z@nvXfg6w90qT@@1OUNfbJu_LszW@}rRp6A2EvCG=c(?*GKp8}}7@U5km<<gKQ4=u> z7n7j^xNywNEXhpF$wV;@B}K&(VH_J5i=m#Of{_xJf-@+uxu@o(7J(h8;Fy=9-~ul3 z6@pVsN(-PaMzJCWElD_YIYK-DGL(>kL?n#0I1)w=BUdM`gkgX!VHn^_7?x&cdWJ@( zh=gIFXJ7y_0-Rrwv$3HuTo@@?7+aWw3LkhjP9!$ajTDSjxRk<Kf_%$icxL3@Qx$ zQcH3&i$PT#s7OZ%_Bga)cja=11-lU>vhW&7M8I#r5%B$tTs@=(yq<v}y~5rDEm-uq zbfLkb;E9r3hHC(%5Rv#;xR{I-j5N8_K{a8KLTEusVo54E)j_Khm&B4p1?T*noYZ7c z69!whC#Ilc<6<!al`MQ*Jjga-GY)6Gfeb*_OhmkG#*uI*F>>|eOt_#linacyU)l{N zBI>|FYNTK!!6im~Tm_+J3QI0?NTx8-GlI1s(4w6F!Hlz+Mg8I#wGHFJ<pvE6P*|iA zkspR};J6Zz23fe6j1@o){h-v6#G>?6to2h9BUeO7eo11Ef^$Y<W?r#ENWOwoszOLn zVqP(*EuWgA5T06;3hHetIQqFL_?6}+r4}jVr=cjx%quP_R&Xv#O)N=GQAmWS2X_E0 zjE%II^9xEq9To#H5yft7sB36p3~HjmI|6}RngONY9*b(RLS|lBYEemQkw&p5sOO<t ztdNtRn4(aSnWs^#32FUWTA-WC1~S#y0=X4z$t4c+dMc<>r;wbQlLI%x#1dgd6sxhJ zt_ezi(FrXxYI3PVlAo~x%uw2;FC(lCBRvCSdezS+T=E2biRfJ*H?@<w^dO<6TC9)^ zO%5PtYEDiuXedFUC^a{~3=tc}0<2QZMrP)asNmw_fY}O8DqtcC6tl(_D3KFLT=F+j zFcRYu0XNwa^GXy7it-B*)4@$<Y;_w=(x4w&GBV{dh6Ioaq}_++u3?;{9JsWx#RLu? zV^3cB6eTYlX#t$dzy!9W=0sdlGgUBR=VA>mPff+z`?5z%25MX?kYr%00M>&V3g#(k zsfK2$W+**xGMh^SUX&Pe$>8%M_J~fPD57C80ghBKfh}hJh>KY>1tVcDL8nkRcZGb+ zQ2~_Bo;O-78*v#xV%baqLqF|e95f_?Wq{1UgkF`0Etdwd-o+mK9gJMAkbnc#9q83a zewspgMrJa2Xf&}X6&$qKD;GUb?P6?c3aMSN#UeOyAP8_WF*5@V6Tq7$5yT}Ea|I(= zF6rQm%(N1Ppw#rt;*!)NY{N(>31$W(S4wb@k3vvtZeoE#az3Qt18%DX2l*63TR%lm z;~<_yQH7AoPg8(#6p|rLxsrU)=rPD3P&k;Hm_fpUnTrw95<~JgxJ_nYhSDYrMN7># zTvm`sF;~Fj&0(Cb-MNfN_c-<xUPe**hCiVpMJtx3QwVX%*+Ricl1n@wzqmvppeR2% zwYV5GdW>hFD-bQUSa6v^Qj3KG4pWA4N^$1WBhAy;Q%Mm;sRVcY;ZGr+#HA2R1tUH# z9=FUqyd&FLL>R`##bOB>f70dBh8hP-YtR90(9krb-~*3;fn<U+5(`p`u{Gh-(GsB_ zmk%Tng3KquY?P#Fn3iZ_kz$@~M2+T{367qfDZMJ^SYji@NWn;fOD-(6C^M}R6zb5t z59+q$fyNulGD|A4#Q{mPEzbFQaKj*TPf#OK=0%6z+(HyuLUiP^hb2TK1^i(;jMJVQ zTBzu9X+uLr0h&3%o%3NF0{UE1{_y1)pdq)s^i+l5(%js{qDn68m4H5%lr1iRLyH&} zBgr!Tj9gW^0|junwu_1D3uBFf0wj?rU<Wk{3d+vl@g>-RlLA602s+#Z5rWO#rKTw4 zCxMnh6oZz4l%?i@XWNl`cf|?{HCzJ~8oD@w;|&|vHMSZB#P}n&0I5L}G*AHf9Yqj} z5Q;n|2c(0mMgdgz7nh(9S;3Uk)Mm&K0?aBjnx-~mc4d)lr>V{OIvd19Dk#>F+)4x! zqyrSsxEiluBWUO6!It63DMtg)>Ns;QQ|Qtg%#|gWi*ANjTa%=wU_gF3_7M}5reGqM zd3a_{jzV#EW`RO-PJVK>0%$}(FCDxH4J=R$S@l+sT9lceqF@6W1Ia4}Pa82C8k%Ua z6_gi&CZxbqv<3!IY{n+KhQ=sEKDgr$(~&feL(qCOY=e1pTdCni^x`RgE?#gePQf`R zH8Bsq3JE3pu#AvGa|eo6Vgq&PbqoTC4o*=nVOW>TKPj!WI2qhF#@0Rhz{pjkS`1o! zfxKK&wHT$VsalMqhY3~(U1|qrqc5jI2qG3!f!4h7NHLpOAXenca7n@3TbvJB2AiA@ zS{7WAst2Bi1})wME#U-BNh7n3peqMJ6DZ&%T}EtNET)FW1|R`L(5fx)8e((sdRv|f z9>{uLEaOeklmv4HQm75z^yI-M7L*EFstf9(Ll<#_ChI|y?@SiP@D-pKYqX<S4b62e zK$#Oh(p|^pu3D@BTBBO511dGc6N^CWr6C;WqRbNTGFg}?csf_L7`lj9AvG^KzcjBT zwJ0@3547%?$;bkE^)zTLlNn;%3CxOOG0`<a84vg3k^+tRLz7L4LUCy_Xy`GmG$#kt zJ(6NFvP3o%b+J3hG*B@PU*Pv-P~;0fE*`{+d@H1!U}%8I2@p0sCvbAHTNs(>8JQRn zl^3Qma*4ZEq$Zbw`!TRp?673OYHDU|Y@o$ikdv6_n30;8Vie33h*-X@pqrMNld6!D zUy=`6%^ja#P?DOWS5#1<pj(iHE?khLpj%W>fi7HBP!YvpXryaoVqt``1fzwK(1rz? zxCL|HgB_Q2P-<FHYH<cAqj&}=fC8X6vn0PLGZnnDp4rIQM2kH+zbG|5H7|<I&{Ee3 zb%}~Qmy%z;LTPbokph}_h2nzL<jl0p)D%#zV=*)|MKX!q&{EgX+yG@}rHo6-CqFSI zHANu|ym14?z)JAG3vhdd#n9N;2<|8&T`q0?{DKnw%-nQ+h(;p=BNIJCC`TX4OGzwA z)Xyx40@-Y24Bq|$&*GHtFlb@oQVz)gZ44+b%_%7ctpoyX3jj^TW#%b>laea5wPk8y zhMZe>FmgreXXNLm7V9S(8K<P?B$n#?y1F>~`{|by=z`LpZfZ$sN@ikSiEf^eaZ-M1 za)!Q-e~3Sr&?_p<E7s4<Ey#&C)CVa>O{@ZpQnWMNf-#EKNY~H|C25mhR)LaSQ3=T1 z;F1retn%Pe3kQ|3pglQ|75|`U1Wf=YW#%OoRVpMFC1+%orGlq4jm*s8i~re-Ep$yR z43O77eH;|!o)nh^$VaIP;Nf}~Ck1C{v2LYc#LLAEYXRsP7$S;618|XOY+z`jXJ}#p zs{fH11V&~c0YhU8GfQj@f)|6r*MeO9;YIl+sR{u(iOH!hPBilDyFuYuaV}A)XG?R6 zQ&W5rOOi8a=4D$hF;IE}72gpqu5JoN`QYjf+}L0?GBniUhHQE(Ey>I&j$$)1&^1Ec zw&TU6jLiUr9MF!B#2kgZ)Dlp^#UjOQWMGNKGy~8QG<f3zmucX-0M#@@11zQ)g0d{! zw87DY7vmCv#Ai@`X-R5uNq$jcdMbJfHbKq3Mxfk_E619`a;ycm9Q%53C1O~=0lY;D zxuFIrm0$%H`TiYbk?aE5(h2h}TA7T-<>KNXFOokGib$5^5(nk|RE2<y%HotHTy>G5 zDN5ot1XoSu`FjN;mjbArq*@Ga&naXi7AqvBrsgT6L-uWfyGg84%w|T0@J<>J7gsW9 z|6M_TW?qR=C|58wn@jlxC3-2zpk|@DuA#97sNV%|7V>d%x#p!P1f?eD7o`X=0st4F BzexZ9 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/phys_opt_design.pb b/LOTO/LOTO.runs/impl_1/phys_opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..ac92c5b098d6d4569cf63ef56540904122fce550 GIT binary patch literal 3435 zcmd;b;^J}6&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ66E49$fzui&o3y6Pf0D# zOwWsAHPJORG|pfsV5wl4z{nuL#MQva<=|LSlA2pkl9`vTP?E2Zo?4=isF0JHoSIjh zs*sjnq>z@HSW;S)s-Uh~tgeulm!h9vq>z$YmYEC@0$I&$Y+#|qmYkoPo1bSSz{Tg8 zTac5Qo0?aWSdy8aXT-(DQITw(XlP(i62)d{u4`;!j_im6E(7=c64LBsm0~tFG$6@N z%M6AB)(TdL$Ah?pxPnU(i@@GiEmjCgEY1e|#L&Q4i#s_#uehWrF*C2E*hrj9)H5%$ zBr`EbA+#VRu_RR?Br`WNFFlIQ&_dVH03|p#Gjg$Tfnx*Jj7f}K{fr@*xv2^o#hO+M z$pxhfwh9IYR$!!OV5(rPkeZWNP@I~ACa$O8o0^+nRH>lh>!fL=P>`CKtzfHQY+z`j zXJ}%fV6Bjzn3)ICqi0}XpkS?#R+O5m0E+g^<is2WTLn`CGb5OAS!Pj5DOl9d*uoGc z29mjqU5iUHa}!HaQ=r~c2rkXdO)RQZs8I;_3$|5IEmo*e2m!GSz;@Lra0#$Vv6>ng z85<xcJ9aKsQ$0&V!zeZrT_YoNlt7=y$W`b9PKpZU`9;Mg3dN}<r3DJbIf=>H3L4>l z!I}!0#R};~sfi`2MG7SuiFpe7MGC2fAXk^<gPf38tfvs1omo%-O09`GIS9WNSLT&u zq!wouE94iHWaeg8fs<ab9>|r<hNgySZUlP*lp%~QKrskU7g1d1e)&*$m1XAVfK5?I z%P-AK(NhS?NL9#7Ey>9&E>S2?ELO<NFHy+NPsvQnOij@fV3lIBG(oZkWV(^D5pt^Y z;1UZ;%}Grx200&^#~|64Rf@^N7<Y1xVl_0^MRjQ%m%D1Qf@fY@ez6WHXu=bV@-p+% zAspwT%o1=CfQf=KE=ZMYQBi(Tu|jHIa(-!ENorAQiXJH0GZ|SRx!j0_i^<&Bh?$Gg z06|2tnCO}qBIjFwE-BSwh2(rtW(Osl;?m^g)Z*f_(wv-1h@qCqhGHp@Kt_TB2wom} zaH)lZoDM3Bz`0BzC9xzCR4yfD=7CaUVo`ENW?3pIw3&^}%(U1*aSh6h#umCJ76!<H z{e_XMNVOO}*QpkxWH!}e1?+hYtPYyHz-;uag%Ct!EKt_xkzzKnK$J{UToNE3r78qx zBo?H)I4L+o!^=v+h?k2STmo1b80Z-oqI0>pIA9swh@FcSoMMgGxL81W8YBSD#o+SP z+z1qiJQX~UvhDSt@VFose|S-TNvc9XPGWMZixawk%~Abp0;(l&`PTsEUz5S>UvVx` zsDDdyic?d35=)XZz`o|<;(*06$dAZu^89PdB?d}TpfV)F#nnxrD8Cd`je#>Vvyq{p z7B{56FD=Q;DUM<@GSD?LM9H{bT*}xCP{_$o2NxQ7sU@I-lSPWz$iNbdX$GKD9iC@# znO0nonhdHnkxVl*z+##qDA&SG`!FaHuNapIBr=2YOG{FNOY(~n(^Jt?urX>1HUz~k zc`^EKP<R@ig8iU%oO5Dw28OS}-lRMcza11_hR3rDq(MtdAAcScK9=MX2j%@#g@BC8 z;*=y@)sdkEO5!%8pm<)x$OUdWsTM=J5E+TZ3Q4J{c?#*Nd8tL9CMTqkW@cmvZvgRd zaV2M@CTADqXXcd{MRJAfXXNLm7V9S(8K<P?B$n#?y1F>~`{|by=;q{?<m;xEl%`}R z=9TE?85t+#mnLWE`}l|Wg9*K&(!65*%-n*Uctd@V;&@Q|IKQAoFD1Dkirvs$7gUiV VHyrr5xLos66oOKd^NUgh7y&;Zil_hp literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/place_design.pb b/LOTO/LOTO.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..e02de1d8772d68356e70171f51019b4068c574d6 GIT binary patch literal 22697 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@i)bP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{CQ+<L#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}o`M}6kq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#HLlZqi6AL4DE>;6Q0|NsiHZB%ZLqkiDfT6L4nK8J)H3#P{o(djF z;g`t8q*^S%0x#q|xZEHy2ntT3f>NPI!8s!}IlH(t*Gj=K#W>m0+{D0~3poRCX5?bw z0w)O6v@nU0tDg})z`>rgGBD6HFjPPed1P_yQ2+}81$ab&!$HAX0X;52!2%OT#0EH! zklj5ZQ$!)L+0977$b`$t(_aCcOh8q<zJha3esVUlfKzE^P6|YzNWlf%RKS+^T)9+T z^7B#^ic?F#Wl)5RvqDK`E~wx`Dt#aolCh~48$>RO)!0JU*c7=W%R@`gp<KbR^lYR+ zx?52bx0$iIxw%<#G8GcHsR{PPZET@Hg~V-uHF2AQ8XE9oHImqPHdZi_;gUj$NLUHw zo1c=JgDpP8(4xVX%NiC9#tOKNLJ4joBTFM=qcj6EDg?Kg1@_=JF{NQ}gW6;8;0_}; zxJ?v{B)P<$VRbGfOBL(;CMFl<7h?<SV6?Ed;<A8+wTS`_b7&XPmN)|1j9vllj}{ze zTqe-qfE7ciO%5W0ViS(~Ya%08AMX0ge4s<Zmxv_C!o_5yU?jvP;GUD8l$ZkvB2Zb5 zEv5MoVIUh9ixH?L#?Qs;mXn`fRFIPh?hj!z&l4@G47l_lQ3WyqMK?+$8Csee8d;d6 zT2P^2FgL;xOVlWb4M3Rz-1b0eWSF893<<;rs*!?`GM8d#K}uptszL~)a!g8119jSh zeS#DM5=$}^{0l(cYEZ)*r3oF27SJwSPOyMBQXps|THvRom?bAA8&f0jO$R#g<B1J? zV+A86E`@;n;u76}qWt94VsNi3Gfx2}nPN-iF=%1$%;g9Rdt-tI4&$H?AvWkuz}-dk z@=3utzbF-3c&8I#E(aHzk)8>tn9|@<gQS0GhH`>rr~p{MEx0nTBqOyr6Kl<zf)=&j zT%NF~1sP79!KhK3W@cb!U}~9ylDjtGsDJtyxq5KbKRC)Y3u@HEM3rl?#K(h?f{`4T z3}%=sc;-PWB-m&JN})E1kt@=v2-N$|DJ{v%1&@qC`UO@BpuR+MQDzCa@2y&_qfk<w zuYf9$Uy_)k0Fuf}Emz1XElEpLNG?iEEJ=k7Q?W`ho0=JFae#X8pfms)J25~bqS%d% zbPWwmOptpZ`x&|7T)|^q3IU15#d@GJIWsr23T&=|YO$UI*wHYq4yb#dl$i(Nr&eSZ zgF8T~#h~$3h1~oy3<=Pv9J8sp8LHb1A#UU0;>t@cQOGY+@Cglp2(fZ8<6%SmU}y}g zli-7p-dvh~`3f1C=@|-XiFx^@B?@_|CB+J9`K5U&3YmEdppit#Kr*CDW@d_TOcblJ zk**m^r!19A#lHX)HAsQr3=eAsPz0zJgH2*KGDP#2fe|Ygb6#o*#4lW29GQ8=C5d^- zsZngkM!H7EppinjyN@z*CE^cz3~O~1Qc`nLA+fGNbnu%PBKa8PG-&Yia&be0KRGog z2P6R=hQZGP`^4NFCCCc74E*vz-Qm&#g|f{29PsEEBm(plK!Z}{iNy+e`6UVksYRdx z+td_20nk9Wi3uVmjHJ0FU4jD?f>P5ni%U|AU?~x77T8iVa|7h0TTcy3HM!J-Gcwak z5Z0FDD+FW~q~>Jir4n>PF*TeZ%O#EG1ZeWZ>GL9LSPSYU2RZs8JWelLLrJnVpUc}X zUm-a$FC`P)ltjwfpgEqD%Dlwf%w+vy(2Py8LQ!gZW`3SRW?o5ZQCebhDySq-C`v8J z$xH@^CMeaJ8X*;w;Dlvqf-*-ieDdY691=9J1dkdU8e5neU>hWM;?m^`F3rtNEUE-e zFJP&tkyAQo`Vlssj69m^#HDLX0^mZ7N+X*h#>Ggo%qB*zIgGl47vS*FIVP?hOf?E9 zWu^i#phiK#F(oB6MZq~WC#P6Jp+-R=C>7F_K!`x245muo4^+d0l)B{Sl_-SdmnLU` zb$XVh7J+j*#2{DbREUB?4cFieQC%ECdWx583vZ1AsBxs=oS&PN37)DYB1~(L)fj*S z2EPbY7ELJ{*AQ$FY-R%#q~NG30nI@{4IqM|quat#iz*d7K<z>|NV|}b^XTX{l%@_L zcY)+-<2FbXqs1v&a|F3X1ab)`9yqsQx&>W?j&5^8Y1yDV1Ph;zZbNN#VQ~w(5FOp- z0&T<vLmF|Q9zcGcf+xHY=a&j<pTiq*;9>~jTu2f|@D&s=MWC{15@=kk<y#22=MB|Q z3`Lu8GXZ-VIiC)_>WrMmP!!srl_QruV$jM+0ZZc!J_<v`P}N!-LsdPDT%9BhRT)w~ zUu0kjn)Smn{toK&!YAee(Za)m%M2bK3Rp)SQG&t9#LU>jIMEVy#lz4G1~0T=FyzvQ z1_NdwAg}lsF8(KSvdkDfS>}>jl9)+gvW&?2bz{&dv<#ONX!&Mli2``lmx6PCPHAqQ z0`56qob&4-<8T{=o^mY=EmM-yP{x{vUdoLoVxXCgi^W(EGRzDMo&4ewXaFmyf%*eM zj=oq2`6JLWhCP=pBx8UK#Ag^vU>l|-8Cs^9rWp<Kzz!ugu#FXrB)G&Ji&7I6g3A*N zP&%>Lrfq`Ig4vSG92U&R3fPT74d6th#1sP~Bf}vcz{E_OfK#)Hf{_B39DHCE)WpLw z$O~Sii85`1v;H^MGeL~gVl@sWz>SR3EYi%(3{j`5hH>#>3ZA73&P~k8Q3%dREJ#%# zrW(Lge3&ZWGYloL4U^3i(~?sXDKD#yu}!&CrLhHC(T3UBGBHCQy$d9^_%Ks265$dG zO4Us)2JKe>70?O=nR!@CXg{=~!<5SyR&<ytU>boMu$D%t25G6usT3C*0~@eJ7aQi( zFE-3cDK-p~O$>~UjFJ<Fc!1+<FB@YN3#bWhnD{@Hh@8yA#blyjq{XEHS~mlk$p<Yh zLum_ur@5VTQWNuZLkqAq48n=9h>eQ{wBW)>ic11)6|`9f9pVO$USl(wYO9W8h;SnZ z7n_M5XjQ$DESEIcmGE`bC;@`ap<!H(cyyrcp+HG)MkZ#4CML<IhByYqi7Ak|xH!Nr z2lZ40xcHnx-P{#C^NLf8K-+t;IoF@)?Ia>xLYM;%P$N;cmc$Ve!jP>c%3O+=Mxq4~ z)CQDxQ685oxbuZ$%S}dQaeRJ333UG){#`fB#uf%h)9~P(UZB+q=0+eJ;j0z$xinOZ zL38=gwMVciwi<<SzhGO{Vuc!o5GVsQ%W7<FjASS`7pH4+NoFo+!HN+R7XxUQhpC>W zp&^I?-uD2Wh&3}rnTU->t16wi93WMtA@+eE<h?m4>B`VN$s{S+C=qqogUpQ{SgTI( z1`vwZ!W&o;(=3_K#C4YuoUSur=~^K(B{i=kGc6Oc{swirTo0Q5Q&SW`x<I=Hbrgc2 zlj2Z81(%}CveY7oTyS<~K|yMYLP}{WXg?f0=j#XMmx9;(IOpf3<zyz86k}BnO&~6* z=|zbt;NlFcvOMS}r&Q3+yS#LTw8YGuRPc^a(Bcp#6JxZ!VCd_aAnR3_xfl(iSWV1y zO+igJcxnwmOFHIUrmlG@pdA`mvRg=EaW-mtNlrF5Gf%QW?VgdDUO-Wb*pdYs!Ub&@ zqEmXo+2Vwilb}=qO(USy6KDfw&=MKBVN6cH32U}7qQ<x>D1Zqy<%wwkz-ta81tS$M zCA2yWnyLxa(vujuGO+7}6_}9XQcuAxzX-fEEf+MllbD=bS_E1u4O)1Xm#%=cu~ne} zx-+&k544mezo;Z0q6m~tn9U3gv{=D=CP76hxJ-=#?U^(OcV*!DAqg!%cyhTzic&}# zFaodbMQZe5@hwUYF)~a{HZe3wMcrLA^wP!9b8!e-Oj>hU!ebH=i&)x|!!quO9NjYk z4W&wOiJ|36a4WtPYa<@#=pM)@?8Xea0LH%Q&IGiq6F%AGPed~o+?j#QGl1I(MWB`i zWGgVXb}KQ%-{8InXlekolP{nsGp|Ge-gpFU5lc@k#?_X;#mc1)iXdIETfp5nY+Auv z`&p%!j4hDc7(J|9ovd66@nirl<XPVu*c_B|eje1V3N;GB8K7efkc1R!xM~z!GK*4^ zLB3I_0k6c&&r=A_tV)GWG-DOxszGufG8-n0RSf1rzx<+-3}n}Wtum}I#41LaUBUUK zbhAsrHL<t^s~^A~L?S?brOaOn;i<H@3tWqv8KSHh$2mM}q6f+^xbw=eES`MOYC>Z! zLs(5{3~9<B6-L7{1c=<GYoZ6)rt6lOhrDT00Z$b;OjiyYfEvk$#ug@~*j5e?uEroS zH8k#KJ)|j!s0NYyEhwXyC>g^r&Co0@+1wJf<2&@i#RaWs(B{&Fb^pQV!GO<<LG`(L za-vakqFEAcM*6AJ(IqBD)N#417Att>rR5jvfJW=W6N^Brh9Mkh*d{ZWC^#jk7DEqa zQb^59&MyTmyGu>c1D&YDWMqMS_7MvglbI1>*aggrVlmM*0Sy$wTa7+kQmVzEePRWW zol3=}$)FvmX{9+il@LQw4u(QM>kDKasM3R**TKl82|Ke1atIX2aM0;t#R^KQ#Y&(p zUImFoi8(o`IiM~vtEri>u?e_gm*<#~nwSDQ_yeLbJ~=<Hq$ocpzBsj{SO?ad&di51 zOG`50hx>3C8tEFDSQw-1HH+lZMsrJgY94gSIqdW+*a2GLAOyPxGL!{%4Ko*`aTLsO zl#pv?<PvwSNKJ+evcZm_0u7#lcF}=NGC=m1BbPlEe<|per4}XS7pE%dre)@&D&*vs z<fC{z9z0x-qE}Q<0&|BEiYIq7axG=VVzL!zBR9%<Lx|BV&>#%9Q7$U%OgFFq9ZrB{ zga_9&!E_SCF_bG9>RY7vM-DwCVWhxQ&@D)Ugf15Of+Xx=n?_m`t8ghnotBx8?4rzk zB!^(PX#*qIELbdN=HrPwLo;l%>;`(&D6>e7x{rh6SSu+miSVM#l2pjb2^S~O?h9~f zW~E@n%f*dI^@hZqYh_?z4r_QAVrzJKaH)ap0}W3@hV~Rv5=#<6WA90sd7ynviABj7 znPsV<;*HtJ%nW{{5Sy`uu89R`g(!Ta@AaSvK|wD5@S^;ZR7gAA#R)y&%uvJ87!;1M z6R|)+1)dWEp9w}N>;{K_#koYG{w>WZPEGMiEJ@A)`x<oaAxUST8CaNs0sz!V#hO-Z zxx`>;CBntkO`!-fCj+i*n2ih#wYVXBok3+%aTJ@8fvyqw_&j)h>cypu%>ad*{B-aJ zbkGh<sA&e4SWGhj&CwAwt+*gHIWsW_v;h;eS(-(P*~riUi)n_S#0xiVaCAGwxI`e4 z37YRp4KB$qN=#2hPr=5hDHvQ5;7Y;xV|0+EVAye4&WXtxAYW5G1-~5>B@{f8A?Lg) zz`RRd`Hnw^KMx8YOLB>WGJYy}@;oI8SEXi%S~eTvEt~QCdo?4MLP!SafKA9Dycvnb z3Q4J{c?!@*Gq{_<D#dJOWC+`XYsACFl?*zeu^>M)uf!;VD@;ElKR2~lKhelIB{e6p zRNvRt#o6CazobAH)Uwr0Eh$aOOw23M%`-Ai0__9U_wf($2NQZlrFq5rnYjfy@rL>! n#jrM0N^(IIyP>(Rp|J(10S>P_`M9`12QmbuCg&HW2rvQw;!-l> literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/project.wdf b/LOTO/LOTO.runs/impl_1/project.wdf new file mode 100644 index 0000000..ebecd80 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3136:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3134:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3530303032313765623564393439613638396330386532623763353062396233:506172656e742050412070726f6a656374204944:00 +eof:532513787 diff --git a/LOTO/LOTO.runs/impl_1/route_design.pb b/LOTO/LOTO.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..e366e626161ded5836e98cfb724a3c9ab6faeb4f GIT binary patch literal 16184 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@i)bP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMw2L3BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zQF5YbYND~F1sAem>lwLNxWKMLbx<E8S2trwW^Ss2MzN-qLUKW=f~|sqft7)Qm63^p zwL)r6VnK0g3PR9OK~KRqH8;PgQbEJlNz+Q9AT=>t!B)Z8(8xs3(A-SHS|L3#Gf%-* z!O+k^&%nrB!CE1$C^c1~AfvK4GdVFw!B)Y<!qNgJT$Wi>QVJF|G&VCeMRs!#aRF+i zU?k2Z3Q7m5MGBsInI)NtIhj@9^af28D8U*|gsE&?EJk{U3Pw^~5^k9l3L*Ig`8oON zl?u*?w1>^;5VRPx=CXvu7|1YO1`X553m`U_jTDT8xda1>QWd~asNkHFnwVEwfGvD| z(Zbh+%Lo>}MhX}v4AX!mrkDYzUt<L$elFh7f|SIPRE3bt+|0amY=Mfim@(2bRzT5> z8lXuA$(9C*Nrn^`Gp3{!Gp0s*=BA*c30BM)Tj-e?fDFMbW-Q>sD8-BkN;Of*CFhw} zl3J9Tnv#hKDuv+E+}y;XN(EI=6~Jt0W}?Lkt^<rLxXfx4!u^76P4&#pOcfMr6hi!h zZ4LAc3=BY=aF1YHT?0J>LvxS}gkxxCq)@{Z1*$Ym49$=$4S%#GZN_B+P12C;fL@}Z z#z9)LnL(m~u^Gj204lagDC$Xw14{$!MZFm)7~l;^Zz4(y7A_`Z1yHllJtsdYF$Wwz zIMTESTBz!C=|V!)SOHb@Fbz&($_N%NCKGT|1X^X{3r`~JOcQ9G2{X|zwFG9WLO^11 zF}C6dXPpT$43|MD(Po%xnrM+^kV1KbXP|Qd&Z5`^)~<mECvuB#_ymAIam9eCf{_T9 zP*7%pZfSvnV_u3vP%5}+#+JSUi7=9li^UX_bVay?pv8k{Noo<e1*u?w%}|P}40BK| zfl+1n={qVYfMq;_ZDAa&RfZp0;bzKZ3@O||e!z4;N?tLtG)PXfG`C0_)HMdY&wx^E zqt+NcXrW-tWe5!g1<ZsoOv508h_ubZ#bl;nB+Di3lA4oP2^x4&2+mF|SMV<=$;`#m zO(LcWVdG*k1LYfjE?$V~;I?}x*0wv&vJGScitb?=Sz$y(7C1nS6pSRf#GP~Ule3A7 zs$jINV8vwt$qHtAMhZC08K!|8iWU?$TvpJaP=KT{&_EnU`X8o&;YCD>W8q>l2lo>L z@{3CpJo0l=6x=c^u+@1)76|6h0s&@}0;np*W*!adPy;;!OJi6a3hR(ztwV8^Mj+>- zI2|=@BpMl;nWQBe4f*QR9WA5iap^!Zin#(xN*JczC$hh30q$=?#--9S^FZT@so2so z&i<waxWS&P0Mk57gOkXST1)UqZCGkiW?Cg^ydB(VPf^HAEy3C~#5p!%sesiCdIqi$ z&S7wp#zu%~=@Qc^V&!5sGyo5zx}+B57iWT|L?B5IYslhkJR2G)U>JZJtY+qEsmaEv zDYPj8=@_iUq*+j~8Y&oxafyHn#ekyx<kaF~aKPdidBT}y4Gkd$E1DU@G;oO-{RaiE z5x6H04qR|4TZCtzx|B=CwYVfRH?br&1*!~bkQO|%XljmikQRH78%Jw_)!0<m)Es$? zb`K-hEXI%wXeq7$>Nn-3E98~tCZ!e?D<l@BDkLR>=E(B%6hITA;8LwfAr<acsD{M6 z#GK0F%wjzSxBMc7M1|yh(DZgmD!5OUn4DZ%1R8yYDh5ro=clFVC=@`aTubv5ptGwG z74gN8@oDgsDzl*x%;(@iW@FSDV4U+NhDMM?i4yk1G)YDh(HaINNn>zt8I&Y-!NH>7 zT9%quq5#deII?{hT64;l%No+0GBgH<Fb<<og4)p3z|zbh&6q}GGjuFk9k}GcL06>U z3{B%H3c;nxpt3WqG$*GLlvf#zkml5*K$#A8V#F0KM0L2dT=P;utp<?MAR4qRV3-D= z2bWk-Dk$TF{0Ut|16iEID#c`B3||s}u|_6})zDnm0yMn^U;a_Y<*r(+;F*_}U#tUK z)DWIn1X`~G;W!s%mVhVaVWQx|NVOQc3Pd3_FFC&yG&z=<q6b=n!DM8Cw06UYg^S6; z9JC<906|2tnCP0I%((k-NvRgYi@OwsVw9x7BE@8cvI+%#xe>@blqE6G7`cj6i_sS? zs1~ElBdQkTXrY1CLFW#^Z1ibEgdk%05wvoGM~d0R0%ffbxTJ@!in3AwtxtlkoG?dX z8-duyhLC0<XvK;lWWv&jjf=&^(!kt^or~4b*v!lfyr#(<y!wZyf(Np`Xa*yfxNAjf zGI)&(C<sBzv=m@Tfz{N^*w{devmhri&oLu4F~um1D+F3gq!cA9=%!`nq$=d(m*j(% z<ivxWo1#}#P@<q)kc1&#kffknR8WB-UQ|#K#bIcqYh+?!gt8#0osrPWF<LnXa|N0m zm!xNaf^%X?VorX#LT0f-X#r?~5@_yQPk>d5#n8yuNQ*r=zbG|5H7|<Y&{EgX!Wd=M z5#<Z5+L*YMLCu7s)Z)^dl48&zBGBR}Py-<|PXQbks>P7{+SI}fIUns}<ciYI$j?nJ z)=xAtPD#y4EY<gQb#eCh(=RE|1x284YDsBIW@286Zk~~GQhsT2hQ5z~h(DOnD=N(^ z*3Zl>$cZ=92PsC2Z2?9p8k%mw7{zL&YiNd&G`vX5@;qEzh-4duk>QV#m<yU2xf&Ru z2Ii)gWaOtnmhT|t2P9#%&_d)59BNSV2@W+l^UPL)d1i1p9y5oza;duH=cOtXr<Q<w zmk}<`u%<B5l00zoW->O_VuLhOqgahCbd5pFy5JR(JC_P<MWZiL<Up4tqO5E*M_$>; zW@M~uXpB;~tz+UcLd$VMkfn&oCS%KhW(FvA&Lu{!G-_r*oXMg@fKiH0Hd!!6u^H(a zni?4(cV>b}%b6lvLYNr|Gl%{oF^BGE<k|ttp^$_E>(40Y<|bCe7bKQs6e}1SDCk0M zFU^A|veXphiVama);bQm5|nI=T?wc*)Gbde%8Sp>i!aN}&w)${W3LUVmOm1>#39SB zAZxY)5{nXZi>;tF2a}~CENdC5bEzr>7o?`9D5Mu9rleXa=o;!kr#V6@3sS8Vax?Sd za}z7TdC(HnB!lO{EG}m}OJgCSp->80I)-;`EVH30Jg<Y=fF@CF#umDUsJ2aE<kEy? zc}RT1nli--N~*<53YmEd1&Kw8IXS60pxPSTt}#Jw*En$5K{UoEl@`YrXQ!6yz`Dbk zdC5hPMdCT}MX9C5sc;!^dndlQB(bEl7#3&7DAiOXmo}Qa(o^$bGyiA>61e>fc9}7< z%b2+sjp2ra!Wh1$sfENm;l$+t^&^ItkP|$HBvP7(IRv$xmd@qmi3lVG&?07q%wmO< z%wq6rXgvkLd<7&^6~LjW0O~P-notlofue=k#0Ys`KopyauAzY$sA&#QS3M;9*^A2q zVm`zZ(8xmeE^;KIHeFF<3X-t<eLsl~s^zMNItZ4~6tI*4NDT>?GKdGzTG!~3C}j@1 zB+fR&@GB5jkQggzTq)34DabEREkaHoU;(Uw50QZmlfhJ=!~jGEI30l^4o5d<I>9Cz zy_|>HDDy__KM6}sF3B&_%>fNiJ0_Q8mSvVyf<~<h64SxemYyEC1Y<Ha)M5pDJc`xW zSl1M#$O_;x=5mAW6M>bCgbW6a3oybBWid9^MKQCUhT{*Q@j3&V3_yTek@1jl!sw5X zU$Q5IR&~UemSn<qC?F?g3`tm`Eh;F%Ub-!0<jQhO%mi&|Dai*-GNyn>p#6&ylXFrP zN<h8;^rHOI0?3}9yu@6{UMoF?kc`Y?P#sW|T3DJ{1l<t>St?SLnx2`TR}3Bq3WILW zNH5Av(c=<elVY~CG}B^(Y}+yt;u7!(4vKg35A}0#42p~o@ehb%H!{?<GzK?0*(%r| zgBY${N(e`0!Zv86DxjDSGThu4ZaCN=BSUl04jZ^Z9$aeSpaCn;7BldAc7>G0l0;A? zm6VwWTC1H{l$?=SmI|({jLghnEhTW9#KZ!n9sF@n3?fN!Nq~Hmst}x!Sdi-C1RpR( z9!@eaFyiInHUMwRF#xSB2ahNj8Jg*tSXh9DkHAYEz~f047O?Ro6RhJ&uLp&%1-bac zi}FiS6#{Y+lT%%stQ1f^Xo1Q#9_s!T=Mshbw=}0XHN_{fBsl}@YtV)}XtaX-2#aD6 z+nB8QwdE26r6<s^afFMjn*ykA%gjp$m;B5|hK5?)kUgBB5~w(e&B#F4$PlHp_To~; zW`IIYemZ!Z7&KQ8HO;^hi)jX+F*SG-7?)|q1*yrIi8<hzf2e7O23Slp1Z7#cX&(kf z;uYf(fkY-~9wIfkB)=#zJrz9#8>6OR$QUqLF*-PMEIbAKrIzGm7MCbECnjfrd`(6Q zHUkw|hQ?;tOQ^SlE0SFxJCtGG#Vm)3$+M;~ADdzu<NrJ;d@RW&4$Anc3IQ3F#VJY1 z6%n$h4J}bpwxKD$vI@VyS2J=cfCleWiy?c$GZKpxl2TLi6rg=@@RSp)6tkI;p%!dY zI1d+BGH9o3L4IaliBSYs7&Qm&K!s&$ie5@`K@_{8xvrtH1*m9*_ig#OxLm<|4U+SV HQUn+Q@;8T- literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/rundef.js b/LOTO/LOTO.runs/impl_1/rundef.js new file mode 100644 index 0000000..8476515 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/LOTO/LOTO.runs/impl_1/runme.bat b/LOTO/LOTO.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/LOTO/LOTO.runs/impl_1/runme.log b/LOTO/LOTO.runs/impl_1/runme.log new file mode 100644 index 0000000..54f27d6 --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/runme.log @@ -0,0 +1,730 @@ + +*** Running vivado + with args -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 12 11:55:27 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 1574.262 ; gain = 143.809 ; free physical = 6423 ; free virtual = 15130 +Command: link_design -top loto -part xc7a100tcsg324-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1933.391 ; gain = 0.000 ; free physical = 6041 ; free virtual = 14748 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2141.883 ; gain = 0.000 ; free physical = 5931 ; free virtual = 14641 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2141.883 ; gain = 567.621 ; free physical = 5931 ; free virtual = 14641 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.72 . Memory (MB): peak = 2153.461 ; gain = 11.578 ; free physical = 5901 ; free virtual = 14611 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 214b59dec + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2653.289 ; gain = 499.828 ; free physical = 5453 ; free virtual = 14194 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 1 Initialization | Checksum: 214b59dec + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 2 Timer Update And Timing Data Collection | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Retarget | Checksum: 214b59dec +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 214b59dec + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Constant propagation | Checksum: 214b59dec +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Sweep | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +BUFG optimization | Checksum: 27dfe16e6 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Shift Register Optimization | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 27dfe16e6 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Post Processing Netlist | Checksum: 27dfe16e6 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Phase 9 Finalization | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +Ending Netlist Obfuscation Task | Checksum: 1fa48d7c2 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2966.125 ; gain = 0.000 ; free physical = 5130 ; free virtual = 13872 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2966.125 ; gain = 824.242 ; free physical = 5130 ; free virtual = 13872 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +Command: report_drc -file loto_drc_opted.rpt -pb loto_drc_opted.pb -rpx loto_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5126 ; free virtual = 13869 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +Write Physdb Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5125 ; free virtual = 13868 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1d3c97407 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5119 ; free virtual = 13863 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1637776cc + +Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.38 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13857 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.45 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 +Phase 1 Placer Initialization | Checksum: 229232f06 + +Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.46 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5110 ; free virtual = 13858 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1951284e8 + +Time (s): cpu = 00:00:00.72 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 19dd6cbc3 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 19dd6cbc3 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1f606059d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5109 ; free virtual = 13858 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1f606059d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 +Phase 2.4 Global Placement Core | Checksum: 246383a97 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 +Phase 2 Global Placement | Checksum: 246383a97 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 246819dcf + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1fb19f5f2 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1a2ad0221 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 22f8f661d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5098 ; free virtual = 13850 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1c7afcdaf + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 192e0fece + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1c40322ca + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 3 Detail Placement | Checksum: 1c40322ca + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 246144c51 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=5.911 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 17b4bc2a7 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1cc767b81 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 4.1.1.1 BUFG Insertion | Checksum: 246144c51 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=5.911. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 +Phase 4.1 Post Commit Optimization | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5094 ; free virtual = 13846 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Phase 4.3 Placer Reporting | Checksum: 21ac414ec + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f16fc791 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +Ending Placer Task | Checksum: 17ca2ca6b + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5090 ; free virtual = 13845 +62 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file loto_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_placed.rpt -pb loto_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file loto_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5087 ; free virtual = 13841 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +Write Physdb Complete: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5086 ; free virtual = 13841 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_placed.dcp' has been generated. +Command: phys_opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' + +Starting Initial Update Timing Task + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5062 ; free virtual = 13817 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 5.911 | TNS= 0.000 | +INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. +INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. +INFO: [Common 17-83] Releasing license: Implementation +73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +phys_opt_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5062 ; free virtual = 13817 +Wrote PlaceDB: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +Write Physdb Complete: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3014.148 ; gain = 0.000 ; free physical = 5060 ; free virtual = 13814 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_physopt.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 3f758dcf ConstDB: 0 ShapeSum: 8b5add06 RouteDB: b1d25f96 +Post Restoration Checksum: NetGraph: cc74fed7 | NumContArr: 7897af87 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 2ca5ea398 + +Time (s): cpu = 00:00:24 ; elapsed = 00:00:21 . Memory (MB): peak = 3124.176 ; gain = 110.027 ; free physical = 4898 ; free virtual = 13655 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2b0c90ab1 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4885 ; free virtual = 13643 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.765 | TNS=0.000 | WHS=-0.017 | THS=-0.162 | + + +Router Utilization Summary + Global Vertical Routing Utilization = 4.35218e-05 % + Global Horizontal Routing Utilization = 0.000213129 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 165 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 163 + Number of Partially Routed Nets = 2 + Number of Node Overlaps = 0 + +Phase 2 Router Initialization | Checksum: 2fc60a036 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 2fc60a036 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 1e5a8b0d8 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 +Phase 4 Initial Routing | Checksum: 1e5a8b0d8 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4908 ; free virtual = 13666 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 12 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.767 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 5 Rip-up And Reroute | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 6 Delay and Skew Optimization | Checksum: 290cf978f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=5.767 | TNS=0.000 | WHS=0.093 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Phase 7 Post Hold Fix | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0203682 % + Global Horizontal Routing Utilization = 0.0458227 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:21 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 2a2364fa2 + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=5.767 | TNS=0.000 | WHS=0.093 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 267fec3ed + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +Total Elapsed time in route_design: 21.52 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 150960f3f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 150960f3f + +Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 3152.754 ; gain = 138.605 ; free physical = 4907 ; free virtual = 13666 +INFO: [Vivado 12-24828] Executing command : report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +Command: report_drc -file loto_drc_routed.rpt -pb loto_drc_routed.pb -rpx loto_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +Command: report_methodology -file loto_methodology_drc_routed.rpt -pb loto_methodology_drc_routed.pb -rpx loto_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -report_unconstrained -file loto_timing_summary_routed.rpt -pb loto_timing_summary_routed.pb -rpx loto_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file loto_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file loto_route_status.rpt -pb loto_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file loto_bus_skew_routed.rpt -pb loto_bus_skew_routed.pb -rpx loto_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Command: report_power -file loto_power_routed.rpt -pb loto_power_summary_routed.pb -rpx loto_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file loto_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote PlaceDB: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4887 ; free virtual = 13646 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4886 ; free virtual = 13646 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4885 ; free virtual = 13645 +Write Physdb Complete: Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3216.488 ; gain = 0.000 ; free physical = 4885 ; free virtual = 13645 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto_routed.dcp' has been generated. +Command: write_bitstream -force loto.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./loto.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 3497.434 ; gain = 280.945 ; free physical = 4541 ; free virtual = 13311 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:56:49 2025... diff --git a/LOTO/LOTO.runs/impl_1/runme.sh b/LOTO/LOTO.runs/impl_1/runme.sh new file mode 100755 index 0000000..ec23d7b --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin +else + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log loto.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace + + diff --git a/LOTO/LOTO.runs/impl_1/vivado.jou b/LOTO/LOTO.runs/impl_1/vivado.jou new file mode 100644 index 0000000..10b5daf --- /dev/null +++ b/LOTO/LOTO.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:55:27 2025 +# Process ID: 55837 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1 +# Command line: vivado -log loto.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source loto.tcl -notrace +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.vdi +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3394.958 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :16413 MB +#----------------------------------------------------------- +source loto.tcl -notrace diff --git a/LOTO/LOTO.runs/impl_1/vivado.pb b/LOTO/LOTO.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..442b69f05467d821626a77aa02466a7c7323ed6d GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!sGPfby9OHEQRG*U1$v@$ibGO<)JGB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$?9UnA literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/impl_1/write_bitstream.pb b/LOTO/LOTO.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..8c1a56954742625e7f7e4c1fdfab2c028193ad9b GIT binary patch literal 2776 zcmd-I;^J}6&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQsj~^FUl-QjZexfDK05W zP0Ur$P0KGzPF2XsFUi+S$}EXuHPJORG|pfsV5wl4z{nuL#MQva<=|LSlA2pkl9`vT zP?E2Zo?4=isF0JHoSIjhs*sjnq>z@HSW;S)s-Uh~tgeulm!h9vq>z$YmYEC@0y%}* z*uX-IEjd3oH$TrvfQ!#Fw;(4qH#M&$u_QA;&xnhQqaxWn(a^x4B#O<@T-Vsd9N7^C zTn6s>C8XKOD#dJUXh4#kmKh8MtQD*fkEe0z1eNCHfjsRJ<gAcbtdOWsP?VaSpO=ye zax*9>K>kV0OMwPAi=nZJr50yFPGX*8MrvY;ksud8T7XBf8ye|?lp*`hj!V)rK*2e& zBrzvHT_Lkrp|k*GcuHbPs-6I=6pNvev5^*ga(+>2dTL%2yP>77p@lJuTkW`Hklk9I zS(2fkTC7l#QIwjPQp_d5D#c`EqQ#t2lx)Pz#b^@6YGkZy1PWoeZ+EhBHL>|-78is3 z>+I(46c!AMNd;$rKQ~YJ_%MH;5Jz`c1((#~%=A2kfTH|@)S{Bi)M6_Iztqf<jMO59 zl8jUZsK&hfB6N*q`8g$t>8T0@5S^7EZx*MPC}ie=H71u96{Y5t#HWDG*Hch%&d*EB zOfM}0Cl7_B#Jp?;m=VRPIjPAd3K|g0G!=47i%S%eQWZePmE<dgIXn9+<QFNp`?=^~ z^8%W3kh7EXi;6%-CZkviwND4+#{8lbkQeh6Qc_D&i*hsbQo+vl)b~d?tF)jXzo-P9 zAwY%}Wabrvw1VAkpr@eVSpxQSX?l8UaY<?lD2j^<Qj;^&DnVuyrz$`LvJ@N#AS2XW zQ!+~wTp&3K5$5U&X{C9|;4}ygKKD>hke5?3LEgxz1X&BxAK~Jxkd~Q~s(>&uEk7qG zzZ?|F#g%y_i4|5{T%dr9hsO&fR29k+b4pVU6{1liCYDP<xjZAaC>183SqyVEQm|tf ziJFGMMjH_@+6WX@AU{B30hDmcGE*SIh8j<h0OsNfN=<{r5l9=f_(4@!TAW&>kY1XZ z0x7m~^NUgyGV{{%i*muqOi#5~fK7|pCCJ%GjEg})PD;Qf$hjsUGfyEPClOo{STII$ zKmthDFoU6ht%40wR)lbgg35@r%)HFvjMNkbXa)pjJQhPs15@NeL5xepK*6=BD8Hy! zN5N1bJh2E=#uS4~24h1@lzg4Z<rI*Unpm8wP=xF`kX=Qo;MAr8q2p7Ek~P6e55rS1 zN0=cw0%DnwfdO)X7tW;#D@4#rEtr2`1&SWTOmkBMEpAATEiK8+DTb6S>_!H<Mn>jF z$VS_9iTUIwrht-NN@7VOI8hes>FI%L1{Omjb3+t!z^*eiG&4mlBt>CH6la#CDuB#E zGQk+D37{elUXvj?qA0%<(+DH1MwlTx!k$YkpeR2%6;e#*7l860!XL(F*o-hWG(k4P zhD+GFC^ZpWnI>hH<R%s%d|_gV%?T!;qz3neIhPo+en>qIHG<JxixXbAN3oa~=%NIG zCznKcQ6|i0h)xAnnEROw4Uu#k@o;hJ=_9oZ40H`q+~LM0@03}Ro|>oNoDZtYK_yyn zX)-8m(n@o3Dxqn_%m5?Z8Csa2Bn}TQv7l5?DhDMGXtM&+f?<_nvM|P7gGaF%n(JDC zS}X8ste(qVwOGM3FD<`V2UG*Xau=B64Dx((Vh%jdfvX4AVrXtuNX<*mFU>1SElN!R zr%Waz3*_b#D;Kk&p#><B8-oY~FcHOKqKg_q{#;V3#R|#rKq*EF6i|9+GO|QA6-yfd zWF#nr;Bo$vk*i3xI3zPSRY9Xz(@G(^pj5#Y<UMPJ)SSeE;?xufS5Lt=H8;PgQbEJl zNz+Q9AT==?B4MqNo|u^jW2Y6RrYaO<R2FA~0t0FgSg<U!sH8M82dt1wfJchi!~)TR z66O*_D;KO3Kn*to11kdqD?>vhwh@SJY+`AyXJTw(#L2~OWMQCZX<}-`#>HY{YGP=_ n&c$kIY;0%<ZtR&GfqctT!2>Bw`M9`T^HLOoQj_zGQUn+Q`XMJL literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/synth_1/.Vivado_Synthesis.queue.rst b/LOTO/LOTO.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc b/LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc new file mode 100644 index 0000000..21d52f8 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc @@ -0,0 +1,43 @@ +set_property SRC_FILE_INFO {cfile:/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc rfile:../../../../src/Nexys4_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN E16 [get_ports I_button] +set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN P4 [get_ports I_block] +set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN E3 [get_ports I_clk_100m] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V10 [get_ports I_rst] +set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN K5 [get_ports O_red] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN H6 [get_ports O_green] +set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L3 [get_ports {O_7segmentDisplay[0]}] +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N1 [get_ports {O_7segmentDisplay[1]}] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L5 [get_ports {O_7segmentDisplay[2]}] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L4 [get_ports {O_7segmentDisplay[3]}] +set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN K3 [get_ports {O_7segmentDisplay[4]}] +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M2 [get_ports {O_7segmentDisplay[5]}] +set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L6 [get_ports {O_7segmentDisplay[6]}] +set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N6 [get_ports {O_7segmentSelect[0]}] +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M6 [get_ports {O_7segmentSelect[1]}] +set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M3 [get_ports {O_7segmentSelect[2]}] +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N5 [get_ports {O_7segmentSelect[3]}] +set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N2 [get_ports {O_7segmentSelect[4]}] +set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N4 [get_ports {O_7segmentSelect[5]}] +set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L1 [get_ports {O_7segmentSelect[6]}] +set_property src_info {type:XDC file:1 line:73 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN M1 [get_ports {O_7segmentSelect[7]}] diff --git a/LOTO/LOTO.runs/synth_1/.vivado.begin.rst b/LOTO/LOTO.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..05d0a0c --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="a23delau" Host="fl-tp-br-664" Pid="55085" HostCore="4" HostMemory="16258244"> + </Process> +</ProcessHandle> diff --git a/LOTO/LOTO.runs/synth_1/.vivado.end.rst b/LOTO/LOTO.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/synth_1/ISEWrap.js b/LOTO/LOTO.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/LOTO/LOTO.runs/synth_1/ISEWrap.sh b/LOTO/LOTO.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/LOTO/LOTO.runs/synth_1/__synthesis_is_complete__ b/LOTO/LOTO.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.runs/synth_1/gen_run.xml b/LOTO/LOTO.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..82e38d3 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/gen_run.xml @@ -0,0 +1,143 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1739357658"> + <File Type="VDS-TIMINGSUMMARY" Name="loto_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="loto.dcp"/> + <File Type="RDS-UTIL-PB" Name="loto_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="loto_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="loto_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="loto.tcl"/> + <File Type="REPORTS-TCL" Name="loto_reports.tcl"/> + <File Type="RDS-RDS" Name="loto.vds"/> + <File Type="RDS-PROPCONSTRS" Name="loto_drc_synth.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> +</GenRun> diff --git a/LOTO/LOTO.runs/synth_1/htr.txt b/LOTO/LOTO.runs/synth_1/htr.txt new file mode 100644 index 0000000..9c114a1 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl diff --git a/LOTO/LOTO.runs/synth_1/loto.dcp b/LOTO/LOTO.runs/synth_1/loto.dcp new file mode 100644 index 0000000000000000000000000000000000000000..07016f8c06b66885b61d51319cd61ae17d47b878 GIT binary patch literal 42879 zcmWIWW@Zs#U|`^2copXpwP>=V{24|Dh7&9d4D1Y?3@OP4dKI}jp&_gc%#w9$()UjB z?qB2}(t7>z`V|{yMVjztc;61R-V<VF!^2-1wxlI#jpPyzk)D6Q4{r1ER-e0J)?3@> z)}`;)dilotALlVyvBuzJ`kWKocV5>RT;<dF7c4L*c*D-jNk<r^pD&qH$Yd^GGkev- z%$E~|Z>@UB$S!xWr&{RA#}uPya{9lNwyb$^_ee-R_Z6vh&IB`uq7AN`8y-q}2no!O zZ+k4B$dqHtFiD|zoniL*tIoO!lG1k$v@|?h^jYbLot*6(!@mdDY*=!pyen0Mi>Y^Y zymRIkg-z20mXvnZlx(gQQPOP=ZGF7@qG8#=O9hL1AO7q*dgf3lx5q&)$%Rj%E*G+X zmki4C@(EvidCT3X=|$PEmPwt|w7Sce-o^WPQp5^J$<>?F_FBa+l9@VToAoOV?b^AH zvnGAI;T!dUr}05d%(s+^Wl!~#?Kwi<t-HHo(bswTw^ploHrzLU`Y`2(%IQnF?&XTw zcYkR;oRIR@=~~zF8`ig9rHE{3ao<;4{a^oZyk_=eyN30A8yx;Vn0r~#ztaB9g|n{g zE}pmgO=Qo$o?y{`x^BgCZP`se3HBe(md|}5EW6d@|86B)_It1HR{sAs_xk^rEUVwW zol{)(Luj5|-JihE2Or3z#ynrpm789S3=Ek}3=G^1oD4bnCHe8iC8bGvW$@Uqo#5zw z*g>H6{ZG;2kQKR6OG2x)zFlgLSk<ARnp3v?<fXHIH|Ab>c7ONmFb{z}D%SbWtKX|m z=CldEYZW_%=koFPpLccUo_!E3oqJySMucVCRKpG3+;U|vF3t_;o$M*!<HPbkajD-S zuaFOmmMTX)_VoVpU($8?_n_(ntDcEz1@$`qxEAB&+vm8@<Phhzl!prrPZjBP=ij$A zW)Z)F@XQ}9x%@929P(Ohocz))CN!Vjmc83l!^TP1Mwz83VNLD<)|v&E+qgblvFMz> z<AK7IR~>m`axJ}+`j!}6e_B|So7eF&ZSqrI<C`BIM&^0QJ$9UxZ037eYu?=Ff+`H_ zZyrhxT=;bBx2=M2SE%n@=y)=)aK)q51s&hYBrS4Zw=J#|Hx5XOiT~02He1oI?@Ga( z{m=Yg{_~&w?@-c)f|pl!zvtq6w(g~o<7&PAsy`V~!@f-};ISto1H)n_1_nOVu+K<A zWWL%7j@gHx!N2B0i;GKiu@J9n!p0R-?oB(JCMACT?uOkPpWQE4$_U-Ez486t%6{=S z1Jly%)U6*U?Agb2xbDvT!_z~8<mV|~S8D1E+VF;jZ`z9s?>6={eYkX^(&{mT+S7U2 zjjDUR6M4@R=(FznFQ*w9kr#bpvyON3v4<bK!d^?x*1z{j(|giGg;{5wX9_I%c4_;9 z9&?3Qh7XBr+SM0uE2O(V-h0u2ZRNu^{{8`y8*2}=+}fnlSI)no^q*ejhKYx>+}EaE zy4tmUiBa$W$s5n-?26M_`B1>?^Wv3dJbR-*bBT88J!~v5Ii8*QNcu2msMtp7hg?Fh zW!ZK5Utc`A_J)W5!Cu#beVPYq=1+fmFWR$MDAUyU_|a*i&m7{plSPW=#(A8Zadl71 z);EV_9b;oxt&<PDW?0M<Zo7mzU)racNqgn)U6~)aQA1z5tjAH8k%2*j5h?Tw5{nXZ zQHnlB4aV#LB62Fi3@u!p6hOc*Ee%8|7#bSfKUR4Bz&7j4%u`t;BGW&xw>%1qy#7Y; z)`@Gf%iBv#PI7<gn{4NIwg2C`wNoaZc=$gmdDRo!YsO-dT`h0#*YNQfU2UIZyFI$6 z;mr9M(RZI_UJpw78psp7cyrh{t1!E@o7@kot?qbuAX~!g_SY=}pI1GU6X}=svJ>AT zzg)_Gjo+lM=TZ!xngW}?F1i2Uk(%IJj@8F47X){zZ)!MxZnX;6Der_8g^#!FEvaE) zju(9JIC1)0;Q*88*2_yJ*Xp)8R|L*hxRo#2;c(h?nWToWM3<20=cq$dpC+4}75(>m z=Jn$EKg--^-kEpezyv{yiX}&5zfN_WEI22iIKc92@D1J%i)2b)<}`i%ygtkz<52h_ z4bh{hA^godBwI<0fnlWs0|OT{ed`rxBo?H?i<Y_3+$FP*PW`6u{prQ_oc{WoC(j=4 z{ABhd^`zgU4<1UVi*%Brk4^flFhgEl@ZizP1nYopyQ3#>&<%+4sBB9Y<I)tF_O30x zGRLoSZtlaJ(~XzKBK)S6HO6wy`NnWF_hsVqb93WA{n@Cz(=y@w-)HCcmZ!ZtQ*(Wj z{#p&qpI<7o&pvBB*myUdzdTi+f4Tm<`?Gnsf9wB}|62Z>9_R7zZ}ui-Bs@Q={4m3L ziKdLS43BrAh0PS%b9}MW?rvPOZp)G-DUCn&r>yj^>6BS<yv+0DtMci=%HJMXWo?nJ zD|<Bgx!;uYDe;#7c~-A7oz{7Ct?kL)PQBf#8}~I$jcA+~v3&2}NB<h{&XEk;5Z?9o z@a^B_DcUoRb8T6+d*$w~sZmc)hIG&M*{Wuh6s<M$y3tadqgu~`@4j^L&sK^(`X{Wi z>sFG7cgNJoP|KP7+9fux3bF2I{Z#d;x?`qO{~fC;G41VICrjnHxK0GV_E_tGY;|O7 z=)(+8l_Of8w@s<-4vm~@C6ZL*v*Swo#;k?UT9zqzJ6zhZIP|PjSZfo<%yU_5G(SdI z$z}U)S@rZ(>D9iMMNZT2JLPn7Y_V2z+wx`G)@9ezZ<({-4C(gFsdeK>F#LGekF#NO zW>~=2E%R@8A1KjYaVu!?tg`~%3m1utO<u`mcA4wJG|!c@u6EXmHn~{LR?W#ekfUu8 zws=yjuCe*wX<BCN#SUkct_Gca*7eTtk<C=YqL!o29+z#s@qFuYtEi=78(715zpCB7 zb*!Z2#-W;J9M|XCesmFyc9C43(tY*0k&~lh*{rC;UuS+6x)@?3{F-Cq<+ZE!Xk1^` zq<d_t!_jwNR!MC;^5~~U^x~D%bngb=4ANebD)cb;hSBLuQ*Pg4vFX*_o^|)trgW|B z4NgC2-sFortrWJk&--&=Vw`b8_tK3Ki)Um{pRw#rU&XTJ$z0i+)`VSLemFFzHD;;b z>|d*Hcxf)5dgi9q#*=>atIQ8aZf5atWMJHO>l5dK?YUakhq)4!lgp1?n(5NN$*^^9 zW@O89>m+Gmu61eYojpM(Z#Q&Co;`O?S<vZPgy^H)y@!{^nMSWxo+uFAZhbB9`0eD_ z*iOFaH^Ci8KRpj-&DuEaPU0C~H9hs@?JCAE`%-KUMy?huVevU7HElx$U%+9l$k`ru zQm(s6x-6Tu_PV+fU*&E)!A2FsH@eB{MSXo8ojC_Ob0n=NX0AEBO1Asy7R%RLn%=Jc z`8f3CE*;~&s&{9;Iy7;rPU_6JFC$jJn381Pwbszx=DMKadgnFlvE{dHJGWIFXIm+h zl*zleTBZ4V+ljV&GkWw-R2`k#{8RT4*QQ>TgbATJlTWCKu8nBY+ICY*TsNKRX-;?O zG@qNZci%pmTsSZF+m@%vce|48u6>pjOg4y||5Uu~$<orseV=l#p1Bqtry%~wYI|?& z&pKH_?ft&>e}!V#GH$#3sq{<Qty@ev?K!2TMbox6ZAx8kY<qIYj4Q5Tl{VKdE1PTF z6iu+!z5gu4zs9>EHs_~RwfS~a_8Saa!&q-zU$J#pPi)fEWz|-vZY~HFd;j9-<wH01 zGG*r9nAR7f6MU>Jdg-G^-c7b*!Wk(~pLtlF`1Xut<FDyfP9a}-ES)9`w8<`v<WLUE z=<#CyUDRYyFjIL!<7rt&-kQ6Dvo5RcKJ>|Zq49}-ZVwNYO{jI|(w@EK;r_Fnhd$i5 zbPD15Uu{{F8k}zVds(R4*=Js6SsqP-oo3x1r@lF5xah{$zbbCw5B6x^Y&2Z-VsF<G zQNcMOtiRcve|TTK!Szjd(h|M&`(|s3tPafGSkYf`W1X3SzvrsN>m09~S2|?s&U*SL z>hPz_iJNYe_tf}peZ19VZfttT@94RE<qB0*-uUePv2UO3jdI>XZmax2cddPl@n1tu zi+r;^yh_bH`9b8Hs>8F?u1r4k`Q&1zH*AMLedAa#OICzsjjPYiFwW#n@1>`$ciqsu zPGI-N4O+=Bbz?uyJ5rUD!dNz0;+Cwxn%2#;TOyLoXI-AXY-2RfYPG9#H%^W{l<Za^ zlqtUP_AQIup*o^(t5Q<4{fl*XpWRTQGjqdk>o<PZ+bm~_X~&%AdwOw8b5YrD2_2oA zT^p}#vgWDL{L0F;$(ncdn(f)n;aS1c*?IV_@1Ezo_xnv}5&vhmn;Wy;?|=H3`A4hS zKIOr6d+9%F&GpUpX&;o?);~TkRl~dffw|Nl&gS{7s&!>w4?Zv4(7sV9j!E^QP?p3) zq4h5{CBJvKPvr>TUh`F2e*R&5!LlFq(lyrg7apwr<5RM=d4Ix(!=LtV=l$|?li{(@ zm5)yqNFCbQKIgZO<6+*PqPN=|b6Yu%`@Xr+`=Ubs|ICAv|7bSf&;KxA>Q8BN_0PR* z{|`K{H~8Vrw*Tyd?4RKhhb68T$8P@85^7+_{?Rh<r-4?MhwR}%Gnv#J4+xU&U;L(U z%0|VhU{vZQ`!vX1QaT8Nj=$EZmW8NG&GC?(zxYitLg}BrP4@$jwHe-@<DGhb<v&i< z#V$G5H1~^SpX8nUXT65%M4i(&UYJ%W)a89JtLr}K{D<k@x!FIu+0^`)>(4&0z0==W zE$H7neW8|SN}H5x+6s%Qb>E&jpI@k0XyNW2Z~gA`W@q=-)XBZ`D&ADu%jr*+{rBTz ze5K*qKWm~?oL4TtC*Zt%*5ms<a(Pz@DxbLsaKG}F?s+z;bY7X@=ND6FHP8QhyY|$< zkGsF^UnvtYxnx5?@rL-~4;QTSr)aUyf0iaNYe}=~tY+p}&H1(8dS)Hm_}u<SZ&84; zC;Qh~&8tn=_uId-u=rDy$F_BHNx`gV4=z-H|6R=2SL?*L=g{?y=JUUCv&U_UNfQ!P z@~(({Y#Ncz;T@Oy^4rE~Zutw2x_O%?eZDfy%f!1pV_Mu%hZC3H*L`(S^p-n&DR$OU z{W?Wsp9rh6C)WAmo1R?Pxx04lW#;4aN_F=BzW8{D;POpRuIk)feDq5~-Q0ISzuw-* zG|kJ@yM617b=8+<-hJ`^&!>G()z2^M{9Qbcb-jE^+IM+*ySNiUGt*wioxK$QuV8ZW zOaE|ros`bZY40vyd-+?w_DS%xbyfe@I=vRTp!3(E`{mSdyMSqK>-T@2&-Hfh%E(>u z-7jBwzqc~H+j}-RTI;%w?Sjav2Yq+C{x8|EbV1t7j<lEC_AH%VuxR<VkcE-I7H;#4 zzfjxr`iqK`?bfAUXD>BJ`SAa@DsQs4|G2+3Y1Jhi+a*W899wK|JuObFM!ewcrG69d z_<fJ&Jh-SoZ`aNXZ&sOl^Jj0aX5^P%`@{6S$d;7MX>u2J?iT&oF?ptI1Xt!XxvOd4 z=j-o(9cn21LwW5EgI~}7cQ!wsc$R--q}L01xsP1WRQ<*566|jN5lVkLolAak-b|PL z>i>^}&Z+$Rc5!i>+=Lh070$DTY(E7Xu8A?*-+Qbn=%H!IiV0`UH$-aA{<L$=q+RuY zLKeg<n!j*+R%cvm`C`@C?-hS@c(!ie5UD)7e6GOZtfhw<|NmOs??3VE^6%XzmYsTL zz2mgP?D#*CJhRo>ZF>$cJN@kag&RC83uLQiYtAlD+U#d$@75X_^!@qyn72<itNv14 zlz7voYgh4{xvLD0|BGq(#+|wU#(JrZ@u@ri{@S=L{%3pbms2v|4JTwyz8P%yW|9^c z`_`}X+Ws8=cRnhswrRE3o%H&1jp>v4mSyn$bDe!Ky)x@l$T`QCDzZLTWn`|VeMqj6 z?To3N_t@^9rxnB5gUPd+-#>ftaO3(Sz7KnR+G2b!pSb#XR`dPml3xz@?^!7GL;YpK zu4fN8&mNTBDJk>MJC7}Oa*2U+@rNbP9<bR&ZgFuhGESIWVh~*XVahaD_M+J~zn0(O zVVYbLu-vjD%AS3viS54>J>RpQRx_4b{^)$>5T~)l<^7Yi06S0i&8F<<GrUV)yMHn~ zD*0r6*8>&V7E|`~S;jx!F7&^uCSPyzd_mGAzGoSH^DfKCoVlL(yErn$(v!W|l>K}* zAKz1%9=TIz7i3N1dzQ)fFZ6cv_fL@}<#}v1llY!Jm*u!~{$1_Io5D|*zLB~(<?7`2 zMDEpEH+4^Ih^xIeJ+z@u*;ePy9f@lJEs43V5@Nx5JgWr{ZSYd$IV~iv_FDeX20bMn zZbkDpQ_jSQ)e~%W{O(AErL`m;bxYppQk)zyQ=m<hJ27I>4#PFp9EYn~40Ie~W~|ZT zIGok|Wy79=<OpMdwyi9^M;{&RO>}jT2;(vl^JW%T`)QXL!9GDws9s#{rcL6BPoDgl zd!?P%`AHO2NOdo{+p8$7&Le4+=y5W3#*>>ZivQJYRqp8dY|1z^A$GFdrA+fSnVAMp z&Y6g5uH&A}Gs7U|Sj*F|MKhjkZ&7@&%G0@ydvee9Ud6Aa$tN1`ew)Cv+c4$myNw?G zcdJfJzFXxn<8IZ7Id`i(7T%R8%KRRoay5_V=2mIvS#P(s*W6y)e&qJrcAh)EilXKH zu72;1O_;O$xRQ5yvd8ti5}(S<+gR?sWuCc5`mp#7Td|#crJX0eKQ`g*?zf6>%U>)0 zFHer(*l}EGy*kfNyO$4FuT69+c&!kt&Xc+4h)Vu-1OCrDN>sSjd2a4Jq9S|UfIqnK zS&7O{H6Bm%n@-c#Cdw^4UH9lri{kWUg&y-v&m5lec23`tLoJG~%Pb}eXU}NgS*mha zm1m}Yuk)(aiE?4jE=@c#)j(y7h`Lb(?_vJp7^@TRoX+#SwoRCkHKU#Nj@8d{$(PHI zsNBC|z;7IDHOW?}ZOx=42dR~ba$)bTIR<%1Omh7+{k(B&WyFQ0$~)x}PcUZ6OtRzs zyn$<a-;#nB#jT4APdqg_b66|ZYSK)>wjSR^%X+TQ8?>hPsl>D>3M$v1*kf`=SxYe1 zy)MI1qHczw;m?<?ZIuyQz1{1?93|@99RGcA=H&fsaC)h-eUbu?ebs`(ACb*%l@TYF zD%)Es@Yn|~uzc*!A@wZLR8RJ2BSYd3N5-@K_L3J2_*Y*|ZF$0O=M!-Id;C=SA6KSr z%H}kCvNuS}f4Bd<gGQA`Oh)miQ^i70>|HxaJ5KkyqRQgcyh8pA`u{xaJyeA4r>_3a z^3s%XzkpYBiK_EVp$~G5YApE^PUy2tVLW4E5Xv8vpb>t}V7kJJst-vGT&fSw@=ZNu z5)`!}D7m1%LECiChJ~}PsN81p=GdoTdtV^Pw&K5YufQKiC9Z(gKFZ83nx{<S3=KkO z9y8~4So!cU|K%yBDJKnNk6*bsaif!F_0@v1=j-0Qn^;n2u)8)Tdy9U~mB6O{%ePgk z(l35Zz51j&n!W8i-?#XUTLa5Z-!9qnuH(hJW$q`myl>rR`<}DkrE2ntllP=r_PO@= zA8q-!Z{kGT-GvP{+aL2d{4XtuI`%Twb8p4*p3B__&n}u>wC6^6$2+O23p&P``SN>? z^qH8v;gSxW@^zYiDt~JEiKhpni*2Mu8uqXp<7BYCa&e;U^72smU6c41KlQ%ld|3H~ zmmSCBor`)Wy}w*>cgN|?FLuhTmloeQE01xtPU?G^Q)x$cv3LGH#52(`)p6H>M77kp zYho7oaqt+225`(+8YEz;e<qAcD2zj!aVFatK_>+d5rJo`e2#ACf3~van3BM=)gkWN z7`U%U=;S9XEb98oucPEBW)iTzYQoLKnTeJARCrr1PnBPjp0H7>xm8Su^YYE(uXv_S zQg~^r!+qKC$Sl@rtL1dwEO6{S*u|-IN^@GIKq%8S=L;+TBq(zFdL76T4AaPt_;uiv z!C8@P$%v(kjybW+@|<v$drcVAw4DWQLC*j9(pNcrRBYUQXp4Qs(t|g(WOOPMd!?Gc z3a$xd5<9WM@8~yGF2hY~-mw{hY#YwCTd-<zb7t^fo|m+hpEH%=G)u-}xk&i~RZH8B zDr{-bymdlB!L#wyCx&x@eiM%$W>Zyo*v6;&U^UO&EFL@83$9I1_D<kB%`-8DV^?Uu z$hlCziR+yBSDvimzNBSVBcQnWn8(8KuL+tg(pPIfJQvuY>66ab&30o=)dr(h-NYzn z9sdV5sSK~hZ>(Zbi7D9kNx9YTYO{#EUI5dl&<&oxVw?pFUpclh{$0iHEpnsHb{^Zu z2m30vRz!Vdx9+cvE8Hd-xc`~_`}=hpUz`74%=`FXTK(GPOO`C*t5-d8KF;>bljowJ z4%R(8B79@h^cmc4Y;C{F)83x=bnp#d_4}v0U9|5e=ij$Z;!cRTWALp(_F-JbfsPs7 z<!^6p%rEetEF1f7-;F2vE?wQX<!;#8D7F7su>Rhh-N_F&H9mZ^Px1Gng>ROg`Zlk2 zxBDjNN3-tkG`)1=_|cu3Yb1KVd^EDC-e>uGNp@e1`Go9q3Zkadd&E~Po7Z2$%I{D% zFVg&9Pr<*8Yc2Pbp2<rZ|1a90zGc;(&k8AO^Cmvt#bnhTF+W3oeT!-Dvr8Y7uDn@y zhW+M=)ejDFE{<8s^x#6Q3xoEr3ezJ+jB7-+TA4eFxb-4a&S@sZ+~7NSN%h$wrmBl- zUe~1}B5tgR*cfGDo27S7w()Is*<0CzjMKmJ^SxOhSSY3V**jt$Q+sdc_A@uHue=ag zaa3vbFN*`O4mGZO^Z0VYO_^f1y6odDZI<dxv-xiOXLhOyJT*?Z*do2|()9B!y~+7) zcQ!p)$F|X~Xx*WF*_iw}mp0CEa+aUZ`nC1z5zYC$%SEqczj~Y)!L;n@1<#++PelI8 zc!+HLk@Wq!)8!-4oikgmJv-Q@#J6u(?USxE?YUdiramc4UKGXf_VgK7_4O+!XL(qc zySXm&mgatFws=ET^WuFRdAd$}F9xn}cRnUn9O@VQg_ozl!+h}!@5P<-+4dWBMI=t# zw%ob+;f64aMBO&)-mPh$kG#r#=~r!0{nAeGj>G>2x-!d*H~Jj%e5+c}9%zw&wR@Y$ zzH}WkagiA<R$osm?70$SaV}W1n{}tt&%g0}{L<WKZd$(mSF2oI_O(C3IF-NujpQk> zt!z6MeYj;}nJwJ7yX(foj>U4Vw|kTF&9*A^7HprmJNd&myPtiTP2NZM_1hcn%wpbM z?sZi3L+_z0PLi>l{8_ur_HEjABm5x$|6gZf56*sg|NpOty)~wPE}fXz9c)wZ>glxP za}9S}FRz)IR<3qt?uWdv!VjAt+(|MI)BSL>z&|iB`IUux4R1~Eq}NmA@1D4R=(TI* znaLY8;@PXY)=xgwBynb@MsTRn_5%Te+so?aE7|agi`11J>AuT#y8GeuS3f62PH*_k zJv;91@!JYPN49FsyYy8cHt$-0aZ*U{`&|=mJ#;_TQu*}5GnE{zGwYSID$h4ox@sh! z;(E$!uzy2Q$lWcn8xjr$%vIkheCbhRY3$xT+-Cl!tFQJiK4!B=Gh_CTso{~QcR!qS z@@>|X`P_dmpPlumf5NdHZyLY0n#Y9LWk%>Y*=1g?VB01#k)uibt5b5K``OwWWfLD4 z`K!C~n`B*gh%7TZEZLf$eUx|mfr&{SO$pzhA6%s*!ut9)m$v#n-{*Zc@{?NEcJ@53 zEeI3rI`o!xLtfH$zT9mKS94@1-Pdo~Dy+71^2EOvf^S5)+>yHd;LL)Pmzb4ro)N9y zeCN5T_YQZ3FWdKaYJ6w)`J;XNm|GsljJoA62bL~-IlcbYwUc{8(|$fUu&tu3AmA29 zhu%-^4&OWHee$ETZ4P?7G8@lfcUipHT=-$?m5w5Q)0WySlR~#%(L3r=7P{~L)}sz7 z7kn>Y%jC$w@WTNzQ3;x^(yPdWk0&$mI(*uXG+J+Hkd%_FprD{&VvuU^Z?d#cqeEF5 zn~|^mAs4}iC%&A&UY_u2!o=RyYa3*orU)ea&zWESxi)OuQocJ|*Ujnj$h~Bzy7BVE zKe6xToPQj#-bFWjTN}&0%X<r>&l-u%xmGh%+RVXd$1BUu*ucBI2j^Kc9<`Esz0Tjg zkE?a*hIMbXghS`L%#1e@P@kqD&X>qv|3)A$$RbfV+B8_>ckwLYwc$$r*8?TmieKMZ zBWoOdc!GytzeRd>nwp|oN!meW)(JjVW^?3}PF>J+ym)H1#Sgy^p*tNS7Jr%h{mt%A z=li}Y-CWtdWyd#zRSLhCzG3DG`zUu@rkk7V7tbx01HUv*pLN`Ey-K>t_X=BvR=^?A z)h{{o@B6$qve<L)h3!7mKkX~0&o%Sk`uU85?y`qERf$1Iuf~KVZYh27I7PzP<vYh` z9Z!4LgU^``S=Pz>N|rB{^5o&ERgG75>(gSt^k3}7=AT@$2P?NmpIKYEr=x4KPVeE5 zYr393^NP>2R*<<6-Z3wDLQSWr<Gv}0p9MC2PWiRCGwxHxluc{48?rs?yA-4r!_U^A zGwsXEg-1&_?|PK5dive+?z4Lz&)Qt6e{#Rw%Y*N<E`%|5dU`K2d=tWck2|mO&ZJpK z%NGC7zZ~blSmK}M-}*velE~X>b~7`c#>svP@nB5)Q*~fV$r+bT*_pL3x7BF;opAWz zk%{|wA||~%shZ{{;;nQmBV>*~ug`;iZEFRdu79$bGycXdV``lwzNu#IqR69hCr$3h zvHCx`d7bs`Zw`*9r#$|1Z{?OPJy^7O>&dU4b_KQ1(_Xv|?r9c1IPn`##K%83RApB1 zy?TDr{YRW}ZMw}Dw*3`vO4Hg|>*kvum)*<TA?*M3{QJiuiaiR)DvCCUI;^-AZn-$b z`pGHj#m5h?n=(ySko)1<?N`*QXLbMiH!tDF)Vq0m=e<4Ly(S<(FS_iwsO*+o(IGtM zK_5=I)h3s=T<QHSD|KsYY2H_N;qx5bV!>M1&$LTCd{N>3#BmGzR)5#scGG=o54ahA zzq7r5!+Yh_OcT}a8S!^q-%0dxi!R#HVC+2Qe(;*R&*Qk?#Z{`E4frK}=VChliH5h& zKYrC_Rl66tM87ra(V>gRd;dRT<gh>OH{p7u^uGxAY7Xh2tt_(-6rLBjbKH8%qW35N zZ)>tYI9b?dW!;(Wvoo7*pY3RLGrtkL=IlgMli5q>{L;2->1Mv3S-*B_?-Q0g9wE<? zC+A$g`@2>8VqRp-|C;W)P$ORr=B>&_wI)URYcnRYXBlJ(U(+-B-|2jiz3AVpvdaZs z@15@Le%C9u_r1@&8;3V?Wb6zL=J%Rx`MTL?civSo7A?oOTaN!f*cmKw_|NkBFTIni ze`~CFQ#7t<*qm3*&o6zVQEaVRNYmcDQ$c5Md{|tv#<L|QaB<gb!}{+F9|}$F5^gba zE$Hb<oVDxQs-0F<eub+3@AIo3m`-jCyw~>iT9&Z&8$R&{heqoJ!$@BKS%Q3bZ+0h# zE41r>d2k@I|A^{-)9m2QJgi@<9<1@&U$!dFC@og3>XTB`p`X(??$Ozl>`^yq!@br{ z&!vI^XC6+<YW=6mpm08-Z_C+#w^pB-aH??e2H_LClo)Eo&pUl@e)oL8gj$D)uU1~x z=Fpd~@^0~;ShP-E{dD^Lgj?asPgss*>K^JgnlUp>BD?l=%a(eULsQ%teRz^oW?Hn( zE}U~k*t5`Iv5)iD4Sl9<aT`=B81*-YzhrE;pSUJD&aRk&W0zx0n^KjYU*iGg%S+}< z*T3>Ucfut^@b}Nf^P>4LefOC9bw<KPW7}zu+f94EK00hwXWv@4QLIu(yV7b;f@tlp zyTubXpX%;Q5!=!+`F{N4JlC0aH!OKpI3M%N_-OMeK-Tg~+dbEWk_B@7LQiI$eN@|g zj!SLPr&Aq!JjG|PPjp=7`F!8j<E14$e>qF_Y#NoBgm{~+HJcMIeRtcCd^7JDU%<Lv z<)e-TZ*Tv9sXl9Yc{}feH2)iY8{b97OyPa^b=LIA$VV^4uJA0>^vYwceLm;V;)2;h zky~A-Ty%ML+3?|(!wLsEkNgYsGV%G_EZR5k8{cfHuh$)QrFv#d&e?fu&JuT%s)Uk< zOw3Bpx8?2LXt^+ba@VDMD<*}l`m%?+tns$;(p4&tt>jN#sa|=S&GNpb;kixUe6REV zemK2a+E_VJL?L~_*=1KN3zjx%Np4^fotm((@WB476=phXmSxYH$#p|a%v@>Fvj1v6 z)&=D&XT21;!y~j==ckkQi(Zj5|L8M&q)(dd-yh5M|L2Mmv00(3i=Qw5nh|wrYS99_ zVC{EXg^e#RKbx3cQY-ZQ?mTbPIqyz$RCuuK`W}A&{BXn51QVto-&42Qn)PP{89pj= zHCl6)p~3Rq#_I1K(pQB)eQ&B;Jw>>*UdzwdEU|fm%UQ92f6r`>ZK>qjlCa^VkV%lj zu`gPac1hd`yw~q^=IO5U&!<<o+T7i6r`51VZ`Yci2RPQ8+_lh+b>r*ZR%Kh-S<W1L zvGDxCR9@x+xqp5s-kz7Qu5Q$v{MPNaczkC`__Si_$6_)+8dm?_e8KBg25Xytg^1d1 zbEorVv(lsGA1_h4_S|=|=zjU<o7u9J-#iN2-)(-is6}46b@yJgSIHt4|5r*&M;>jq zO}gK;MSeAV&jDta^U-Y0e`F49Wbn3Yyk2)N%j56|abKIB*vpN-6bi5FznHUqyHMTs z={FvievY|O5O`*~H;1I;xuZLRxpmqSm#N=x6qvW)I{GuWC#zVZ<UftMzouQRlVglN zQ_Qm`o|o;Z6zf^n4#{JW+&q?_EwuD4xqQ;_B735Tz;m4^OFn-uuHp7kF1T*@nc4A_ z6~FO`mWj!o%-^yUOLPCGE1j2$@->cVd9AMU<cE#d{^Y~COO4jN=AJrl!J7>!4*o)$ zgcflu;BSgy%8g4-k<NBJb!OJ5>P*GCmeKRi>?yl+PI~vgw$eX8zuxH%?B~C-!Prfw zO=_LI#4FK@0r7^vn_mUD^-N#9*R!U`_tXYoXA8}zMfFp47ilrF+dcQ~UK3zl<YGT- z(*s}aC5!kU-OSsuY=`!f$-%c?ww;_PrnJY`W}(zqjZpT-{9U(CP1@4ix;@X<j@dR{ z`_eU|78Vz^cLv{eKFm3<nl`zM_mN<fgo)`a?Y(}V)Av06eg8tH?gN!|zpFdM7J7=U zI2_~2BC_<X9j{bOlb2vc5XTO~DN@WwEbi-d?k-D9yLo0ZM_%3vude2UT8_o@_pk96 zl6p5WtgPnUofR8$CSLim`?=o!n8TheW+`)?-Ywi%C~V@oDe&vGZT2U+qvqV4T5~U5 zZfT7NqyDMskIL#BkN)+XeMC@k{@<%i0gD-SDze#q3wyNhr*{F@f$vT=eZGOEPwcI) zO5d5Ywt(SQ%fhvJecIQm%gXl~pVsR4+3~b|HIq26^12UZQFVK6i!(kG4KQ8l^~#CO zitj~LjF;Ng3J&$f)1Gw9==Z%d-K%N~=l;{5pEq3kG557Ur)VteT`l%4_ADkUyOwHo z^Xz&Zx$N>`9UTVs|DBK8)3dh31-@Kfow>1G_Tu%TWZkN`J-hl3Mg}Qmf4EcHKQ&<L z+-;g2T|eHjcN;|;9`FA5yd%T9%Q)pf|2m!SCmM<Sww{|Yb;80rjkwl3$L3Atk=Jio zld`aX_Nn!oE^?ILNm!C&=h7D;BWf>q=Jx`VgwId9<{g+_u2xqY5RjhL>u@iqi?#ge z@_-!Kx-I2_a=)Y$c6VL6ad4^phUtFQ3L>XJez9zckz%N*Ficb`Wqw(^%(!;WmelnN z59nMKKilv_a%1eZB@rSnyBUAP*%?<jwVPOd6Fi|F>9X|b1eKQJ+FQ%Kvo-%2*6iPR zZq8%(zPEOhKd%3uw)H>5k<}4)%hz7WY>W0aJAU$6fyCO+n=f|ivhF=N&BD~P(OX~k zd9GKEkHj6H+_#J=vcEpujs9`5%IHYfzxt^$77Gk!KhWAXrT_XwU8mf}HzgB4KJVOr z=VH>zW1HTsR(&G8MSj;+r5nGSb_$v<oiC<t6~XD0y!cYk#4}57{IC7Z`Eg>fK4*a7 ziuPQ|^O5?m`)sv8vX#$a%JjausOiM3_;0^XE#`Ij(YErOJ;(aA?;9oaB~`;F8*EUt z_KNq9TK({Yr1XEgq>WVy$6ockpLe6WkINwYWm{-!l);{dEhPtnEq+cEn_8Ilszi1A zM+LLgw;wzl`Gcp1d+lph{@69kU}@IlTHV{9zt8AN-ui8dlN`^YHyzJdE5zF)-aPp+ zZ&B7C{?^)8tk%4yO+1JD!%X6;Hsnj}yLN!*g3IfT^6ocg2?YMSGR5cV#7*+M<U$)X zerC$^b*YFuxLIguPpH1Nll8=#)SpZaSHJ!h&)v6!r`h4#EoPyVf9WURzv`@aULwF( z=DPFSw)e_xr(~6t&ZQg_N@C}$U6|>{Siho@W9AixyGq}?W^HHRb5m(<SlfioPp0k; z92bm!KYkgpTlw0rc7s`L>Wkzi<yrpz`*Qzn?vghgOs|eIGE~GG#04KOVX-;qB;@e% zfL}55&&J=zf-e}8!(6A_Tea=#d#Am74$fMCYlbJ^oMU;B(qYc4#g?kgtvGJIy40AF zk#Wws3{kC+wy%F<v(~bR=os*oHyRs;in`uPcYhM%u{&|M^Kr}2Yl@xI`K;OfZmqwW zeD>(XpRN;(s(*&?DsHWvl6rpc;tRs(Vl@tQPkNEytRAcP(Pd@K_e&n;1}_XGcWkK3 z39NY~lYT)+?bv<wCz+>0oyt@5*R=gVCKsRTFYnT`Y3*?~uCQ<qz1rHno|!fmE;naR zxjTDbSlOd*uXoH4Y)PzLKdCM5dcN3p9@`xz3#Cq+x2tmswAwHG_{`<SOX6SEUOcqu zq*HV7<{wJ_|Anrre01BM_1u_cXLVoW+oNfA>ROKy<zDE!Bz`Wr`JjG>*pd&|SL|A3 zyQS)z>fM!pr=~e@WoI*NHD0<XYr6A3X@}bZO{Z>M44QNDvwi69^ZVk=xa7nHZkGSa zbQA4n6FbzzGB0(8;af&UT?5r$N*-43f7O<6R7lLUj+nwH%p<_um2=EG=JdYHU+lXD zPQ6_5R4r>x?qWvO`x5&bLflVs9#ZI^!8kQC>Eaasp3r0AK{CE^XYaJXdGx54t7M&; z>?51$F^i|YKXFjb<;bPm>|f(n1*Q~Vmdc1(Gg~-aEGA;j**k7gN9|hG)c@N44iSmH zI?bU$&G)dYS_6Y}n-cHSg~3(%1)mHSZHp{CE%WQs#mucbIqH|Jt(Ux+n6PTa(tBsR zU+~8TRK6F}eh{ouQTE9}Zf)n!*Ds#$`(w9Y?(B#sp=%WkbnlpNU_9?WhduntEn$8x zmYa7sH8Qo{YIMJ@l=NThRq`_N8CSk+U=_4FQG1eO^Y6!hFHgNYW&OU>{Ck6b9$2=b zRDAcgl?L1U-}X&B+qCI{wB+lHN_(}hI@dMw*3L^#-_FZW_vh3_iP{Br&MV7T_5YIC zJMqrrcPy^)h8|zNw}*7wd+h$TVbwo*F@Z(-6XOoscO*919ba@#_xaL_d-AjGS~XAf z&pz3*M)9^dqt2G|>^}QGth=Br`r`95q4iaAOvM@hg0{r373{07FMWMg_hrJm=4Zi9 zG69|`2PUnSQ}gi`3EE|Jyv<4SmWGGGx)pL#kEe0CoxEjs!)Lx)friJ7-1k$bKW1N{ zJ|Qq^;_Q_C_{mdl&0jTtjq!{czdO6s?(VPud+D&q%>(X7C;a(Ych+n2chRZrM;0wF z)z|ZUv~Zb$dUf~D1Fv-)^ybIR-I?(tU(NY`QTB|4#D!U=SA<?Re%dO!=>pH4Xa8or zxT7j@>NL|aLG3kvSAKGTynV+h-*oQ9lTPiw(7&c5yvX-X!vZtKWgQXimsSSbr!$9_ zEP7<hvZd~T!b-!LXa2lozH->$OODvDw%d<QPN*e)6TY?P^8YnoUidF|y0gqQ-|W$m zr*AB>o*C!=|FFHPXi5LM>~)K`2yOVDueUyF!_xU_a=)t*6c1cH%q@4cg7@x%gtf}{ z%;~wUJt3`&OId<jymJ{Z7+(6mO4jt-@8#NScbJt<jPH1(<7jkVQq0nW_1O}3-UmT( zIU9;zFFPGwY3^cw`n#9Mk<N<VO&!0hotO@*RXsm#SnbeqQ_y8r9?$ctjq;D!LKftj zwyMuhaGDl=pKtxr*O8Om_wP-$7P;kceedG+)4y}KI6VLCeKxA+ot#=ucJOtjXl33V zv;7Xeb$ju%+3IiCU+1U0I%dw*dNxy0YerOgW!yT>kJ;JMOY~oTt~q%#&$o4l(pg6* z^PMhbPmg^seC@BaB<#=R8r6jgYwic0mW~QL@BJw1{{F1Jg+Jtdw@f;tvuCc2Qr=EE z;nH9Kn-)Efzx?LvYPqhEj>}O=RxuHhb2i@-p1oJ#((%S8JI^hvF@Jdeo#=)wi<6V} z4s5=*c2QPj)8zhFmw)_z9#OU6vBld-2O{`ZoMTv?&0iE!#9@5%*ORnc9)7Ode7qwP z_rC7Y`W1LuCBvtG<Bab`68#0vpO%C+RqqkH@XaG^s*=F{2}P$GPj2;G<N5CC{8bP0 zQ!@mgOug<R&sFI^bJ?U!-{*3l6rU{MRa_;L``GcC_dN^dl0KohAOBti^v&&lxBtv) z5!t7IjeXas-j`<&oNy%m(b3=s^L(e7M($PLW3Dz)Ysc$QA?veW%oC=Bb7mKG%PEM5 zd9%lH>=W5#_}<ZV=T-)pvKxwpPBRxVpO$TSag}eW;`Eni_@&Qs*Brg*eXg2C#`)KB z(-SPQzE-=gz1fnuChEtvnyCt_R`}fc5!jl|`uv5>++_B0!_SdUC;#<HI0-z<J2NrW z;f0B+-uX7JMGD6wj&vz3pW(~mKmCT)`b?d)o2*+!%Bnu}Skx{xsMua`x9!eSRZ}aO z_t$=3dOquUi?h$sQmJJoQ<gn?X0w`K#@0E{dDHT9hrdeKoms2zQS$Zdl`~6&pRbR} zpBnz|!_k#I7LQ|C%-3t*oTb6LujO*kq34%QEWUZx)L`=z;njauXq4)muF|~JYacDN zYWu-+s~VNKziOYKp!YFy@5?QGyvhy>YUL-jNU7NrU$`3c{b7Z(lQCP^l6@<d#TgX` z&U0DCEPdC2U-gVf%kP;*HlphbtM&)4yg%9ZUE<@dF+M(OiTg#18J<Q<Hmi9ibZn{1 zWAnJO^pn`0mlL?<g|51tFton-^Z3SvCR<J{ZA+T)W4ct+ol^&LE2{Jw#Luw#M@(-L ziA`B~rz4wHar)vN(|;)MjK7zlnEO`z>kr@FU;k4!zdtcIuzlj5E6dpmPx^kU_c(E6 z@&Y!M!*P7Smz{HcGrQw;<j2LYm^nU9P41F<(bV^$<n`%$`ZoJ&-psjV<-}B;wL?5i zk86&^t0}A>d^b;#v)ZzUYelx@)^gkA1#z!$cLZJhcR=Ue`pfxI(TO_>`*%uf&YSFz z5S}c_ta@mg?9ZB?HXHI!v-~!dQ&i)ab*`iSZ=E!2P0S2SgJ%*4ZAuxNX0hp~9ZJ#S z+5fAB?eK$`VAD*eucne^lRpY49h(xv{^Y`wV;ioXT==lJ$1s3%|K<Fs*>XHrgBCd+ zT(cw3WJYc}YlhUnQ~jq~-@UqB@@;pFl=bwPrt4PAgb8<K2;ZKu<56f+bhTCJ;;TFU ziS={LJ#}<nST~c`?FU;!J0v%!{`T8HS@~L_YHK-5x7Sp58=s3;FJ-<E7Lfh1#jsM` z`a#AW&*iRD{}}&XmGoTj-q+Q?vzKeGI<fkUnAeJ>r>nM{j@SDnwufQzy1$;ggdC5v zBrMO75M=eg62~(u_R;&trVM8V`oaqg3KYN3-6+eNA9mJi!{ztPU-geA@o&1pbvrQY z-1USV<qPH4OcR>@<>%u|dM?KADjijpCDl%HnP4pR;S;Zk#yY8ar&;5gCFHBFr5rpT z)0clj@8rDpdxe`yKTN3mT+82iJ&)CC5!1y+{!7u*R9Y^IY+Ca8OA>S9Ggr?%RvF$q zN2bl(8~u9q8V9?s@SW}A-YysQD+}&jeSh}2W@_U!OZmv6i+kUFI<s6)fY+sOzjEi* zW+soh*_YRMwcfA#zFNp)-M6c6e$U==cu!_v`@>MrjF%l(g6~x+ym(vWFSa&N?Xj!r zY%9Lrz*%+)^>cT(%#433d*bEU#^u&xM)%%|zi7yR{X*cn?O7eWB~Di+a(3PSb$)Zp z1yd8dUF+=LC6vF@xD|QpQvlDl80pd@%68U~Gef@Y4*142f1+7^?1CyO-@Ezi`5xZZ zj?*>goX;&(x=v7HXXeKpkJc@}-gwV?V)D9A)Bj9MI~zUAP;bt}L*EXsTEANPqgDRb zRXrOFjRh=zp0eefa>t9C`G?SgWkQk@Q#O@<@2_iKE}r?5!Das%MgI-%mL}XKH^gQw z*Pc;$?=@fR?iWjYXEoFoUH-SxvNuYDC2ixwR^KZU3trUU`hNb!3!RN~HhoZhEtB^; z`0ma%cmElm`%;)(6~po-`JL6v8I#SGw*7d#tmv3N-{$-cn}s$lnlH4{vA24Da!%*E zM&3it%Q|W}nb-aes$(~PBK2q^$9!GZZNW;-^A07*{0c1C(sYZPE$~!8&b0;dpLSo4 zuhMLFZv7mTzUPqo*(=K>VoRC=3pO;fPEoRXU%hp~?N~{cg_|wP_A!|8b-iZa-WK5H zZ#%!Fa%cGa@FdAO8-8lB%()P6uDYF_W1k=2Ogs0j@(s@t0+w-9D6WWTShIH3j)d}` z8Lyj#x~p2-8a^bgeWGdjHfn)LWZ-V+{ZDES<~|B%U0r=>vkLR<%GcYPW3wv_uI!#{ zJ9n;4#d6WMH5<A_G(8Nq)MegY#n@KMyH(ihC8zCf`PAw&UWb2#<sXTieEZDBsmaQH z+~HXXg&rlRUs!xK`*dPX-}5yab=>cr<6iyr2vf4Cm+!2*^DpmQeB5;A-iNNvEtfN! zYdodz#T;v~zNWt`XYUC=%W}2ax`n^zWj0?c%XKI)d$P;qbF6v8pG?#2gtZop6%H0x z%k`>tnrHi;%XXh?sFV^a-kE-A`mvkW^NI^?U8@;a9E*RadDc@&Sy6oNH_n~b-x*Zr zpS&CW=a$A#f1$N@jsJ8E)Mx&@Z1#O$;a&9~jrv|5-Ej<jjtV@js|?HENJVZxu!4^- zh)-|-$9HQUFIV^}@btD#!~$LW<I^t1ZeC{5!@O{p$}NFft>q`)&+>6vR`l-H70=e) z;WoOpj$L04BxX-~wN~oKw6~3W)Msi2Dc{{U^VFJ$BJ+1ISgbTdqCo4Bw!ree%T!FA zZM)di88hsS8g?z8Z<4%0<YUbX*1b{;+7agVMR`(@Wh&FQHx;=(z23a4?B2D;*Jp3; zi`uj6x9py;StoYd`kYcYZ+SVU`OM=795<J&Ino<<^}ArC+o7PDHk|)?<Zi3EZ@)N4 z+N@LAeMxUh!en3lqf<hc9DC|#T*B|uyQWwAxA4;1&M%wTcCxEK(feR2Hor@Ao`5*V zoEy{ROy{#?td~t*GqZfgY~5!fSpf}O9?hvr6R??965EugHMM+Bd%0V7cKv(yGdH4n zMW^iDxUJ-Q`N3y#3*ShoMuy9OKl^4{xmteGFB^f2C!}Bh*f~XeV|Uy6m6tY@Gp*kI z#;kDVOZ_()7IS{~Ubj5+lJEA_Q(u?wepvtb?Ti0`jk~r#x{`8gucKe&ish3XZcOFq zS8p*gP>GAueD-hO_65t^7G8{;vstpi;rQo_sYhAETi(u|6*8acjbnP~j9(3x!V-4; zJ5?a|?C9Ho?T)8)?}@K6s*sM!KK<a1d+)=OvDu>fqVE*_pG@7;vguOF1m&5DhteKA zVe6CnBH&|FRCe?7q1kiRXzgV`w&<=<MTw)0oc?@0HRg=uZCee_<{f@w_||8ZQcG0c z?(m<B=XHJhHC6V2S&>ENE8qU&6XCLdcTY_|7RKlBxY=s5z>Dv1GGn6WK1=+1%sjt# zeZ9<!R~Nb^tyW2CBwn{Vc=!Kv{yxRa0=s8l@q0bDVB7I%=D#(q>b0vDFL~d(YeVJH zFBxv%x13)%_5I2V3r{u0`HCM~zwRHS#MV2dNA^agKF*a`7B+v;=O4>OUwiS-3bJO^ z=AW1*+}ovGr(AyLY`;-iy6<DDc#e`R=0$f`Y`XC)ZMkRby>$r(YZACS3@WrXzvAAr zvN$K+K(f`S=9%(rlk=hqEeD?m@)WhZJ8tj!cW3Kv*Fr0|CDPx{`d@H7@3})F)RAk_ z5C7nXW76M~;uqgPX4hNro9DPk1*7qv&Kdi?Z`~|4iB@(i`W~_Vp!v@&VhjiVs4zX9 z&a+eSt>^{E;LQsZ?%k@&J$z=n;S{g>`o@gSX1=T52o?Y6jImVS`LShs&*9aHld=*d zH5cmbm@@5YLu%UhhEKXbD}~=4TzukgQtt=fna?K8O1LF`avRh0Q*5ukYe_QjaI;>& z_H;wX_qfIaX@z||3VS_g{yTDJrwy~o!`kI9c3+Lml-^ewl>Xc}*mCKlin$9J|EMKh z*NnInopi$G&yV_PYo9*pD@nLL-Ry7OoV9B8FP9Y9-=7s%ZuM*W_JE9kFJd>PPy8%g z*0puVyM9*At1F6vuk&Tq1)u7F;I~D}Ywpf-4yX1U*86Y#bB)l_4z;seYku!MQIdbT z_TJ|WM~^m2)l@&<RS_+I=J;cYjAho}@A|*r(5$Of%39f(mddqoPgUmi%jrLwvzjG; zEe_dn^Twr@+gamkFLXy<w-TEvYS$35!!Xr(X6z5Q>KBK<Nm;*NmSgoc&a#YC(M<cs z-;<{f%&2(ppMH;hRp69z=4=<Wa{17UjlPQ_rtc_bF`0X<Ynj#tbJx4#C$+?n`fpaO zn_l?0i~UgdQbm<?wubBa8(LDH&-T8q&|dNWi2uFR6~b$HJ~PH~ik2P@abCRQ_rgy- zcXX}wi`zL}Sntk{Um3nxV9~+&8q*i8ZWCl~OBBrU%f0da=Z!BVr7GD^JENHEEN$<n zds;SL+y1BL%H!h~su%wGZ5Pt!|NfoSs|ekXi_Rb4dE>&@dLhgCJ9pi1<9uYWbMJ?I z(QOimd)zHO+Y|1!HB8aYJlGSmkJ;*3<yUd;^Hb(@9zW18KRMz=AmiSv^GsK2L{@J2 zyUlF3-e3LAy0_+-wcjs2-*)%dvM(~sjjVnPy5(XYS^2*&;k>94Te3?ewCUfZB_C&O zoP9FfygoiT!tl}09EIa%yXuy2PBxpBC7%7Rj_=kB)`=5S{XTV`eZALe;oLh74H?U; z{W}F>B{&M++8)0q&!+Nx_8peJS@plxp8fYj?el%1gPS&%#(#d@878`Qr9htB0iCz? zaucT}uq<7xnst<^<5|r173m8a>MJXpZNF%I?d!6*R}eD0vY|2Og--L-=I`O9$3H(# z|Me^_e5>O;yCB^K9sgGGpW7JArYtD>HJNv!iRY(ZUhH}6bPfNkIGlOBlWn){+t|N3 zK^_m*-Eh$BQ%;)la!+migXr6W&YhB<WB48m9dPqW+gYAtdh^nQjcY_s__<ayp0Viq z#dLcC-!c)?q^oyV2^8yOE-p57b)C7wq^>I?#wRdwHcy@B?KL?*Q*3W8SeR|6QehRS zaZ3HYdr4QZEa%)$YfIxk&F6eNEBHde47<s^TwbF4uIel8x!eEUNN?_fon2>-Tzj|K z_rSS)uQOI(-Z^eAJJr09|KZ27c(FC2o##xduV{YO-L~A#;j>wT81I=zu6bO4-<Mk+ zewkJ+`EBi5B@y>u&MZ@Alsh-RJ)f#4?y_v7`@K_9C(BHo-dLV^y`s5cO4SaVM+@^> zr$2eTN`CFpM&CR?(aNdnQKr^!Q}>jF`j<cDKB&FoV8rsm6K9sH{C@l;H+s3F!k5hr z?`H@0)h&1vta@?ftev*ZvWpk=Sh8>Zwb=jJ<X1ZrzU|w-#QPKP!mZ{HFV1?L<n5W) zRR7rKZpy!d>sCxRyXnKC7`XGhh)3qr|IUIoX=@$c8(;VT`2J_*>NgwS`*JOfX?he8 z`SI$cxks~$jAL_Ky?r%j?wM~Wy<($a)X%%U#ourD8QTVaw}1Ec(0}hmQ9t82AD{Uh z%W32GSatXFH&O|m=DxFz28*;?9bMgeq+Gv1_}OBWo7M*s7yX^^t;*6_SmIT=qpJO_ z(_c<(Z2b23QqrQGZ<StNUGFucXV(O!3VkK_ZZStDrE~kON}UfMF;MdAcRoMuWhu{6 z=9%lnJhYZCZoBAH#`%!<{qDVQ{&TI)cXUp2?K-hH)F6-L+MMFTNwP<r`ELm=ZJqzb zHq+&>^2bv@&i;SZaCxrGLC1evzr@>1nD3j;m6fvZXs5;w(Qmol`+db%%LhyT)_G>K zCEl?>l1Z)p+O5Jjvtum&?b7Zjdfwsc{eX|H*0yr*<dD?@TMBD#G9AuZYiCj>#HGmC zuFUvXvOT?oL9n-Mk;h)Wu8?ysZIwJ7&nq_zE#7^4l1%z7y9AxyDLmEnWs=FynzyLc z%LF$)*HxIlQJArBLu23Dm#TU@HvH1Ol&tp0&EiF<z~lG5T9!&}(-_b6tt%>M?=V@J zT5eJLK=F<3qZiJfMD4feUw+T&^W9qd%OBR8im8>EN(W!>jx+f_)l$fJ;^}7g_zO%M zr?h%bTF!9oYT@Lj{U`YfTRpO$hUy1T*(F%|Ma;Zv>x=@|+Qb8%N*>406j@6e8Y*ai zpJxB_Q*)G?sCeokd2=VF$s1F;>UpzIXYS=@7237nLgDOswSS^v=k>ll?zH<hL4*7L zw1uUgyWd_n+5Fh@f|kTt^N9f;=asX@G545>m_84gCVb2~@Jg%s&AqOro0nZrG~U~B zjp6Z%x+l!;`d1gXcucugE5WR)+#nfoDlGc8=GTWs->x-pHz}U}_VV(Oiik;;jK(X^ z{B%F#5xw9@$KwCf8}w`#%ucg}*kvzgFS1`Eo?_J>%Xy&K+Ui&%Oa7$?4=kScicVpu zK6%@su(8VOaK##-1TBw-Dg~>F3pd1F5c|>CYglmp^()!!ToQgp&u327KkRwinngbQ zmjC9-8|)@U)-!yHQM)zq($=Nhm=ja=pIDaP-00uCadA#h$8WpiD~baOrK1jiYD?d* zSyF%YecnaQ15Xwn%iO2Jvq$*Wy^cMs`6|Nd7alG86n`_OK1;p#ufxSJT>WpBv#@k6 zbuNA9{EIO~fBHAcypL_4ZDaoWR+XL(O-cFkq`AxRu*P$q_6C_bf681|iSJR4J|NJ1 z=;*0=FIi4ZTX?*2@=|U4vX$A~LT}~vB(iKeBE%5d((J-@w{-jQr>p-(|Cw{BYR!D+ z#%+H;d+;vZBY5+E;1T`MWsFW={uk&xeWZBiu}ey~_1kCumrilNIzRc*dH?0Vrz^Vt zIVMxwo$@%R<}1ss8m`r!udbNTrI==NzVNs~XQ$khiQE%SUFLk-et1t%Sr6aiwi})6 zb(YI^{a?}g?LgE_p6{O@<Sb44v*XyDuVLo9?yJn#YZTKz@c7x*1)gUtOs91#tP^)A zoVWAv#~t^XGInUs+w(|#%7o@yoD3nRnf1S!R>hYX%Bp>M-KkkssJ$}ZXiJB((C$4` z<Nm~o^zX2d3OEt?^cGvLW<{d+mR&9tk-tBLXt9UyeC7Y(A!BH9#`PjTbGF^=g0q=- zDE!Dd&iL|;e#VIf`4>-aH?k_*b(UGB+`nor(;hQx$MuFD#wY!rSSZis_*dkd-CFi$ z*SnBUvucIsS?8X={z{Nngs+`_X5ndJ6BhAb`wWaG6orVd61nx%>4WsnZqDaZ4JIG{ z&bX`c49}WYHTg=8ReQE@#RnXSQ_FX3S}I;5<~3Wo)Az;nW{tNqcOTs)70hs@XXy_u z)|W>kEf#%aUeep*c}YfSJI5xaiqdjn+5KlYIi`N!zDMWgJ!_-b>#rT^KCIVcoo4i5 zn%yZG->W*(HEc^AnlA+%cp{SaWoN!9@3)24S&W*tTMIu<tKdy_U&hG7qBpP4)ur}y zltX#apXNv9p-YdkewH;%Oq<TlXd1#iDJ>$3bLOX`js43vzdz%(f4YKGc&nwUTAGH{ zId_M{%JYt<7@F>P`7`^$goy@u&RV=HK0N8U{6_D)BWL;BRcDs3_0etRKD1+U%dx1{ zwq-#I!XhQPB1aE0ImkHuxGdxRSSLUK&IF#ugcZzfYl>K#Wf#6be`CGX*~$!_A}!5j zD`x(3jcw}M_qL_oWA*ox<XO=@HxJC%m%sXHma|XdlZXqaHmtgoTK?|k@!CZU@_#;x zr=Q$bHFNhZIfL}o;=Z4al#^!Nc8FWM@o~z5DTnXZtHy=ivz}o1M(M!6_<5J#oH@Uw z=J(gvBI#RYPPQ_p-;MiTKXJy_1$Ec-SbuFVJ1u9_^6k*1^~-V?c5PHIzIR%eWy+zc zhr|xq@6wxkCB<X5t@>V}y?(9{3#Gb0&#x=X<EscSJIj{m7Wcks&Iegvu`gXbEbHFv z+jCn_eCmS*x}JX*AC30?b9eWqsZv_+cppvM%PR5Xayl>LQkFS0<Nj;+##nG#-QM2y z=1}&_Q{M7Z`}sO|FIxFC_8V`y7H7@rCrM1Jg@ZfQ>OQx=@Dr%H#HwF%^E6ZX#!}(0 zVH+|R%(h7tjZHlwdr048QDNvC-G_h9%&bs-C052WKj%(`*1@jFh3DU1iP|P$y!OMM z52as^&3R-N%+r@y?OZvBrS`plkkOUb%Hf;ZBb_qLT-+?;#e)Au#aTQl{~pkM>xsf* ziOz&eyAqCspSBKPazAt8?H|%9Gv06i^15%P*4A0P30tQBDf`vM(b~jWaP*H^^?dQ$ zNxk#0ww^dva+u@Ig)?*f*8aRNHp8&^!N&w1v)w)Ck4{|_dG*+w2M6LO%IdCn_@t3= zbs>9Rn6~7jxWaSmR*JMchY1_aIeL1n$y4XdAAOS&ViP3tj^<sJW%uk|((>xlsc)$r zhQ6Oez9$?M^3z?$!w}hW?rz(R#N&D|LoYfi$Nzj<&3M~%`_z>9`WIU~dzl+&aFm#M zmQ|+czG9i{B7U3Y(*8jE@2?N-()L*W|Ha#%wXH=>cUg-3_nuK;Ze%>ObFzO?<^4|+ z4JX<t&%3|wcJ`jkyDT=oXBs7I+76fW?2hY8`=+I2{;<)`JH5a2;S!~3&o*%1EmCRf z>nr+U*QPjc@w$B{%?!5of8Uq8#L-NS>s&+iDX9w;ep<2lk2ahZ5)_=b<@7!0l^cVF z+rCFsCmc>rdh8G*Cn4<fROY&??yhIC?Qea1WEO4~J$6FD%;=-woEKV8w_WF-R8Ug! ztNEsXmTQl={o8``Ta_6M&pzs$V&yB#a94dwZCtZ~Vg0n5e;+*!{VLI3D*s8lM`X(3 z#v=uvJ&b3HZJ$tn`ib~{ekP8?+ox@r|KM}#ltSlspN-eYKTE%mX1ByY`}@2Unf`qN zO}}N2L|*@tb$%}MX0gM4^?8S0_I9xE>yDT|ZwgCR+~?^Jok|)yTfOc+PtcGPnJ1&4 za`uq=)2CmWXV3Ir(wG+0`zv6@j7LZGm+)?SyVvtGuax`}_9cHFaXyOD+Og6uu`J=K zgTPCMIa@3x?A5)`<xc+?-}Uim^PT)_1-=t1PP{Yup2XW|c~&c(MdsyJrk``q-n#yI zS%!_~5)KyG7D<NWgm(?kZnY==ROgl2{a}htaorI=@6DS;KhIg(>CAsH&Z%3FD@P>q z+M>=+S5|ia&VRY@4+~pjX=;uoQ{Jb2H;R>?%83jAP+eroQ95tIJZ^608}D^>EFB-- z@}H0<vcI&~*P^+nS&lvT;b)(qqMXoxhijH7sP9$XQa?l5QTT_E=rq<(KSlq&IVpQ; zYwpX19tVF;ZDjZ~DJZJ_41Xi%wC`sv9xt~jP5dZ#SMBZ_&xqdQyTTz|cG1Us%u1Y0 zwYASMHd>w63F|u9J#9LVaRaN@V_*Aqaq}bgmFF;h_gx`WbnL->4jH{<K8_CEsEuhm z%Z#oHcFh09%5lOWr}9SoheMGHb$O@$CnS0MrbwK+(yRFV#Uod1%j<9Di#a(Cb}su9 zu;_d~Q-AIJc*|{tHLlCe`Sz)0+g;lD?|}ASi66VeLbpu%p?k1lhPG=-FyD)qmkXjV ze8{`6A-aR-cP@wKhuSmuPujFg3BEsf;FI>5ebY7=wHy7*IdHcjJ7B%@^~bZ9=3Jbj zI=yGYp}xm{i<*@`&u>+HFS=KBuT8tyt14Z?hUy8M6y*$qpKEMb%-=afu0M0p)ctm8 z%oS?Ns?`mLZ)7~_(9!Mo-}@>{d-J`*w`+oR7PNk@V(XfeuFS1dew-(=Z{cxwwh#Tc zHB(N17JohMP?lhT-P?W6)wTssu7C2|H2dR~r>~S}-4KmFn7Z(ch#a$$mbsJp+zyAJ z%~w<w{^Vl(pVc*sT{Z8{t84quu!M$G=R37#OsY0Mm7A;Bx9Hl^wyQq*GcKDg-DkTY zGs(u|K<j@F-&l^HGmF}`bM&3qsTR3AZOVx)j~`rl#%#XR+vS~{;f_Y`#imyq?e4y< zH=1|4cWv$7So3qs{>4rCdU4m>-2J@&r_YtJecT*&r^3C8SyZ_%wf}n`3)|kt?{jMn zC-Q`bs_*cZUU0&3*N2L|8L#)>zIkGEl0mHSdxcPEt-k>VeQZ*ZO}6~i*}IDla|NH? z==g8%{qId%m-uQfU6uQEqVtL0jf~Uga(UZuv>Xgd`)9zS+kcdE#Y?tJf`7c{GbBl# zIR9Iw_l7|D`3p_^ZOr<V?_bkPTE3&GNbQZJT2GzWzb$*$H{RzvtH=G${m0B76W{vf zuiMY{<ImS+s~29{`EFh9dcFf@c6VY0+{-EsKe6An<;DcpIl1}CTk~Xo`tqyHTk!nI z@;Ti50%LXWrT=CqsAijJ72fx8-)yt}BENLmU&}vQ_PyZR4^>h1oGPIVBZo7Lr(-?E z#S*rDUV5>*<X6v*t2&owXWeO@9(YT>GqZo~x8QRAl5=JUp36lR?F>0!%%?F?T<meF zYmvnwkK5Y4oX^x=eNsqp^^aL`%1`p9)w+v+<EGZFy`ryq=RjRv_bJosIva$#Q>UHX zyn6E)2G??9%QYnpX+FJLaUpBhi&igq)v-9j`JZ;SS}NO<l7`^hw@e%?dG7QGzj#@; zVcuT1uF2cN&O``2k%%&TntW5}aLqXZbM>b6@u5rd9ov_LiDv0-mH)kIlS99y{EKqd z-L8i$lsx+P_+OD}{LqkZwmxjb_R4eBMcSJael%O?v20*}p>cmxIa?dYZ^0Rd<E;If z&RL($%<{{Lw0`F~?~1~CnJV-DA6b1O9V&Hv&Koc5Vp(Pq{KfK}YE!|}U3CvS@-Im* z?S1`r${F@~%sZxe$?WBSJyE0AYH`HJDNFV49yNS;P+@HupM>$0pYtO>$fwMV^=B(o z*Zh#bq(<_}0?EflzVpxRI<aheKkEypYcU7&ElR)Szt89UT%*(Er+*-neRuA}fSEQ^ z&qv;8csF<D3M2Ma-%q;TJ(^vyPrb|4ErMg3`<f83KY=NcY&Sm_&8RqCy4PW!0^^@d zt|?oW<!Uo;*jfE>x&BFu#={DWuJL?l`6c{UsrUH%Lwj^QD;{P{iEv$auza;t=nZp? z*(X0Ii`3e`Uiz-=TW;9&rubhMgU`v`(o6cOS8{6YKR;Jhw`KWj?#D|tng5CI|1Yo7 zD=wt=^!}ac55HxG>H2#9c;ag>w(In2_SrXeCs<WzJl+4%&M?h=ePePiTX?5b%e!Bv z1MG`7NIhTi#OURoE&q55e%yVt=3Ba0?}w81&FphyyefIhl|SqZIAgx7b5qLuyAr2Q zpWC~QZRhE0eO!78_u~xQs&>zsRJ1-WO7yO}d%)R)s%1WzHH`cTc?(#to;h*n+zb}> zGoDAQia2apnbW;3_w*|17zkz=?>WA#ka62`<3$21t8@B4%PoJ|V-&y0K~~waR@?GL zcdTfUfX06F7}Yn`lmBc#C%k$OL#Nn}RtJes{)=7nv&w7pHhZ#s`u=%Bs51BDD(&4@ z1H_Mr6?~9+Uc4YA(`wF{#WsZ&J?oQvwM_NYBV6{GOU*Z5z3(!k@hwloSeBD#JvVoX z?lX;QV64e+lloow;dY^jnABGTziWSscCC~6bK(5t(~6z9AGRJTUfUjGbk@_`NbJRH zu}e)dV!W?3%k6g0{iUZgh5bnB(+ziDK0KPlegDG#|G~0;9!!6Z*z8=Ox7llkhSqzz zz%2$ll&g*uFaB4pDIsn2X0cG9aEYx|tz3TY$t&N(;up_;WqIvOZ8vATYjVl4g+)%k z1S-~A$X9VZauenYmi^$weeBzFL9QbvS<e~7oW$y!??nE4AbE@T;slWoUsw5NzwJu- zC0Xyz{lz2c#x9vsAC5mKcfH*=;r4_S1JnCj(^XcTe7YcY-=pn&P93<Yf98sA?TWV3 zwJL)7X-4H~^$W9d?i=2$pB~ZhLoGeJCdA<03FDnAx5}5x80S<yek9D4z#-hnIYWc# zQ&Ibc)&E+b&*^<~ytZrI+aUYDuYKPA+Y@9~!Qe2FY0``S*=s*(*i1e1`s*U`XM*~+ zfz^(Ff$oBK%f%b@^4HiXT2#hvm|3QFGw`56lfW*4TRf6SGV6SrUOVecJdWXeF(YNp zY<aGx)2nBQ{ZlSkKjX4x_SeS~HIE;gRiP(y$2(RgPh;oD6NS!3zNVG0)XQvG+pk77 z?pxSCWz!PF_J&J84V9n!Jrvq0H1P!gU)jK$Wolja{X3ZZPQ3gv>%(cw`?vpnoi8Uh zpZn6eCQ<)mzSEcVZrfLC?jLuT%k6Wq^A+nE;jD}9a;!S@@D=|VkJrg_5AR@eRj*k) zbA6Ni{LsfMZ_a+?qG9OD(<o{jb?k{l)vIVvZRH-Dx$`G%l9Zkoa!<HXJ$Y~IZn6Dc z^QYMB9KXr9>w?bW&o_$NyjQL7<GeX*8xIdh%w?0Exm-)vJvrZWAY$*{w|A00d<c)y zymLJLG<S+#Z}IL*=LW<7Z_kvzoqT+`OJRA;wcIA|?FV_*?BYJWEB`@E#jnV{zF9hV znO)!BZ2PiRf%7Ye!TdD=v5EcD*6iQz<NoD~ZS03c_lYa+u34GkYsHXtUU+_V`mN&S zAv{l<Ph0*E`Z4*P>_4y9|IbSOJG$wtw)v)?>gi(dH~PmJ*nYZxa)o2~vQG)NS7ted z+8mg2M<w@Em{#_-v!6HBKUHLQ)48gc-OhYIb?c4aoL?nROt^UOij3R&ZYBFYC6-6@ zt=+P$uV1`$%KT7vKEE5g<i1lk_Z*wiYcDLHQ2xgJrWxx$i^tW!Z@NB=w-i5p&g%|K z(6)B-$6weqLjQc8)LdYHwqPx9doizCz5BWsXKO2W-sPGQzUu0kCt6C+EEwib)q1mK zvf<y(tQ4N}Zw>btFh|Yvoqn{r(kA%s?aQs*KZ2vU#1Fj_?BaB~QXJ!yk=fGs>*%`! z{6_@0|K6X;e{gwOkr4l`U5D?@Wb-m;zcE#PRZr&vp7S4$>|oS?z4^K0<NB)EPoCs5 z_a_@ndGz}FV!xg7*F=}*9Q{92bDdsbO7@pKQ#pLkHonO2Z`>PmYl%dHVQjdP!WS-v zjvxOI?JH)#y-Gk`SJXB%;H9g2@5;sDN*|2;4@~)yd`?B;olI`>F0mf1wG$kz+j~-e zujgLwv0JK3VVy#vqR5Nft9%~oYbK^IGvU>8O1&zsd)2_AYhP6L7DK&y#ku@nUnl2x zv?~goJrpZ<-TYARR#%no`iQyp^Y%|Vzy6F&SEThK>94L<4?l^8=jv_^-8><#b9T$K z_&sqfyS{8YxFNZA&Fb61zq10E<+CIYu;1+}Z7@_*Dijxqt^WA?Nsh-wj^@?E59&>O znqFQIGFieRyEC&xTqpU?m&ow)A4jDwKMmQLC%ZY{LtSobNxR4KShKgA`n(TW{d?%W zDC-`#b>mwV7WLA~1lf}7E2^ics^qsH`k4}Ny(`OtIe~50)P>1ee^TS`zGPrMnzv=j zS>Z~>%blB7Dlsy7xpzA(X>5MMINz={?~<p8K5vok`9*pe#|~8N5MHxVcf*QPvaf~X zoVnQ3*L5zq^kp&QEUjCI%4FjY+O9hEvwYg&hLo`1+iq2}t*Fty_U*aTI?sE8ouN9c zO3vq0b<(U?o;=LC{PUqz@8=g^`XA<%&iboq?%74(XY9Uex_zJVkN&hvz3mJif_fJG zUBLNO=)}}(bJ8X|d~7fKFL-9^W+Mj$BlEi=vTofcG(-jO88&ABj?`kg&b>^dwpY_; zj^ejR4{s*;9{s-JMb_!a%WRrei{&Q<A3V+SHI&)la^>014l@?{l4nn}{<?l=SbWYu zCF$E|h8@SYH_uP*d~rO&FKoMI|Kkf9PsCq{H1ihParK_|FYSrbh%4!v#(#YM44DJ_ za&9Tj<ckiQ^2U7LN6V-ZwHu!$x3~9SXw={FFYZ9tq!qWHe?NUHz3bx}{WVKZz3WKV zpa09~P1k#yrY`n?)B3NP`sIRnmMA|t^uY13_v*DVG8fEkeEzwb%d*OByT#hcy(?<- z!e`aHBa*VF)U40D`D)_vp1)t*)2BtT&21=CKHp~B`gp;|Fh_nXb7v8ss>(j*v^9Sw z=kC60u>Kau$1SJ5+3p1WEH~R$RV4D+?S+}N;ipHnhitp`CY2>$ad_@@>cE-!=YIY( zp75x+J(%@taj?y?`&kZZYu0Oh+V*tINx6uvDk5SXdv=zIw>t=}w)V;B&Pn%EdUR8w z<5xnW?$?TE?f0&vz2(m>`<k=0V2{j%(B)BbSr6InSDxnW6^zL6Q(o`w7wK`reEs(F zG{)$scN69ZYILl6clOR6Ynv14%+3y%UYJjt$>4nJ(_;5qKC-bap|?d39Tg5zoF*$5 zEXT4zd$sQ>t;rjfZ;bnYebwWa`TwM(ukQS$eolUS^pywv*3(zmUSr;u^Yovaa7}V+ z57&7Iy~#l>{0C|#u6K)Zy=}Wi?$ccFj<-#VXSjzwG@d_|u|0+F%EENb>bnYMKc)1Z zE-Ned*^u|;!Her>m;&Z9|6O~b<&4DCA9r?y3vAJ=4e@DmdH(s1a-&|Qt>KcIk|jA3 zlb&8`dK+ZC^3-LA2`p?b*F034a??4dFwbb;ud=c}`d@Nv+Q+{Zcdz%nWpvibiwaHX znZ#87Ni9g>(D8Xp;;xO|^Gk)!?kqWM#!ypnZE1YuwEG2<`L@gtc#?YJ$T3@A^SNTY zE&aPwQoPmw#WE(FyeyNfZ=4)kxu#5Cj`LEFa>}7dg(b!k?sYr8wNI$=)+~A@yU9zc zgY}5U1!vyEkFyNIHqI72Fk_bXdUoB-W=%`ETjsNP?3R;=xc6_}3{}1OZ)YoK2c<2% zdo54!<DJD7&5Yiw`{Y;rHMckwns|T5;uqfnw+Og<s(-b4z{q{OMohDz{D9jf-4j<P zg$VUC+c`;|sh{-jd@#?qsHV=72d6T;DkumPTD#+-M_W=B`+?rJm|47w8P@z>ZKWwS z=V#}>3A-3mTNGO&r!T!~d1~8B))Tv|=d*nGO6h2g*x#?DJ>huqzReAa6Zt-_o7rVI zBjDWfSSO<>>ov(!{!M6zdc5M9t+rBZqukX7y%l~hcd0qm9G8iCP=9hB<DE?jD=sN1 z6!MAB?0mfT$^HB1FBbCb3G@0@FBN3_dBR_Dl~nzPC-UF_9l3aqMdPFVhCTP6ef@F$ zcH*&xH<naJ{pz@QX2U*1k%YOc-&KC@|M^Tr>&oTd<q_9kGTi>Sdh7rC)eOtFo|9xc z@pjK@@hD@(1GA4#v{l$=W|LuVwtvN!cqQIdlbPHU6%TG<yZcCFX2r$VadzHY9HwTT z`F3^n8*hc;?5}x+YAf{D27KPwnD^!TPD!%~zw-7>cUyUA56jGAwHAj{d4i{Y_xl*1 zn847Ov0zL1L9VQ}626639ZsYN6<%Nv?n$-29(;_gdw<k>zLOmBnPTiqr<_n<zCB3b z_p<Hn&wC2nZXTE5bUY)!BT_bif12BVH+4HsCW&KpOAKaB=`L$pYN>bDLd>{Pw(-53 z*_OAH)!8oT{#*R%;68TV{u>S3GCq6`KVz#Wy`gG4Q(w#7+&-NT)lDnD96ctbd1lcm zo{CJz9Z%k!eBkL3ddF$uGLhA{8Uo9tPX&GKJR=}E_gSmrT)$cKPpK$5iplgIo_2rt z5i^hb8?}9ym7ee}yx*E6U>mr(OFl(`IoQ8Dvw6Y=^(k*EHylhnx7za3nxiYUOpYv^ z^?QTN_pLT>&x9<n{PeN2`<{3Am%ni#ixt_Yd*}<^kM1{ma%JLonYQr8iJP7X6r0TB zv-|rv{buP~+aJqr>b`6{l#mpg=M?x>L$_U`MPFU~L1XW<)hg@Cn#A)JpM6Z@?pqaf z&e0*EOw+N%TR!)x$Y<Z31!|M|mCrr-wRGJkA5~69DP`g2nA|(Z)g!xCoH**jw8qV$ zqTBuH|K0g(yM8@fef7=fXXU>X)&4pgT5|35&5r(*AhP_8r0kaCcFQXyr4|a`Yu=ST zohj#?U_@V@#(dVljyLw*QVscjKv*Wm*U(~89ka5_!r6K&baFnc>z`CCeAvVInnC3M z9>ZD%r=Q>CX1(0@y>Z&MS+m|;**N=)-1KE?AM)$vtU|i4?`rzhATGSx;ODC?K8N>J zb8LNiNqBFFmOKxy&6n4HU-K(e&7%cm>SvyO-r(@o`FhoN*%wClKIEm{Fz2{+r$GIi z;d=(37U5I52DJw7Tx1Lx9M7$*Ht;@jdD6E~?wOy&IVD2gYbWc8&o2_am+|#?(dy+7 z!>_z}CNBM|WWueTZyRgH3<aa6Kl}BjXnnKT?a5A`k1gE2xJ5Xr=;8*uS$Pf$`o}jm z$jlBdx);MB<?9qa=d^|E?dy@<T_v;TUtCo$mF0c0uA_Ky(U(;+u=5fazz4f8x%Thj z2Xh7n5axg#!JC?r779DrU1O{M-vviqnHfYx7*DNwA#Ly=Yt=I5Bg^?Uj`XkvB!3om zHs-oiZn}6~Q<2gM<&dSmOQskt<vVhR#me~12Fo+mjOI*}8T%P8`_A<}`s4#cV~SjW zSm?ryF*3ei6Xtk3$IkLS`naLh<B;N|gv-8s6LWRnpIM}%xA<a$*wV%gl?EQlO__%r zB4-FCM0hYXd2eM{?(CRqCc(kGfn&OB;vJ#z^ven1>6aU}HU=zoF$j>6GRc@>;h}Q( z$ZNy=mVyl*E+p`acs2*B2#BzCF$Fe<Dx6g4?euo&^tzHTwIfhmj5pObf~7k(Qf_5K zv$M)13mq5U$sS6vM+NxA#5^R#Oga{IoMJlJ$i&>r^l$-VOS2biYUp(K)f-OVO0bbw ztfj-R^XgIv|1kwQ4Q>J9w(j6mJ+H$G0ikX#g)<C%#0<EU52;!PEZE@FwyI*o>PsQ~ z8lA3zF(oGaVh58Z-^?%&z4A4oXCsS@*5X~Ox=$UNv5LFRb%TzW>(&Vjhh!G4VV|S5 zc-NL%J%t1xajne(e%HLGZcOnBYu{y{XC``b;hOH?$N;gpY29b9ZnQC<c`misF*0J! zt52+x4I7%cx)(D9U%VOcz?3OQ%DePs%oGNugcvRft%)gz92}2NTBuji&BRx_@t{VA z0k>~z>>T#pCz)K6_#^aQJt{mIBHP6-qr>ESc%pz18_%wmqb+IQHgkxvy>U`}kT8pP zw^6A3!h?FerG*<;HkjBr{JU4N;n=gJlPi>@-W*Db%+cYQztU*--8aX-l(!Wo?vz{6 z{pwP{_0UKGIUUC3#gTgFlo?f;6L;>2kme9v!DbY~ww1xM?2XUnl@?-C4@NYd-Fk8Z z`_<MemjAiN9wL(S_g`GS?X5=FJM+|}v|ly*G`bdb%HI4L-J_hp+@*wnk?22dg<}(s zC(CrkeVM0XD|Bq5U#S0*=lP*xJ*y|rT*ofW$IQmT&duf{5cE{BsAsX}tCq`iYYR;T zbtHNGR5#Y|HjEVwX{*|>z~X#JX2yoL<(YmlBEEe5I*d>9_FBzey*blhg)R5ibkmP* z7A|Uf4^^akEM52<0}fxiWqbbd-My>S(v}Gue-^m-|G)hI`}|D`+pqnzIsfRLm2rQ^ zkN-L586PV5y$-fbS2D|ao1cF+FYoQT*uypRUat%PY9#n^|6A)b&wu8%bpE`1x3+Bi zTfHs2L7=+2yMEr|b-`C>cKo<+cJK3@-TPLl2n+tdz0Rd`>THwC*F~Ui>bt?n9Vp^z z^5egJ*7>YQZ#!%Gh1yuT-@QAx?eG8Z)3_a9J-S%;n=v(3!*^@q0tO~E6}`T+U_(ZS zOgVuoQQ7A=ub%Z&zW;yy{r~UFIkMhXmX(JZ3%<X<?d{50@4mfRTyUdl)4%lDhJF5X zT$*cFEvx)Lr}9cjwvv*auwZ?ClyL9<uOjO+SF7Dy-g*6q`>L58+oV_6sk`o&8K5E* znPJ%1Ch6Mot@UBr_N&^z>K1+8UvK`SWB=ybsx7sbkEqr#&Ge};xg{=mG2rLNc@O^Q z{@(Xn?c3Xe=@s?2Hb0D;BH@0RJ2lsC!mfkH!AljttYJ;vde}lLtnN0a3}0yA#fKIT zog%-f23&jg=#Fa43ZK+UgV?F8O~NK3sgXY7yruhCPB#?_HoO<oF}ZrQa)OV_)Q-eQ z3l<bi*Ys66`ThK=mF3+MoiF}fm+_o*zM9vpvBSZ}hmSw_SWv9gs>cjRXG++3%sN|I zs-fmptv0zs^K(X=v+qUbdx0hQL-u^^oXw@{wBT=E$)Eqf|4;o?yXxcjkJ|$U`?oJV zy7zNh)}#82i~Fv`EA49i^soQ_=U~lTo4dv>g-@35o~&lqqg=kQHEed(-m16nqAp*# zGHtuilpTR0uG7mUjt74Hao_yW6^Em0&W3?y`L|;K{J-z^=VQ+L&y~w>T(}@`^IN3g z{$Fiu9?pjq7s>Dc`)1*3@2fsX?te?RwFx=v@+Y_UT*dy?X-fahzBp9dff!}K*Kd8^ z>7zFJL`r$|=2d1KRZ6Rb&$bBc|828-)y%j(U(LQ$E$lTjzr_*g_^^M`U-gSFL90!z zG$$?U_L!(XKg4s<s!1uu!IP9cLq*@~83#X{IHg0Fb@}HHM!`yf2kvrgyeru|v;61% zyZ_a0eoNgf?<inr{7bof|JR=i8cWYyo0lFQS|rq&rnFAwZN78)g_~io@5Vm+|9^YE z%kS-LyDStJuIiXE>&Ai24$p1poIT^>@~8gx)Xu{z3ali1oYjmJKhB($wTqM4_@lu8 z-?e+*9{H^#RNwjC(f_p15&2tNLXGEk{CJ;RtQT@D*F5R_rB7N@fB)~#yd8ex|NrtY z`A4kZ^VL89S|{*2Y38E#-~aCSt(o!Tcg6kff8(#H{0%&`g<ty1>U%RgfBe4mEjG@z zsnF!l&Gi2_Kj}>k4K+3v6#RdGoA!ju)}hPUdL33AxnL#fVywi#z`~-yP%Q3{pr9Zy zfxSx1?J~Ov1CJmlhp0H879S@+J0~kAHyblM4+lSg7i-c)2Lmn+wnGgWIU6`5<+PSF zFFk$Z%8Z4j3s!%rcFr``kmI|R<ghqdETCukg`S|S=>gyQtr(hi1uU@Eko=?C7(7Eu zlPjP_X3Eh9x5F1!9pY&WJls(=wNHPF9sk+K3vNkfm@KHg@xmZnc9q1%2kJdq6J+X` zQ;+)i2ET~lF%6t-#om^FzTslcMJL-myAtnOcPwP%2((qbAu;FgqwOIl@|H3kUHs^b zqx8w?)`eg0mC4%ew9Jl`Z%+=N*vviYz*oJm-|kn-D;-i<eZ-29p}46wY3_!Jr@SW| zU{Lyb;j-Z8y$Ob$Q*;xw4rna;tyyBUYhvq`U(U*%XAc|^TE2CC?4e@K%c|2#zL;{Y z`uKrqJ=a6YxMflS27gkF)e^3Y-;O!`vWbDC{W<@c_3!yp&Ux-h%y&|8Gq`80(;yOk z!uIO%3#}X%qc~z;_xd(=85`bhS+%s`&i!|y*X1{zVL2%1Cv)@0RpG78ykAbS1s$xq zmQ{D-um{&U<;dm!@0SI)3A|@KDc-$U$LU&C)maUXerCZPlV0gvFkCxrF5{}xTc5OQ zYAjV~cGatRx}*8HVrtN$X-nQc3XI+TRfYFLQ;GMy-wRa!F8<=;^<25~kGve05yw@P zhbO)M%zwNw)=}w#;49zxNypoBw3<}*Oh3Z>Xh*q5lnIBTf!+!SZubK$i`OUxT=wEz z=5t2w#-<GuWTx8vXIxxock4KN)l)T*cM_kgcuu@}<SnLGl<_+w=2>jj*NaUbb%j20 zYaNO?*fQCFc|wE2=j7t5&#YEj+=5&MhLbjZHFf9jiK;uiMrlRO_BB&8r8J+)tcfux zG0kuC<Me2MRotQM5iD=HdRgqhJrCa+*~PT93O(N^&~)#H`jTAlOv(3VB3W$GPk6E& zlJ>p!G`zOsyv&!Z<PE=C7D_GI!TPd)!E2UV7B>8vp3gj1O>nv{_`}Gx;q8Jh_1gk_ z^en|3;=?ZLe#?6#dbX@9()eMsh1Va==g+20|2a|T{I$0%)>jgbrkq%v!=h6m*POqV z_0K+*wG*B*eptD0o5tsYPg=6Z$qdnrbtVzJYy=wKm%e>@*}qZD@A$jj|Bil?{IW!C z^Yd-VKMhZvxWn9Y%;`?rvl$jEW92t)nD>Th4}*W#4tbv?4i-NuH!oS~A*ry%tZ-ka z$p+y9j?_v`b&K6%LGSr}4xLvDE!R09Gk5vJePuj;vpKkA<J(0OqgTJVn)A&={ml9~ zHtNkQ-9-2MSbo%(Fq@{LsFwb2>gO{LV?S1IYW>C+e_HqVhr$^(3l+aMTN<qHp6~s| z;#yf^fNSF_PPVT*^6ng*#mZOzVa8%^lk>;(RpwugzFpmJ!oQuVf%_D1lW%8t?$j~{ zh5{Gcg}t78mi$>dU5B+e{cr2@{-Rle8hg`AD&xIW4e!sq_i|VGi&eL!<9}BiYgib< zAJJdVKAmrY(+p3Wl{c21J-5+HDYS^eb>Vvb_e*EE&y+DSR$FMK^_YQuy+y6lA+^J^ zGakEI<mSjpbgf>`-LvWkZ{xjlmKO_Fn2D@Y7gw-2vSFUZ9KnrFaX-qM_avD`?D}n` zFXA5D_F~%CgbO+@r}!j!?oK#x>zdSBk^I+T*(G;9BE3%>E2;k#<<g}5lE+ryZpvSm zlFr2^S0yMm?tDB;eCci#$sCar53glzG*@zo`Ix@_cE34OO!}1L_ahaYrDmjT>SZ}( zrMa}F;$^`n+vbqDO|QRMF|bd*Abz*geV)d{n!}w!mle4(7ky?E`*U$d{0+b6x9=uf zo&7Dzd_CjCx6P|^7Yl{?AG&(sPH0$A^Yp1NAMbjpH&tQNvYpWh3nldyX~cGHy%)&& zG-XPS$l?->UT^vTTbtUp>YC2FabVB<<$4bnsHJzk-1t&+b^E0`{pC?%-I5%(s^Th3 zj`FZ3O*$&4VYlk@M<4Ay52wx8de2bqjmdWRjXz6&Eve1-6}-W~p!olZ!MCe_E|;mV znyfn~UpqoQueV)eQsC+vsr=gmf>`+9*Nd73=I@U)XbZbM&u+q;!$(i&FIyVA?4{Jj z&o|cP9%<WlCSop2!1^1%*;c+?cZK5y+bZh|r;j==R6KtBnuT8a%$BdqWo_+D_TAaD zbjtL)HG2=P@tCc8UBcz|thZb0n3hZ1^K(4<yZ6LD;pT%@R~-LI&R3rsx2!0APP{i` zB=f?{$8^uF_~P-)>V|5^^hqHLx2pfTrZqKO;Pcs;UK5|bxF&PJWNxvS&G+S*@^W4a z{1-9zG^IUT&3i`nvT<j?s~7rFpZIjn?zqCka9U>h%Tul;eomG(y*-W#Lp0yIrf*_D z8qg<tad*U=<Jz1J%yGqA%)dPP_4J5ug7EQG*CI*<6`G%_-#PgD!zQ)a7h*I;p9WpO zteWyC;mSezX$#dGd1lSzU0?R>;<~gi;r}k~H~D)(`N{0ergxv!c6ym`?%>aS@v-Q0 znR;yN!!vWMCVpL^ai{Y1OUAu@Euj~f1s_EO&pgWcl>h6S3(A%LCT@5AHm~+S%DBVG zeMN#p!p2!FkuLS?L>j}_3H_+~5YMWx><`C}9Tivq=2gz+=g43F<kDpu-j1-$iAD`4 zRCbkg-7K2*V&Ajte!te7*>u_Ix0hsr&*yzJ4D=<N7}c_l><nAKE9cp#V<yIWe?0a^ zKU_HDo{H=2+4r7BWH!95G);N8DpLJkvf9?<Ir%KVc-QKSthSp}!0|mgdh$8Xz=hFq z7EGLXCbBEoU({R>9OcKpefCt#<sw~^tCW6BPZivHT7I7T9pmq<Ha~oy@`$qqCd~A# z&^2Q1d-a^9yKAe;$&C(Xi+kU5ava#Jd*X?ccwbfV%bHg9U-8Zj|62r9cdXRN^wMZs z!1;F(>+K%)w2<za|JI=_SK42&OR3EjmCrkwr*}0eNqkktOs}Y52D9moEm!t`w|ISb z=krHm87B|Del9G{^PO$;hqbADBsV83U)A1UtUllVTW+q)<pbSKZ=PQ&`*mxXnDe98 z2X4GtnA5&c=U%hvj29i%JxiZ{zW(vzbjPh7f>Skf)=u_0WzCwX8R_@=&?TWaG0B08 zO+@A-Kiu|owZdPC1AB$u{QfAty`g9I@)P;{J$E_mf1!6&e$mE@U2pWH*iJ3Iqgph% z#yv2&cXzk#38~Bd=5FlU4{tfRtyNGiQo`wE*tNtNlOuj^=~9=l*O~WfMzW^UU(p%- z>+eLnZu`lf&}L${I3-!>=lU?Ctk%NzjxG%@9rmROPp7UmIrg}9+oQ|dS+*U&v0Uox zYoSH$>2E#Gwe5R!@5rKUQlDFmqogeAug-g^zv8R(jPeiZuk3X!QZ$aM?l?P>$G78? z^o5({+Wy&xc+<jGOuo2u;f$s2Hjfw@!%w<i6<_J`W!tf=#^)Q(#ov0+*|ov7G+gtx z2e<oqD*>aer>}Ya4!Ay@?U-%t+N8~Q>n@$)uDW4SxW_!HQnjRFZj+sw1XqES;-@-E zeUqquFa63lKNi1dSpF$VbN-U)OV08hmYB=Qc++z8+Z8J_1m_i31>RHN%^>N>Us0Er z-LuEFe5a*EQq~dqT>%T1+65kw_I|qc<7x|)O$QJ4_iUBEebYVjubq8%m$5z9UfIZB z>zr4If78Ac&Gf5inXF~Tr?h@nY5DVgUJcVSb)F?&{9(H9P0%9QpL6agM$hV7yd%o4 z`L@R0?N+>oceA!^&ZwN$7a_oDwx#RktYf=WE-blH7+tzeSo^Hb%)e_49A<q9tWqky z#P1w=i>Z3w)cFGMSuW(nZhpM7Wro?SXHyUAP3K>^gki%ks~09;-yQN=B5p5fs$o_! zcSnl$$LUKvzUQ`>zxrOd_UM+Dp7`ePzBc;;9=$bKAYW&|7o}Zu`i&i1{l!RwcR#dB zt}^6uUp{2Ao9Sh(i1E)G{$I)*n#)h7Pf^jSI9LB~?u6Uv$K|tx)*rN&YPn<OQ?2qq z(Z&B^f1tpE#PCYT7f-}CJl@{nz{%rwQ0NIyj=t6PiX3x}+7pkzR5I*Xc6G%i=GNXR zY!W8i%j4WOTrBa~qo|R8x<+*ClPed0OzmS}Yd9$PjBUzVjR&f&T^&k4*567J(MS?v z-23d(cT1g@pUQWbi%h@0<@*DNvl9a}>;BB>3J?CI(vok#;(Wig&<Crvn=d!N`*tuu zenO}G=6z>_XZfW6o7WV#H~hZi<*KE%R-e@Tt4r%|7rzd8&>DZs?MDpvfv3B&*keQ1 z7=IIcV6||<gR`%Kx+j0D{&RN6;_Eisix<rEdb6xRLH}lnOX#}fatV32%ax)IYU-u_ z0?UKnX4d4dmtSDBxNZ`=bC+kEyWJ|&n>+3czWCOq$k!<N$bRWd#qQPLW*bdodXcEX zwLHB_QRevGPq|B5uV&UWZJ4(}`+Q3N1oQc2NB(VXcKmew%F8v%8s1B!KJay9y|BoN zbKur_(E4SQZd**+j7Ij<?Z(M|;SrJcN7rvX`uFpruuWb2RTiILRJ+3}auVOe<M+f@ zs4eZ0om#Z&|Mcf7ayGLhZ{HH|^O{=k+bYKY<9N^6C;8Lft^OocqE>i*mG<uDPpoam zdi%4ard<E^UEMynL*>+~fS+qbo^w7BPIz{O@4v;V>7N(gjh18I>>6QuOiV<fWBHFc z$Nt@Wej)#T@!t3Iu6wkq{Wnj$@Wse=7Ke6K){eOLm)|ZQ{mn1?Vy^T{>F%YkxZWuT z&&oJ0@~lz+-p;j8@-KOQHkN4f`P9PEqoF9PDbW0BTf-dhXr><unSOlj0%?tp-o2_9 z;}SgKKEHxP>`$bq?@8_ruS_F9FZoy5J!Rp%ELC>i<s}JI-{pO4Sk2SBZH|D-9QM?I z2P48-{@!1G;J(TF#*9k!mHhV~895ydjkwN#%BDL`Q~qUynoq;z#?1!v<~=b=n^AFp zPVv>f@o#r;Tl49@Q{Aq8ol)911RpThz1rsce)Hk%jhjvO>m3!lrLT6s+T*oXfYeS^ zO^G>`HP8Iymrwa1DiFW&o$B}O{l(srd?C`lNAo$Bd@62bXEn=DT5WNg<t2+!VyL_v zU;D}P>sHAfNu3<~q_y$X&)jL7#FwT<oT>f!(o-m=DY5%3r*moV3Jd;^(f6jjTXUA* zP}Tmf2xGz-_LV=h4?LJ|F13G}U6g5l9M?VmMIjvVS`jM$Wq+>z&-ukkdHS8NEmz+} zB~1TT<$rhGkGw0N-$m_BNnKX!<9&FYK-)xa(^TfpB(~BEA&b(vp8VSyS2Sy%hs?*% zS{kccI1j6w5DoR7wCLE3sMRxh6GI+KK3=4g`Zeao<^K`UhdyRMl{y=Lb{1>PMemcp zXD_ahVZS`1*lt5Tf6hkH#|u@T>mE9MSkSOwUqq5mY52J=;lznAOMcDW=3v*Z_^kWd zmkGyCP3C*JQdF?cY1YB&whxkaPJy>9#Y_5rXvLLH=XtIB&%pAT(m756&vkRll|t-n zyML$g9&l>eEVp;xCEJd?w5x1Kf;p5_TpsQfbHC(oC>pawD<;;Ng`qECmom#A*L1sy z`Zh_vSG!kvcN<k7T&Q_nMdJFM2j9}S*rbLxIf|s|8-Kd(@W|_%^`T|nKFTY3e0?6v ze|WXmQ8@0+JMVQ1=d%Sb7XO~RchcoebJ8`|=`J+sY;T{RzV-dZE4}lg@-64>ur^RW z`hj=b(<z#BY77I;PPoq$)NHNwx@Y_8MbS<<@4Of3?Z0?S)?-4)u9~S2{ZAP2|51+l z6MB(Ve&41Bme7gvTjuY7KY!DcDaU1%{Le>!lPFADm*_D^wkl`O@r;+u%@&W14;+`D zD=%^6Lqb*m)@JoL25a8z|0o!~B8Z{gF!Pc@TFg%QOA=a7R2&1;nJTW!o~vf8TQ6?8 z@570sxArY`Eu0X;dN{kwcxu@bD~Y0sS*ta!eXI4D%-esw^&BsgDf@xPuG~Ma<iD6~ zveD1wZ!fFt`?banDSiQg+9q#zUHf2n#ya=egobAo_6zfvJkq^(^*9Qhs@mJH$k6d} zp6=vnq1(Q<+)xSJ_jmnCMvVYbt+L3LGTsQeC;`idvkL+@{+g(|JNr$<-;bpS!`$OG zzgn3w`&RLmC&G7jee*tWq339X^n}9wDbKGJA6<Us)0_i_&McdMw2Iz(b?)98sm2vM z&$4mX>m6KS^7?JRdk~8zqfvNa=|y7`F45Sa9p|UjDk>&qhCUI?DG6|@{M?vzW>E(J zf63&^gc^y`qC|e5#8W>a*uE6HT@0V9U@14FRIw#?p>1IyyV;qkM|zlb_wRf8P|u)c z$*S$DZ-m{g_c8XYt(yB(;iJsDW5;L5C9%!?vp~gGTI7jfPx5+io&P&+Pq>`cYx*d8 zHBdIPX4S{gOvkpI^R9D+OkXR>>Ap67!g6CbyY(UUFL9giZRwY{3p(UG`%`#M=1j5t zSW*4`M>bU~HLBM$<C+oteskU_@4dGtH0HFjhBSW?d%^A%-*A~{Mq{#@_Vcsv9zK!h zWS($3Alv5I6YhqYYLBzEa=DK2ZC`d__AQ6~R##Wls(uOfw-!x&$auIZ_N0`4lYyFm zOKr$L9|^VzK7wgYCrkdBu<SgU*;wnBxB1!R#AO~c+`QK=6#3J1)Z*iv0;_%7w*TGL zebCYF$J_$b=MP@wfAzC^lOw#jM@e1H)QUCpwEl@J4XmBT6{0(A9JMtjsPS6W1S_we zbM|1=@eHALKR%xLm6XaW{Y-hOe@RZU@EaMe$G^YYFZ=Ro;%?JrE=4bXIvv-YEwlOE zuO$&)XW4fykZAHT>~@oyyuo+3$Nry}Z@b@F85m`H`RqE6PN)0J6}VzUMdUIC{|hW* zKRe%mwf?)a$u@Z<n`ygEBSd|Rvw9cpz3}BQ`}+FtR%+F6G!LBTcRAQq&rq?}U!mDN za`TDrD)z!%tDD3A@$j{6X<IS<*!=rKJ#1}TpLsk^EWVJloB!*~MTs@C5&<F2Lbsm2 zUfG@>8_vcmtZ9_gx@*-^)fW3e;fK3c=N+G>>=AvTJMpG|W)yeFbal=xys@!Y-@J=_ z#I{%dnB*?@k{Hhr+Y}X^6{2x$zTFOU|Afl&iL=i7!KHCSX7V|$vo3X3)pDLM^Ka(| z9I6fu`mCAJn&MPg#3a=t7H~n!VPaUFSMNWbs(t%Xq!@qrhGztO>d9X3j|qBnQQcX6 z_uZ`(SMTcH^zOKnrYve!q{6V(XnKlV?#h*u7#!X(G0b(El6T$i%gnjk%1r_%r)9mm z;eXO^`<q>9>fVVj{xO`BIq^HdC(~@&)en2uZT)IGopF-;z9nr-vttV+O{H@TKBljn z?2)73T*2x!)zz9?DnL&0&C#1Hx@Q{izWz0QhVG}%O%rD5e)r6*>b!l_H;%)usrnRG z;PuX%mrONTzZmnEuW3{3{-N`1du4p5#dG)Q4ex!g)v|Zb3q2IW>3`T}%8cON&Lqoy z!cTl&ITnbCnw>hDBz<CYqV6u{we7__io)cZ>NiYStQMjD(O`a?m3>cb;We)ILNgJ+ zmB(D}9#h@Attcfi!)W{G&C=YKOOG>LTXn-<r*8e4Uw=#XeN%Ypw`<ZnrCz&N>!a#7 zolCa;`FH-YO_2{y)SAa^D3&`Jf8?>Lo6s?qoy$78Lceo_d;R7r`mE0B@^|C)BNMp& zw@v*PaQ(~%{(9q^7c2J)hGtvO-?^1(b@TRjJJepDTfil$?Z!L9<iVNz0|k@4<D4{P z4cd+!k6V95(@j6(${Fo6YlaI3p0_*-z7^=N6!`lpS@W!T!rx-Xk8Zb4IGq%Z-%++J zq-DmGt4>Q)+UF<z>KA(bP36FhYb*_xfo{coiJO^b`J}Ggx_hC<*6i|R8<)*ptl}n9 zHKb!Dw(s1lCB~eh`rUn5z^8pWO}Dnq-qpY0i%RcCr?<yG9A&HFII_HWcj9mPooua} znWeK|?=DC_d&}<Ou~gqub%WRs6M5wB?q78xbjAdW7n<pJi{wJjKU4DxIp60SHEmjN zv`D0`{B6y^eT5D!>u*H~^)H<4CUQZ)<8OBDy$2!c7d|Up4)^u{c>U?36YrYmIIVlQ zI8yFH%k8x?R@1X3*|$cT{#BUfbf+=(<%`X}c@^$%ced>7NnU!ZYL>cYje1aiL7{d~ zLax!O#HDL_6mlL0sj|i;E%20e+Im%$gJ*$({{j=a3rlYlzfF-0aY|~s?6)JUE6|j? z-~Hz9y7%vFyAJxKI%ZXuJe|3`%I=+ZUUL8S%|*L^L}qM!(B1u+b$_k!V=t}0U(*U@ zxLDs>->l-Cd86{^Z>^9ey04b6QOLC}zq9n3sOfs+(C*q_i#K{?^etV-dGjHYXBne( zYAiQv`Ly1{?@VsqSr`^+@mhbiEbGir{{{B^&CO0`*A_hYR#EMo6?gFNzv|orhiB(T z3f7j08O1l}OsI@pzw314j#GJ)b!4Lj+Sh;Bk+jgMK*L7Jb&_lBg%{3pfBQV*4Koj@ z`Ldi);SXWfX=Xp1av`CCM~-K*!LFMT{e_Fx*Ewvz+p^N!eA#cWO=}F^w27~n$a*-7 z`4^whNnR(Z-;R98`Xn}9%$hQ3qkoZ+^{I|tF=xf|xdLf39$T`!6t0>q!DaMz+Y&ET zS4FEN+5O2|cjZQ3b80-}t2mAQ!*S&;nhK|#S|rxzyk^NRXZjR*<DcA~)!$Aj-n%BR zyTW;X=W!`5iIaAYcO5?k=!xF1seK@(uwXvpU5Db;(#H*KX02HoU)>&;^zHQB*}u$! zyOmc=d%5P>#N~3~hrVrS>vs)nRj{m!So3cqQ^OHo+g5um*V4%>k257(Rz=Bv`ZxEN zN4l4*&*Ukqe15WU=U6lTUUEfDnxQmWT=+@o+xT^PLZQNjJ`0;0!rt;<-?VGznt4Z7 zD?C=3@pNO*&XTF6s}I#b3=S*ZI+MGlIs4Azr_~J8TV{v&J3aM((>3GRt&1!XI}c6{ zv6z{-nP1I&_w`R!t19m}>2;R!&zmuM&sQd0AMQuC4xe~V1aAn>^QctWkooqlSbFQe zx3}i9|9qN0=k<%3=`jbGB-KtmXI`=S6nC64<4cB3|CP`Flwz(esPy`|>e8p%Re%26 z{c>)Rd3)s6<qE$i2$gSIy6;HLmkEtinI>o5USX@2GDn1mD{S_r_21pSR{y9^xo+oq z?ZPbGBNtW+-&*!4m09zM{4@C-vO<qJjH+#FRm<k@DVn?CY)D~L$3m`5_0{KBO;l<1 zifjFyIY-y@lYi8d9v1Vv4^(Hd$cFTNELUcBjpq6@Wx|=*J+UoHRYG6%Y-jt<dwD7` zfaO+fjmO%*a+hZxey6aZ@X*$soCkd>taMVnEY~U-eLDV%d0qL@cNQ~_IP*vE7jV~j zyefwIvfSQ}Z`g`vF!lv%`4xUid44z8(Z%}AvY_PMxf8A!zg3J<Tl14y`!36my>mNM z?56Mi_~oc2yEYelvguS!>)3Wj$3<ru!Z(&{evxMTKl9QG$L`(Q^Ay*2Ub){|pcGcL zr}2K>Qky;U>Iyvvjxc;o*?67T#`=;?WUzYC;wFhnd@mj1Hfz@GQ+Qc<K_^|iOeOkj zN``pAg*goeGj}WIoJz7b{lkzf^mn4o|36b^+}U+CKBp?uV($Lz<$qgKgczQG*7zg8 zOLyw7JNzDcKiU7TVt5sp!KK77d6LY<GS?6j8ULskvl@F2zxZ@$gWIG@MTbpowf_FE z`Cie+(9Y1sF#F=&FGZW}cK!1Y)chZRJo;+x&uytcPaFTr|EIe%@%&C}-O0<+&)ZC& zR`h>=>@4Z~D?i5<DVN>ami@ad_jcK=(p%3nLsxH`vrWZyQuKDoTV=P;O)!3v_VVG3 z<A(#=7jKyIe)}8WyPKZu$eeWSsY#ioZ>*p5^vh?SniN^O-q79YX>;@Ajl7d?#pZ#x z-$ZX(m~(sE)xzxC+wQ9NdQ4LJnUT3Y_x3Bhux*}`R7|hzoU`P}ve=)x>lL3Xc}h(U zo|KlBrnWJ6`<9yan4Kqs-#t0`XZqW%sweX{OEsyKTkf25OmF$h)0r=dX6>Bgrl(%D z#`99m<;2T(f1Rqgd}1M!8hq;g{ii4LOf!F(#NWSX7vC2Xw0q){YhK2@Ik){L&5Yl) zeVWScv(uD|1TVf_xud(It*Oar|GL-L*Z-fCay!?v=(eAJ(2oj{%)>@+thHjVm&SVT z-LQ0rOyne=vzO0o%e}qLR<3{bv?n>Yzg29{-JW}UTixwzNvF5z-hGmAd*hZ|*GVd_ zfg+a9MQu+eyk4f*uCg=t_O_ebPA{7FXab`Er;E~p<kp@pKI2INT6QG|CLCb=#4yQW zkpl~3N|R&CAqGZP0WlXb2Nf9~i3J@FLK+z{Av-1{8Y(h0xV7_ebBpT9WCS$0nCR%( zWaLyNG$uM8Okz@++0H9zq$EDcL9xitILJs*=;48F(fl7KTrulx^srbe@^^mjHeJvB z-BOdM{M5gl?LFykn&+ANn{m;T-k51$)K^-RtbMt3zjNv3KZfn65>5mt8Xja~R${bl zaoidHEJ0T0|GUHWEzLo7yH&T}&pYYcRC3}#hfl#2j^>4lZh}0LTBmwsJF8Z-Oo)?W za1~-WEdIj5LPd@LvWceZ9cGaR7G54M4HrEdDG3E3F(CmiJ}wC*5iTLFw!;S}cu0uw zxhNXFiumx5d2O}c7sI9(txtqHUOZhF@-Dv4Xq)G4mwd&*Uk}bYT$+3B%%0D2v$Qz& zpReA1=bXz)_N7HaGhM9n>))O~_h9|GO~(J9JMXqMdnWqn^yP)Wqe_?VFHg)V^K>gc z<iK$==sd^$oYQ?D>~nbYZ#|cek!JpIdgjD#Nw+n@47QfOEBd!--h6y~QQ+D5zK1`O zG<2(ax4u@e?F*BgI>CGD*(vh(y4x)a@-G}rGwO=zci6<J^m|zc_mhitm2v;G7D{{9 z?<-B6v6yM|^zBEMPTs8<$@M>(yPx;f-5<8sHuBB4GVh;1<36+T^^=Knt;-XQ1vbtx zasAaex$)2&P9{N}oP_q`n6oV9mAfPxZ)#usSsj@0B4atP&c6J23>w+HTMi#^HaXI< zccs9k5AAIqHZOla>&UEos~#Qanz};swy>0$h452W_S-t0Gwg1LOPLqxeRX#~y?s)6 z<G<+5ekMm-850fbkLWz%NXv+5ms`m2?$yutM)`(&XDzY6e)Hn0D~t61%iAt_ZaA~o zOE1GXCt;6wpvsDac{fr|U6M`Wc)aTF22Zb-d`Vu9SKXa>%-(=8WY2%@w&J?WTk3qA zg6A|WDHioVda_LAz<%vJhr$H;cQ~Bm?TgC4@&BEVC3mc~(zk`#?nmo>m?#(N?<)&^ z=XyWlicW;=`N%`Oi;^_hZ~sl+b@_AC@5j?~+MccU<~^d*6Xf%BNu`y$%Av<w#ZxAI z3}M;3$&rOk$E$qz8OFsk6y~m8tkIsbYU9!PCGHZJc5S!kXAwFvDPi*DvrJc<6>Yy* zhNnJJ|L$>7ukUTgC6n{p_i3<hIsLKv<i>MxNgt~Ma*R%<2r9_%PO|li=q%X1@yUM2 zpN{<ZvThWl=)HKGb37$*Lcr~W{fx!O-m&N$fA_hy``a~#V;f%zYgVd<=bCV<xVjsf z`zY*EDZD58?CEdq3F#lZURf6Yz7Vr>-CnE4!yD!H&n$W&?|1*y&EiM>`_lxD@l5QD z*3#ML`q{kA@#fFPY$t5*2H4Dh+2pk+!)w2*kGa3Z`P?lBluMIl$jsF*Vc^r<l5?Kp zhO26(e{^)NrOMCw0Xf(B7g-f?{nov{$a9_MG(E?I%l2(+`IT~hrj?M-9IL?OsaYH~ zKNekg-*j&IX}zb${u!5So7w9h1}L1Hv2lLj5gz@>56nImk<qL{vd?!v>Mw5XW@0US zY@J<qd(IEx+}349k)f{T!izWAsEStGGwK^EZl9BKLTwsLQ56eIiq$$ZtqpTaue|U6 z^P9m-FSI-7O~(1a=jYZroHkzSsr&oq%y~}pgV%<Y^=r)kKBc_)!m{*hwrlo@e7u@D zi}Ceg6;=;>Vcjix`>hUcQ~T1jn{yl6v1&`N)v47nCA&0A_xC0@r2IEpX5ONCTiakc zyF2q&kCuuciM%zLR<?BqZ|kj6d+=xLEh~<`OEs?rd9x3g=?HhW?K?N=mEq6Yqbs&g zdi}q@k^R7eq<M9cYtQQc?Z16lpYQ1>E{SM`C|fZ>+yB8AB5lNyFG)Pxr+ekC*@;g~ z_ltDcLQ1biE5wHTnzS(f50bqr=Cvq@C8h1|O19bCEV@=_Wj^s&+I?!B=D!=7jaJVC z3o_Q%75|pL5?AHOS^lfU<M}%)SJz+jHnjg*q!BpXpwFw5<LU(#TTaQ7$67VzUQV)o z`|Oc6)2GfSuD^DzdblrHO}UUs?Z<h`RT`J%{_BP6+?lxLm*$pt9b3KzXU0x6sam*W z%L3(duHj9;-!Hsb=)@9#z4Oon(eB&R?uow-2<yqJD$mM4xb>HNR&{w+KIgHu8=tJc zvtie!_}s+TyLx9`RLxA;7HsAfZnP=dIrw9?ZRf(ie^32FqdIj=ZDTinJ+8oKqOG=g z@)1vlYp<qmHC_3UIaIp(l1SU@TMMrA#A;jFCGNPV?RC~paBbqH3+LaheD+1;x@WJB z24AP!#1*v@yEnxJd{|lXHll&|WyBGqBc`mT^Y4WAbMN0N+E6XxyLLAJd4bcX7(3(^ z-d5odZ|#5ZL4)B%v};9Z>!;NPo?h{4s|!!FoT-i#I>NZmpy#;73OD7?QafJ+OqjbV zTr7T)=AO$341Y}UPmU{k;$agPJV|unb)EIcABbm{C4cDNTB0k^UD#_~__J_}z@yj2 zOg_5%*M9#d760XY)~^50-|k*2eDy?*z0i4=yH}FsUr9Z=FU(-q>)_NUr?%+N>`RB2 zy<VVtNrgi_$<X_YOGJv#4~9UVU>|8~zJJfAUzoG!uGR7!i{HMUizj~AC9bh^p+GdN zoui=YvEK_gSJcf_(A#5M&dib8XStpuZ|(efpLhCB<*D`$m~{Btl#ryGyBlZ5?AyL2 zsO|NK2&bs1-_Ol&30{c#^<>$386ShQ2PZRq&yl#jWjjaOj8{CF@?l>;PFy}oc5V4= zxg$s4e9kbrP%`y!%8u{N7W?M;#EGt)({-ura7=hEzroQzd%tdCExjbQX{|!~T|Z@Y z<`d`k{5qC!<aaRRt1WV}x2q-^E{<6qDSP$Q8TrSu2Ah-g-gN6QUy3+x<5ps~hVl6= zjvdyeRU8X-H&&P=2Rc1AZe8J;bwWXFO~~z@^B<0^WX;*b6zIhKr0RuPwr{ARQRULP zO6NJ`!n0p&Rdu{l9(Hh#|NWGW^9)?4ZtA@wJ2Cmiq`8$l*G+V7u-tI#u_I5V;+Mx2 z?)Up;FYTYTi2b|xDX+&2YAiMk>1USaF(!D%UE8N!&;Gti+P6hG=a9=$CKmlFnUx{V zJeDhs^`$Qrt%#0dRpi`uIV0?yM%9ZleaUSd$D9s7Ufr*-by00f=)%`hh3bdAx2$Ji z<u5s7q{i}r`S{w}bg!FdqOw+~1SYs$%%0FJcY1bJ@q&F`Ri<Z;o!I`+z$D?)(sjG1 zta4z}JiOi_-2a``;x+Ggv@LnaI9t85p3yc!x-Q|G!`-*eo7Dau{^V5Wq8pKXVp@LO zqj}q<%_lQWu)VNrolP}sRnz{!CuSn5^CpP(ByNq2`Fc{Eqi%xL;j3>tM1HGQ2DR+A z>e5!6C4N5DoBgVF{g*kdt3Fq(?7Vny>;E6eqd#w-Rd;#e<WrNUpE|ZN^KXfZ=0T4K z%Qyr*GWVaJ=UMsZ^s^cFUlgtJKXL1j`?g?r^G}ENY5tq;${N7;IrCnI(u(lQ*OJTK zq*NMDEC^a}S*XP|SuVW2%Rzg?yW|V&jUPQ1*2z;B&e_y!JVVL;^1-hsj^AFjZ$@)a z)vgPUt1?d-%u^^;%QZY*k}u{et-nfGRr1^Un8lpVUemg}H2h}ycXgWO?K-w_XNljY zGhF+cU3WNsd#HAct+V@VZi(Wf_}EO&&eh?wv^KrEG4tXPfh>oq`-?IkdS7&3y`iRs zaY5~El{i&_QU(S+S6$Q17FU;*tTOx&zBVOLt#0qZS`FEF%j!Fu1CNKK|H%vVy6AEt z%doN3^;KeqwL*Txbx+QPi`RGU;hSAq;U2vB{;y}=>~{@VLzf=uXIlGry5}FmZ$^sd zdmEaoiUJpuE4O}Lk;g40(l~>o?rT)~&fTRuZOZx5lll^FCfPQhZ?oX4>6hQbYLxsj z_DV_O-I<r0b^ITDckl8}Fr9d+GHdRGCs(+O^%xY+%@m(l`Ob3ki~CGZ<+<`-tpC*9 ze)E>0b@<kT?EX9>$;HnP+q+oZO8d<3`duMR&T(V?i#Zqa=B!APzjk7}%_h6w1*bGR zrpoy0hE7h~xY$Z>(#@BCkHbrr9NMwVnIq2LHoms+>4Wd}rNS#`s$^bkE6`8tc%~+H za9+*&nP0T-3u;|v5X!l~!SCl{OVdxT3d=aS=Etn<$S)6d+4Qb)pJ%jXdTv!w?X^Se zlOA;|tUY(`4Ucl_mFYKlGU{eI3zjl-ANYKZYsyrk##<g+4BFQV9yOoI7;v<d`}2C6 z>#8NHE!yG@Q{6VCrP-C1{XfsjA^4=}WX8NjeH(U}=B)fvsaj~lvr+1Y<M}^o|0{*q z?o9R--~2aw+kPGY^RLev?>6e1?0l{0++*%fE@?9h|EHX;pQlpI!qS`byxY$|e9ljm zrx}NROy(D5=G48K)$=9dl=X_lP(!VkQk`Y#*B(zRSYE?BRd9n_SrhBJegU?(6{#<a ztBQG8cdGAyoX}%=@3e>TLyL~}nVu=vpY(VCn(oPe@WIPns;hmhtdxF5is<w8%<U@3 znke#w;pDTgjT6^RW7iYht0GY$RQO3Qa0a*K&fT+QPo~=&{%qZSb63rV+PfQ74Q?9s z)s)@(@pg-byQiotm()hLIm`?4Q%-SCDfOA+E~uI_@lHxfD+}+PNIxHws}+$;R`0*F zUb^|KA@9cumalp5w(WDP`*%}C{n?@0yL|GGGsaE6cy3RJ-5OWrIODM5hc`3d2KMOp zoptWmr*uy=#$cn7>dKv~m>T$fH}724wI<VfTY+$z%~=WErW5<;hNbDn>{Y(1B6en0 zl}t&}l9{bF1+rHr_4#kS-To)Zz^ZLp<0W(HmduvQ<42CU%C%^HVd*{F*|j@`nO|V? zzFY;T*kIAMlT|iVEHHMu6;YD*``A{7raMXjJ98d;^`x40Y@X)*#N;x|)x%yhwa@9y z&)o1zRI^pR|KZ`#b-~ri^Od~Zy1rPrXBf8o9hheNXy=BNTzpR7uDF}*-zD67k$0k? zRo-;wsFlyMPyYRT+?}7J^3~!!(iekm!{oL|l<;$S=k6{}es(4H5=-17^V=88f12rT zo%?yW^F}9`<EMQEoa=8q(Ms2yd04VRYKs`J?wzMqTcjpRi7@0v{CQc;&ih@Kr7&u# zU+vCB+h?2^yI<VbSoAb^t>dBFTdrD(eEei<%_Gol&+pu#q+oaA|D~c_Zq{kbD%;bz ztzO5wJN$TNW$^LI)LHfo{-<3|AMrGB{3E0mxj$e^uteCd9bRQiJ@_(XUOv)_oVv(o z2CvuF`C=ZQL<6oC>0Mqa9w~FRT947sdd`>R7Nyu}Pq~eLm<GGG#*3(55$uy(a-!<v z^k)yJzf7Fnx$Kn?Q#zZ9m85TpLCKd7KPGK4I^z@4$tz_M@U}d@Va};+!|4Y*nG`B6 z6uw|?;pU21;n|hg&TX3ZC*3dLKnJ_*r+NQ4J|;ABzv480Q?S_WRQRiJb+4q?aAy6J zKXB*%oL`;i4(yT>uKVTSyX{7;QH%Oh^XF!EuBRV2xN<OgDnHnB(s16zWj}Q9SxqW` z{6;y@(MaWlrjSKT-O<&$hO-@KX`D{_q?>->b-}VbALeWLK9&6^<W+d7LgI7BOuxS# zTSeX<Uvp*Uvd+W8W;bmXGN?V+>+qB<_QbT$y7A9y-u$@KZdomn^ULtjCfocKCtv88 zFlcwZ>ugG2>bfI5cduTiG5faWLyNq;g?1QfPZ2Ht)eu%&Q^oA{jN{&2&$qXE1<f0- zED*A|qCY48aOustD<+?Bw$y#?Rp&l?Ui-V1w`%8qUJ!nD&GN~gWDm9Oc8GYT*Lz`C zuhsrb0(--m680xQWN493d7oswL9=Z8mz@`N)}5<UOZawK<q#{&tH1v*tiF-+L#1fL zg%o~Ep18%;Hp0_`-Z?(r@$ciDo+$I<DW{ivNzYAU{%-CwH>7up`ds4&)y>Oz-YAy6 zl333<)6Ds9%(03`mu+8fyShMr^~dFV-oCmpBgR`=TJ($ONhyD$b8i(n&5G`_bA5^E zTRiRAF-BLp65oqkEi8PJTQn^87{1EmnE2_6k}sDAOP*Pw;I3l{RfW9eE${U*%kFkY zI&xab8%Z{8)`;*GKCYgU6#vOGZsmHz`)V_!o30-_vBvZAil+%yQcVv1Zajk7Su5*r zBu$o_*E7ri-5n7Jj^d31Gj(pviqV+2oPEMM(M<ue+1Fil9|rwa*zx8DXNw`L#VWps zip~}hU0>yEwq{2yeifp5dS~e-g&5h3O^LS||1J?<^jdM_6U8*)*y~r`Yc2}(R6Cn4 zwT0JfosOLBth?sjS(&H5IDU>fbRpxggGu-w{rImHlLLh89yld@$h?rdd-FN3O(j3> z|C}{zp=S3+{^_UU9wdp#oY>&^|7^s8AH1RMFER~U9%vu^we3LxhuvzUZK3mLZdm`& z)1t$6*0kP~L*1Wj8KXCeR!&_f@KL&DwgAKAq<7hp{2t3aG7e0AT=b}VzhBwL@78Pg zJ*@hDQ?nr`x>C4$A5+i9hA)SIb-XX%r>2pvFKfJen)_tUWnO7_r!<`ry)MIGxZ<k& z)!8+%{sLe4F8$e>;=j85^^vVh3a`aCM0~z3RDDVOVV!lW?}O-8kIy%R_SU?e$r<*z z_w+vox7p{XrTjls=&f>zSNQq9Y}w$YavS^>KV9(fmeCz)*H^Q+cWTLG?JS$Od&l&T z8;m#4yR~$WB!>-?Rc+$(cXy8+w-8>wdv@>okHYQN=F#!V>VD<s((%X6&B@E#w(pqV zoI7`NeV23XyQX}1{ZrOm(^tChp5~Yxd?8RK;|uqf)r?+0PWSB+UR`7Axcl{mxoeJa zsxiT~2XcV72L>KDT^!87z|hCQz`zOH9+;VzTm;`A$bIt6*)!h#<(@j8J>{qMyS|_C z*7G{+dsf@?OyTL1`ug6wMpul@je<1%bWi!5Il0D5=j565n>Tssc%3_;;~i>X5^fM+ zz?`ITh^;rULgG@!1a1bH6CgVj8!J|azGP!y0ActJ#iEo%xC=l#6cav*{WW7a6*fcA zRiJPVGXog7IT$lAFnkCRU|_jXQ=lAlQP;$|MM~)ANul3AH{5?w*Z%OrkFx%AYyNKW z%t(#V>bKhY_k>A*U+acU9X3WrMt;WqCw25Ue*KcS?V-k^A7|4nPhEXv;VKsW?DNMS zhZ^<Gd%mom5d6X=<+*qM)wA=ieVEx*e8VK@+^m%|!zSjfEw%bI>GP#WGn{t_t6P1X zb++hzu*9C5)8Zya$~pP@o|34$*AW<{mbA?yUv~S^gpl7#h4L3pypYn&SUv5c_icfC z@%HJnpDHWQU2gKo?s7`YZ{Mi8PhX>33+^5_o~3^A<cmW$7e5p|e){w;rq|ndy;$~j zl45jQ>W)iSc?*{ws?HVN=P^%N_j%my36pdxobQW8W-$9Xwz=LG>G66P7?9Gna<#5T zYlSP<oJQ7sp0XdIhna3oW!2Z(!)_rq>15efre;O{6|*JV)$WRjY;UVu9I1E9JUI8V z*=c8W-&4P4+9~U*H=Z&$`$=e@_0c@x^EY*t$M2u?JY-MFq{nN5^FL*1f7{~mneF4v zv`WvYysAs5&wRRkoqzJJXKV9MrA>UFF|EF0qOdT>6G7W)k?OvAKXNz!5l+g|x>veQ z)4lGpj((*Or?C3%70cq@8mvw^R&;(@#y;uCCj@17=4xHe{k-(>>ZM`&9^rCvizDs0 z!dIkiO=y3fF|FN1LPnb}UG8mI>kZ|fJ=?f0DrD^C3^Kf}8+RdJRQ>0#sSb<ZhwQ1I z$S%~G*=IAi<7E2Gr%DcTekQvo3cqamU9vxQTED~DDHVrzZ=A%h{;R`AeZk3A52xi! ze;ym^uxy^$pk6VtYewJqO}dRWbLH<99&QSBnIxh!>Cj?@SBH5c^!6Y8VD@<J>a%9c z)n4qa@ST<@^YWpn#PR}>=f|%+TJ&I}&*c&mIX%bAHxq&;&-6W=a_ZU1*qdu^{P1f( ze9(x0{ev6fGLn1PE-$%aQ`6LP-a__lYtE^@yOVc6$tjHdFy~BLrV0Q44)N3?(YVVe z6Q*}vR$j5|NlszqhsgB96E7Xs{d4r_+#4PCn~h_OPThPo>7hBF`spR@>2*(Drk!LF zdcar|;iDFNY+;u9SqayJf~@Zp4=*ymtM;AW`>&a(`OjaOOFU1nanh2zWpbo?$-L_( zr)_K0l3SHu9*)2IF(BwyP1r|%tsJ+D<)T&1i?`i1nOVZJr-60#o>R9bP2&9Wki%gH zOH*=2@}#R*m<zeZrBbWy8UKBJTjiRvCv%$?pXscuuOYuHXSe-o6P(jj9vrb{?KasN zU#ppSyI(n5UF|k6kCn~wS0)>u<NF@(t7+QvT9i4D$oAO8zFNdm(U=wd?#1o!mV47! z9!;}Nn%EZfB7>Dp^;i{enlQ(u0R9im>-Wyy&a2)LZK4zXboJH8uHjjq%YPNHDBNAd zoBPd0sFwSOeZ%(EyKQIZ%RXRryZT(oFnZb2E!z!!F7-|?+WE#ObjE4cHTRFi_=Qvl zu1gQC%F~&3VL@WEvg^jLNk_Yrw3i7zF4elsxBg7UnonkXqGfhB{9Pfq)QC+pEzQLx zags_eV}jDeg<4wtLJN!{yN*ckI31I4=@1l9)^N$_Xi&HqGV^87$uCkX6NSDhe&OH$ z^{9T;OaI5SRQ8q$2<oQqc&zo8GjJl?vc#<an%&pp4P<*J6{JL_Xd0!QG;GkD6cD=R zL<>uL%u|nfmnL7{oTGjseSIuvn1R!B7Qg4)Huc|V=@4w^OF9uSWvl98)`Sp7l@l)K z^j2|i4O5!^z`dE}iKAGD;N8CY>W7ULSl7Q;|MYO*vRxT#7si;H{<|vCC^8|+NmOIr zulC?2YcfvSb4OP`Z+hn${QdaMjV71myH(D~AMto3ap2Dyg9%q8Km87ND2V9E@|(JB z#uCnuY94?77h4~^cJMrEf8{_@O}K_M>->vm3c@}&m1QfU_rJD3YrDud`%tIml2tSK zc#<w$kl?n^`A{`)siJ8_Q%}Re{G*IJ9t7<Tt({qE<C1TrIms=g=EuS0+ZRO6Xtc2S zSz4=91RPX)`l;cC*`M>PGTJ;V3SGG7ON;7OrY#Z|3Y^*+A$Pd<rD*7dx4|!kERJta z6+SI|Hj=GkRf4eQGVNLU8rrXx#`^HjX}@}$%b1^OQ~A>U-#Au$XO-%3d0w=K+2^4{ ziRlcjpdWj;sJ2+t-1#UnbNcCZSphFEs;14g=5^&i-q;}EFK@N{(Oc`k5jwxU_#|A; zMR9*DaxKwsXI-D9)>#+!)Ien2l_`64LT!&!*+2hzuV<sch1hTtuHvL4TW-n}@J%~D z`^4)B^6dI{Pfr`%_m5=YoVhbRwLJDuKl@MJH}h|@^8V$qxO~@Q1^eMGK`t*;t_7zb zcWpZ|+k5d#@4B}|`YYavXdN_G2@!?Wi;${0`SlF<3?>GK0#*hFUTD=EUzC!lmsOmf z2d^A^rv&ETG7xFK|66<A1huU}zCv7?57%nnwd!o*ikuk|I#D>j%lvrSw#n1N{=VPr ztgaF5{h8s=^WD$o;;n7oHdad|$7tj}oIOV~HsJKsoG%YnUd#IHqdRTZ{j+PM<Ni0S zs1}+Wb|dQ`zut}-ixR#{VZYXfjqE%<7Z<FXV44{Fl)ENW+Aq>IwU0-ya-HaxjgKs6 zmB&c6zxL@2Vp<nCJM`8u)Abs`0j7IPjhkwxYvjovcs_}nZSDt)cV)>le&v;L>J^t< zvhJ!*6xaLR$$#};$@}2$dsjcl8#JHz7`XoO%dJZ1%gk<ar@lIPhGir7q)egXxfhmB ziMi)^M@@432V3o>x(8LcYj-#r-7K*Pc6C(!thURpYs$ih<wi><buE3!#__l<jVaho zcP?k?{6*Kin18M<$?^(cH(ffw_T-m&udJAVW=?5ISjT0;m@8{2vV}+Q{O|O|i4T;x zQ$-!4jr^9XZYrI!(r7+=bD+^G`&oI$Y6jk!&of0#?k2LY&YbM0{z_)@*<N;?_?E_U zAJfIY_kUiEd0qRnx9Z8(N5<7p744S=O5Cb{osblNF7?sj9b4T~dS_L|9jrg7ZQ6D+ zONVcN<o%~oUMqgGI!9dzTV1=BZQsRBZ0_9ujU@U7+l=)D?}+QRzWtiFWYfyXgmdXK zH+3guopagMd@Jw5bE)Ff+H0zFRwtKdRy6)*o&M|q^FuR3S-)@bTXHokqi4nK(NxX% ze!Tkff8Baft;L7-K6tl`cY_n7bCI7~$}Vod9S8j1A7u8gJyYKMu4L|+zx8*I=a}D^ zyYKL(69LV$8s}y&Sz~wc+pDr)&wrM^|H+S<HqSjY@%h2Tz~H9CzyNQvl_Q-haX0c} z-)#kfn)lZw40g}hzjJf%mFe@Yc>Vo%ZcVXg>8X{xPVO5fc^r#ND>Hbb_vHJ(&)2_i zm%mvnd{M*NF7R5;^lrAuly2Abua8pPqnA9W5?*dRPxR*FRl@IFBL0@o*O5D>aOU9i z%tPO^Z!$~C%~w5RnZeKRl2##D>`}0gf4|?8_eTFxzNhfp-Vj|@tp4=0;pgJ{+xKN% zvE1SJxNgI58JU<D$K+4=fAafd{4?49-;K&YmfwG$+5c(Zx0vZO);D#?&w3(#hug~j z$UT$C*FJ1Nx6{;a%h_F1<xEcR-uh>)Q9f_|?mN+k?-~8R_F?;({a^pAH7eN4zP1QN zYyuIVK!j0YFMDorVJo}*W<xo5=1(k6^E%j?D_X@IK15$#VEV+p=P}nJrgvxOU8rk) zd+rq@$K~Db4PT`jxbO2a8W%p?*}O`0{cF`dN5a2oFEcUd<%ne6&vEDN$|*Mz)QvkO zviDuS_*I9kNX)iT>O$q0$~5h^w6sMA59hix9h`bm_sq6~p&MSC?cr*dE}3}s^#w1U z3b($GElIbIOkF!?W2x-2hO`>L7k00Wb|_tQ?zoT^!mwk(=49y-?(P*6uO2+eA))$U zt&U98MCBEhQvG@hB8qBitIjh{(L1_n>cvCW?lBxz6=GX=oc$`yQ?~Kuu{;}gsi>JV z-z|@F%!!z5TVtkmLutzXuMt<CeKYRex9G^C);SBr772b|kzQ&1qUcK5<r`Kiobvs4 zyVr%zo*C=M+mn=dB<xvu{&HLOj=TSZs^^8OiT(8PUpVn#`{H90u0Onbx3+)r>PxS8 zuG(uExT<^luFl8<^Lo}!?0Zz!lzlGY?~N*+A7w#>=c2SO>K;g5-;tLS`|XFD(_@ou zPD^iJvH0;SNruJZ@re)C?oWNRPi-!8xRI0moWI(WBT<e)BKQ3|lWhfO87tLl-RJ!9 zxvIPRZeYn@{~v<S<w6$Kym}#K)l{|r$hH}WpL{oHeWKpCQg&a>Li6JdvV2ed{Wh=r zAMrk4wc5PZV7KZ~d(>>QdCQBeN(KgoO$-bST+kw1uedU=Bm-W4bAL!n6HH7<c#u#b z_gwgE$)`KHK@Rg+1h@?fj8dMdinE44d-?py^e3;EK403>w!z4N`}$hX>vk^%Up-t| zeeQY92hO<$y_26GHa+)qp5@O8or(u$PxNe&oIY_6kHP_tBfPx|V#`?P2yi=C9GE?o zchQ`Q2c<pOn@pJ*0=yZSM3_YwKpFT|oKMuE$&T`87#SE&urM&NGw^~tSd0t|3=NGS zW=e8_UPW$BXb2|*vt-?xbPz^2fiLLFO)o|UhD;^~25uA+elapI<m8v+#}}8BCh3*o zHKk21;ISto1H)n_1_nM9Q_7f8Ovy;W?;P#29!Fh91_ljAgh3#$G&Fu;ff`hhSd^Gc z&|lxYL$Z~`7#LPMfMO96G7y8p*dPY!6=x(Cq~i5f!T0jDOpXi;KO7Lj3o&G+4AhW{ zJRBxK`pHXBPVzx^#?4r$3E*>m@Wc>mZy#a=B#wVfg&G0r`(rf&;tbU8I>Zc!N2;em z%>Z}au^NG`Wdkt+;*802Ax0pzbMTmhS_>hYqrU)Rjvly9!eaz#4uu#2@lDubs1eZo zied;W8%Plk0}q2WXe?q01A`uet4X18Np?|WVW4G2a-n&oZ*I1Mdq7pBuX%Y%gnwGN Sp|+z*VPILXzh|Ypqb&eu%N|Vt literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/synth_1/loto.tcl b/LOTO/LOTO.runs/synth_1/loto.tcl new file mode 100644 index 0000000..3e81a16 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/loto.tcl @@ -0,0 +1,124 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +set_param checkpoint.writeSynthRtdsInDcp 1 +set_param synth.incrementalSynthesisCache ./.Xil/Vivado-6007-fl-tp-br-664/incrSyn +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a100tcsg324-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.cache/wt [current_project] +set_property parent.project_path /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_output_repo /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_vhdl -library xil_defaultlib { + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd + /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd +} +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc +set_property used_in_implementation false [get_files /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] + +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top loto -part xc7a100tcsg324-1 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef loto.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/LOTO/LOTO.runs/synth_1/loto.vds b/LOTO/LOTO.runs/synth_1/loto.vds new file mode 100644 index 0000000..5d1fc68 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/loto.vds @@ -0,0 +1,296 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:54:22 2025 +# Process ID: 55157 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1 +# Command line: vivado -log loto.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.vds +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :900.021 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :16390 MB +#----------------------------------------------------------- +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.879 ; gain = 202.840 ; free physical = 6408 ; free virtual = 15100 +Command: synth_design -top loto -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 55423 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2350.508 ; gain = 420.469 ; free physical = 5358 ; free virtual = 14047 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +WARNING: [Synth 8-614] signal 'I_2' is read in the process but is not in the sensitivity list [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2428.477 ; gain = 498.438 ; free physical = 5282 ; free virtual = 13971 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2446.289 ; gain = 516.250 ; free physical = 5274 ; free virtual = 13963 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2446.289 ; gain = 516.250 ; free physical = 5274 ; free virtual = 13963 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2446.289 ; gain = 0.000 ; free physical = 5274 ; free virtual = 13962 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/loto_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/loto_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.039 ; gain = 0.000 ; free physical = 5252 ; free virtual = 13942 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.039 ; gain = 0.000 ; free physical = 5252 ; free virtual = 13942 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5255 ; free virtual = 13945 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5255 ; free virtual = 13945 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5254 ; free virtual = 13945 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_STATE_reg' in module 'automate' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + st_wait_failed | 000 | 000 + st_counting | 001 | 010 + st_compar | 010 | 011 + st_store | 011 | 100 + st_wait_success | 100 | 001 + st_end_red | 101 | 110 + st_end_green | 110 | 101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_STATE_reg' using encoding 'sequential' in module 'automate' +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5251 ; free virtual = 13942 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 6 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 + 2 Input 3 Bit Adders := 2 + 2 Input 2 Bit Adders := 1 ++---Registers : + 6 Bit Registers := 7 + 5 Bit Registers := 2 + 3 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 7 Bit Muxes := 1 + 4 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 3 Bit Muxes := 4 + 2 Input 2 Bit Muxes := 1 + 7 Input 1 Bit Muxes := 11 + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5225 ; free virtual = 13922 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5213 ; free virtual = 13924 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5213 ; free virtual = 13924 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5209 ; free virtual = 13920 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 7| +|3 |LUT1 | 3| +|4 |LUT2 | 11| +|5 |LUT3 | 10| +|6 |LUT4 | 15| +|7 |LUT5 | 11| +|8 |LUT6 | 43| +|9 |FDCE | 84| +|10 |FDPE | 2| +|11 |FDRE | 3| +|12 |IBUF | 4| +|13 |OBUF | 17| ++------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 5 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2594.039 ; gain = 516.250 ; free physical = 5207 ; free virtual = 13917 +Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.047 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.047 ; gain = 0.000 ; free physical = 5506 ; free virtual = 14217 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.047 ; gain = 0.000 ; free physical = 5504 ; free virtual = 14216 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: ad434a8 +INFO: [Common 17-83] Releasing license: Synthesis +42 Infos, 10 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2594.047 ; gain = 1022.168 ; free physical = 5505 ; free virtual = 14217 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2097.210; main = 1756.832; forked = 398.990 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3655.121; main = 2594.043; forked = 1061.078 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2618.051 ; gain = 0.000 ; free physical = 5505 ; free virtual = 14216 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:55:22 2025... diff --git a/LOTO/LOTO.runs/synth_1/loto_utilization_synth.pb b/LOTO/LOTO.runs/synth_1/loto_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..ee88f8592858a46f5d3c8129b65abde689377e72 GIT binary patch literal 242 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZmh<TRI;Va0d%r@X2A`)%I}F)&PW+AGYkqTIbzr04u=+g?cq1_p+^k_-h?+?Gm# z*lXn(7*;s`m1kfGaz3iSz@XrGRuv>ZSB-&z-TA2+h}~-hBJUb8Fcdg1H3qTQS}-s$ zIQ#{XPDd>n7*04$vf^+Gb#r$P3Gz8>2U0TEo`K<j!&7?@`>hLz-0KPwX>|j!*9L;f Ozkv)44faQaKx_b?yFyI> literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.runs/synth_1/loto_utilization_synth.rpt b/LOTO/LOTO.runs/synth_1/loto_utilization_synth.rpt new file mode 100644 index 0000000..3c1f21d --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/loto_utilization_synth.rpt @@ -0,0 +1,183 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 12 11:55:21 2025 +| Host : fl-tp-br-664 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +| Design : loto +| Device : xc7a100tcsg324-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 73 | 0 | 0 | 63400 | 0.12 | +| LUT as Logic | 73 | 0 | 0 | 63400 | 0.12 | +| LUT as Memory | 0 | 0 | 0 | 19000 | 0.00 | +| Slice Registers | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Flip Flop | 89 | 0 | 0 | 126800 | 0.07 | +| Register as Latch | 0 | 0 | 0 | 126800 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 31700 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 15850 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 84 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 3 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 135 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 135 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 270 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 240 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 0 | 0 | 210 | 10.00 | +| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 6 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 6 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 6 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 202 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 24 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 24 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 300 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 210 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 210 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 24 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 6 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 12 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 96 | 0.00 | +| BUFR | 0 | 0 | 0 | 24 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 84 | Flop & Latch | +| LUT6 | 43 | LUT | +| OBUF | 17 | IO | +| LUT4 | 15 | LUT | +| LUT5 | 11 | LUT | +| LUT2 | 11 | LUT | +| LUT3 | 10 | LUT | +| CARRY4 | 7 | CarryLogic | +| IBUF | 4 | IO | +| LUT1 | 3 | LUT | +| FDRE | 3 | Flop & Latch | +| FDPE | 2 | Flop & Latch | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/LOTO/LOTO.runs/synth_1/rundef.js b/LOTO/LOTO.runs/synth_1/rundef.js new file mode 100644 index 0000000..1e14633 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/LOTO/LOTO.runs/synth_1/runme.bat b/LOTO/LOTO.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/LOTO/LOTO.runs/synth_1/runme.log b/LOTO/LOTO.runs/synth_1/runme.log new file mode 100644 index 0000000..2d8379a --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/runme.log @@ -0,0 +1,286 @@ + +*** Running vivado + with args -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 12 11:54:22 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source loto.tcl -notrace +create_project: Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1571.879 ; gain = 202.840 ; free physical = 6408 ; free virtual = 15100 +Command: synth_design -top loto -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 55423 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2350.508 ; gain = 420.469 ; free physical = 5358 ; free virtual = 14047 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +WARNING: [Synth 8-614] signal 'I_2' is read in the process but is not in the sensitivity list [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2428.477 ; gain = 498.438 ; free physical = 5282 ; free virtual = 13971 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2446.289 ; gain = 516.250 ; free physical = 5274 ; free virtual = 13963 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2446.289 ; gain = 516.250 ; free physical = 5274 ; free virtual = 13963 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2446.289 ; gain = 0.000 ; free physical = 5274 ; free virtual = 13962 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +Finished Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/loto_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/loto_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.039 ; gain = 0.000 ; free physical = 5252 ; free virtual = 13942 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.039 ; gain = 0.000 ; free physical = 5252 ; free virtual = 13942 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5255 ; free virtual = 13945 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5255 ; free virtual = 13945 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5254 ; free virtual = 13945 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_STATE_reg' in module 'automate' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + st_wait_failed | 000 | 000 + st_counting | 001 | 010 + st_compar | 010 | 011 + st_store | 011 | 100 + st_wait_success | 100 | 001 + st_end_red | 101 | 110 + st_end_green | 110 | 101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_STATE_reg' using encoding 'sequential' in module 'automate' +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5251 ; free virtual = 13942 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 6 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 + 2 Input 3 Bit Adders := 2 + 2 Input 2 Bit Adders := 1 ++---Registers : + 6 Bit Registers := 7 + 5 Bit Registers := 2 + 3 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 7 Bit Muxes := 1 + 4 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 3 Bit Muxes := 4 + 2 Input 2 Bit Muxes := 1 + 7 Input 1 Bit Muxes := 11 + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5225 ; free virtual = 13922 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5213 ; free virtual = 13924 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5213 ; free virtual = 13924 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5209 ; free virtual = 13920 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 7| +|3 |LUT1 | 3| +|4 |LUT2 | 11| +|5 |LUT3 | 10| +|6 |LUT4 | 15| +|7 |LUT5 | 11| +|8 |LUT6 | 43| +|9 |FDCE | 84| +|10 |FDPE | 2| +|11 |FDRE | 3| +|12 |IBUF | 4| +|13 |OBUF | 17| ++------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.039 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 5 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2594.039 ; gain = 516.250 ; free physical = 5207 ; free virtual = 13917 +Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2594.047 ; gain = 664.000 ; free physical = 5207 ; free virtual = 13917 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.047 ; gain = 0.000 ; free physical = 5506 ; free virtual = 14217 +INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.047 ; gain = 0.000 ; free physical = 5504 ; free virtual = 14216 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: ad434a8 +INFO: [Common 17-83] Releasing license: Synthesis +42 Infos, 10 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2594.047 ; gain = 1022.168 ; free physical = 5505 ; free virtual = 14217 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2097.210; main = 1756.832; forked = 398.990 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3655.121; main = 2594.043; forked = 1061.078 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2618.051 ; gain = 0.000 ; free physical = 5505 ; free virtual = 14216 +INFO: [Common 17-1381] The checkpoint '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file loto_utilization_synth.rpt -pb loto_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 11:55:22 2025... diff --git a/LOTO/LOTO.runs/synth_1/runme.sh b/LOTO/LOTO.runs/synth_1/runme.sh new file mode 100755 index 0000000..bcd9f70 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin +else + PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log loto.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl diff --git a/LOTO/LOTO.runs/synth_1/vivado.jou b/LOTO/LOTO.runs/synth_1/vivado.jou new file mode 100644 index 0000000..dc10ae4 --- /dev/null +++ b/LOTO/LOTO.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 11:54:22 2025 +# Process ID: 55157 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1 +# Command line: vivado -log loto.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source loto.tcl +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/loto.vds +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :900.021 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :16390 MB +#----------------------------------------------------------- +source loto.tcl -notrace diff --git a/LOTO/LOTO.runs/synth_1/vivado.pb b/LOTO/LOTO.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..95eff09a4bb418a040f58580e5dc69733a31d025 GIT binary patch literal 30204 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp&2^c(A3;e&%)f&h?9%m$iPU? z!o<Lcjf=(1#K6Lcor~4b)X=~nip|hm*WAb`gQ0+@f@cCFg8&m(0vC^Ser|4JUW%21 zYB84pixiWou@+}pW?5oNeo1nUkv^Ahab;dfMtn+Yab|j+f^JEEfkIAxNxp(^L1Iyf zLPfH9qM?C7Npf+zv5|?cVHB&0uA!lE215Z$1q;LuD=x9%lEk8t%)E33WV1lFF&mm# zB3TF0ZER$Sth<`a!Lg(yHMan)za(EFJ+(w3Q6VQYIW@01RUs|ENFgmXv81#pRY6^~ zSY078FGWAUNFgP)EHfD*1h&W6zycn&++3W&AU~xRXBLA366ObR02!N@BU_)%W#FD) zLYj4~Qq0DNh^QoBon;0?0c!;-B&=My_<iydQ$Rrl4qnw_0ahtyLsN4tHi(Zv2?OR{ zc$l*p8S0uCfC3$EP&AiHKw?n|C{`6x@>7cy^72a*G7`&D6`WlieHC&tlZq0HD)m67 zTbh~SHJ#naP}kDP2ox-E<MX*Zd`ojmGD|XwQX$Sw%}Y$mNlgJq2y!edloo@0lc<oJ zSdp1qnyZkX2FgqYMfu68#l@+`ASbYxSQuGovF0ZhR~8#Fb1|Akv03Pv8<?X6O(K`Q zPhx3aat6q_jMSWh)FK6_DG*Bw63g>Ia>*H)IVnY{c?ytJ3{#W}3QCYo=1`lUmRKN% zzaN*f2ddFIU^`P&6v{J8G86(lT@=7^0y4-1Y7i)gnVOmy8ADApMK<v;BNvluu>g-2 zD>zh)RxxrdXN2d6pb#Gg*PO(p{G!B?%=|nBD+Tm|2a@9rOi+p+sGz9=_Cm<W*wjGJ z)WAXkUKE)a8R(gqSt?j7pqEFc#-<>3U|~dwWN2bwV$OwJQuH%&Nq~KjTAW!03g+DW zl+qkX4g;kkGh=uRvT?D1N(duIE?fPK{M^)H{X`?<l+>KWQhi@n7iWJz{gMJ*kP6+@ zlG2pS#Jm#SJR{?z{L<tM{o<lzeUOx1Sw@NgqY)bylaZkSlLey#V-%}}u9-1PY)vNK zO&nZoC7DHu>8VD}T=rzS3Zfm!U8XqQHJx~OadB}ZmX_q_CYGccxpFy@<uaH7NN&R& zX6qQaR8#WvQXxe#mdI4lP%TzgE!G4@s*$M~ER7>Ol$nds0F+M+Y2;)hQ#0f&G?Vz? z<mTcmN=?r!E-6YaHge-~A}c)MCLjf<G0w=|KxTlVI2941G;%arkS-=ZNCmj~lJj#5 zN>WRU;>!|qGE<Dax!lPLS5#vVfoq66C+#9La4{W?2w_?}A1#>Y6CcccTs*MwF^o5{ zH1gzfr6`a=h9Cv88P4>(jm#iMcQ7J=Y3Fpb;GIf*@N#mo=cJ~@7nJ83xo|m<6|zwM zNP%jBGf>x%8K_9kM1&_z9E=u}tH^XPqFzO~mlicFnsb*EAM>D|6s-Kp1+`i7&5V4x zJjqG|upAl>H3%s!nBz<f`^XGhYz{{RGHtzp7TgPo4{m-g-jvL;%wkY=kyeyiXynD^ zMpjs(n1U40xHFv>xTVbnYiS#C8E^(97A5AUmZTOb<i)2Y7MCa_<(KBAD3s(|DHxh6 z=qi*{7NlA!WagEmrl%GufEv796<m<67y;9YbMniPOfw>2+72=k0Y-2lQUDDD7A^Wo zYiH+{R+z;blHJaRXh%f2kpa$}wU?306*MZ5m;>qiXBI1fx}yr2c?u;Nsj!ZpLQ-jo zLMEgK43#NP%`46<$t=q(sZ_|xEG`jXlVUbAg!kuIxtKlUji~1X<i?|+Nd`j!TLl{= zkx@|WLc$5u42QPG$t`vv24N3yw1lvY_;NsjORl6SF|Rl|KP9!a$h<f{CB8HsCK8{S zS7PMH<waJ(05b$`EW+t#COFf|Su!&qVSgZUB#nK978#_qejqiL3E8b5sD4CP8{%$K zQqcN=T7#6V$!+~WO~USAw4hu@X5xU2bRd!d^~XHWoLb1G4IPSAEmp`#ELJGUFDe0z zsHGHTmZjz?BvmRT=jRodB<6uc1=yrmj7>~nLtdaUVbJJ`ktmn2f4q5dYI<&JUP*9j zPHJ*Vw0SHj)D1y{SjLuypy57vF-1E|%?83!(}A$m1h=JF#|Ygr^D>JwQd1O&9wRiv zHb!WUYmCsu$U@J=+#G3)(9%NB#27vph%{$mYGh#q8#+W9BQ&-&Hv~1A;Ij!is12|X zt{`1%1CV|2CYm*>eac*l;DJ>IkHox`9MBl0b7^r&ey)OJNl8&=QfWzQF^YD2JD1+} zokJUX-pa_ei4mTxa0e3ZQD_oVn2DL5kp*bb89E_mYG|fsWNH9QN+>DJ95lj>J_>DY zX=V(HvH?qB^p3JKXi>JAk!u4Y%0MN7e?duRZe|r^x*#AUu{c%1a5Tc;MZ)JnF?%b+ zCFPe|0_s^P6z4-`K0!kVIjJS7dJ0CoT-?wJ90NTAL(mK)j0<8LnLx4)XjaKU&%gjY zk!xyXZUPc8G`2J|0?$*TP2_rWX$BOf79<vdioHCj-Jr?Qoc#36WQBaBRKo=t**7+^ z)MAHDEl05$>YADxAP>3QamjE6K&I$G21d9zD>#E%6-9}ec_rX^VrC-~6BHAS%s?~C z@L6A5E@{ua%#zH+9LRJLDAnerE2QS7XXd3sresYlPz*3N1I_or4d`a%5(`Ky0?!_T z?03t|NmYneEsh0iHZ-@?;)XPYN=q_xipd^k@Jp?zEH;VvO)M@+Ez+w<NfuzVV2olj zGSD>y&p*Q5Glh{$9$t9jbC?C~9R`{OgFEa26W2{f&)kBXR8V6MQZN)3q$X#kWhO(~ zf{<8KC{HZ{O_+lQK*}>fp$Y0NgQ6GQU<4~tNCSz3LRn8CBqOyr71e;uoE(LuRE5m+ zy!;~Mxn9srI=Gu%4pmVKS|*X1r;v%_EIkF!+=9g9lGGFwV-t%~!M*U*6j;w4;R<kK zH8M7arxYU(GRKoJBHBoTOH3~!GY3512wG-Q018A<5&&fgBV&{~C=V_*=X{W7K#s?g zGr)<{$ix)xUa(napt*T?gnbwSWfXj^iGcyAP(mr7Of60H42&&7<q=W=WoiTxFf_I_ z!CFA&a4Cl7Wfo`VDujTB1=8}1av@1IxHLC6v8d9D3p}sRY-nf*4?81uE>*vL1*pDM zNCGd0q+{?X0LYZo6g@6bAR8Kj){VedD0~|ffvm)ZRBkGSfu_$Oz78tQD*-JrLSEKG zy`ToIIDn6X(!3dd60Om)fst!1qS1n=H<100YoUXoF_un@p@{;Hg$~f9i0H(anVEp< zOsq{zQ&X5QN+-s|bVM~VT~IqsI$T<iP7`e15op;EXcZM`B?_o=@XQ0{GH|K|g%iA` zMenAuC91m>x#VFk2CbB`!dQtmppLzcmL>Kva_vTB37Et21U1ek{b&ZE^{9deYC<#M z(t~6W$AW^KN>GkaFHS81w--{2N-EVAK#fyGAvdyy7;dAb^FxeW`w{6pk+={Wn6!?4 zykUSVgM2RI%)GSJB2eqvE!Y>bu(2euBvk>jC@HlFI@6V>03P2)tiQ7`FoG@B;^X28 z4vG&BaSU;dFG@`ZwclV%#6XMaEDS&$HF!g*4mG)_aivgu%nplrD1~zsstc015)?2& zFgO%yFeMZe6#P=l6<qU@^TF$8F_Z)prIuypmlh+Gpx8d}{;x#!e<D{r!k5J*@#Tq` zCGlyAnV{9R7@k9@1hEVZ3={|}LGeC5%M}z9ic8{?^FeLZymZ1Q8xmAvXh4ePQ0sFG z5{rm1nXnS@Xf?b6L%`<{&li{E7o`$mG9e{~21NTD7UjjI$)F|DM3_uSiGcxWJ})kb zPt8k-2NgmDQj?(p!8kW0DbAr*rx&HB<`MFip&`N4ZiwddfzRxd8M)k3L1k5HiUO#F z2QMox1{ZFqMLcBPJXk5X(E-}rkcnRGvlttj8sjSWdAPWWQwvK|^FX6;D765ag|4x& zsR{CkAHAFNWQ}wik=Rtgxj>$@exo7wexnhnEE_RX6IQ6TloFQ$q*)A_lmHEl<>#g5 zm4L)bGK)(xlZ#PTQ_(wlVfGeXQcECpD5`@&-CG4KRL5$ddR2gnPg_@4*D)m}wWt_f ztsAOZJuV$i1qB5o1<$;K(h^XeY^LCp31%oLD8P)cRWJleq1sB&BvY!HWQ^4$BQo4% zgw-T0zSBkxCt)r@P?!dR7wcmQR?JQqC|JP`gc0z7MKZ)z!5l0N%9-$ro(QwxL5pG* zmH;8bEO_8j!7O<2qL_sxc!_YAAuOs;%re0YFLl(Y;^*Q8MU`)9MJnm3-yCKs$Qq~- zB&2>5L_VUPNhXxJ$sFM(cvO?;JET$qyGd9ghhQlIPcr1W3CVZx1cPc4IQ5}qFoJHv zVG_t()H0CX)r2i-Qdi|thEMUKRuiD^=U}MU=p8_2r~xF$B?GDT!9$lpsm1xFMaiiO z&^a8GL_u$t7NWW|k}Dj=jm1`6F2MoCRtiQY1_~O<`8f(Xsd?!o8CDhsnp{pnj=mr% zb5tpXAV*&(Lkk5912D_jOu@uJb3i?b*{p%5R}|k4iWs4H3UEbD0lHk;khJPtlwVw| z-~?LvpIB50p4)INN=;NiUP?2dvFgF42HL2QlarbQ-<DadkX)2ml3J9R2wS_Jn+jT| z4%&EZ3GMNLmTH<CSb$b;&|#_O==x9rCNA2ogvGNyl-?QX2HL3penzf6h*5oFvJ>up zI%p0SagGLLU4bFaMXDtA(~Ur8V=f^y8d?2x2h>tfgG&uk3c{zaK=V~0nYo}rrI9*q zbr~&z?_%WIj!57HLJDWvHUce@Mo-&D)LFX#p7zC(woO14*N7Q|GC@t-GF(!Sv<*$p zgQh~KcY%EzEj_Pe<XVkL&saidG!4T?hv*$w#;9pnnoAOzhEtO>^73=?(<>Ex6AKD5 z^U^_Cd%&DY?_fEGmW0<ba;-v2!We-wASu`Yw3z`*DGZ+58!@G@E@}!E<r0RZU{8Mq z&%EN)BG5@jBP#9MqXvjNmntMc+;S32N>agxQaPojfhLkiY!Er229Xw*27KURG?d_l zJg(6;x6Hi690k~x66c)M#Jtji5tYU841A3S&H=Q#b2%f|5=7mJT;|}eHL-2&GqRw{ zx@!Z_CR5B>(-8H5IeIrZ=xrb7R0E`v2ujUM1b4>WQ}a@b5<&Y*Jo7;Nx06TMcGU}L zsdzgh*A_%7#urSZsTy8`&^uM*Tosa<RGOIsUXU4DoLZ#dk(pYQSd^SmIihN<vuH_r z3nSM?<RpzFj7HP*fTd^5b_UK;Iv~HOWMr-OC$MG@vmyfr(P(NOu+%(yKnD8W1C;8A z>IY;Pjkcm`eB_G->NtV|mmJRW*)Mg370;*9S{s`fxz;1MHZb}bpb#1@od>LR#?{sc zFUka8b^yPmKp`j<bjlY>*+uV$zCLQk6Xz1;g1Ab-DJL;G+bO>ywHR#~HD+Gb)&;F3 zMJkh#xY{V*!_-@&Py@3`AulmERiOsnO;)HOpqCPRiPsAWBKS%FNI~SBnv<gtTAY}k z3JD_kRDlsVRN-2rxFnz<0;OQ#1r8iMx}e*1YQPpLDAYKE_H03d1&=PMB~XfJyTA)T z6>6M9-P{!vpqq4zz)^t5t{TW<>KbRqprA+-s4jDYx{SeVL~DFPLqN7dylG5O7i8Tm zNEgUfur5PGg1SuMc7be#=rSOv%M7jyWGh6MDM4M3W$GXof^3E8BJ4s7xLqJy!MaQc zhlV9Ymz#^TD=fY&ObEKr&;X>Z#?2)Fu1kS%EE|HNNukEgB?zI5unP@A(WFr0=@jY) zbD;teE(ArBLXAI?E<=JT3YyrV6!tVv@3IwtzY14%Fj{lNYwiSaF$zEN#DmKXQFo@n z&QS#Kv@=jhEh@?{D%Mdj057lv-^x^;SOl7+D+VtOHC2Fd^-yXR=qV>~OTed`Agn=N zd!m3i-39w~E=Hiu=NK!6%!nRs$9Iq(-qCh=h@FD872&?w$jG&h5r=O;ySqR~mr*sr znSdq*pyvYOJG6y3?>KQmjupyD1h<^v7uBSuC_oQG10N)1Y-#{MTnKb#kf}M!nL)$i zU?vlD&^b(qgPBYX%s>K$CPs$l*iMcM=F)Y{OU$VRU+SY;jB(l$=n%Q0)PkJEWbpZ7 zpm1a|G}mH>hAZgISo0`WBTHTIk+JY&1tPeNpyxKBo%aMg2oHSNmuj(sb3mv<acXjY zUP>|8Y$FR4vkkyz8-Y$dgPTp|!|npPGy_V(N3E(BD`e)Cr52T>7HJe~DwO1d4%W@d zPfSrL$js9y*3<*L(9%MSIlrI;<a6-R+Xhi=#)i6vsCN?$)3gLRh0w&v&<tBzqW2+R zp<JrqGE2b)ayuO?Z7I|!IA^3LXBU^|f^I+qAIfHGW`uOp9O$CF#1s=_lSGRsHWOV# z6JyXSdiW7-&Rk+asX3{M;L``8cg;aeVwGaDFvfb7TokLJxvmB1q&Ij%t><!AEmi<+ zF)h{sC8cm^MFZwIgSvU3O95e`;Oa-USiu!sLn(l^ewXHzq!xirI0N0xXJmow0TwPM z6C)!QE+#`rA_OPLC>9f46Hu83_lXaelxnd8EMQU;pr;C?mFDDBg2IK#2>Aj&BR(!3 zaN!BP?+<Jq=(rHLdCv#MxoQGje8|p3K1I#g6tvYHvalS&HUh6AH!%l^8yXlH=^2_? zfKI|TH8n8BTQn|U<dRe^hFtBaS`54I5p+5t<PtbmLn9*-Gk9V^3p<c?0nm#djaa#u z1A>E%Y`Lr<*G1-+r4}XTfG&hIGO#q)Gcq)=R>*~18);~6YNlslY-9~O{UtjUbls$} zrG=iQr9l+Cp}DS+g|P|p#(fGM$;rhY2Dyk5<i@bzU?V#&8+11so0*#G85$YF-3Sd* zV<a~k8kiaC8JJsOb>zE2k!qy4BtVVnRE6M-#DY{8Ck0r`208s;Ifc*6&_d6^)DV<b zkn2@=;=x)LE@k9W2+2qVWqa_&l%TWPlR&3hq{HU<!L<pqnUNtp1@UlkC4<VXf_%^g z2|-)|Bwvu=;~(O$4<hu6O7n{KA(_Mwe8i<*N^$`>atw_vKt%++l$y%OCGJ|0np_HQ zJAp1BP0UMCfE9PFre?;*23nj2If;3W8L5dWM!{TxMc@Icc+k<Su(ODD!H0I{<d@_l z3&(?9pjT8-qM%!l1im>Gi*!L!6o;Xat`X=SNaVB~!zJQc0T~QaEmlYbooKJ82MIPK z14PE-;9?5{-&kq{ieb>f8mUPNhDHj8hE}GgRz^k&Mg~Tv;QGqQ0OeFqJ}xfTycC6? L)a3l46ahv6iz137 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/compile.log b/LOTO/LOTO.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..40d8324 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,8 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/compile.sh b/LOTO/LOTO.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..3e8eeab --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 12 11:39:09 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj" +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/LOTO/LOTO.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..d11da2450dd32cd9342b1780679d0fd56685b897 GIT binary patch literal 8222 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*{TT$3Ij5*YyH zG8iyISofJA^mG9TO)hD`z`y{*_{?C2O16ta7{_tg>)^x>R`8OUfq@0Y;s6m03}2)n z^a%(BC!yY9aF>D#X)rLPFfcHLyEy5&mZj#EK!srxO#KU}fCrR@hNEY2X0Ad=WPq!J zo2QSff`Ne{L)YZ;!ZqovATt>m7`zx57?>Ft76ga5#QXTWdpa|K0uqElKICLzU{DWL zXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0XHeHwX8^gv8_A?l%8XKAU|?WnU|8Vi z7!n%f=mT~V$Y1~e|E~vG<;cLmAb{-X_%K)J5dR>sLPmxKVE2F&g8TuZL7GAM-~a#r z=l=iy-x6v-s9&(ByPvBI(Hb>CrZ6xtz|!SjDE}^$mV?>`4gR2z5KpKKgn~*lBtRKp z?=UdHl07)OT%6n$3=GT|K+*K&|Ns9x7#J9CFfuSq;AUX>!NkDO!NS0B0Sk6uWnh@W z%D}LJ3xXNA85jb%85kO%7?kor7!;`>42ocgs}N)s0|P@a0|SFFD19(6FmORJH&hA8 z*^G<~3?R$^4rT_1|NsC02T6nF7!Z7r7zjg+0x`i^02C=84v3ayfS4i&rD5W143O*s zQlrYi0FED9BsCy)AbUX=q(%pl_!!*qsL_R*>5oT^9wg;4L_qBX*#p8Ldq5ZzZsH6K z3?TO<;!&f<z`(!`4GWMyVUQsV3=A9I9twjp;S?x*K;Zx~8&pJq3Jj2+WT57Q<dhf~ z7>pSh7(i-3>L0v06b51oLd8Jt0oen>yik)1-X01AnF9(NkT^&fM1wF)jR8~*C~QFD z_|$;H2A>*`dq81BNDU}#U}`}2fiTFO$Y}s122#TZjSq$xCnr#Ng2X{;<*~#evRYVa z3{nd!O+aZKgh6Q(WCmRA>=-AISs*cxT15ipg4_;L3-T){FTu<MiNV|p7K?Fm0);zB z9HbV6!QvnRXu1Ho0W22d<YWXD2dM+)Ij}fX4BcESs5nS1C|`p71;QXbAPh1W<QInK z7$;bD08$G|gCKRFGzL-!QUg*8N>2=pF-{<3L1G{phCz0N^pGkZUy@(Iker`eP?B0& z6rY=)Qks)*7GILYkXV$Q!Qc`af+5KOQ<TV%lbM$qA8%p;W0=AiW>AKaF_d9!0d;7w zb9_;82}7`Rd{Rz+ayE$JoL`z(l3L`OpJK)k92D=Ilg;26pA05JN<E<}{o~OULi9ll z*3Zb#O)b_>G%`*}%}FfP_jPq~_V?2-DbUTyFUi+UEh$aOOw23M%`-Ai$}dgM&@V1Z z*2m&+y|RoH2L1ek68+5Fbp5c*vc!~pBLgE7Jwqr*AIeKfEJ@Ta%Sg!qn^atq5^rQ+ zV4+`Jl9-p0Sd;=Wr=Tc5OTQwiG&3iqSl`mp(o)YL-qSB6-oV7bG~U3%#6UkYuec;J zC#P6HF()U!ASbaTEx#zY7-knli#}0yk?-b`)QXbKe0sPT*(Q?Ro0*!L3Jc_v_?-Oo z%;b1OLo*YQ!*dw)X%f~1?4+6>bd&N^DhI+N$QD!Jo4nH8)S}Gf_~H_9%BOvFU|L8W z*CU4qjZ+ko7m#hGMnMKjNsw|8R4*W7h$sWYR0akHUj_yScW4`tk%@s}BO?RDDJBMn zQYHq53Cs)(&ddx9!7L06j4TWcC!rW*7RU@34Pt}p9T=Zj8l(qQFN5k{P@4wSW&yRI zK)oqYj|<eMl4W3E0JSwh?E+YR4zdp!b3hv#0#F)MUn0vRvulbGJOPm93=9ksP#Rqy zG9Ou=1gL^$U|>*y(#YySrX$zC$ojwybOr_n4p5^3t?dHR2MP}m4Z`^JA)60U3u+^P zXb?u$haN8I`oL`okR}EO24sEc;exIY)P99(L(zvGF6jE8(F4|sY@Y$BVZ^||U;(Ak z^+BTtLm#x?=HlcImVy(o_~3^IXa}qT4OMXkl)#|uQED^<MneEK1iX+722`n0-T;IE zs65+Ti?BF+wERK@#wcqv1kgeNDXXB#jB*De1YqSCtepyL&s8wKy|A0NK|zGU;fTxJ zqnvBj#2!CaD0?_auvbEw-GWPQ55J1IQ`}*R^UlS0gJ0YdSf%{TYvzq#A@x?TGV9bI zZCZA6(c^y)K4-{n5ms<$U|?io;SeCm_|ICl)qrJY1>@V9AKRalN*rJQ{O;DvrCW<t zCMz#K61B89bhgFpBOP1(wzzMy+%zY7iOHl}M{cT2TT;46H{49sxlizUSdjF|Whqnc zSY0}Ha@ickrRU8)+w_<BKbJr8hQECG`s%a4xBmWHmv;SZm{#-lwI@H;->-bWN%6*S zZ>_0&`4_GTyLXehmH*zWr^>&tGtSbI|IdE&@H2j1d2^X*48JDtQBvyK5*qNF@17&W zRplHH25$8m4h+`b%+B_;CDVT@3OT$rk>*JFC?hR&;Mn&Zg@#|MW-1NW4nEAiVl8pt znQo2;!|Kb-&iiXJO@1nRG|pobEdKLuVQo*jO2gXcHypUuumm3Wn$Q`%NBH0+NwWz| z`ZFJ^e%V&x&XkeQ&vRQaFvVIaSiF**QKz4Ge(f(Au7uxiW&#J!B$}x-OkJF#!20j& zjK}f(W%HiMawe3u@-8{xB~ZGCC9-yYxzdflJ-kZ}ct5M)Jj=aDnQ_hEqijJ7R6ABI zUfT5Q>%;O(M%&BvlxECMW7hmI$ujQHnKKFQ^WQ|&PiR+dI8|sSaNv)pnM%XC=p2QH zSCM8a4d1piJKL8=zWC@ofoZSWQ}-^bDqpTw|IS$pUOA>OU!yK}Paa}h_vAgwj5&9X zvjrunc36cqU6Fr0mm})@rbdy9lQQ~=pG)seWRQ~I*eH^*(nj^l#URJG>nqfo*2dp( z;M$YYH{D=kzWm*M&V-c**@6}<ExG_wv3GZ+deh4Gn-CQn{;<6FV7R@0L!(Fp=c2x; z3dZk0`mt!whuQGl=Di2Q=K4(_8)QsMw}f1HRT}=GlOy4K88n1V<R8d#Cge`!UE<*V zbiqnci0FUvV=2yu8Syvy-b4ni`x{|4eBS@jk7X`%a*6sxa1@28SGY4p?3j))U|0Vq zKbEcOP)}_5A@$yaL0Z;q0+a64=4E};xK`Dlv=-b_2Mg_GAusE^8G5DRN#I-T9^r%6 zoO2Xd<BX1b&&c@L$Fkvzk+etSv{R13?%u3l?>(K%vCJ+J8e5<GL4o}JrUTcO5WZPf zQCb<#ZfXB?VcAe5E$z`b{me(9vUksAITOAWnoVHRcD%AN=x6*z?mfZ>-$_HQ$SA9H zXNvgUhVa6#{h%=T{r&P>7jR5$s55@=!7#P@2Evkmg7-QXd^Ukvv1<LNK9&t16s0{H zrz*UP;Joy=sa$Er-y>{64^)fyv>ZJZTC!iYp%>(-C@pqhSzXbP{gca;GGt-Cc*Y4z z3;N)+0FJ4E-zM)}Hk9^36RxoRhd!1KC7rNz{ZiKEfVxt{%4k?hxC2V}za<dCbk^p) zwcwgLNzh<ACwXroL)!i{X3YyhmKm=yRxY^O4GM%CS79+}lK)7SGvTcP+z<ArtOd{5 z9)<eht0*WT$ZtTTr9aR22s=J!f(1eS=RTGV1%FLzl;ElLZsxtt1!l*gCLDbXim5p% z%$gg5Dr@t9C}>Z;{{7ywxg1gHFkjq!EX%pzBRj$uTaUBvpLHeP-EG1CJs}XUNbS30 bZ4tBB-aR~_gw+k5cu-%#`j0U+<M12+opudN literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log b/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..605c691 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,28 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default] +Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default] +Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default] +Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default] +Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default] +Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default] +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...] +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default] +Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default] +Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\] +Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb] +Built simulation snapshot loto_tb_ar_cfg_behav diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.sh b/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..4c019e1 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 12 11:39:11 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log + diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..73aece90432d26ebb601900eb4f686f2ecdb806e GIT binary patch literal 141704 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-ugwI)42Br*WX zW%$elVa-l}(uok7T+)DnfdPi`nZXQ|gu2%=I5SrvBr?EN!OhdhRl&f(kiqF*VAYy* zb_NE9&x{NVUJMKj%nS?*f<s*5ef-@$of$w52Vsy&oD2*M>Y?fk>JjP;>IUiz>W1nJ z>QU+p>f!1P>OSfW>K^J0>bmL-j0_A6-bf~eQf8C_0|Nsq1H%G8$B@t<M<1}0K>qsw z|9?HmDn|we1_5M8$A`H(hxiA96*4j`0J{gI5abUK4blw4|Nj5~KllIt|CUe#Lj8h0 z-Tho$h}H;mnWtZftGg@2LIwtg2AIogpn(7i@_5$}#}Kf|AZM^LFff1uy|^U4JTbE* zJ}ogbCpCou#w{*QPEIW@2Jw^gOY=%H^U@*g+=9d+5UaQ(zbF;NNX<)$PcKSM&4Y1@ zQd7Vl)&TjCfq?;*HupmLccHW#G$g{IbUBoUCY7L&5KpK|2nCg9I04}@XoI}LzyQk% z;H2f^<gQ>~V8#GSQeXc6|G$HQfnf#*1H%q`28Id&28J8z3=9W!F>!|h1H%Vn28IXL z5ZqzIz+hm@z_7v|f-M*r7(lp#fq?;p?V)Z!rj9T%Fk~<@FuVZ;D+2?AC=}0wDgwEm zk&%G`gc-mg#K7?X|Ns9WX|Nmvf)5e{VNfiAXb=Xmp<V{ZqBH}<6agp=Qo{?4RW1ew zuo@#MEr+BAqz+^+2!qUXf}{}!bv$ZZ7#J8>pjjT|R*)GW3^D_RLFTwZatQ+{G2>F> z&cMLH#=yV;vJ<2SWCjld1A_<y1A{UH0|O|7XG8rE4Rt$64M;60t%Hg;keX@+1_lmL zLPt^qDj<{?7#KkA0I6w)nzs^34ai-p3=9lDP`N$^1_n@A9YRtA3THJ228Ix*npq4C z4BQM13~!Lsfb7y{U|@)Xs#(auzyR_G2O}ihL2d?NP}qPl$UUnV7#KkDBZNoIW(EcZ zJ_ZH`89ZvXFfcIiGcYiy;Zd^{QlKyx;8C-Ufq_Agfq}saj~Y<^5n^CqaKWQy7Xt%> zFaraFA0wnh0r?vg&Uy?C4DrzLEr!N%E}9yB1_p*Ks2Wgwf$~g0k{VDrgQ}TQsG7+P z3=Con3=9X5)PT~4F#`hwC{2LkaxMb{gE#{N!(${hAahKi=>?=_83O}@1Oo%ZS0ptc zcZ1>zgh6UHFfcGkg7PFDHQN~&7^I+K2+j5&W5D?uW)3I}L3x#v2@yUZMId<)2HCX} z6z9-z#$^sDoMk~_jmMnb3=9mQye^AJ%^n5@26<3L$^^+nAh&=p$SoiYDo;S+2g+{- zc+`OMh#~_6gC!Fr--65lVUQUh3`$#|Fb3sGCp>CEW`Od(Hy$-0GgP2%289>M9uNlE z1Hzy*3UV_jZ-+2J@+(LlghBEk3`(OOpnSu?zz~Z^4XD-um4#_|)PR~Pp!zKzj~Y-J zqshR)P=QBH1Oo$u76SuABNL?j0J#^0LGA@%P`L<7@1U}#3y&I5_~|e(Figgy1{5~B z3=9l&m>}gX$Q}>|*#p9$G7c2RpnSWO2~vN7<UtrD55k}_4wRNb`F0%>Brk&GK^P<t z!k{t^l#fCA7GxL5{UCV|2FZgkNDas?L#Uf^sR6keREKS6g5+tC86XTY1B5|kB}gwQ z|AW#hC=Gz*K^P<t!XP!Ev}(e@z_6PMlJ7zCAPkZRVNiJr%Ilyq0_0{;x&_IDFi0MR zL25v5HiNnuml}|p%|Yb`NYnrS|3T>vWX=NnPGskSgg~uIaGe0EA3*6EWCy4`1LX-2 z4GL>e8UVQsqy|J^u<H~Du|Z)BqCxc))T;lWa0MBhVBaYY(hsU<L2@855DmgGH40EQ zpt>C-j!zA!P9>xURJX&_fEwRH(7F*+W<7A~6bJba<bDthY6GM)Fff!s)qwl}@)xM= z0hJpd8l+|d0|Nu7{RHwSs9Xf80m*~%JBSA9+r+@Y04hI0YCvrQkQ$IYDBM6asNHgw zfq~&Z)Gm;@AT^+P0ktPUG{~H93=9naplU#TkQ$H~Ah&>MkUo(AKo}N=p!fpW3#!{e z;<&;Pqy|*C6H)`J+X<-w)$N4Tfa-QaYC!c8AvK^n2c{;Hk%1wJ5mMHJ$_h}Nf@n}W z1ks@IsbpkeXhu>43PVtt0ZRBF8WgSz7#SGWL)C!vfYgA(6BL#p8l>hlBLl+?s2UI- zqz06aL2&`1LHgb@Lh5Hw*uvr+WCkeCK{QAo$n78uG8Ys#AaPLo1J&&yagZ2@24R>Q zP`&`E0oCmwaeQhBg(1isP}(G94stky)PgX&dq8zNNF1MgKy^DlHK6bV#RVZXpz;!* z8c^N?m4AfPfXXpKYCv^6J~g2DB;;mL9tPFz_{;&R0oCn<)PU-CLTW&DJ0Ufox*eYy zP?-X%y9lWP)$N4Tfa)B4YC!oDRCnQ11InME`UamGP?`kgcS33i*#$C(kefmIoKX0H z)DTLmpga$%cksCxq=t~2L28iQ49bTf460{AZ9!0<2gC<qkX<0Rfyy9;sWAqix(g&u zj9QRBV%3831+i*DaSKxmYL|lC1nL*T+zql9?A}{j3_$r7rWVvbg{c9Fq5BQgRtAZK z{6<JEsErC!3u@DX%59h%Kz6|0P`b?k)W(FV1;q`h9tYV05(Bvbl%K)wWnf?cwaGx@ zAbC(6;Zti1QV6Z*LFF^ZUZ@bb-v)96SZr#Hfg4l;RL6kw5Lg^4266+kT0f{bNG&KY z;Zqxlq!yGH@Tmp$p+U+)=7Q`)jyI5hL1G}9p>&%;AxI(AZ=m#w9KN7%hO51`#h?jE zEvR0=<_562Q)3K3V_qQTAUA-@etc>{Z8n%%kUz1h1;^Xe7z0q-4yG0~mV!_1QjkKZ z-#}wSpf&)=Par*@_y+k6Y;Nf`gSAL%W1wSBFts2xaJ4tL7=YSSAmt#x#iFSN*#TEu zy3GJKh6z#|ho%-3FL1Rtw-_7+847hTC=Nkp!Q23f7r5HeZ3dwBDM&fUT+j$TvRaTB zT<y&*23J7}q2_`{@j+&SFen^A=^3uJbeq9*B(+Is?ggc1xZ0ar3_$H)kaCcH$<Q%4 zn7N?z3|A|@%>dL+hN(?KQwvJZaJ4tL7_fpI26Zo}%?}DEn7JTzAPkBV2JvkMd`N23 z(98v;XSmv%TMS_1#~}BnL&xS|=7Q2QT&*~wECHzn*$+xD;Is|xr-9lSU^gI@B_Oq+ zc*3VvAL?FExP#O~xuBrIC`&+j0VDxZ3&Qx+nnEQ(>Ok=X<$|<hm<ub<Kx#qpgiozA zR1%~X6i>+M43w`yVFnJDn_CRLkko?2k=2623nT_sTei(05J@d4o{-go%4xXTn_CPb zkko?W30W<uO$1k4w#^_ONiE2I$ZA3D5V+c#TMW{W)PmfHtQORcfU7OrW&j$81O+B2 z96;`aY6S_w!x!wgsWAqnP)U$lko%xqtZKp2LC~>EP@c!87M#vNWhzt>WG*PrL%AsC z!qiTIN`lma@;sD_RqZmUBuFhN&qKLb)oy}Hg4BZYJd}%7?M|p9NG&MOgYp^(gM>lt z5K#Dn-CMTJ05m=eG6bX+l;@G-0@QDStKD+a;1b9HXqbWWJhEC)yA7_k>yQDcPY5#? zl;@Gvg2rLtYNzZsxQAqJ23pw%Y74>Db{#T!f}}PRO|1-cOdjmFDf<mxA*lt8T_d{z zG}eJ^?gu2bpm_~swV-iYxY{ZE4Zb0%&A~Dk16MmW#^5iK+FSx^S-=SyGS86*oudJj zX&@s&<G^rpyABx$AgRqqQwtgohO3>j-vCzjf$}h@oCKK%iW?9{ZyUkNK9E{M<s_`l z1yT!2Klsd5fVvl?7F14x(jy3i^nlv1AoqfmOxbUs2WEh0YQggrAa$^C0QEsZYQb^* z=mOktpfU$!F33EPzd#t5-#}^!mA{~|a*!DywV?7Bo4H_TPK_~e05c$SG$6O(Q|peT z7F0%o(l^X+p#CFBKiF@ecmp{AI`>0tT?le7D2_p9LahRqlb~`IY%T)>Ll9H~BnC?7 zAPfp)kh?+ccaR%EY=%b{48oAqg4}?t7Sw)+tDUmnAPPw>$PLJ9L47~C+D8`*;*ivW z(iyT^P(KB(cFKN(BqX(<bcU=J)SrW^eRRP9G#3C0Hjv*y=>b_Ss4oRqJ7vE?7LvK3 z^Z*J25C-`j)IWl&eRRQ~07)$<Js_J4>K}pBf|X3!Z%__qK<3)e!wl4~0;vVr!7ydN zK?9OnP?&+#!`uLx0|2Q7E2%wT&<<um%msxRvRcqw09@^q{RX{AYC&Vmp!5KXe^|Z% z^QXob%tTV_44pp)%R$>*AalX|sWApikko?4qw$#wD|bNY9OO5!pFxp>WG+~2YK#G_ z+ySWt`3)?NL+yH~8$fD7=>f!rg&C+X3UV(<jG^{`!8R}hGLHgE4<Pr#)Pm+c;A)Y| z9gw-8^aIigQV((qX#NAF790+>2jJxn$V_7W22xANZ?N_xNG-^3AiJT#0M5rCH-N>a z#(<VRfTcicK^QC!7J;l!KvugC<QeGvI4G^-Q+pCgEhw$yQ+pmsE!;jdH-ME)jWM_d zW<cirKy6QK=7N<>je)oOK>h;N8Tiz~+I=9kpz;r&+DBjuAZ`HFlOVOAybN+OdcJ_A zUr=}v8^<8EgyQ%$)D0lDgyQ%El3I}eKxr3*LGA|4x52|4)Gk3%3o;wzFPK`;yc=9? z?EwQ={{o~A6vxPFLGy1QwP53>?1%R+Kx#qa$ZA3Ja3C?T+S&u~{sl-aD2|cUg2sN} zYNzan_b)(dL2-<%7BnvhS6h1k-oF5;1;sJ4TF`nQxY{ZE;r$DcT2MYlRtsA50#}Qa zk3nGoDo;V-3Q7wg3`-ASF{CmIq?S;6_zMmY$T|{2>45_jNzgSXp!5LZf-uNlD`=Y* zY}~$!27Dk1s9I2Z0I31F6@+2#1*@GJ18+xx+zSdbP+0~pzmUpbFn?+cygUPi4I#h5 z%4(2WLVkmV14u2%Z`j-m7MmJlAOiIpNG-^3$nj>491bQ24P=qj!r~a@Kag2AP_<yc z?Yju~8z?;z>o<^ELVnYLx&fq?kl*x?)Pnp5awjOxKo}NpV6mw&24+ZVL1`E)4pN8| zZ?HIaL{bZiXMAdXkko?eI8gY&!VEMv0Sb4J7=y_{g9s$Gp#D2Z9H!O|Eqp=o1a%&m z0{I7&mp~XS03|@;pztSEJ;-jT5nu{r4#<pw5(kGp*d-7G<Q`CP;c^eitq_$E5~Lm! zHq;VFj&qO=AoD?P#O0oW5(l{n<USY%xf5Tw4U{`^*$YblFt>wfko!Suaf##dFD`M= zxCqEx7zUXS>K}safQf-<kT@vq43s!7_mk=#kRL$)fnkup@Z}3!=^T`XU}k`5kU5|@ z!KI#B?kCm1)KX6^_k+R+6kae43OA4&af!#5<QFjH<d@{fmn1PH7BRSlhJd*YiABj7 z3^|#3sqyh<W-x{YjA037m>V*bWELf+r^dq=42kg&5r*9Sl+v7hvv@;><ow)%lGM_o zc&HFuDv=>2vn;b1B$ZZ_S{QGL%4cv&%}6ZE%r8pJVaP45FpD>gH-s`665}Bv3?)U0 zdBw^3DIga>nW?2k=Ed<T@udjK%)An)ttRnCFcyrCPlS5M(A)sdf_c%<0xo7@2$Qui zg0oEEEHj40(vtk##1c?wz?ck)@o;8QYI<gINl|KXyb%MO&5#(6V8cVIEHNh&<Rw%A zhQxR@0XP>C%7*bKmWUuvWXMTPi7zP6jW>j`U=B8i#jBx(F-*+D6wWe-vtZF~1XE@V z7lHZS7%l}9F^2__IZVnNE(NpL0&WgWu`$ekW0>{EFvUhN5hFu}<bo2AZ;C-411I&Q z{L+&AJcgv4{KC>yhUA>=ctZn&T!y0J5{BaV#I!VqqWseIREDzDq7sJ0JchjZw8Y{P zhP?RVocwYI&-kR$5|DP!_@tct<ZK4dc(@6k@gOt&<IRgx({oevN?bCF3vv=G84x1D zsX3|1B@F)YpuHFV(Crw(&LAUTiXl9PU}sQN8$fA8C~X9#jiIy&ls1LZL8*x;jwvZc zpdBH>&hf=y>rzvKQqw^SO7k*HKy*oJ9*D}#%quMhG4e`tLtxnf#7}{^8|qlF2ch1C z%78tPZUCVSA(Rn>GKNql5XzLnKRyTQ*&Kv-i&B&Gb3rzNlVoCgDu|t$2TR+aumg!? z=7EzoST-#a?B>LjB9Kg8X>Mv!etdF%X;ESx*iS`}pn?PxB$yz91PLN=0OiDofi&mD z2Z5;Mf|B^0)D&<Cz}TQLXYh}A2JNItE%MDzF=Gf0f^ixAAt}Khk`jW0K=$XAq!uxF z#v3qr#v3wt#v3ts#v3zu#+xvB#+x#Df?|NdA6)z}c*f_I<|d^UG5E)WBf>u(8V?{| zJgCqKc8*WUtb#G~N^`+X|9Id06i|4kq$cO5FnGqpgT*sGH7|w1AL0zq#vlK9$ZntD zp!nbr#}HQr&-ikX>p-FA8K06^k_d`NPzehPbN_gdxPLq-X#L}h^NUI{Q$gMZ1x8t7 z4udBsc!D5Q7$_oBQ-b0H!hIpkFfbDo2=H(WiU(;0g()~3^)vEwQ;YQzjf_)La}rDS zeO+Cg{r&Vy3Uoo0h;C|0X-Z~dUWsm=k#SOfX>x{saZ$29NGiT0Nv|v;g+V{RphQ12 zH(ft0vn(+s-^jqoM9&b)(TDOtp3*PNNXY@~E-p!lH!?7=&@V1Y%u7iuN`aVDP?Vpg zUy)RrnUhkiZ)s_1sb>)H=@$}jU}9hzZ(w0!pr4snT#}fRQ>>qulM`Q%lUS0LUzA%6 zvkRg{pD4S?cXLT<MM-8pJzR`z6Upw)OifLN1#(J!PJVi3a=f9TnF+|@ISl$V32Op& zQq2##N%<+21K|;5iz)C8xLnLkjxR0&r+nH+2d0J8aXoT)&^SdQc>&p0atkj|>R}+O z=!3L{$=45SJCbh#tO713-w1Gx4r-)>t8U880ku%cHwD_BCEozJcc9%7@=ZeNy^wDV zq$fkZepnX>-tQsbC`gci%YAUW8q|M8#$Z7P28K=s1_sd1YimXZhOf}Qd`lS_7(jDU znM@1}EzArI=FAKX?ko%pUzr&g_Od|mZx#jy5VmGzU;yD-Rt5$TKETSr0Kyz>3=AOb z$Hu?_!u@Ov3?O`yje!A#71$XVKsbS&fdPb9vokP&FvyJ{x4~!-8`S58@rk8DdO%~u zpmA5wxCLlT6*PAOTHg*DZwHN&gXBSLdqCr!pfxBU8nn+EL{~xAuQWi{&$UBo&|X*2 zd<baX7Bt5S+S3Etvjf_@vYLT`0kl5_wEqOO9ul;F1hlsSv@Zd)?*O!40<<3jBnH~g z022r8HvrASgXY#jbLODAaL^n#Xzm&`Ck>iE2F;y<=0rhrouIiTW9VLL(3}Zq9s)E5 z4;otsjTM932AV5|xev4!1ms50x_w{J9#aMe27d+y2GF?%fuJ=93=9lm3=9n6pnc#B z3=E*K0j(nitq+X>?ek+`U;wR`0)-W5eG_Qi5@<aVXq^#gJq~D{4QTxgXk83wy-NWD z0|RIc4`^);Xl)E=E)TSh1hhT`w2lI_jsdhj0ptfzoPg%3K=V(ac_q+%5zJ4Z^-!R7 zPN4Nmpz$|p=>ATSxiB-(<zV)L>;#1=Ob<*P<{o18Bijoy1LhBqy&$teZUKpb%mc+A zDBeJ63>4O&JrJNh4xlgx?PCCiD=z<l!Ud!sTl|C88o<&3C_f-$4p8C-?Nf%*=;Lb0 zYLVFjphOPZzYC?&^`Y}&dVfIGgEyI@t(gL;K_CADiGi>NXc7jr_Z>>3n~y$D2+{+> z2A~NUP+Eu5==wnU9Hb5%OMoV47#J87pftKZ&{!I}9xxwNV1V|;Luqt<=;NYbJq!#C zFQDo_KxuS+(CC3FhtnFM2^%;MauxxM3kpkEaKpr4v<?A%pt2377MVs*FCaUR<)P7o zECgnwrx$d6(C7iHMJCYG3%WjN^dM^iv(eKFx;|(ygViDv=;;MrA7~y8Sv`!69)IZi zK=X(&wa7GjIz!h7sw<Gy!`V;|a6oBveV{rDt`>>00_u<rP#RqyXucImIh?rz>W~9a z8eJb~{VrTF65|BaAs3)Dx;{|7iliLQ+yHgR4k(ST4>WHHSB%7%0d>d%D2=WUv<4YT zIh@%5bw~%4M%M?bOW=x;7#UE96hLWoeW3ChNjaPu0Ch+Nlt$MFsvqErkr)<Ghd4lK zbbX-u21z-bsQ`6|29!qE2dazUijf!`P=^RWX>@&{b-75&;mi+E^*^9Ax;{`{2v>~6 zxB>OR11OEI4^&?wDTgx;Ks|5*N~7xo)!T5zNQ@Ow4{U(a==wnI03_vbrU0nn2Irxk zHv%#PRHq|J!<ixk^nuzqaK%Ur^!$fjAAstAB;{}>dO3)$57h2}D@J0VmlNpvK<zIi z<!~l?{eZ3y)TV+fMq;3s6X^OtZ5t%za3*>=fvykK9)c@IVxX53==wlyXe8xuCVDx6 zt`F3HgDXa2pqCTq`ao@UB;{}>dO3lv57fSgD@J0V*DL7yKy5-K<p?IUK7rLM==wnI zNQ7bpYXUSw&w$eC`at~;glYuK1Dc@&pftKZP@e^%8o@GvW@rm2jjj*W&q1h0uq2=v zS^-L<>jU+T5UP<_&<xE1rP1|)`XWfG;mj9M^&g-#x;{|<1+Ey0aRFKo+<?;P`apdi zB;|1C4roDe07|3l1ND>Oijf!#pasDSD2=WU)VD%X4rg{i3xWwy8eJc#KL%Hf#3+Ck z1Qk#kT_30qhol_NjDQvd2~ZkcAE+-2SB%7PfEENEP#RqysQ-(k9M05$76b-R8eJc# z&kR?L#1McM1QJjhT_32QjiemT`~g+Z04)&E^`SM};rcc})$f4P==!jol?Kv`Ue2TU z7eHrofYhO5^m+wdA81SnT@Q$l-VZ?62O4_-sYA!;?H+V}pz#WHJs|!EXaVvAN~7xo zjd6g~p=0!Z2YP=9tr~}GM-LZteW0-vxMCy*di{>B4>bOQq#Vvfk3V#Mps@tFVkE{5 zXaV^HN~7xojXxkMhchieo4DXS$T=i1E~woD8nb}$k!c$O`aoyZAghP5(c1;+{Y%jJ z2uv+9jovOm*9RI?K~@iAqqhst^}+U+!_>oQ^mYNdKG1j>Of52v-Y!7b2O48TRu5yN zw+qnqfyU)vYLRL5e1xtKbY2j$dKeqM|A4M97^V=7M%Rbl&j+3Fho%M0Melc@>k9>| z!X(i99q9T%<CK`X7#MCqEB*&i8eJb~Y!jQl1JH{91e8YC2O77-rf&tb;@<$J(e;7G z`mpIkFDKB)KS1NI*z}>7OX&JQW3|}yq4$r_^?}BBvFSq}4*}^z9%}>b@yDib0<>W> z14@JRA*%!J_ebW#*y!N`?Z(5T!Dk@CIY<oja6#7x+7pbV9L_{9chU8M#=GH)kr?Rx zBXoVBF>)m3a3*@Y6<r@_Tpg|$nE`Eg!rHCq`aomx$jV`C^maMAKG66*Of52v-Y!Si z2O9H7Ru5yNx0lfMf%X~0)FRX9?K5<JXw?o}YX-FAQ~;&X^?~*u!xbYj(9;=o*axlx zG!F#lATiL>8M;2uJP49<I1@cQ(e;7mPT-1>80h^DbbX-t79{0xCVIaET_0$U2Cf*1 zf!^;x*9V%{K~fH9qW3${^?~Ms;EItL==~0KeXuiFkhFlA==~0KeW3X}utGEfz2AYZ z4|KLFnien@z2AYZuLP_LlR!^r&|yNjLeN>Pa1Ig!J)NQJ1D*AUq#VvfPiN@*%Hb+e z8R+Q@U0(&Nas&^pTte4ZiBO5c3V;q=L_leDeV}<)6b(=gdU!&IPoc7qb8F!oBnEnT zqU!^l?Tw@y&O|Ri(e>5BRiZM`^B=mtI#lIwo&|JZ#sNyB>#K*WL}j3d3v_rGt_U=L z4d);+(8C2?Un7!QWF~q!kFF1P9ul%15F5RmN7n~Bw-}@j9ixwfq3dfw*8t<Ajf0`< zYlW#pqZOb7T^djtU0)lTdKi}j+CLS5(&+j?>jz+Jk!k2T?JiDe9Yomtp)z!0v;x}T zIt^`@bVDm*ZfF794b5<;p#_pRT0-;2RY4&;h7|V0uiz=vm&}l}6~G6HgXTe@3GOsn z!wc#Sh71u<FOz|RL4$z-)OHDXanf@wOU;8i8}0&_|AV3aMehgCfSCi=G0GSXfzc3v zg}_Z1W0W2gApk1RHX}6}xS<u^&u)nG?o5Hu)1U|RO<xD$F9a<ZV_;xNf?n7WbrB*S zehWe~zJ}0WK11jae<1W;agdD+4BHeS^d?ORodLS20AwEYkbx{8h`3@bgjRwc0H%-+ z;h%yY#&x&@!e2KVLdUOx(9v5Vbo@RD&2=6^vqKM=;(rL?@B0X)e?jOi%#eDZP!vK# zTs=yTh5#f4-f=K6Jmz3vVB~~gE=~pp7ET5R(766z4hDul91IMfp)^R%PbeF-F6|cw z0|N&q0|OIO?>`O(1`r0RVdjMB1?dNw2ckjdfz*Taf%JdlfY>!3*J3Y-6Gs#Yn#M#f zzjQQ5^X-UA0HdBIDFkqhtCM8NsA8&x0I1cm390>c7j(f90|Ud-0}v~MA4BMm(1Uh2 z8G&>#Fyw?nXt@dqy=n=Bjy?{dIiUwx?%;uxhXOJX8e-ZgIT`{(GX!?BGcfFBXJA;z z&cLvqoq=H+I|IX3b_RwuP#Po#;%{JQV3-5R3PaPQL&Vjfc~s=~*9N)Ka%PA`-KaAM zAOyrl%cKDab=+2hq64}8wFY##B5viQGNU0dqC<cMlwn462n;UIgQ5eu{93>Z5@BFC zHW5n8kG97KSB#CiW*|dAmWzSm1t$YT8v4TYfppXewi~qm0@Q!3U@V=*xke>bv~_lI zVu1vE7vs#vM9qYPv=q<IrbUADcRX;~Af)2qqT9P;L6Wkgkjf7c@ty^}U5-t+JY0^n zcI|lJl%XW0vZ$5YE%2qn!HEYiXuVpH^ymMp|9`&v{a@+FCKrEh@AG?B+wW(mr5ViF z-&U6L`{-qXhTqq>#j-W4m;9I9f9g)S_0IUfUCDwuH!9b4s4`zaHT}r-ue<dc+UFh$ z<xNNn`N>!l%2FT4!mr!(wmYGFc2JvcjCs0UfgM}K<Nam&uaq8~SkUC{dO%j`0pC93 z)r(|rg>S3OJMNzLbz`wZ{S)=oOh+rZdKPSM){R}OG{rt3;i@y2!JXoH9x|;8-%2AV z6!OaVSL@mbXB$?nWs<HBV0^V+%Yf<D&ub}LKF8@TIjO%@>}<WrjV}{^niff(iCc7l zBjdUCWY^u59?ivD#BNUI>S<6<__=nK!^Z_o$}j%JW@SHLF1uWB{<;IJ!Zi<ksO9QW z`1W-rtKj#ftoTb&JyNV@|E4otVgF_RG~obeZ$nvbmgAM1JI^$n<lZWF_O{54FTz{~ zzt=G-FNmETC2;Xv<j?C5>ejk$yH#r(VQI}6>>Rg(>1ZZb&w|h8D`S@^O^FXkxau#G z@S~j7P2l&hD@`5MhFkxJos^QW^>6IGzlP!KaS;i|x3{h|t=K$!`8VCQuAi$}&F)tI zvz=_-c=I7w57+@N*2~Jet|{$yXcROsJ-t4VwaZ#W!twe0plTOajqfx67d(~<vvp@| z%MVCk*|^?cw_}HR@b8XmNnc7?&HnW=U1`25v_<g3I$zz6up2)g&(FCQWumUV;bkS4 z!S1L=!4K;3EMM}Ic|yOW_iWELPPr{A!I<o_FV8$u{AeLpPXn{7tNDb}TQ6x%kq=6^ zdR-*phc?(VG0h#_M%Vvm>AJPAn`oNzCx~^$`M?C0E!oAqi$u$E*M(>4&WaYj@x_sK z#@gCNd@c^V&5kK7l1;X8%bqxQ;Q<bb#ZSdoGacoH1lHZPN>i*wB1$hrMKT{2*EDFl zaecX%%bo6(dt<W=e=T8>uJ>nr^;;AYSQ@u1U)Zl-b1ybMpNrMZ)|W9&T+@K**4CA* zf^TQsDvj!XqsQuY;K~I1Ra_#{-zQA$1x3f)1xi!$0~4-#Ft*(fLkg(oYe`$W|JV16 z&UZWJuHjJI1&v(S-4kxHMs+`vVl~_A%$U}%fw1A1ZK`C-acu*pWobWWu5u_`2}xS! zD><I#i$-j{Y$cnz!?jWH$UeKR3XkG^-ad`$zPMZD#t&nzfcxtXaAaIxCgyVST>UW< zpKaN?vr4N^8kyPpF{Vw0+I+EZW$dD@C-OoPSbTVY+OBf=xC3Ir>~GUd1NQkehQ1GJ zc(`0t!tu@PU~Z*%N3W<wbzht>a--tA`b&qehFp+jBl6ZMD?LMZR=4PlFKnzc?yiN} zyd^oSKT~&8jeO)Wjv2F_s;^=?dJ_@}u?v-^+z(H<DleL_<93y7D?DrXUybUCTY2Ev z`@n`@R;+FgyUn&Lbd~+v+)=&$?$WIpa-j*Ad!<t(&-ev^5<oDw(k;WSo41JFe9G0+ zuu^e<q^QQb-~^Vr`@M6o?z1YbT*9<EZXv_ra&1I3*T!WV{@TbSeO_ci-2VAK87y0} zgSi)FhzD86WnY}L^1!m`S_j^!L9JdS8@xL_dt&0^bAAV|^fb)9V^sI0X0_NN4N(b4 zb1|jl%?8&l{fz4Vw3%zouZ2uJ@?i-q7tf{3xNJ#2R{d7rJNb(at6AM#rYqCIaVp6! z_%=o$&vEvh6<agj1twfRE}F3ICBw`M92wWsWL&mzpXBko6qWK^%YZ4Z=Z{wCf*rn% zf+hd-&L}LhKYVA|R*ml#0n=CZg*H4~Eh^!-<#QsN;9H4jzqg7l*4N(f@x17QI-f?t z3+s4|J2H+Nd~QyWG*Q>u@bV^?!EPsnc>cwx6n+f@rZ!1N>$B|c`_!%<>|PA=&a~4O z9ZAOj@3BwwyM9Y#%IQ@bSVH1MScMG!tkhZ~eq`d`xh-0KXRLp=n;rh7B=NTX!-4%} zJL3Os-=NF*`1xuk&K2i_TE8;&-MJUF;+5Ms`-_G<V`eX1urpG0TEolQRSryPtNA`g zmuG(mDal^(=)}WQZ0?!HDhk%2qSv@9E-p}N`s4JrkwxVCszX(d+o$aQ79cvE>w4XC zv%{B^H2$yR@^;wzFrf03$AJ$!S8a$BaQ}8)Zk2`6`oF!mcusdGl+4u9VSJpwf{Am* z`%thu;;L7?a+?=#ZT3=lmQGXJYAqec%R!<Z2j0|#M(95{@pfywR^OTI|8vX^CkUq4 zgWN7x9-`9l>|N&1e$k)tTTF!l-qg;p34G0ZcilT*fmIpi_peTyxAyFWhEHEXVIv>L zDrB%GG;yy&-<$g^3%is*`+msIZF}l!@M}KEEr;jnoEP9+;SVyo@6J9>r>k8P|C-M} zx$~9|>)Fs%8(4hugIR?PZZG3{%__6AR=8!A#iu`f><`bM{h2Jxd1Bti$axFDGTpOT z(V<Y^`^|x=i+=?yV2&=WcpHB)Eh38LseVWz=Zb%8xl|G=K8V#CfE=^lHl#2m?sxZA z$!X#TU&yZ7z|v#CluKp7K3CCe+!jUEZx?1AJ@Iy{{erUwkt}Ctt^L&^WMCTw3YijE z$gHv`+VSmsmNAc*W7%G<HR2z3ELLJP;SZnr-zQp`@u+=h;@$<fukPh^5`Ft@KYzzk z=6Oo|_N-62L5{h%ic2NoM`37$euwgJb4O5OIDcZ={>5h}G+Yc3o!0PiUHj`>oGbd_ z;SwrSSr<4tc&nt)g_spw-Uq%!g(@&^whm3)+n~IAIcJx}rurlA79W3*e~X9n#5Y?f zc(BA@lJju*s1_Qb|6*Fvb-7hLMArXZe2d4sd%>S&S~`qZ--k4^e9-^=arsi!1+{tq z<o~lPaGHidTRWkWt=*r`FIbV$*%cUL<>7L4B2!yx#IfTK4EU6tjdhqiZn1SHO_;*1 zx8jG0XtPVVf}rTufWRZIZbB*^OGL$EE*w*E6k1YvkabGeqCUr_?_u|gv;FRes2c14 zeD>%6&;QkVd(TzRycCxDZ|0wje_I`<O>MN@V01ccN4@ckiK5d|`wPz~{@2-`5x4E^ zLRp)2eW3|otwj_5RI<7`Sl^$ebIX!LVtG&5<^8%Qvu(es=Jn`wcRdpTNj_&Yy7lww zoY=Bi*Oc}<Gz!MNJa^>1yyKgeZ;~;KTQ%N=B(U6#*s#<+wB_OF@6+Dqlx$&|k{=AJ zhxm;<EL0Bv>AAM(*FL7z{}(Yl-gBXmBjb9Tq{~IVe+7n?0ojJNTbX9pdN9sfuMMh& zKqbtanf04)Zx#D_n5(BDF=@kZyG^oPy^vyjs@9<oleu!f#IkDqUvYpVDSm#GK%xG! zZ!NW@C$j8W!{#qyc>En~&`Q?8E!-<(S8kp0FR1bCzqJf!H-DcDZT7fWFWVNEJ#qfZ z0~|AE@#=-Kc1c589lOI_CG5(!`q|!!W;`W~R14i(y>-RDB?n&3*F5k;9$pFkxmy1I zmhrdgsRpq@37fBLJA5`oD#rb?C*EIsfMbRfn>ODC&hEVsD{e1R;<>-{fZJB4DfY;9 z_3mqns%|wJZN1vAb>N2y)R?X^#jV_1#4cxx+<4>13aX62l?SK}vgMzYIw3zSfyGCc zsjTSqkBjW-*@|7KMG)1**{JS^@uD|goXjry@JWHyP2g?KF-I5cv_Dd7UB4!>hSfVW z9@`EMD|j_wvu5jzcXb<dk~jBqU6=y)=P^f@-4lGuR$f!u@7XA5kj(Qgn6+yz|3ywt zt9tP?fs6AK*IkR^iC=lZO+KLE(`v3Bg<C%jnmfvPidSu2@o({gSI=d%PABY%L(~W7 zuSEIihb3&57Fn<}93fn8<&%D%o7L@rfpWs~pARH*t~!Z4*D`3z)0ti<a5U}TUG{0~ zE=2LvuRGxOKcwN)%<NQQ<&HAD#|n#NjqPHx1NS;MUX>4T_*e>c_LZAI{eyhoU5oMw z-xHEP|LszSvtr<2eXOu3)<ezOJ$qvOx&s_D4B57?U^?0iPG5;^fnWF&-|bSlomer0 zNqVmz<EefvgQkq<hk2B48J@|{)LnI7V}q5o&FAxG-<SWLSiEOBs9__WCQw@T*}yzo zcUijjhMTEe7w)V9wMg0|UA838_@1r%DfZe)Be2iZ&A0u(A+hG7lZY~_+lAhzMtn+U zv-?xMpJ(f~-497v9Ix&0(;g|V_9&-hhPZ2P_;r@cU|$F*w9Z;|Y}@>~yrO9GwWO-~ zOtatqF_K*oxfWz!nvBcEym>xltF9^8`-3BET@Y*6ZE(Un#G~}f_)Kn8_rv1rr_0Q& zf*4PkYa+bnpP{?zy~c)L<y;2$UV6xOG?eAeaNIICRyu9r)){%B37d;W7wm*p>WgAI zK8LM!{WpP0Ibnw2hTx9W4Y%1MrH)!5y!4-^Z>jMXvBO@Cz5CWOJk19;A0*iW)1NQj z{&cGT^_#23wKx2-V3nA^81C}A7n4tK6}ucQa^sC7Yev~!4Phz9w|B$>U99)d`W-fF z?bWC!%e6r*m4mIT917Qh8;&y^UFzE^r(a9jaX4_-nHQ{F0dk8Ea4ee3Z`@&Jy?=x5 z{@Com+OWo}_5lqa|AJG-3`dc-PBV7rOiDF~U3?%-nKk2Fcmm6o&pRhxJh%SY;XP5= z6YqoC@M$b>j`-hj-y(2SZv6z`o(0mU|2id2?lP$9W|FS;Vm#H!q})+kJHt_={mbuN z2LG3AT`{j>3t!~>^$d@L!Hs!?W{q2C-@kfdv-ap0EmpJLzKpYSK`zMa*0^<U9dGf5 z$Z3xI92>tfEtB?El9;p9c0$7=AyCy)R&etEicpUOAHIWXQn~UTy*Uj<@}TN%^UvGD zs~-K_HvRZC|4V5e4qxp;BbZ+4uV&(uxW5WqtM6@GsMRNv_rUoU&tzAFySW<a8JsiL z2D1uXn6nU~;ON4v-4ky|*Gx2X-p29iHK@|tZlNXQQ2hMO{DZc)tXPls9e-8%wJ9&W z{C0SzsE~n8oanTMi@QS`S*FAXw0>=}n7#k)!Y=oR>nB%Ft6y|>Lc^-SR@DV{zr-wc zR1)@tL8|=Q&Y?0l)1TMn*6%X&KKR8cG=eGZ`YI;QjCVnxw$d9vVUfZo1rLp6ma9)` z_|v*-L)?MWyjn^UJX_g?0`@qIUgO@i^v>3QbHWrE56iDQBxF$KAnM`pbIRkVJ!`~| z-2AhZFVv<W?sYS$$>I1l3KR_eE0{PX;@3iac?}c{>%Pi@gQ3<%bXvnrIrS5gN{nYg zwKu3S#uq9x^ZKu~w|H(Uov;V#+H*8;BEziR#$VLUe$3nE=lC%l92uqd6I!%xwZ=}L zSF%Vhm#K|?1;{m1wI(!tYKAlm^&M9{I#I*9Z`s)mdpK6buRJ6a@IPGC<3PoRP`+bT zjP7~yO#w$I{!O3w`<dO%1!pHTyo_A6f#r-|Agj=YJ1e+ev)(-QAwFWo1HQM>g0~9V z3k)~gXOycb)c^6UO4-|>&$yx^VZ(Y*du%c&vZ9;1^1Q#>wV2(R^#1Usvg4POB<fs2 zF{B^T$TH=B5GaN=)yq4Hx<C8<Va?>HH#Y9!SfvbVC*5DcrIJuHQEQEO!IN*b9HBBZ z-yi-84xqj6paAMy{^AyAM%c<jAUChCU-9U~o`32Y#wpzj_5GkG**14qrVKlKeV%<Q z)&>Xs2@$<EulL{A`oP=EA6GDz&g6U}8!CBp`SWaMy%&=e1UWys9A%vvXy`HFhsurz zP5wQLL{3LcYsxtslp%PkIjU>L4<#+V70x2ToRb_~mGx|nDme1=KWO59_OPi-iC^_b zN7wgryPu!?|0h4~QvK{dRr}u8+_x?N=RNy=S<18dS%<r4*?)JP_Ovm#Jf-!wLDT-V zr#4NMlTbRXd%Elc&spP&jEntSCG2vy{=TiFyx~zOSAg95100KFb&PIVKAC^9eXH2x z_1YU=UFQmTtN|+S_L)Xzv+v+lKYu;Sr=2UOtdHr8{c_Nd%bi6XWp$?Ib8hNJb>Cy= z>PeX0eZal-pWgdvZzssFIKUAFss>&E3p|Tjd-MY{*P0cg3;wh+fvTo63X5b9|CxU+ z>CYU~O+BIcK@GorKsAus8HKF3Vu^K8*@l1jG0pxP$@t9QE{a`gL9B3^z{S4Ack8#l zSR0tY!eh)-R+PLuJMph>RL7qvR<{d%;QAuEC$)L^7O}(i+8Z9tY}M)g^c7s|_B3~t zo%vz1_UI2`t~I~bF<q$#cUg`27O9r~<MW^QVcr@0KW~~}dW+t86U${F4;oi6m1Gax z60I^h_j1&icL513XC(f*hcwpoZ#CK^xCPn|v~kHcwDD{dl@D$B6b-fsQok?TD)GLc z=Um+02*y|7c7$mn+eM+>YG*!g5xcCfvEgPemqEP;sLJ9r?pPGdQM^4lRq_iPs8Q#3 zz)x}7KPz3Ax|vMM8OMtx*#&3+)OUDy{Q=wBqaVz<)@*oO^5GLJ*s`AH6<a@FzWrit zRQE?V*09<*##hmxk)>HZ%^J6K`D#BkTua(@`s1davwR^9Kchem*6pq$pt8Krcy~zl z#ockBn()i}FxF4UMI;<wzlYR>d#|4?lh_y8D4MS6@KX|8<IZrra_eVn?ic2@M}O#Z zt$8(f0rS=0pazm@B3s}V?!<K$qkOh&Y`D3V%V58Equ_;e&)wXt_s`=09Jtmsf4y+3 zB)B%5{Q8mgSN^L`PnLqb*2KFmK(Ot8aKce}(FHY+s!N@kZd`AdbSd=Mzn=SZYE<{f ziCk-T1u(t>R}H?P%1Nxew5a`B(ytDt*>4Lc=`{aTgw`5SN!ME{H(gs)z7tgMzPP`F z>&bU5gQjcumu`D}(&}qLJZsn<XU0>XMIoani*|$y&+6T`Vyndeh{n+W0S#5#gHxQ8 z7sO7E5&(?=Ib<8gE<cbqUGu;nHgK}sst}cQeMwpWwME-Mh0LAXuu_uIdZ*dHm+u$1 zp5PBpV0jy%ccxeC(1xIdqx~Wa{_KWUfJYSncJ5C9a^-T0bx-`t1FMon7C>4mplF|K zFL?M#)!L(9@>tEPeHforPd8d9c;Q^Gpz9WH!Ibt)-Ky835{!pk{(FTg?2l{&CAzJ@ zLt7pO`(0nz9j@U}xdm)XmgAAVGj1J?>i!rm67e;db%lH=XgDSaJdSevHBThlWoOYF zYeE`+ih=^mH_H(;vN-wLqVoAn$_ZJ58zx5|>%VvZrH`!5eg8(mEy+9Imo#;pJrvP= zHLCleE7zJIo0z5qgHr*djjX@+=nu*ClSW~C-56g@2G!@YK>6$6=7wrh_37EV%gnVl z+}z7$aKCUbX#7tN(x^PWRcx=ahy<gtTm59M3Gr*8rNhPfiTBoRmG~FjDC%z?z0LV& z7TBg4jxN@1l}*=@{s^*$)jBi2ss^WPNbY)SY4y8Ap4H4chVhv+*nY71XRWoa@57+2 z<f9K>*so|kQGQopwuGZKbU5YR?)k?2m!f$3Llcg&i!S)H3S1U}o5}x{UQ3#jd*$Zp z=UNW6Ge9lZ$%O)2%l>I=s=eMSc3E5W#+x+O8TZ$NT4X8U;h_}k`P!!!ls7ObCmeer zzRF==C^(AFD0KZ(Jo9>s*x~t_8y?wn1=zi=HQFTTVl59Y!1g(28`{M-hRzRZ_yr!= zf|hvqmT#S*!+v@0xyz|S2Dkl1Jr2}t)mkH5@Z^~jXrzaGW8`ebXuhiJD-KyPuJ-{C zjcoZ7zWU$XTT+}Swy!>9<+ywG?wW;NW!<s48=n6x`r&cl!`4+BSVWeuVB*xUU&i&C zr7)$w(OJ~}8SB4oW`{E@CI0(~PHT8oIJ@L6%axrFyHq(sz1_a)|9rxcJFV%Z8mMIw zzM6@1#{G3%uUT%c`mnz}q@exTT8`XDeBIqMZP(nL*l_Qi_=$y4>JPjbw3J@Rud$ub z@W&-ILjA$C1&i)p+RML?cl(py2G`z6=&&EoUv)?*;7^#Shr`aSCF)l>AD#FIt!lZq zO1hms_#+oIl=FNQ6X%NcL9L}seRuZoFU&f5;_UB&)n<oNEN9q(MkI2sa~uE9DVNv2 z!!BfC?;(1P)1s*OuXCu3Wz7G_TO>C<UGQBI<bd4%E|v>6cTWAgzQt5%!hbK(Yn-=Y z?|KWY%Gmy+T$3j(=869{+gmI=^OtjZJA4!iRbYI2bJYev0rzkEjw`a<zon-vKA&Z* zlJLhlG=gc>evqrm@9D57&uvgP*LPgerL3QK<q1P>8;cJ&D4e208(Ge*4+c3K+=5G~ zKk{z&<TuZ6@o>KQ8!mc{v*Nj<E6d;X{qcU1oG0$D;CjvSHt5~9b}hZ5x9i%>4kuVj z)Om?cYe-terLy49WUV#AM<)Kw<qv&(!j4ngHnV$P0Mjx3@K)6YR$ihW4tpaZ{sy%r zE$@8G-Xf`X`rsEokV9^R9P)in1m7_$M)z;xvz7YZ^xwGu?RuuM%7XG$T5E(q6oDEm z=jJopty_CBp6}E972wqK`}>{y*`h)QHGjfv53`8q2eJwU><bpX#`!4c-?sKu6(V*V z<+t@Oq<I|p;SGu>dyoSAHC(S*WOnX+yHKld&yOWWH~$J*I;$j9ZO~eC@pS%wc4cmM z=L*KuTa_|5ie-;~{JH0D&-%o^o%zpxB=zk)Yni<7+*#Z0hM!B%<n|>_`oU#6X_7}# z%|so|PL+x4U4n!-C)T(IO-hThjjR1u@#aI#o_qJt=kx#1JJ8@?-y*I*<74~AZ}Kz# z-QM!jKK<tt``a?}|NOGwV9~kYi@?E8Ovcmx*E&CCXu5ivBTHUrP5+-S$!T@%heBDp z*9%Q)%RJV8W=@1%`+`dxVbf=c3UB3PdHr2b<k>7<;qGJ(FLNQC{oVmLi^}!|>MO3; z>#)J@%jN(4#{Y}BmJ8|Fc?C$>sIPzG%%N2-xQ1^AxA4}BEU&K%iQH3(kg+qr?-9&7 zZNB0P9eaMYuzuAQwhkNY3gUc3zNtp+X<hJz@!+Rz%s<_B&Pn*1+7va1U-<Q8PA-1| z9ln|J!b?B0oc=BplEytF;%@JPGTVc{ZnLzW6?-84QK{+DXO`2ec@DWeb!ocV&Y9IL zcI3z}i>5=eEZy>gQ`~fqn18y;GW9w~*!5@$;jNl1ul)r@rb+g69_?gV`kQmw^Eeja zsT)~Vn+smKryL=_QR6<xbRnI69syCwhCVv;-2#4c9(<+LoxG?_<KVBmEUeN^okv{) zcJ(Fv^k<pcJMDq=SEi<0n^|6K>vVP=`pDAFFEr&@JeTn4--03gJU9F*Z@N|H{kgY} z)$yTd)6vpt2VGw3G(DX!7&0wFOn9}s(3NF^YtHYJQRAu>(7ERjP}QDL`T6Njx1TZx zU#;iNTD`8J^Xp^|u6_X>y)8;=UhIN8;Z3LZbA*-0bmni#=C~HGu936BNKNd#vWBhi zhCOezW~`8L-tfz|>6Xpvq@-H?rbB00y59>=*%sHE|Kz-INS*tJUC#o1uK1}()b}jd zaO2gC2%9+zO85_Mx^}=NRlMn{zVMZMN)h)feD?|JsYKNDE!c7?YDR=z<AP7lO;-gB z18>hy_#4)AsGX%-`T8^ImtIXz?FB-v#j*>pP8Ys1PbDJ0DAq?rO+e?Kd%&z>3AJh8 zRaVsWCVbUr*{XZ(vGm`hrbFB;-OksKySx->dMYm*B6g2Kcs0B5m3Jx;|7R>PsB+#X zxL#Fbuj7V24-0%k&Z$TIWp&Km*=w+I9w!&SfDYds3E`!+9MkqY2K<yh_{wbdhu$*D zgTK64T6buwiKz=ukyDR|t1<Qo=~IaKYv!1H?X2O(ecFx>tD25(=k%JLchLM(I;Ylt zrHI%{Z=Wk_f@|zu0wheBgu5Secx@Nf`9FEVro&-1i{o4as;4H@-nceH!$&cqrfb2L zFJ?0$>}D<a6x(!l=eDCRxjYAd^|G{n=MZzxIc^@L81YZnG4<<P!;Mv1j&B2-z8+LI z478Y%@G-CHl8$vkQe}G6sp%YH_f=N#${#iV71DHQGfTJjn~u&)g)FD-6;_<tF02;z zU3JA>rw#uY9TRt7tWl104ESYx@Y5~p<V8hl2VV)ZY*pkGe*Kt}>oSK}`h8a6rFS`} z?e_|J$+CL(+f(MZTv=Y97ZwS-%PZWiE;yymWy78a?`Eu!@z_ws?)X-@>1$y1hu#9- zgO6UbEdBcZ$dOMwS*FexUZZEsCcL$i<@I-Ak!O<Kok#a_c*Uzn{L^+!UA^~*+ukV) zz9}92B~_igsKDyrqhyw)uHO$H`IN|Fsc}Ckk#E)8Zuh4PRK=bxh(GSccPLutjN_dO z`?~h#FOp)<6#h?Ym6;;?{kUjxK!3U-`&&n`XA92PGpsw|cw>I6WykhS6Pmy9i#=1w zx9_|Ea6wIDtL2LI8zwYwJJ+})iSJjW&JzWjlZRxEsPZ2Ywe)b`Xu$r{TIb1vGld50 zW;E|&=eEo+-(bN0S5~Y@V4FqD{f7#1XPo#h<wwkD-g9f=4kh-dDPqqS*d21>yXDmW zu*>oV`^E{)1?t?z0`_T&?3v3C|CHtXBp!Lf@uxC(ae%o6Q}~kwbB`aA`LaCngkwaW z&AJ)QGa^qo{<7mPp77jODE!HSH)jvYT$vqt!m)aOtL2Mm`J?X(1oV;<`5t-eJW*I{ z${2pe(XP2wX3G3c2JEF97Z<wn-Fm2V#&Lgpt4vFz`lBw(j@=+Hi-Lk?W~<B;-@b?C z7AwRzO=$im!(DtK{L~I5_Cz7>VgdOiMfS|b<ievePnaW4IPUCiwOk>;X+pEvCyToF z<~mhwOOExM4A={AeB7bL{!&KlnS!}kQNT1SkPGG>aN@gFt8>P2ezDNH6OIyx56K*n zjy&O5HNDmHMD)B9?+XI-5)=7eaqB!;z-!AHe#X(hwN<9YJ#t2~#gCMjiOnS(+{F{# zCn>V09?;y8#P=#gtmwkJ)I`2t(mGERc<iU%f2iP>s>uEl6vO)UQtM7QT25=VT*1F# zLbKV2k{CnwT6wV|fxN^-zDMjjPZV;i+4diH+&8CHX3F%)8O>7akGo{HoYXnvSU;y# zrbRIw6fBP;PdM%Zxo`8_R_&sIvgAa*S6_9WEZBSYkjxj~^UumHIK(#^uz#!+E1D2y z$qowViLEkI+9PK)TV-&qJK-n|inrU5Cmertv|4s7KKHcT!XtdM0sBu=v7&%$*8JgT z9Or@(La@#m$M|10F%z2&Cbn93eBV5w+2ngjj3Ila4!5O-`9=fwueM@E7u;eFyf3)G z&22g3r~Z#+zV(^YUfQ2M*dzS^`|16R_w`TB1&v&AuaONEZEN4EH9;YfV?~Bb{|X6} zse+t#9|}W61PzrPd4&p(uxr^JV`o&Fr7XDA=9EKY(#q5&3EUzxm`ppm*g5SkoY1ff zFysm36uRK399Hw--tRZ{=l{MxH|NVUwfKKq|84!Y|M{Hi{M*;(&b$#`d&=wk-=mXM z)~4U)y?L-E^ZUbA4u@Y|jZ8LwmZ(G~dWSS?TvoYx(m;^eb@J?;VV8bOXdFljPW_v@ z>9N*lUC*ZaZIc?Ac>XQAyf{+jXS!rYp(V3s{SgO7r})jx5jRfjWXElum%X&=R;0<+ zYwOb#&az8rFuduBPB`fIM)TAknRQdYxv|~)JFW4H^_;WJj0eu`V7(}0J^fZ)jCSTZ z2gV6e49@BsoBjQsIh`~!2xv&u;nXoHZdbT$y(8>XyJW`3<Ca^tOj)0>fZ^b|v^TEJ zb9*Dw-#?97c`jwa*RMQFeu^@)Hq1SJqq}LYrRMC9^*h6EJ)i4+I-{J0`KA3)2gZbS zW4~(~Jucmr&|o+;<z(}k2|3%Z+Hf66ND2D9Xv1{>w+ZW}{<+Ju<%bfp=K4gCCCi?< zHs4j+I=^^r$p54TU!T}Sxy?EZ@={DzoXDG+EcxeAnfgf!?rxX#_|MM9#b7=CmYyj8 zOt}+aza%>S=F>5<Y~xm)Z5YsS@P5ln*NkUToj&G;YoFMsE;wp0;qmj#=S*Q%jxsYJ z{ieCLg`a2botM4z7YFm&^XUp_&+>>cl<9$Fz8al>@W*c5)UxB;72onynP2v&Enw*U zSx_>6Im3tTVF@41jc=})ba}_jCDM`_3{KNe&RsL%O&}XrLt|5t#EBzsR~raCx|hxS zR{v+VM8-!)wxD^Z9T=PD_TKJJXy1|lC~9Thafj@k6WFu9B`;vuP+V9o_(q`o+}2C; z&N%Gm<yo@7xsmC>xuvgNH#qO=FIc;zI?m;^2E!#@hPh(Rr=JMuO?gwn#%0ixYV>W< zg)?!MpFP$;iaIIH7WHRV<COo&pnyz!<GR85*!lf4rcHaL%d_R533KK(!vKaGJA3wK zY}hQn%4mOY_R`u3jb*#nK5hKfEUCfpCgxZii}ie;BAM%6Rcy?x4#$jc9^yLrE^Prr z^M20D-A()XKNqf>`p0tmg|etUosCoGgOf$uOV<s~GsKJ6hTKnF@Ks*YWAo|o3I&E6 zI}P?`Y=~~DRJiU{&BOdQjc3XIBMyuS&kuc3x}kVx_l~ej$3dxrhhgrS8{e8!H+{E? zirVBXsd2zy+Q}Q;7jg`z`T0MII;o$q;HbHz$4$e4hJ<wEZ%Q{5AJ5f$dULh7;e}ss zd6roAf&wb_jjQ*YH?s5cpG0N8Pg!txG0&3w*|xqb7&a73RtIi~R+;RxGwhPEga(7r zw3At39@~0Bc}mSkzsdg4p6S`D*VlhCI?65S@zacrOW;k;j5rqSmP)ScUZs-EYxkdb znB@*mfivQ^968sz^Eq3T+bw5_jB*?1m*L<bHQ1|h<EO#)qP0uD8w4=4NHSRcj8OA0 zSeWuV&TTf#Lucw3X4YR;-Wm4kyupP>L2N;F{f$g4*0VQ?^OluW&HXzwd#P9UyuEdr z%$a@QGy!(G%&$K@>!y}*GrxV8rttSMD1D}ND>TiGJu8#G>HKw}-?#Gi-MQa=>98co zZ=NT)PF^#Dru+}?GqxA4eNvya;OJ!i=bWdf8-RlF@Rvmg&*`n%Twb^~BtBum*EF_E zcR)Gy`Jpe15}qHf>B(Mtw^~_u@!f?yOYA3t0{0mkoAvHlyv4lNy{fpGSsi9DE|~l> z$=dhbbElJK`<mF<C5{=@o7yzEPTJqxC^kP?;i)K(h{BhxiB*9Tm)>O``eS(A>#I3i zl>J|?Sy8hzK_v$F<?g1tkJg>@e-M=!ZhRq6n)&7X)CCMb-WoFen7!5Ms!;v(cU|j0 z8@>F;Bf{|V)Dw*`k1{Q0)&mZXhCC@nYj=8mk~g^UNS|j(%_%jhFh-~N*{j7{U1Qf+ zRjXe2`fA4(HUFr?thJ!P(hJw;Dzm#@y(8?Fx<tnI_i0gXzm&m=y$2MVM=OM`d+nOn z$fR(Lq0R5va>mcsAKcy<u&G@F6m6BtpzOt`YIOIn);&X}pQj@h3ToUt%+=}eQ#I^` z28Z(P>PM^FCf;?O8GHJy3e#2nh=qbH{-5RQbl80UYKegrm%*mp+55D5a_Z}h>!;ey znSFGDZsbD27uPm9DP6dKic6MN;^w{UPZ-yAIqrIGS|hTUAv}BmM?#Un_X!*+$2U2- zA2isz^V&WwnH~GDEiX2nsmJ~^bj<}05nXK+rl<Ci3l}T&<gDMiUdk;l=5>1R!r*B) zivspGib^&9TD)cghe>wC!o>{AyR+Y}K6K(=)cr$eQ})Sh`M%jn`NG>8-Sx7K{}{qv zXdF24E^7be)hdyEm(Fi?a%apd3~*79>G^AT>QDZ`sduc{Zu&<oT$~Vb>P}fat95$X zy(9n6olTlx|JceZwb$WeRG0_LDgKR4?v4^S@0G>3PJCNxwEkx09UrBD+GdbTzJpw% zz3%x|cSg6e?RUcyQ>@oliqC(4{;Ueq+rTv!I7*g-LgV_H3xN$2-<Aj@rfhE8+kTRN zXC%+1{hOTJ8Q<-35Ipc?CGXr#E(+D6+9e`9-ZO8%TfIRf@AvPWGkvBv|H%o<VCj;M zP!!atKg=b|nwV03yH?cgSg!xJPn>6`HNRqAbAjVZerl`B0?STOaHPE5$EEyu+xq8e zM)7>NZiAvkE~(XJ!TrB%RuZ5{xeM}1;qCZyXF=i8Bx=P~u(Mf-$sm5tbf0}^)+SGg zJ<cV|dU)M4#Sfte&)Hpnru%>T9UZox^`HbYuUc7lpUZ+8W9<@=mWgkjAFMVol56rW zGM=f+epMCZmir*LR5pO!Qq56w)nL=_w;B6h`_?!KX7DGqx-GDtCMwkUuLKf3iXTEH zZr(rqBr8u%(4+nsmn`d!&rGfili%KPR+?a2EiF5@uPITp_;zh*#Hst=%!|P3<T%JB zdMT|g3;u{{n=s`q{?F{aAbVd0W9baeH7TK@uJP}c7gz*nX|Z*-IyO0HcM7tH)J<5y z(%Jf`K~T3)!J|pv!{zA0o<&I$Y&<4BXjr;Jz_pg`c3Q@*tOb&@RxX&C6ZMNxC@@-A z#-rPD&-ZJ0zyJN4e~*7IpZ4!Lwdd|W=l^^9^mUPci>;DMe^fUt(onv=FF4TVU|_T4 z%>!LY;>Tq^$v^%r{?u9~>S^KECHHsi?`k@r#>~3nK4^ycdGzG1Wetp%^)qzS?86ey z_Hr5g2hF|DE%sKr_46u&NcFm@sTZsx8U<rs+&l7qK7;knjj`&l<(IcggmCpNkmc^& zcr!^SI%@S+iF>OLxLL<8YM!nin!s}MT)K?wmgHmauSA8+4^5aXui;QT7c??@!lG;1 z&7J1Qzr2s?zPVX{YU-NGW~MXtiw<ybl^;|TWBS#8AvyF@yi4eub!RW^j%XA#DEYE| z1yghA^p_`CWHdByHOAc8*u`x4?(Y`Kw*2sf#r~QOKW$mvCcLS+6X;@ntaj_QMZdb4 zX4^b2y2<x78#Kb}bSH4j8NDO6m!i(>TXtYpyvBh)e4v5(33(!_yJx&hj_ST=_c}#V zIbj#)hTxv1^)tkh3KoGT8Hyy`1!w<UpY?A4(&DXRhgUL5{|#h3wLErVv#^Tw{6dkf zWp!23`@OOQ_r^E+?pwp~)gNr#vA`*B#QN>_hGrYyUU*>E^YznH4eB>DDKD6NTd6bS zd3f>p$T<tPzL*PI#A5jCbx7kuX0DzEU&|BS1pi7tTetseYTNpNgvH;r9DcfjJvJi{ zJc05@c&%&cWTx4Fycu8FE(eYG>$OO_p44lr+;A<aYz|Yl7VC`lpz(Xyoco<+TVK50 zv+#qw^_}@gx8LEASQdEDZ(aBMEAeYvC1h3};JCFh!F%}%j>Ga=8!mq33OFY!;rQzH z;hse%OYUE9{W(WF)jA|UBw=#DmP73>P~>qKcTKwcC~UcSwr*OqNW|t>TCy4Y=7W94 zlydrw(+uaeuJ2Poqv#jy{Y52mLK0Zk?)T2!-DObo?CPer-niuqPuoR6mIQY%+7ixj z_E`M&sF3|336rg%YaaEMh`FBBTej`ITO`}&!y*yIi<r)w2l<J6rRznb-4kxHt#y5` z2wJKulwrN|{nxgCdDmY&Xl51x4b=ZOl6GA=Q=TirDk|IXPZyK)UmwO(pk*4HK1;d> zW{W$?{ddm}{JMT>YR)Gy))oE%2`pQ<CEWuzeYO{sT$Y}#oAz2H;&TJjnex4U>`Dvf zp5JjJ-t~p-(gPe4YQK_06ABuclrwr`vI3`k>sont%~pwj(T%<H%J;5m{N&5kqj2-* zl|?JIMlbnRxYo6F8Pn{TMGRlfzzHo1l+bRSn_cqlW)|x;nUxHmgF#agPFc@abL{m6 zt$0<Pp!VfHOXI)8Q5^^6%uk$fyYOqb!QzgyPTJo!D<)h^`eDdw_G=l_l>K3#ywxu0 zy5+O}JG*1wGjz4?tk~=yw}jzqK%?LVP=eU<IqqF?LDi;fNoDhxvdy#()a+(beqjGc zXu<yNi#q=0smX_K{O!9*wu)WLfT^wTpW&(l4@_9y4m3_$Bzxkh>}m^v$LqFAwv}sc zxcFaWLCwRno0<hxtmVr^F7_4f_stHh4Qlk=x0c~6cydbsENs7I>x{Q$-RJJfEMWM& z7@P@q1-e)-t6p_&QTaqBaL&4%RPe<-TagX4@TW{?dZEbDw0}?TEdO%PI8y5HcC8H; z)kPNknZ~5-QD*m8W09=!KBw%!zmAQ*^J-S}g}evNoroLtExM-n=Zt}^SGJ+F_J(In ztTXO|76geK^(oytn$qRIMQrchyveCO4U&uNJG2Ze;vlms8eabl&urczcGz3=#)`m( zqUmR?6dgBxPILpUx;?!`?CNfj8&8V40_KBlIn=Z0TAtuDwY5jzB(h$6Q@C5F`KKdj z_Dk`M#v<9nHeuNpt(_YM4bHIKINAGl|Hd!ZGZfjPML`Mhv_;pA9K&h4H)VG{{&18l zr=*2x%6xE)K}y5>*P}wNYiu|fFS_8~U%#0bI5I$fN-g`>wwygnH|@B_hG!<MGp=*3 z5x!vGBDZ?df2CEA@^agFzQ~2QmNLy_UE#4{|NGcaPA3jLC<u*E&zN4MF1&h&i2pN{ z+_t4t6Mo2sMzFbsuVRwa_`ihfHA`knec8q?<<D=b%3pK$hBv*sx@rTDkKF>Ulm&L7 z;5h(`yAfM+o)qj7&ouT4Z@QTl8o@TpJ+zVM%=dqDZF)+X`efGGhAo}=c&q%XvjJ;Z z_6C9GBJOxjWSn(;^_%&N*G_CaSOs!OdFgzXja_Bk_ZKF-bj+RB{4jXii_2*VU%WyU zn4kU*Nt~<D`^KI#Db#vXy|Q$@=WIphtF0in<OQ-C1=ueF%>!802pw5v^6Al{Wz~0; z^E-IX@CCM}F0hOfJ#pZV4ros1#5+?*LHBR|Z}wS>PtP<?Sx`P7G$HZK(Us}1dfwIc zlLsC=UA4jQg5J}0wqb>ualdzOm7EsV^zeCTqNK!M57842mCagfgqKYGyY=|0vXuEP zz5f@UozVDd=l<#DTX=k?g*5V9Ilk(U6{9=fb-C4-PJFy|{zBS}bu61rS8d=ii3Wwr z+ql@>!UZYyH$)0k{vY{wD}K@0361xxLlWmU9G@#9xxlhs;9t)+w|Z3xpOD143+`V1 z`|E$yS|_%)`ry{ogfDAyKb<<^@Dmhb9-Dt=_lLgJtPyy3%YF^W8PcHes9VaFvS42{ zIBs@)i<s&bR}(nXZr#}ljW_r8?pc_+z%m9D9x9;lSifabll6}0tgENJ6*%{Q_bu6@ zUKe(QW~wUQE>LRxH-9U;kwL|;+SdO!9{yWXRKG^Jz&qe#-b=p!rnlH6CF%l1r!`&- z4{hX`VjtdG+GH}@{!heIA&&C=$G5ji8U_3f7oFC4lKb8F{^@c2k}LQ_L6cK5eD<K! z@NoJqp374c%KEf)n9o*)DlmU$4^5ogpxkcVA9~u2ul(N?_Ajl6{)-;{&p7So#mnH9 z(3_Z0$)oY-uLo&NvpcGAu+?zUqo7)eBdrScffqYYE!ZJ&#D-HS@S>o22#?<c7S68o ztjc;8Ndke*!hwYcE%bH>s5G;6uCRz|VLIy9>UEImUiI^NzpI`-^VP_$v%Fuv@BO^r z|31&xyq2%^XSHQo@{iq3zqL2XzMH@Lj{FpMeHRwD54~HtU74newkEUwIeo!8jyrJf z(gPgZ)@XKJU3Y<}b7|VM>cpo;YhCMC9e7nOn($#qbj<lh9-x|j#>A~<&*BeH&(NJ! zuCd`_x=6yGWYBVm=hGrKMax`$A|KWL^4<SSH`C9DH2i!GD%j^pcNvGad=diJguBB; zSG)^LU|BoSTes^{oZ;5Lc~ea<)GuUOeSZ~0v%i)>Q_Az;?nT$mwWl4H&(NK<UvtC7 zSGP+Re7p=Y$|);w%A2ID_1B_&>{o!6)y??t1}f;6YzuYO_<mC-Seh}|Tfz6mq4M?j z5~Dg^sjwnd9USwPZ+%f8-gvb>tiiExH=o~xH#IW?U99ULy)&)ZbZt@PR3_=Y{*1@s zgA!P_aD&RjJ=1>+8vk5+Evc%VX}0YjzDTyu&%wK=&ZTTAR{oLF6_p)0Z!u_jXVvu8 zOjki$abOJ>zqPLaIm=I#h3$`FWP1-T^+5Gh@{IY{qfG3B6Bb8{ChV!}=5uk_Es!R1 zu`f|>&DI%m>kp)*YaaMx1ga+?!g1@jYLtWaHOyqN)@5_g|GyiwR8z~K307;g?PUKJ zvexzgX0A2=I+=L>tvtXnY3_N8u8iY7-+ydBcQ1r1=Svl<1ZWvDxO}~)_s7j(r%Sfs z-mu2A@4^~>)<vg+)@z)w=*keEtl4)VYRYmA(E7)?#atob;FTY(lCCH1+bTC-TeLrI z-RUd-;SC3~MI_*LM*9}Av*DsQUTo(wxVP#6M}|1G&ak_kBI&~)oUnPaNJ8B_Xp1T1 zxWW1hQB%w{4Vc<yg4)l2Z@cARtuOiT$^o+Us87i(x+hiJJzMwJ`|yOX(?t_LXoK5* z#{ylf(`uGqTU2@E?xvpJdCM6dM}gu#MaFgaji1&wmhRbxdt(~U<^?qT^ka1kSkpP* zmg9xIQ-A2mdcn}b1GbxFPc0UaV03nWZxXs-S3sj+!Ga|j>_vsgwfK(gP`}0V_qIsH z-s@$ul^=4z7J^&Qf8Ex)ehvgTH+t5CmT+<xx?j{QQ+y{I)&26{|EZ}tWlNaO_=6i| zFWmw+b-#>TuvKGyPy&mOIb&JZ#xG`>imOV$zj^>#*z#B-$@u@mtADo_O}Mt`KO1XU zy$d5-d^o5PlP=@BcJBOpZTqiBnS9sSaB=b9=MTRH8y<59ZLw+XS*&qWm#?;{>srz+ zjSV-aav6Y@v0ONpJJDs!=hZtO>y+*GPuDjG@36VIag{@98<TRz-`E*}pmnPouPyp- z%^G%IG~vUF->E5}HpLl@thav-nbkC2TT}^JX&S?LEF5f+!D5Y@M-B2XMfrsP^-rHK zy8_yRsmV*(@_F@71OMw$Q$Tygz;UOyFZg|yuFF<e&{~&+Vc+g)-d^~>hBa(|BqN)A za01K9_58+NmnPK3i$5#hB6fDZ$c-0_tP=7c8bI5VxD(w1H$~sMdNMGo`(-9qPT4}H zGwPs*MP9ect)qG^J0r6L^C|+;)e~mCkX^(TqOJj6gjBYz>%#wOOsoGdU}*l0+<0!= z+n&N}q92;DxLoVN4<=9!ngD5OhDLS2RAV)Z7T-73H$E$=ZUQJNdgykQZRB1lyL_ug zJGjYtp{(fr*LS<`d2bcCS_<mSo&GzcchQwI{7>&0Z_n2K)gSqEf0v(k^j{@bi9NoJ zg3vyX;**EVw}_qP7rpV~J(s~fUMm5{w@#ow&!2qPwv%pakA8_@HM4eRd?pTB<Mp$r zJ3FMMXf}9h)!Xb%Y^x57N;qae3F=<7CEVw2%39a|!dz?q%>xa*gYvPzZdcT9*Q|)O zoB5|Zeii{WXlg?hm>*w;)G$wyL!~U$>L;2VPLcFkAJ&?hu+3HU#DSXkrbPyz#T+|q z+p|QwQUm6J)=2D$_ng>RV({<p?ONa3b=%H4nufKOHkE0X2PccZZJPP}XVGG_(~kcg zLnGK;slQWO-owKaw-C~9x}7ZQ{_Xn7y>0I=rk!y3%nEXceK@O;!9DPz1<O0%B92b{ zyXPJI-IT*owhMWD_yb#06Uv&jCN%y8tpqvX|HAX2?4KQL9`WVr*Bf@{XMdNOQXkS< z%4El~K&kQG-&@R*E9Ca?p0l)+DUVa@mhRop0=Emi?fCUCzl(@u`&+*9kWoOrpXiAL z50<am;5WhT+j-|Tk51Ic<y}mZ&}&XRZ~NthkwMiotqF}E3s-IMJ23I^XMxogM)TVj zf`djs6y%(_Am@~YMyNkH@$lDit-dp_|KBJrYSWnJ_%jJ4n(N=ibD^d%?#15N=;sT5 zZP8jIyk+;Uc7-ri>;DUOo43kmZkG9yzG?%{mF(|VKA$@9Koa5>en*g7IO0~HHHcw5 z+YV}A)%uE_IPgOXWa^2BiXEYEPdp6&ebOwqjmPJE?Tk4KQxnQ|fLwDD;#g;a)fPp2 z+K>Lc#UrV)e?8Z0mOY<A;S#@<-6-H@mF)~rJ1(z!jhFY)+y6px+oq;ouy+%k*7%Eg zl>-}Fd?2XBvT6TYQ0sQv|ESrCJ9uX84oQ@hur1QJbWTaASpi;?RB$^v)cVt-ZSz;0 zHHc)pTpXGxIinZUkjn?fM4wDv^_oW~_PpiKG!{9%pym>rrSof+J>cf;?#rL{8-0sg zxprdXtG%FB<-1pMO%blUkFMMH$raQhuV2V@+M#y0)`UjK$XAu6O(vV_Ig&)(pE-Y! z$!&XRlyPqf*J+0zPTGIo|Nr}6xu$|K^=7rijbPd1AK!jXeODIaq%_6lP@$)i=1J8j z6I_%|s;a1Kc6up2tLmBg`p(%@i!(ERm+Bpsc~+Nx%wW#W+0oN3eSWw5`OW0>r+*jk z+y8f~?fFMV&TMCXZ2Ymn|Nri#Y&;$RH*TE&<A8r%)UEHA|No2+*w>tpdeAw2kI{q8 zi{5!`Fq^f&?t_7kj!M%bj;5t6S)N|ye=hx0py^dJOSZRMW9P4VEZpWoBJA>=olA2$ zPKgVw+2bA%`(9(;#Wuk!>Vi6JLJR`$%umQ<a;%)V*l^<}ZO2lbgSQqeoUtO`Z$p8a zW1-Z+OHs!^^wzK(JeACHHMQ^Pkz4mzz811@f95~zQdr%z)GOd$M?&i2$3NU`Cnn@_ zIo4i%I3vQMV}ZrQgv`#zhJp8*6P`vjy^>K+NXpf2`sLBox}CG@^_&ytmjaqTU1rff zJ-?;%RUJ$AW6oFHb9*{l=W=!>b4+_9;xoluHNtZ0f?r$*Z%HZtaFci1@WH^baAmOJ z#x3HGKh>J17D%bBx-GP(S80X6dc=(fCHn;1g>`(@G}hO!sfGRX3)tJ9kbBYCFz|kF z!b7d5M{Cj(lQOxRK1H%<uNMy4Uu||j!(Hf#ym~~$HyIz1?}`z-dKdiJIdMkBPf^FO zLQPxyIbTW7KW%>KB+Jq19Mi(A`Gud>3$3~1AMp3m&lwS?S-!sJ5PP<ZLHOue&S~eA zHTFAi_|zO&vslh~!#5ts+E#AEjUU3B9>ubFbH}%Iep=5Nrl-E*T#2FDH8bTE^W8Rl zka8?Md&>M$BFj^Ej<9`IoNBA;6(V-eTwr&8>5PaQeG4iC4_;ztc`D!cO!{d>)2q)c z*{fsPI)5>;aDNvP5tnZ6TzZ%DRJib(Gi8=)tL#-HtY<Iy$9C}0t?&=M5ggOzsc6*K z9*bxA|NXjycD>q)dwv_X9hf>}#e0Vh2D27ee9-dI$#n{_YfX5X+VpDsx@XdF6PkW8 zvv7OIHFrLm$Kq`+Fy;9^F=1_c^%e8{H+<7`tiAjChns|3z#NwiTONI#q4B>r;b~se zEAhMoM{en{eAVU<W4qhY`REyoce=n7>w~At^BzfmEoj>6&iTsweotrXUe2z^9Mhid zW)*%KFSI7cC*bddgxtf0HH+svY%pkDu;bO*84*?!7SzZeJoRXM;-X*CO<S`$UTwbL z*V($3qf41{+C3$W`u}|U0{hff*tu@lcBjJU%6HWW3IBkY7k)lEZ(RcR^(45KCoY=B zam`KO%5ou{HHGH)JDfSD$th{nr!uL9)%gePotTjO!FI-qeCG`YT?;JcCS?9SX8x&= zMf<#9Ncb*s;q2eSSJVY`bgJalx^@fd#CitIOI1<}t8)slot%&><ygD+_fI#ANeg~h z9K3X0?tsfre-`cSLLtxV1%$J23t!Pbc<4CG(O&sS(l1q-K6SHb->;DnzS_)jO-<;^ z^a>VX?wJcJWDj1N)1S2Hk8#t~V$N0h!fV!kmbm}InNw_@qQ<%p7HZS}IR)&SobdFs zf5M_&#s_anH0`qO>});D(Y0JqXRlYlzi+`ci)Fk5YG)+mu3T;yc)vU0VOrB8oAU`t zFU47&a&v~=Q(uwyLFazPVa`{RImN<ewsbyXWby7@@aO1rgN>i0n_h*o6kkZ2+hhJ@ zLH@x*GA)}UPdL^zw_1AKuby}Rp@RI;Lo!p;BThKReq)U>WY3isE4q-MoXB^GDY(Fu z?-8HQ8OL2yT4kOv>ObnT)UZ!dWd90UaI*J^6W^~a{g2i%EzKYU8(J+r;_D>CpD6tA zYqiw4zIj5k)pgL4gWPPfq6_Ci2JY5*qOk5a*Z#wfR?}K#o}@?4Xcme;Dr&i+J~ff= zR;<pG1^R!a*3D?%z|C#h5x&8IJ@Z+gcF~0TGlyiRT#h{9SliobnUU@HxZL7J`$hxy zhk;^60@Lec!_PSWQs%Ziv3-L9d+I&&0$09QWjbdZcXzbPeBqCn(Y)c|>_2?$A6dkn zDeOJq#P`Yk-XYQAfPV*__+IVRIpY}pCO2kc^FC|tVu5vuitL5o$_tLlEYXcT;b_<1 zYN?UGX+ra^Z@d5Sv9H}Qq4}Q*cd@{<YX0yi3hz!Il38LMal$dKz-rx$=1t1nmMa!V z&S*CKPzy4Tle<{JZNr3Si>a-a9;@pQyf2u*pP0yZs#)jB0==J#>t-}>Q|GpP0b1IV z_`!XL5__Sv*fWJ#kOQlA&NxQCPp)fkw(4oM%$OW;!ZH5i{r_wJ-?YCryLp2>w`GTL z#Ej-GCk`|k*BK|<|FnE8UNoURHIeUBgji7kJ7}@X?K!P7UyLJWG#m7`${g9+bN``& z>_I2KPst#&)6`-nHt#a$F1~PV<Ai3r`)76}@g4f6^F$#`?3qIC5huP+Zp}Yr`A&7} zJXzqEn8<f))#e9XGGCY@XMom|$Q)5kJ1SZ{;ah?t`_Cw`XA904O0PTNc(<$7GDA7? zgkybot0l+lGw0qH2()i9V1Jn@Ry1MyeCF^ojyL;TWv+Y#g?WY_D2#-;iv^Btn9!V2 z{IJW?WA>&A%{5xw#Q|}NitMGmJAcaZ-4YNhx*(sF$ag5HxzLsGQ5+~ScDBkq5hyq+ zGbK0jgrn@yLo!=l>O5Jn-kyK|VMmE`PJEA2#GWavwb5U9;$V+`@BjbD_cKiWHTe)| zElcGZl~Bo})#r|D2wjnKW0RPcDbbQBx!jFS#?4J$Z->BA*CrQ}EnVDN%T&4?o3`y( z@F+-&t<%d(iAyiyQ4{w;zX=M0TVo0wkGeIfSR4$CaXb2A@73>js?yh8{qOVa`?>FP z-|v2Y?RDMz(D!G;c<!vOx##x(yu$H>0M>1n|K6_`{3<S{#H4&<W0Ap)v)X5rPUjj_ z{AfD3cbWawD3kv|37eb4w<>S2es(@2fn`f^UZBX^J3I}%Tx(s+b}}jNIL3Q3&wP)k z=!y5C2`qE%l@{-xee$>d+nv+(jIG=nd*fFy90d)NZz*0q@#4ApJF0!xU5uJyACz$Q zzUTtGf~}y=OZH)&MX6=EGyE?{nXC^?*vzke;LmJG=S8EdO!3U_tztLd^<TWnDX~m& z!(@9E@zVv9#gy!08wE>}clI=QoP7|@=q;Y9>oz|u;qiNIhmYc{ke#zvZvK3kyXpSD zO(v&QS;O`QF}?yFYH({Scn6KluP@nA-OtRqa?-L(7W|wDve1qNv~BtibM-3d0Vl~k z+Mx>jLlGw|)Hq}t-l~?rdG46HhQmiAuthT*uiX6En)@kkt?SQJ*08@pjIaKK1~#@P zg7=`vZCkI?{LGRo=NlXAjQSYlT_|a5UCZ`^x-WtoKzmSl!{07%ejW`zXyNy}>S<jD zRnwWI>jN3P=7Y~5X@_k>xgXX2X~I^ct)b_G8g_xE8bCpqv3<wt%cr-Bo%Q9)DO=BU z=KGy^b|r}&<$3=_l^5)f)(+GCoPYW5qO%j`f;Lg>wTZ80`neTxq{>CDo_`Au9AlSN z=w4K@3%rG6oui0&Zt~WX*;~b)x{E}7)n#1)8hqITI#eY?yy)&<hq-%iE;*34T>HQu zB~TyH?3hAS+Vx`<TdyhYj%^e)IL5Kd@7?8w#2*X9l;W!=<Rr1&jd&*w+8bP_@qJy@ zqH9T@ZI=HQFo}Tr?ch@}=Eh2Yda^yLyJ&l<RdwkUrYp<AK`F@|xaITw**urIw}|;J zJ&?9t>%bpI&`8<*j|pc3mbq;MS?9xZK8*E~x2S|;`ja5;MLWVh-d3$W`XZbw$HpQ; zU576mbn?b>F_*b_m9{?5(miFawc(X8R{(gR|2pUt6ul*7`>!pEEbY)q-n^E}z}_3Q z70UOmkf`ErzeYiWtsIY9{^bfu+*{asLOuv|gb25B$Bi|)Pvs7NeVuCEGjHvIW9!2k z9wmc!L4h`Z{5x_t`;+Wi*PraHVYZ(FWLMk+oe2cmOb?n$k(@Jc<<<<nu!POoq6zmv zLk2&gV_|I8Tg7hfI)2K??Ld#Aji=}d&_vbT{oKYKFU}k2Uykb8xAwrX{oxId1lwP> zuv|RX4&JuB^V*`CMyA#G7BPIi3QEqoGr-$bc3)dm8PIt4nw;M0gt|qb{<<`H&&RyQ zTTgg_#u1ehmLGi}ac@EEiT6REg8}p&D|DUx!&}V%<`nO)$1gHi&Fc0siGX&lgQI9m z^0E1sqNdyrPPjT>WI<eU3pf)S^DR2J?yrl%-E~_t&V?mx_7+X3+Y7R6cA>yUJr&~} z!PyhnS_Gx5CmfT=3t;^e4Bg|r=!N_tn|SE4I^m36_F9N7&U^bNy#;LoT(uE)JW3*4 zVET5B&sJ+)i$SA^OBg<XbwAzW=CIogymikeGJE3O<p($<(pcU+Irc4I`mpvEfuAAp zl0q!`=B3)G?u(1fUiCdr7F|#=5wX>#ZuhlCf95c)u3N+K)eoGSA1g$qJ%9h9<nCk8 zF)5lGZtmnV*zeOQ_~H3Mju-da<W?>Eue9o5?=7C2wp=|83zK&oSCh2_r6vmjj$Ms0 zcNT&sla04Xy5)x^JU*}C@R1o@UfgkXu|D=Ue68!wdcE6`UqxU?6+Pwy6@zz{UR$)& zvGMG?poX8P&VoijZk04^+-fZ`&(hs=StLR~;ZER0hN{*FZQ@zkDhm6(KpStb-m&Ej z-4gwWiNSk&=4O$eXP|A!-z)dX=n4hcc#2-*estpBy4F>BDfMn<l{?LxnNEEN?Rl+r z74>kaT@Kk^y02}O#ivJ1zTq;9)u%M1HEZcGZVnD<WI1y_9KQb-w0q=idcjgNXQoZj zA&Gk%%H={_4DKwnozPJ8Rm{@rHS1m2=8=8AvlBDJ4XQdp=bV(UX5!S?w}k68Yhg<L zZRb$)P5y_}?60SJIQ*0cZDVonpK9#F^a{LNR(bn&+mNFZ59iwZ&raN>ov|*URW-pZ zlvT)JzdvZF@}~WuIg<J#|F+rxvansql5!lh{Z$`)F3X=e-;;8CAFflVZ`WEQUb6ep zG`sbo9tU2igTmwgN-mWJ5_XP#=c*j%oW1`oK(zl5`@_87##<zX0``G-9W7qv!1PKQ zv}YIU3i10P%f4qAt1P&gyn0>$Q`&6ME|&8FumI7O-nsX!aBkbdbqc@NfHvF~HFt5e z*<X?KaQJ5)8Ua3Y<X6Mvrxp_%E(V7ta!Txv67_KSs0i5^o-MoTQRDXQx76-m0EL7# zC?wi*FK-nN$PWS8a3}6{fT%m)^<O5rZ7<g?*ckxY@*BF!f$7<HkeA)_)|ak`GApWH z`+v<@gBzkLzwH9gU8rKrFAPyxU|eoLamRiS+sNqU3iYkvz}V$)v{38TPv5)m@84U0 zHpt-DDp1tKgQBLOX<j(!D3*4GkW%IC{s*6M<hHR)Q3g54d?je#6U04sEDN>z-qfEe zskh$kI(zAYn+v(T59E9;1MRKZ=L?RUdJZ=ItE)s(-UqgRWs1uS5Infy8YnbCo00Cw z|Nejeb$!93<gK6sR=B}yc$a5iZ0NF*_}IwLCv-7n%i<pgG9HR~>rOp1#l2GG1qW-_ zR0A!kz>CUSE*}jYm9(VXU0LN*jwm=P$zAN=zhdYi(4129fLYW%P|@SU-tRa6&-*?9 z?HrTLPfz1t$7lcBd*1T<v$XB&BPY(dUUIegZT-nC&q-%C?_c9HDV8T#l=ZZUXPK!_ z$eaC3+n+z>mq_{T@$XD?j6H)cU%J9mX$cL74Z^%R8-jn&s+*I&^p_d)+W#jUW*wJ1 z173uy8L>h5bI{DaGqRW3&TK4upQiA4I%thy&uo?Ln>;SDOKKdL`YiJHM`jM;S!T~n zZ>D!{o1DYU%qsE6cSc-G+PbNnpJmof{iDpY<%b%xX8m!{+EU)j-3jUQcU1byZ=IID zKJI|SYheiw<5bY{(!?skH*2PQ7Jp-1H}#u3&z9ff%rECxarmxa=-er(C&ut2THD~q z?3p<sC#~|-Uiz_dHC#w7;?!2zJ+F}|VZj0kHlxDdT0AW~tn<`<u3P`0wEMZ?gijG{ zTmo-$?!>WJANgyxZtAPeJX`)LGH3of2U_L%=$q0F#dqp!7pE?<ozYm9ZZP2=Gk85- ztbUX1rM=U#RrgMAWKy`xa3RxfhtHP)Ih*LC4vcLZeU8P6%(|(ZzV1ufx~YH8@@)Ab z!mPO;?7y=&x)a)a{-4?!WLsyeyZGyA36Eke&}zcdH@exZzrQrD*1zs`+u*{xGPX<h zXF!fH`lfW_XUe3%ah|6=c6Bx~DJ0LFbDHa<UOLi>R<(6g|M>H4@i70;^3)p?YnwrV zCGbo$M*C{DM8@A#wo7+TfebtJWzoSrxo6EW+HdXJFB;v-O;Gr23HFkYev|B>Jw4f~ zdnYzBDV$-raIz=YK0>X$cwx%!{3iz?zG_MPys_jZ!@8+|T-c)aOm3VKZUkD630cuP zzi4g9|Fi{PFUPr__SoD9T6LNF#`W}@H<I(-K8ecIPh4>KE6<YmpcE2wEKcOjvy&>j zWzTJ1zFHEr>hq*nm`9l%G;y%hw^XuT_xh*I7WJpOaf<&v7iDdS4Ny-BUH2+gV_s{2 z!eLf6coF1`xGiVSwN|QM_gd}#;mutwwoCbGkOULB;dA1=<69?P;}Ky<WNYxVn|AVS zjK<BqJR%7ar&HdzX0*+3_+~R{+O$7UdA3;eHcojDTXx($cW&JB_@_}T>kc}+{%<rP zZT}p#5b(ldjT>j>f8_{Y_o~)oeyf+R@Yfd<e$e%-d#0*=2d#9@d3KX=!bTsyl-88n z5*h~{<RAK?^h5a!_s+0S>kTeEdd{=t4?i<x0k_nfH;1fhj|Fa-8`5rgVONU6*;J5$ zdOn~vpJ$|ZhTYmNnX&!%MVo+n22esd%ga+%W-9$GY~9o^Ol({Y2FwoXHHYGkwocj# z@|JhW%Q`X7e_xU_tabTP6`p2GYB;>eKKx~o!IHbzTYe_4oBB$cXUjiR=FBqdY3xi5 zbHU44|ExI|R=jpgv_wX^9rMfe2@4p0yo4@eHL9QfPWO%vXiY!ErKu<5qBCMa%X1lc zL_`ids9l$hQT^F2k@2yVE$ClYBU8&hvv7T`?p+b3yG|F{lzx?Ai;_R;Fzd4fXleF3 z(CYVfrq{iyjhWxROI7$g@A%~wE(UAy#p1e~iw)O3jPl%W9Kdiy;=qNIHPQhyW6rcr ziaiX<QD>w#inpefX?!kzEgPd<S;X`{!lt`%iaU5Uu<^G=2hX+I)GA;1`pU@`Ro~ud z0$RchS|zS=<K}zcXWzT3=Xjj<s8(QpyUlRIKPS+V``Lv>rrj!gdmEV)d}i7l;X3*4 zuGeNw_@e$?<?CMmtk|MzrZi5up9ETqz6`Xe-)8Im;<X|DcAe?#<4?d==bD0IYAg4S zuv@DoGOim<_*VzAVEV0l>X)xfT9>|n!9%yfVBy~bru%vAW^=3Vo&sIB?PPrYRLnu? z9bupD8(erKxctL|)MF@RVE^N&mG{m$ygqL@;h7kl71x9Jm%fI6*#7KU(7AVy(}Wt! z*5__n=e8jFG*_p?{$}t>%(;9@JvrAl6sn7wcRN<eYL|#S$lw%V^m+G4PN*>_^&o#5 zXz6M4^VJ(f`tJU_<1<anvCc%>gz0EBXz8i_S;*4U6427qi+}CRC%;r$@$VQ{ufyM# z@XN+i;LC9@zgul!<oCNQPi?d7g}5_Zy$<EP+A2(cW!HdKz~0UL9x5TZy!PnIKP{77 zC)mvftv%fVT6;R*UVo;{$+gK7_JS6%w#A-R1+6{3m;L$PZ_hlj=0CeZD}(FPT3r^@ z+{ygnQVLpos`?>RLUQ_prFVRmbuZ8Ytxnblt#jQu3+&<DEc>z~Zq}ZhbNAR;6{fe& zpvAbq^OmP;2)-}}t-N(Bn|>fXF{Pew^7UflPKRHHp!KLT#Wk2_oY~RtqVSs;vKaUB zyVZw+{%x^;R#MmI-K|hB2nrL=H4`|dyiaQFYckkm3tn!!rGDb<lO=*7_Q|bo3+fs~ zg&Nb|8^1URUV*&j_o~DvKQrUcpH11uaceVZL9SkEtILA@jbMjbLk##oZMNqoj!W+I zPWoqvJSfl>5p3958TF0(;gg?tqLe1cp63Fu$rbvcb>nRL)urVH#+?rTDnW~b<u^Mi z1^k;VYQ=Tv#5bWIT0K49AEwBD`H;GcW0f-~Sk8ljWhG?2wD*J6(|U96=cHXP+$^!B ze4~@{g|#-_*ZUmy+k?`Cc&uZi?47kAau4x+Zk{P~_^v9`%jn33iy5XnEZ|7^B>7HJ z@I>7KE?L$aK?@|dnS4LE{ou46{I=!Om%2`{|7bd;*=>PEhp15FC(!a<4&~dwLF<Fx z9R{ss7I*w=8kWKGDju{Vdd-cK+5JpCImeCboxjz`|7YHO?hpfF(5L%-!{eq~ES?h- zGDBhlmWVX7cvi50&W&RgZ8pi8U~xdi;J^kRlg9>|crWu9EZ7ku!pzdS^dPHvj-iK0 zvuMnPjwrnqGbU~katXXxz54ymujh8J{P$dS|D5;M_sh?}eOtQq@GDuP?;6+NKL4Mx zGc{5)qE9FK=?unaa+}3<m;5g|aXsR17;DD4kc7|vS_}uj&E1saew}%pY2=pdzZ32* z-D-AY9RrK`PQ||tpb?69YR3z%PrfDl_`%PETn-m{Qzvem`7<od`*`-+UcIn}kK!T` zAB|ZRI?D2rH=R4@-+!`x>$PR)?IWi-{#0WPo4bqwG=4PEb@$PQ=k1nl4LdKg;OAtf z+4he`K&QE!)9uQ59$tKWrtFHXW)@+L95XiZny)(aDO#jq!RPWsHqZjr+NkWs^_mAN zcDQZZ{OBu}gTUJw$l!~irE7L>RWH+&`ymNe--|XhfX>1K9UA4YTk`GKQ?3hpq8rcZ z2QV<*`g!L>#`EyciT74)HQN!+$N}yt?)u?(*nR7TPqzM3eL?<;u!?at`?Z0oXMIS* z(d!}&3g5mavIWXqdjI;+pWbV?zIZNwIpJv?*P5z!CIRqSnu|dDAkJNlT5Z3W;p}(O z8#U`devNjyXtdkx%w7NKsW}BJm;?-bq~|T*I&H4a06H&sx38<&ucb^qxoi7^_Czp3 zPR2XB_tpgi$;`FB=YtwP1#_+0<;@5^p;d3mw%F{o_rCH(vYkE1l~cC|WVNqu$F!SU zK?k&&tq^HwSm}D9v?%}UhKGNnGJXn!qUdQ{TC;}PXXl;Y&(xlqvNZQg8mq+obqBio zwHX|@d<LEFb-m5zXWzA3U(Ry{*nQTKU11dfvJrGH*|~bHrn7xlqgI=1ITUv@&Az{! zfo02Q$@Ko!C)ldjPhRPGz#zAxMN21sF$2roiQc*$kKz=!=0;^N&euHfgP&_n#jTrG zijG@8uVf8O-|q2NG%EY@cMS*2z=W&4Tn^AvTNSrfZ@u=)U39_C&oYt6p0#T;fDRxI zTYZ8pS`_3T0rfBWO=*X3Z@uuzjgbR<e%;2%+ox<<!{@F(-4nNr;c>avhDSD_L}zzK zVbNUs!tXO`!m@LJF|%s?Uv^;C-Sd+<9U-FwbH5jzKX`LtRQ6X+t_wL~37>C^G$<6u z`~Fc7yl_7{(52pda=%u!!|a<3uS+GF+VT$9h9>?D<Z?LBxM-2|iKDWsECe3MEkB#{ zC6iU6-oH__K9r#;<N0GgrCWyY(&y^GzV+oQSHQmb#?a{+AW!UQ?kMZoeJN`7`|yTm zoUCT|pEJ!AVA+y=nOEtS;a%me*VwW}8ya4^9q{Wum|L+|EUIoflK^-?Q^V|Y_&M99 z@|U8ddDV73{t?QxreZ#mfQ$9~LV=5YlJQHnn*H4aK8x_`V{kw|R(SR1)_I=Id-bQJ zuCa4soMp<Dv(FbAja#@+rj%!_<&_IzU`oq5JlFh1%TGowhYLS<8#HVDYB%V=7$qIM zg5mLOk%*7VuyB+;{HOietuL1H6H^2BxiyBahGwZNH+2u)S+zCn{yGNV#Rs0PHhbm3 zvLzdG)ZX8%*Vx>(85j?P&cMC#uQzo^9JlU%M@Eia8)J?+9!cxoxS^!~+AYw^j=FEI zo3@C0gER0k@BxR4Yq!1><qD{aYz*ZG2VYNf#>~0<4;$xPy7{_Rbb+N;<Js$)pl}7B zAvEcv*V?TI?{YaD=*j)DK}+ZU+r>skkmPZ3exlr>t!BR(n0oX>6OM|DHYnWs2^x#z z`TRU8`|^KHho4Q|sgkSvLKv8CZB1kYXVI-u*<ZhMUAVLIz%zcZEufR&S`Er~x}?j? zEMZ^)o$JK4k*EK%(`j=}21j$y!7Vp(K0duWE$?cS^j{aoWB<bvjuz`r^#zql$3O$Z zFAXc3uie@b(C{gWHSF#}29_<^#(ax1wp)C;*&LPq_1yHAZ_YAu<<#{s30wdluJd{I z&gqwOny=k@kjAQzaLjB?XyVUgt_$3|8e?{WMw0IC)tg?Lo2e?XH%!#yzz>zs2&S&p zA&sC@Zp~FfN|k>HA9?cn))^s#ziUA!ot>Or`j#c+_iCoS4a(cM>wrd7bgiRi-^@{6 zaeXxtXGR`qSf#FC>jJmMru|by3RCKj{99(X=&TN7v+cSG#xD8~V*9#SF3g#4!FTzZ zSq$eV;n2po3vaU`ZY>I()^IUAq>-hkekqr?!^X#Awlm@c(m#WSZ5DrU+;RJ#P;MIM zjD5bM9uAe;v~(ClS8{y;o#^{5K-4{M&x$keuBA<D_>~x{z<4$<*wWeiz#oCo1>zs- z*#i&C-uWoCdfM9yasT<V%1?(i9ZX&2p#LD(TuVu!&a*m#=~Mg)ro9c(QlfXKy?c}% z{;E(d;fqtK0^{TDD-H<-%vs3wrO9IU{vQjq)Gpks_OV-dR)_KO`&CSw8vhq@c{^-9 z9cC#3a!TAE(7=lLe}&w%%~KcH`H6ZsZ1xnL*6?#J$hs46ZTUk_yXC$A>A!Vms`kML z_8`%%eO)Xi&)$9B`n&dNW%$X5%N6PuYhB>p6?->*Zh+|9Kg-_LyDrb#EYh<MbY$;8 zkjIb8hl1nBzbW8q?;SgCHUIrl{u+#z!$B^(zZ&EsN6{CoGCOO9T2@(X`hV_89p|pd z*-IC!3vFaE>0fzB$l(5KDX^2@%xAgzdW#lo^W@ORxC5tiLtG5@M1cY%e+ARt1+(wP zz77!Ow~2XuKhromiqp;i=Cbyw4TbAKXUOP<E&v_Pyp=EX?uqW~+I?o5PkI^rodZfF z?VuA=`awaey#2ZrD2;5r_c+SZdG=bCuZgQ1m}UuwCUVZm4`c<OFZVTk>#0ps6Fy{v zVoS{3mFdyJAMZKeiSL@b?sBuI!@r==1>z;%J8#Ra%D6sjr})S1*_%ar&IPgxJ*c}e z>G*%fQfaLVpi@_V-@lOzK6Pd8<aLRB#dgNY(?8ESdvivtpYd@^p5$XsYvvp_NaLIS zIl@cHvt*)7kPxR}xRPdPi_9faPfx$8@^Q8A;=k>fU%hwz`S1KYK1+T+YxLmq{H1C0 zzumNFK6a~t@n?P6_vQQRzs=Y3|7ZS7W{sZR|N5}`&9_{dzE*Q^73(#09yMfH`kHgv zeZ>_yRvr0QxH+@V3$Nji6cBC|<`k1x)8LKb5I)T=5R#`H@pr}ov#i8@7t@7A?kPmb z?Bh`L0-d9xwnE2PLU{Ge1!eLFf7x^=E!v=d@R2Xe((jzpw#W43Px0mqi&t8~8!ZDq zN2Om_hcAvvcqu2#>2jfvv{)hG)z*Sn)~QC+&t9<MVo;5;pSp&v`-VM_F3ngW<GtaR zf@AIjqZtwM?g2ZdCVX^mx^yD-r`tTofXb-}rE8xW1kQHaaF2t_Td%+Ks3*(PYR+lT zqZoy!-sK3(S6H#vdxKq)>pnrQgO9jbmM+vzO!}$PbV`|JHTSxn&RZ2tUvG1AZRR<6 z<WWY`B~zBu`9dMrqFeK?6mw*q7g(cjEh5~ym_zKny2jbvT58k2E3K&MPWUR%vUP3P zW9h#}O@~gibg$+=?DEp2>FIpo5V77F5w<N0%ES-;%2}PfXao1bN2M%F{Wk3RC{?p~ zj{k;V(oMI#vXhejS~MMUX6gRCzP0mG9n0zaiYxxiPAJ{I^{3nJu7tm)O^2*rCnSB; zX}T25a@u=scju{xEUWDmR-Cn!5q_P_$z?92v(G)?R*mhxiTY|Q{>)1#z53NK(0XRV z-@v9rholpdJ}NX_l4Uu)O;Js&GvTW?%hv52*RJ31$ZyGH={_$sW!ilS;nVKIA$2|* zel7Ji2)sQz;cr^gA$FGT+c~GqFNw08u2)&H#*j&P^>X1W^AsZDbBujN)P!{IIS0&2 zF80xR?-lUV>foz*&aBxv2hD%6va~+u6l>4v?7YOua@t;Xh0X?1wXpB%D?*#T_H%G8 z-u6toP|fjWY17lv?FU_+<}_W67rHX-o|y1!cL9-i$`NzYRn)wy1$62sFZfj6bX9lT zW9hd-O<%=1xejkTe&kU~)1_pV)7?FXT%IO0U41WjWec;~wQ?1W81D@~%p5ZhU#wA{ z?;h~e^x!KlPT{S|9M|L(G<fa^LXJ@p(D~oJVAET_n#FOR0oAPuwP)uV2F`HWaD&6k z`%P2l)59EE_thij7Ki&>IVP|s-anvXdP3n5iG36Glp_AgI;Kv&Yp}6O$?<Js(^to2 z!$1lD4HedonFrp@h`86d;8SYTRdbH4!`~lB*J?RFlx#Yh`u&K@OOK|f@`54zc8IHm zeOFm=*JZ;$JIBP;dw;s^=~?iFz3J%=el@M-LTl{&0;FyDgkKADaFq+{oZF_a=4CCc zQ{TJblX%nB-fxekbFB{kDrRY&`tA6UM};g)=L_hZFK1BG`Yp8Po>#!{2?@1ND)tGk zQ;MkRU9ja_<BSNq$qPPlH(gcbKje}tbnw?+me!s8$BsOTXu4$1G3~!wz|9<{`zu-( zd}BKJ>w>dEpvBCDkAY2>oZ6F;emXRrD(4JKpV`rQE2HUaHV0R}pw7B_iTfVUg>?RR zE!cEN#b=G2YryXL3AOhQ8%}KAV9s4Eke{Z={&K#~8OM9SIO^J)i!8Z|1LD&Z*>k`4 zXg^yp|CkfsAz_^}jxldMVkS11Xn+p$NK<4_{kFK^sLYkvh!c*x`&unu%x#rv@$Gw7 zZqXsWVM6nlXt8Gs>uY#Ghk49vwOql!aYD1%|BawySn711D1?YTQ>Z)Q#CPf2@sHLr zPga8tO%diU4!CY97ye|y+@qjFQzA|{M*L!lF=YS9Ayzb@?!+OPC;D?bK&N@6C-S}O z1)b&rI;7*Q|D$pXj_Df=*gv|86-@}UmIR&V(b_6AW&TD3_R@!-wKcaKL8qoPx5}{i z9uqAVC{Iyjf4N%cjN?CJZc7d6Ij7zi1T5b;q4}E*ckzYk_PpUw6l6fBoUlinaO7J4 zxXbdy?+p{0Kjn%&TTll&48<({gSE^T?T8bO6|Jq79oc>dMJ+wjHyE)0^b{)!5VIEs zoepxsiSL#z=+u<QI-t`*+FC6;u5X^u{DqIZc!Ig@?E4QF#GE)JbLDyD2}fxoQP8O= zjjb{*pc6<eUdVs~nt{7`LVmI$`_p8xXAAnQrr&?K;A~1F->=u8Q&Z-*X+MLUnvyB@ zOhLa`Zruq-&>1Lu`&(tUR4#kmW%;6d!-VDn(87=V>5A-`r$2*Er&+4=WWk;zhh(lK zia&szn$puM({fN8bZUxl#0ke;lUprMY>sOIg>zCO->a>la0Z>4!ppt?u;Yw#hh&aC zk2v8N^)V^Nko_lU6^vX;BHyb6-UY6Fw=Be-Ex3QkiSJOm&KXCUO3nR;9cLXpB=aOZ z@`PjLYoi!L_EIix%Z%Pu%NO1;ecD9=YM?_{;>3z3Jln+-e#Y@$Z>!7{>4+K4zx22* zGnCgoDYtkbzHvhH2PW=ff%bC;L@hnkL8tZzf`WMuSNIu6+4D|(x8CZUag;AG1szUv z_K?hx?1&SNRUmJ?KKrEHLgV=c1NQlUYw!R6U&Ew1<p&FBq=NfQOsHt9es;I1M}d-- zR3N)(bMFC#gY0%clr<Jew5?$2d~uZBt;RshQAmYHP*n5`N7s}nt0_@jPYWBBL^Sxe zuJAY_D8E8tuN{Zb>OMD-ng!42SiZhffA-|u2@~qp?7e=k{O#}a{8OgK?g@TqcX;aW zU;9OWFV;A9Lawy_+kb)P_vc($+%_aXUsTZ)ETOY;p6F4o_|%8Sf4Z+Fed!53XlN?E z-;HtAchE8c@I^dn4ZiypY@Km$!GTxvwGXV>;R4!k`QFFP+MMam@*D2i7cHY21#?Qy ztJs`*m$}Lzzn4il<IhBizs=Sgw!~&%{J)q<d;YGdZHFIB<pOQIG+5kG#<TfKRFB>2 z1IMmwIeZlc%?%5Hx0(EN&o-<*cs)gO_5BqLoBKgdXa%b;o_cMOG59=K#<E%beeay3 zI_~9VmlQOmLANI!iaExfsr&1FK*H1IA_)ak5t|eLExeZWWd)P8{rjLuwyV<MjXK8y zuiV&qU35lGM7H7IO-!rvgBxz<gZDxn3v}5%!)N2^sJVNKAxA#SE$8}jE+~PecR#mr z*Q9!$;^u3M{@1dG{flK}J1=+o1ZX?+j6fIbwx3pOkG^2$%CQM*c$EhAlWEy!gZiag zXS}PKc`k0h3u9V7=n@_9_8p05l2P5~tU&7pgcH=wxBb8M;@;ZU7iUEz9F3=)2JKF? zo2L7Aqu(^Q|694%)XZn%ITsAwi?Jp7n0c12+x~!r$8+_rH?FFMdTPs=bN4?y$yj^z ziwSGk`oM;pWvp%iJMPQ>N#TTUQnXo>)NbaS{{8Ewxq=2ICH!HmRq9#>Ong&B&N}G5 zi#XaS@qOnlp1-d}B7O$5O8f~2?IeJ1C;jkxlb&0CP{QMWO^2;spuH_eWL;0cak?YC z_UIQy*0A^69NA~dE;+!FA%3ZMQHuCwTgU8+mhO#$26tI*Xdb(CcS-AuyUP!7%-R@p zEbvI$KkZY0w|<#%ZPER`PSdu1DqxkUaRJ#jzfi;!v{$>%HQR7kY-4Zza)zsV(xnPa zx4`>M&dUFK!m#$}7Yo*~`AZo#^K$hxl;w4+ESejC*7n?^xV5g&1zFt=^jy6A+3P0X zUT0AW$H(sv^?=TOpS)G<ufFDnPhzYRf1*G($)|yAN|d(ys5Q5C%X%j1{h^GjjKM)U z1GJHA#_KI&mv@Us*akP;l$#AYHg@j$-k!2LQ~76#QQhY}L8tht9RP3AwvFe$czX@V zZwcP~D>!On)}EfCAJ*U)-zd0bpWPV^FXvyiu`}jhi0aV`O?bRpWWlY4pl~|Wvna)U z|J9T4uAeZPA-CqhD`C-uGSKx?pxx)wZnhd|uXTN12TCCqYhy%Tyscf^lf%-x-}|kG z=)(VntYLAB7@DoY8^NJ_wA<=0MD@%on#*TdH<JmpDTV1)>y<?sH;-O9cQMMxTyw)O zJJuPt%RqJqbuUUSQ{1{&E0S$4=)Bfo?*%WP?0e07H+YM{-fnOr{A~!{qkXD2J@0DN zm-_VwPN~PQZ|09%1={>^-l9utx4@?lZ=<>|1~m4r4`_H52TJr43Pn_{|3^<u{M2zR zY1eb!O+BFN9NwgW*A^8PHg;XOxd^mLd)HR23(<v(`<yOF?7Xr_<E?1$ZU5|x^|P6@ z?N>51pB4q};9BV#m~8I<wmPc&V!Y^$A2VmCN`|z9mi0hA<##E{=X`j=*EH4{whO?X z+C01D#5vF!oGU^e!oTz#7gYC%Eh^syDv@Gm1RhCSIJKJfdY105`(X)B=ZhqK*ph!j z4VHobAGw?ULu>8P7k9aG{#dfE&;oe}R4$#X-dSEywEtStuN_RQzwe8jcJPe`tJ{J4 zkKPUc?sX~s+C8%-<mk#<Jaa*dgcdH|?JN4icFh3}i+~^#=AAo|McI??%#AL&`|q@9 z#7{p~i5f`i1MkL;U%OS}uSa9=|D_C9<Dx-nEVjeCE93Z%{tHn){(%XSEA)d{jSOzD z;>u>7V<6+Q=244H2m4mZF4qe;zlPo2FZv;+2edEbU&RI6favE7%J*ok5jEMpE4n-M z<hl9#eqSuwW_H@KezBGg^HctiMjoDj3%RmcGgIo<Z|qY395=sswoMI>_`w$jp%HAy z<U?4E0%8|%WwXjy-l<uW<;J()*>_upaY{ne>O)2W>vzOnKX)L<J~Tr6!HI{e9icLo z_Yw=&m^rgqrLQ^^#h5P_qH-a2z3qg?d$y}Kgk8`9ZSGyV^wHM;pp`3vU*>NOowq4< zK@7-475lZ;h=RBGg6{)bWOlefuqQ95H8tUncc=pM)Vm9_w?lR`n<}4QU%S-oaEhQ$ zKWLY+U99Md137E8)`%87DR>L=#jX8|&j#o;otqz;xE8XvcV~6fKk)_cF7MammzR98 zH%jyx*R0sP-U6$^*9qNQe0D<PKhRcVjrE{yLO*6g+~N(|loQ|dKhqc#BCf)fZPOY* z&JJzl30V&Eo;#nu<C-Y5P5%>(BiEiSGPt{p>$Jn)4O$Z#BcJ=l?iMY0@<HgxDw9ut z_`1V0j8hhT)&m99+2$^mUGp!>op5+B6&j(PF|Fvj+-lIBImf|w=KRkOjbJ<VJbyB1 zLsMT!>((ZdP5VLnl`7vd=e9jmlBf+8o!0nKc9jF$Dt3_nl-skx8+Whod%gV&r?1$- zFAktBQIA18WzL1QZiNIIXd8I^N^q!zh+gBW0PmAIzWU9-;Amy$z0dQet7olUaP#V3 z&_?jj|0i@Vy`H^U=8HXOA57&!tqF|>*RI+SHo@(izT=uKw{Pc9wC%r`cH+Pb&+?bT zrw&xqhbk~%-MDH)*nx?My#-cZI`O%C)|{1RCp5mS0=cC+q>*RG|MT<e|MCCr?n?x% zOvzrO5-Qr-z16XaU&r;RLYB0OMw4bp&4Nd+O+qR`U2Y*)4zW85Et%NS<rd|7RAHg# zga^#IhDu#t?3`H>ZfLD{WSjNSkWbm!vWLfjSww-0t@C-v{L)wJs@MEZH@XjM@}$pw z^{)E834i=n-)H;Ws^7i+e}XF|Qus#lyZ8U(dlO!lMQ&Tmo~EF!b9!660kh8Sr?0lj zXHS%0aDYRvBs8w;py4Wq!eyZAGz2C8KD^tw^z;_7r?OmYZhe!QTkwMmw9G7FVrkhs zfirIJuSNCPuRowB%sL|ud@RcyrS3AmU4PT~GjyMw-y1e<<1b&X3pJoy!Orb;6@2?A z%kIqOtzth_LG^_jxV|unSl+6!Db&is(OfJilV5aU&2pyIc8eJfzt;xcnFe2$dOoWA zV=Y(Cr!}urvV7t}Cmw)SI$q2x3{RK87<Fd<x&yD`!BYv!C+<vssovf2FK=zc)-(Hk zzjNlc)okB?qRj0;iJ^sysD@ua0*j1>)~?2wb<eXzPuXj1c$LR0VfVOdZm+_(uRGZS zW482Obz6Tis>goC0k!zRhL`6-72&NI&`P<O=TY51_lrjSU37I5&#Y#!ghX@3%vkBi zg;Cvqa#-CCNGQLsI%vCCB4%Byh8g(An(t0BE*odcpV}+%zM%PCgc#SFs`*Sj`<H-j zCOL1>VYS=r$wmJyVvk>o-gqL&I^$i@V%Vz3jOTu*)|y*8WE<MMHC|2Eawwh&I=1Az z#q9-3Pi~(r^<Zl2JQ%mip>PM2@{69BEJu;=Tr20U*{V^$<iM(I(S#ohz-th<D!h6l zw$k>!+D*R4=Gq%h9v4lh0-uaB8*=u$YE<`6E7q{|?2-j({Gg)VZ0q5WmOm=2ZU?R? zbqN33?wL?9Pi)bSAn0P{q|Mu=R<mr+&^?uYU*~q@S2k9OFywWq*I!6Sb$?tha^p!D z>kK<k{SJyO$g<S8W!>OI?AO>iGR`UnH8g9|jc)A}tTDRjlRXi%5cG^hvuyrV&hA=K z3CHKq^ZAvxiaiY%jrdy3Dsc}SZv5a&=HxF&^~BfA)=8ecUGu;f3$WAAfbLnaiOx3M zAJceMT6Dq9#I>N!+mJ<^cigil$}fa0;@l!3(;U1-;3z8>=sK5FP#o<~eAgJecB{t! zl?PUd{|TLT?892Hzd(n*pZPI4s{3O^qi@|}hReL5##Sz9Fn;TNj<nlrFW-D#t-0Y> z0c(a|SOR!BUg=hA%BIg-#eQmm8exVRA&p7TZ>Oe!uI8NKDDu~N#_w$1Q~nwoUPW^m z{MibQCa}x*#AX}bOWS+Su5u<5Xj3)|Xbxo2xjeyVo>ARDE4kL}3u2t53$`A-1b64< z`zex7euK7YOEOyT-1ztQu?HHuE`_t0lwTYx0$rt`du-Fv?yX`^bGg?1TF=B2U)7$I z1ivQm{e`F=`?Uwu?guu!1m)9pXDvFW-TY~7b9>F!8Si&Ermz1V+VISS)$PE0cI5^2 z(p4+E{&la|6uedPQ#WXj_VI*XtpJ;KpejD3gn8!<XHXU2Y@J#^B|kjj>T;2UAGxfc z74wjVsnu(b{?KDJtIS%<?E4+m{Lh9iOm)xDeYRX<!!IV*j4;st+pRA_>)T)2t=f8` z{O@j^WELNDrZTRLJbYK2M2>?q)$~GvjW-|7F4vj6bgM@F>I18+MH7BZ>9w+e&OJU~ zzWw68sP2!|A~&9Ja~asigVtN0wdh!M_tAy))my|qyER_5zOQ<E!A+11Y?eg)_M42g zu61op$_d9LVpeg9yhbEKpPWmnr>1LfSQXOnFkKXK0b*eKbMb8#`L~EYUM+GXWi^ve z`LA|x<~_`_C`0_v9sg`Yd#}c;%QYQ}H-d96wACE7*7e^K$m)FI`_1M1qo=tQt^mbY zlkVIVN>lEKCUCC!w*qvl9_X%>BY`#l7HYkF+JEBd|1)QIg)<#nAKI##@JTULf$?&1 zXyV=m<?ZDfAx9^Q_n&y9l-tH~<~nG~!hRi>$^ywd%IwLYo9r&LxPs;#9v-~KbJJ^r z{Ccj}tb0~2P-;48d5f7-V;$%&pFGX-Zu3l0p#ZzLakgt%Le>Yd3K`Vw*Mi-#^YOs# z=-kB<&77Hz*@GNV)T}k3;pJk`bv+YrgI1iLco_A*YW^I>H0^_b96-15B!ea!(pN(6 zkb$l!tzUk2!djL}*d;p+=kC;gDYpN0zpi^;I8#^nD$p&1tE=PrLNA`ne^qzkV_fdE zrcd3V*#vvgm4|!$AZv~lIYRHAcpGd`<uO~4@$vKgsm5DaeC{pcQc0-V0$Fve$PrqY z^1txj-${>na;G)@T)Jul%azw47ww3@{*G1VrajBec~MiF{=|ZO0KRaj#;;m|@hCs& zenG^%$7=A2ET8H?OIDxTC>-x(;Q<|H&svyLzt%a_{L`bT`jFX)TZDS{ujKMRkOI1Y za90>;#cEOYTJRzLuhq9orfDCn`Of~7N0;&ILg?%VDB7<7s*Y;SQ9S`V`GysA=TJk1 z!N1Qte?Psr_m&l_>%A8Tzcl4(mfv<3eH&%`UFn|{C@>C#0wW$Y74!$R{Pqa=)O?wn z@#^1AcSV9i<Nu07LI#y5or~H$4tz;~+^U$%A1ZV6{Oh=*>BqB;RTAp@v~(E1ih`Wt z3-bQ_*{-bRA0BV&vfi=x&(SmU{%w$pWcn1o`cM_4JX3(+!3WHsWU_A!*K5{0LLnv5 zyPhj<c{r^`PVdUQh$zle(xHi*EB-CzQc3u6$o+}VTJa+jW4Ye#XZ!nq{eQ+rzfakq z;U3O2DxspS+2`gaO0+DLlsT3tskP`4Q;=JsqKA}d=ZYI0+<`p46FR!0b}UGm5XK`E zqamuSmytYS0ZV3J;X#kpI|NiTIfVi*3N2nCu;##vGZs46R@XhhTK{XQ)nuPfPw!N} zt9`!re}3Da2KI2(v&$_W27C`Ml9;6OU1zgO<ZXk9-!u1QDBiuN5Z?S~EmzL3Ox78H z9U2AiY*+IP`+Y5COL1DmS?#s1JIk`Iwf?TH+QoEbJ*Y4*o_jLmdQSVZ$#Yh3m55n* zfMZ?Oxg)$n;A1|jy2X^L9YA{k6gONr!^_HJ{@;Dtv5XZ5j^zh6{F%(vqj1Aid-BCT z$@=i@z(0YFzHj$iU3jw+RD7>9jZD9;!gI#|Le!M^!3kHxMHc*P1#fEDD1Pyr`s6$7 zw@T#PQ9BK~Kcs4j)`Yps4sclgSM$;5`e%2hdyClOe9a9X--{^JhcpVZSc@+czu1>( zm$^Hq{n1mdoL_mYGin1tMIP_vZmZp{GwiQMP5B>^a5bTwFT~y*Dv@#A!2e<tk6u6m z3y(2FS=O;K`^-z(TLf;t1yzZwPu}PToeRZVo~7&7|9`$t?&Iki4)e4Pni8HHeN(z+ z`1pLLZkN3FhL`t661M&J1Ff{lJr=j+(7C%0e;RKUd-_@=;;SO-3eXuFTe6M6ElSw# zp{BW2tQmB<jimD3PZM(5-$<~!UHEC9SOr>wGc&6DV!FtUAMIQd{<|VP_3qb(b8#}u z4lDx=<Lm?lUG9vyEr-_ee&$;1TG`FCS}vfW>a#NFWVv;`m%E$h@_)`)d-MShXt01e zL0#UvYNMFa?l@2+nMzg*&fXdCaCZK~*r@J{uiQ(If4nTBP`{K(nWIcES^w3WJ73Hy z_g`ByLu<oJebEHa4ypyQvp0&ff)*B;tDQC9rSfpP+7x%K4X;dCSLlNdpvm6Gp>%8K z)me{y)?JR`0bPH2Mj~^Qm--pLumqO5^}@@<LCXf#Z<W~V-q^c;3B%9xA`*@piW92> z)1QlNJGp<0*wMJN<|jpu=U#rUb>NK%xDf+cpvt{P?5Qc&nq9GsujYdMt!Hj@Yv<LN z8SFE4nhzF&8qsVA{Cvf)Yub8qPmEo4fMeIjgu~LIEf?>L-l*umYL)m;ht*Bs*Diy- z8ayw*<sPcpdu`E=4NSA^Vj0txf|8o_GLX+==jLCIni75Ogi)C6Vur6Xxq1{b{}rG5 zdxopw-`S{zS*`W6->Kf>X$B1#UUse8t~FupZC7`-2M&%Gd9OT~l_~1BJ~ZJmzm~&4 zQ?PY0do^@!9m|>=pRL=)E_$Qn{?<)wY3|@^eP>nRhR-|aE!?W1AC_?SD_6k%rJ(C& zj=gZzesfFW`kPgo`S}79Sb7W_)&+mgJuqS3iq;e70zn&x&hjVebDg~taNt7`WZ%`F zeAW}+Bh_bv0#mX&F#UR)P3698iz*f~&93udOuMcLx<C|kL~il@**u@Ew~D21ntd)# zb`8VVkKnL;{3J&-v0x^s686XsV%<~?+S_$y$urkP{rR`rj-P;BU4EQv0wjY?pCw*e z)_rB)%B>o8s}C&W*F5lM!CmlyJhNwsvs%x;RT$O%NryEob``_dUXTU3S>IQ1G=Hsr zF@eSBEXSdich^-W$gOKV0cs$Elf}l!+guX!)^C-lb#CmfU(E2cjMYuxP0Wlq7whsz zXVZ%wR7FZ11>I?#%Vn_J1(s!>@0n$8vEMN}@ZQ=3t4gb1Ih2CNu%25@zPL{Epz7o~ zOSVePSq?hs=#qA5<C`#~>=m~5XvY4=ob<=y8V>)`;C8h|X6Sak*WB=OzDUBhG<|R` z2DzcFvg6t!o504<=Nb;RJE5uNVqfCDHCrXFK+|6L!HIdd6ugzKy`Z@(FymRCgn<p{ zD)Svo()H1dpY9`-7opX!-yEGRdgF^Imw|OO$VX>ybX)EICVlXa(OTEa$xN%?g*H?j zPKE?4q!^T0xV7WJgAh<1rpqA8xI{dQ-$h|JsCwPCbe8lZ(8|)bI}1T4dMs`aO%#-{ zPh2dcr8HrGi0C!$Lnq!%`>EA;Ci<ISZre#C4ZHPR-3Ln6ak(UvftpnXPrfbtwJPt) zQQz48{qru=NKR>AeMl)_?iwza1$Oa}3jB7hsQa_r{ZX?OBiWj7gSI72x7JW{`21|k zo%N4O+PAr}Y$};NXT{g1yz9>de`wv(E!+BV<1HRRiM_F+VvQfuLmN4s*n@82GuTwk zvad_|v*4f5*@>HYy6(y?R~Kn~X&lnXamEjP3*sF+rueN%Dc=vL)y*^OcC1{grNeY} z?J5VBS8G8PbbTNP*Sib*xbCaH*|1~A$$zt-1_(AD<Oa3=`WZr4ChT*qR$#ih9OOjM zrGTfO+5P}s3i$B%E!o4V2ER6I=`cNx{dRS7mqXnOtu^8;6Yo}k0A2d`F!UDB<kWx~ z2T`%cm*Js}9B1;vz&nKYvBz&sdh*To$}OJHsR4Pn{Lfub3Xok23Y=I-;QXx(mAD!I z-#^1x<#fUaSx_A1@^^7uxO4y17yesPf+sfYpBJwGqAXXgas8sZkL2$f)O4A3AIw<7 z)qUW{-c=4PpY&HBs&bULY0DIUwfD`r6QF%t9S()_wRD&+@8zFh?81`fz5?8Mly6+m z1-gFdPe^VX#}x5Zhm-<hS97^6*ax~C(xRw%)`AY@-O73U=BV^%7`r5VIHFtBc8$B@ zxuYw?%hOxfl_u=<76siRIqUYj)jLG;e~WLCY&)IsML0Bq<=FoKR;3B=D;LQ5=yNFV zzWr|Xu8FZiW|iB_oLRceR~%9@_}if+(0KDIC>AE(zWok#TJpC0%g!3avpwYtjbK@2 zGS%3H<yA5$DwKD3`wF_3xxdN#ZM{V@>A}D6Dc^tE8wIXmsbEaKQT^uz=q#1_-;bY( zdA8$Rs)VwTm4}zoN!2CFD^(}Cc*tmWws>^Ns80Nyt9O{MZRh=GMm@>z+6<H5@jWxT z{vyy;ZoS?9`foQrf3KQ<{`>p*+7C-^vf2HVG(0Xi|DUme7c=u$d$WI&|JVQW&Q|vS zSN<hF;C^?)L${_!7lI8oZqar8$<#EpoO6}*+*9Va3R%8pvT(1S+t&H0k;Qv5$0_eQ zeVtQdIah@Xt>Lv|7XG@HL+qT2Mp@I+((_NGe`+*MJ<hR8dtPhj)>@8N!W?2^HqydJ zWjUrD6PjYL5^?j5%)UT>m5AAn0rABqYGQn<8h&aKQiXbIr>X_k#CQkHeQo0dI?JSA zEux}(!H$DJYm{ZZ1Lk>c*mR0@#)^0T8_Xsyu*>056Dw2G=u?ik)H*{$y=iJN=PG^S zHEU}H@4sjl*0J#ki20Y~qx04`U|(lK>b{LLR^+*FFl$?2*PW0!`IPyik1XDoIZv&Q zZ|a=d%(3dbz#88DBEnzqa)_-{(%{?2BkUb5JmtJnMCIHCyY5-+yV$3;!e22W;$@MK z$ahuH`6zoXh|Y-k$?3RNXicq4!2Lg7`vm=zH1;`c*mAAHXUcz%4Mp~jr88F>Y}}^q z_}8kbwQ}7tmqO{LrJp%Y<qNN=c`UUr@W0oFZz7JhSF;T_7BDz|)N5LLblqW>pXn^x z(}hFcR{5;S^$f7@O?VjE^vKHmLvM+S<4?h+sps!>biP{8aV<}6Mf+@njT>woKPojX z{myyn{+^lnQ|bjm=Bq|TKNj=3!mk#Q!E$t|T0&B0dDEw>EZW8Qx;kHd=eTxGZN+}a z4IBRD)+oz4Z}`IE__KI>a?;c7ELU%HUK88LEc{iLQ%p})gU{#4kxODMPbYJR#i^{Q zd+E3DBA@aKdxs4MpY(i0zAHuSYFuFR{_c#3pNfuOYnrx}bG(xFdnEnPqUn)I)6xol zwNv}mBX-VSu<OU(84<Tz7u2yHJhY&#^HCW1V3c^}h@Y=&YZm{TneaBS=@-{z!;KsG z9SfNbUSj&5yy#DI)6{OxRq_IBa(^-0f1xg*b4Ed9-A@IzX?%(r_uV&a`r<re#lNP6 zw^B{NCbS!F1f5|rD<M<O@#pLQ54}MgtNex5to@^*_UgBwj*p54Up0@gx3%CDedUOm zxm;?i?yE&uw=Vc6bnwuv{?EM?76&gmvpjt|@4%5$`kbrGh1T%a3JHJB<rF(6Ad)Vq zlWQ|I|H*#gH8#!xv2Qhf*2sDU%y-#f@F&wpq+B^d%0FOUvXolbK5x*`C~JkUT(51* zZ&}RQ70fZs&RSOZ>3xAUcf0~(KScVhdFv1$@4exJpkv|Z=Re$jsXP9xY?`Wn?tshJ zfTpb{S-uLMPfkkoZ+cY9;$6JIq4N_zN7y;l75iK_Y&+OpvlygR#If+|bc2mwteZZ~ zWzp{5*VFmx9830Nj#pKz!rak9BL2z|RjmvDOb!3(X4$mhm%+hXpVlWX+Gl?7&{>wF z7YsLkQEvLw%A);VFvPraZvK|XoUbl(ie0N<7Czd{IqjXQhW<}AwXizBfW2J_xgUId zu54F}u$aBz2iw6**Y7=&{;AKR-7g#xUL`G@{afftzH&sw4_P0Pa+Mj)C7j%rC*(I9 zus@A{|7lHgl@NFFg}4Mo_S&_PJCgVgCF(p;usd)_W=ZP(!=jcaX2K3aIsbb{65lN| zohJ+CA3r41QXX-_@y-Wk(EUN?Vnq|?rzP^8dTxJ2)N%#;1_SoDdSXQv#D8;ypK-j= z+A4EIJ7Pw&$?xMkltA|aopH3B+bVM<JaR_!wsQy6>rcx|{J1awR`!c><c#JI8r+s0 z+GjwEF#gVJm3a~!F{9aNe}OCCt8cIaQNBmcXx^~$qqWQt;fNW{Uu3u~PsGmv9ij4* zncH$jZ>wd7H}4@)%NM&h8?Zl=5-SpDKhvoFOrdsutIU()h#AeF)VM8IyykyaZjo^u zbRG%|cd<a&JYmpzD92&vp`>uEo6-C!Sga^OA9S$GftVdi?6urt&lKhyaspi<bjI=5 zr#pZ6*nj%#oN=t2&?<9f;^zllmKoI>Cp6bFa~BJ=o$J;v64;)a$ajfV=ZV7p(}!fH z^tOGnmbvmcVn(xBZ>!7~3;A_3nhO-TEjuQIjzV!cAZmGH_a+1Or(U2FP|o&iKU<J{ z)QRtxq|O<~7oc-zb~Av^o#|?od2&2rM)RgeO*@p>OXbC$E${_JUt?>*QJEImh!c)7 zpkrP5BTqQq%Si++J5u7dTmd>qW!p2Q9ZKwf1;m~y_@pVa7alQ)F=Wr=7b}{u9(3?Z zO!uQMnJa-hXB?%^I`RGT5qqYPvq572VMm*3t(G3aktZDEQVU}Y*;DJqiUQO(8L;Qx z5CR2@y4W*?o@7P#z{7<{WtM=BURenWl$otESGG=nQf`sazIj6PKLOCGGx1!YP(FJ| zX36Y`6OQxpGeO2#ixmYN-(bL=E5>d4Lc0G!xdq4Z%@dj}=C)dTtk!SVE}Fofl*o6= zR_DnAzId_lGmf`Gp$9sHMZ!iX{E0#y$h^%FCmieMwpwbu);<2dAfP`jk?)p>SkZ@< z@y!4JKabb%_b#bmjJ;L4<3h63v5)6I&-orYbBbxAkQ>jVjcT`VY&tFR+v;1cPX9)q zO-B`e?=#_;+2Sd)+p#Bgk*7)G1XZVyW@VqU#h>lA&;M;(Kj-zAw}1b<`+hw%_G;*I zzo&=I@3=gx-CBM8`K78qKT5rSZmNm<csxJQVvXscCqI9*C_Ru&Qvc97pUH29e#E@q zcCXtvgbJK)ZP(j=m~*S7Ow?bWw=)iQPTO|RKJMdj{+QU@?Jnv^?6n!ykId86Z%$t! ze`TgecJy*Rk*Hhi^%>6xu-G`~I9%sz624@%SK8M3wtsYk(`wzDbDg^FBbeqbeEVD1 zNBzh?ZASGaxh4Kq3*XMx^ILdzSz*S<$<?KE4j79(Twb2}dMC?V0sAZk+mPG4avT?K z{VT?&yY1z)FFd)@^#+2O_74QC6zk3>SS`$L_FHt}mR+~=wnS$uzX$0PZcSe<;92){ zrR>7W+Z+l>?eqOqs-?VK1RSm`o9C$Vvol>NkVRl)?s=t2f18C03>_H)tG~!7oh+9R zTkFCg__|zf;u~SFj4-8!6?Y35Cq8){8vz!tGo1J)86wWRcZ$cSrFyHwlo~o}t1KtJ z5s!5Qna{VQ%j45~?*m6g7+u~R&F)CCPpjA}#ORXyIlEJ(es@cnDpSXezt@FN?t9#k zrOMP1bzizurG67c+*G+UCI0g>u=w*pmr4Cv3nM!jl*%q;DBTp_yEUqlVUgKuGo_Q~ zch2olYUp@t+UD_Xr|N^GCWb}d?nEe^<lntHs*^!!TcY&DH|wWfSmVMFxcjr5(#h>R zw}ZvM{B_x6ALO)Ih|%TkCH790_@@g&c14^%?6Rp}17z2tZ^zv`RpJw3KyH3>_jpH& z{og{RCWb}ZJ`1}{+CIIZTa&5dhW&h{Nwxo%ub#@GkoCGpeNv4V=UFcnfsN7gRVUSM z=Dg~~BCz$Sx{zmGJVgAnn&8QOe?Ei7*T*YN`t$0f3n+Z}gF8~@zjp<h|LvH!%Ow7~ znIM1V{^y$b#@PG7Yi_2FTgmGMJ@-Ao0&?e#tbBo!b{oNAb;~zj&~x9xEnxAc_W~#F zwn4;az8CVm_j@l`JpH}!$-0v~AoI&Ew7X0ypXKQy=x`)%o~z35jhj0;m^yBW$qRbg z?Pb}sPpP59_6O(0H`ZIjwFMongw1hQ`FUB@L|f3|$iGjP6W?Ul2d;Ht2z+fd-Q&|m zh`8UsE{|{X;=$_w{pOwc<awPp$oy|V=Xrcv?{(lP3!}^1pP#}F{$$$x6x7xIEXTBn zLm_GZ#Xez$oALULp!9M}XyTLGPr<G=n%kKo9}bC~PwQMJt+%QN#e&an!IO2#;DFrv zc(<_Uy~XYz;WvLjm0x`3{-&q3qr;sc@OJ6MtW-bF9W$y}1U9CNDNp))U>-QUOREJv z{{?}yZ@fKSWm4^fAh5V<m9XbO2Z%WLFM*T$4jF;P^QWs$s`*g_j-M4zJ5%0Yn%<$% zuww64rio9SpY1(*zJ9L@gW&B%Ri&Hh(;Kdfvj}XhU*n|m^WNW8Q#llpR<Czc`MpGF z7AR?$ulG~=>6RS=3PZ8DZjW!fA$e!+R=$Z(YJY*k?a01}=^o!svk3^Y2yAt}ramdg zOaT-|>vKF*eiup$f`r$asZIK`SLnm9E(WD<-+en%?x$`B1=uYw=1vv)cyNHd*`?c= z@_v6PC}iG>-xlz!i-veDc)RkXz1P2iz1Ghz=vnuV5A3z?)<P%$`Eu@<q0q3x_g<&R zC*|^WpuC*BNqgd({ArN9lU}ZLlKm|x-UXxc^p$SP>x1)1*;6~0Nw@cb%-py|e&QQt zZ*WmDw}N5f6K+{>QStkMg3`_ZH3ou?41u@*1}L4h*Jl(DW)axP*)Dvt?t>sm@7Aw< zDwF=$L(<YQ8DEv((~g6}>dG{$X&#^2!C}=ATOu>@&3?OmpuGR>FQ?0-_-Z9VR|Y}r zG=qt6KF@anrJ;LrPAWe&)rCQ2nykO-q`!-WKKufe2~S?SOnPs<1)TSv&2-tcKL8w9 zxmO}xCgs<ZfdVV%Vxr5Y`>|m0w{t#ssKkH!0}9+5cep!J_CIn0=balzT_$aha{{I9 zxZP7cz6t9oD{&}f-Hvfo`Pl=Fm=mEco8Fg|g3QdF`p{*PeKgq2oFiLZHu3LR2Xg<m z0~|^x|A&Fa-+YnoOo{)r3}jc-Zx5xD`g_26|E+zO$G7*8ypww>L+NDyK2W)*wC(0w zmrefKknq1Rp>(po8k`Msep+{?)GvbM{j0eylhzlOgWQm_uevkke>OM~Y(4m0*mK_r zW>BDSoXIbAvhJI+3k#!5?)mmkmH+XaJ7y>~bokax@%ZL^JQS4q%_`=2d<qB0YDaHv zlgGEU^VfhXgRgb7JU+EU#AA)-d3>8Xe<et~be4n4&##b(?3?SMQoa2-C^KYTRu}ZF zyY(5A;BuCqblFrN#H6Rjp^)Y8uQ;h@zc{G;Ov>Kxqw;${*vu8Zb*&zseB;-G65`i6 zUMj!$g3Y{guD03ZQ~cgYP$l&Hm9Emw^|RbS5#LwQ?(xY6To>&9r#JCUeN{gwujZOJ zdwk;eKJZ$bspG~D{?3&9$!VZ4$-R2hWzzrE;IO*UD=&C*-@oIaFxe{i)@9QDKuEmw zSh{Tb{|S=8=A7?T+5dxEsf$6W>_Lpu&3X++abXsLt<(1@O}eumoL_kVO!N3w4o<5p zY(Lmee6s)dLU4L>@;+YwKI5P0yOof_;P6zBPg|p`nLq`6=}DJO_k)-~ZsmRHqw+H; z78G1bn|BMHw9BajCAn?o=1M2`>p=31=iSZ}`<i>-P5<9e<xt3y)^$<&c?E20Qn{{^ z%I|I6VW3$1^+jdUpNkOj>0gy5?Y%Dq@||g9m&Ye*unRk6ug~`Q_Rbqr@?5$1+F9l2 zh13oWrj8qWrv*>`%Y>8$z47xrJ~96S8F}Q_dJmP~-H=Ggi|+LJbT%C9>#wieRet}4 zh@Xq^_4u?e3>-IUrAjx~M>c~zCc9H?;*+`8)j*|L{yJxs-=2TL70v=imr3Wf7}u}o zP)O=u@2~PZP8yu)bCi`%&IhM&C9|SrCCUFw`IkJde*QP@!{`40%&TAR=>$(^fsWQ| z?f%R!$?4^#q@{O*=kx|1=aUVGPcWP{;$ZISW$Db=AR->p)7vG<nYCC@G{noWgL`4e zip7GtF$Ibq?3_Y@naX-AW=vCH6U;4a?6|%6>i0XdE}LIHneu7hod5R!>(8&f{`cPL z^=}l5*H^uE{I~zj&UdSAHXOfm-Fip8+lJhyLK-@!Wll2~e_vnzd66V{;GOjcIR0%( zp0@VGTHb5-E6=C3M3`E*G)~nIY<TF=D0pOFp28-JC8p~yKHn;KwO=&iFF&h<efCt) zgmrP=L|5w{$_JlVtUdbWD_71pLDm`dpfk$O?R33ZR(4N+cBby9snsvvu<!`)-MY%5 z5OQ#NmiS7J=JOgGKCyEd{8<e?*$uJ?#kMv-lKJXIt~GVNOg;9XrLfnRh`C(UTk@@R z?a?pSxpLZSOBVe62O22%&2ro_H+J%^%&6{~)lA9>S%O>UK3E_9UP{-ca5v~eBd3&& zk;SDK>m#xaD^Bg(v}LNh#(@u@71XzW_B5~9n(ZW4>yRDTD;n{an^od}c%$Hjbtf%4 z7R|NR{Gak}bJVnhUqo2Vs=Jxa*snamk@4L4TwbW)Cw5T@#=|c9_x;^9uW1`JT|2Q< z%%yPsgj+YGx(`;1M*LJ|mG~FZDClB6KT2S0S@)HHOSi80b|-$?#$PV168k+tmU!xR zEQ<Ab>$Udimo!$h-SLditmPLl3tm{~nLD>@!u+KNIA%n#6gvG^<M^U?%}E4wR^e;V zdKR<nD8|3Lw}>^@Yi;;+ll@eggxq@2S|HGxmgoL=_PXU?hzgMpP58Q9G{Ghqw4CG+ zkJ7D5{qH<}Ve2m5T%Ij@;|&k%jQAx7IE=neT=a8`lS6&Js~dQe@ovA_iu;2bLHAF| z1+sSC<)0+m)4=TNYCWObI;2qH*xl=C5_`fLr^<&lJTw;pEn+BS7kn%6J%5YX)U{Vr zUond$)XZX1UJxr>E^x80F#l?l&-%cG&9_Ap{%rsWPtFs#*!S{X*#@2Fn#G_ias)Sk zuE;re(Me=F^s)niqiO$6G5(d_BGw$Qwc%4em%*Lw6MTCVK(W<PcIHROTGy{(tYP(D zjK{WvmbIHovIk~AzaL^)8IWyw_V0O-$YcHA&7#*ceBKON9yANI_(pN&8=<wXphMph zj=i|MmP@1`6leEaC0#Dsx9of+aFefjvPgtwNW;V9(3KgWOLEG$id}s#8u9l?snvxF z@I79_X`sk7IDaY1M?WlKb1s*`eo!J`#|c?ZbT#TpwT#wj3HV0irQ1EZ13~jCw>CmI z8n3Buy_WPrmNo33A7h)pg%7)WN15GYg+;Q)b`jZudm|dJz7J^lSPD+q#~ioJoxA_= zWb3VBm)%8f<a~dA(rCtasHZe;=}tO%?rPMN>Dr)M9Pah5awuHS1ilTx(WSm^XVP9h zV~c>ssro?;4{swpm9}>4ihb)3yt3CmP_g|mc&0=+O&~Y@ddtqhY(rc3#<O~%4L`x_ zlYD15g3c-Uo}v3Gr}>=U0fXL#xlTHJuSRtwb%XL1WOYzoh5LV|wMQRZ=34V-9uv?1 z6`-Ve-l8MQ+J0~EygxOOQdb{xt*P6|)T0kxv10_;X?*f)RQJofTshx%Fr5jPRTP$D ze0xVM(8YTHtlwd?a<4@_c@FVb=qiUokZpfsXMnu*v*B9Ohb6tcd@5Hk@x-qJ*~M?% z5oNu9L+?G1&lVhbwUVhvJ`|Gb!E0z9dqlEb_T|bco5yr!J?KPA*hOJ`JhLa>hn~oH zr2NL*zDozYoj_L{?7S~EZ>18?y_E+}o!2_>p`EJ-`ILp(*A`VByJ)oa>T!(&KVm@B zt!if!y2`qDTkVX=HryN7c=lar!_TgLd@cv(%k?&Z7ZT2`pZrcLx9v{}tJ{H+i@O6v zHP(fKml57-j9FKnA<9-CnD8{Xf1<0QO%SM{wY#p+)or%%){D@nZkCYgpab#hLqS`s z<w8Nn+Mm745nA}9z<g73>Hm{PGuDN*s)B9=@Hp^?2_j$06?(h(PR#PvTO~K8PPo4e zbkcl5;KYV&cWNgT?mtoI5xsoDjjtA#fv;KKu6q}&7UF8XU-QEK1!sc{G(r<OHSRA5 zt;9$TjZp7U{@tz+vQ^pK|KN-CTRfaA{;lQmcG!FUBWP_%#b&KF!Ua#BK~~B<yz=+d z-<sTMO)o=NZD2W*7sx7PQ11Y`)n`*Z$EH}bmw_q@_CBDyly*A0vh1Cn$*;1Yq91hn z{^i}E)jy5x*?(Pg+x{6z{BaST*6_(NRDtpE^EnFc>2nqO-mEWOk>ws2^ICX|q}%BQ zRg1NB7{49|?exwM0$tK`CkA>TogHYZZpOPWOtuSI&Txe)Fn%@$U!q`s{a0*MYmVfT z`N6HFO!pqG@Nlq^_@8<CujUi?TUM+`?}sMNT@ZWf?zaHf-N$%d*nf7hd@91z7uu@2 zpk}VtgoebWT(4QcM+8FdNN~G<CC$U(Ycyz?lC1xwt-=Ozi$OO!?cDixVVCmn%Dd$s zPrkgx!#N{v1()}MH&Gy$fbUFF{{2$p(TP0Xdj8Ej&Ac7|Z8=-ic8wD>)x@%Q@fLQW zfIU&7*Enx2y_3ry8q>A?Z}Tmlzo`a4W@+g#K3yNu$ih=@5y`(y7`kd_=Js0q+_sBG zD?rvb{51n@IA0C%@OImf!jya8Zf}uPJDu=r0_f1hSpSK}E=<p)L3cMPn?n_V)>xlb zsNb)(M)*UKyDL-1J`4RX`xdPY4)_xTa!Kr6XMt6V=GL!k{8zsvl8dc+zE*oar-nah zHB}8L1ss|9*I8hdg^_%de}-|-G)L3GR@DXnK#RLR>P45lW%*%$D)m2m8gr)>XazH5 z70>NrclV=9Lt+XPRXK$e5<0o{R^(1l;OskUq{Ga^%gn>~_<}_bPxq}A5=UFzl%!NH zb)DWJz}?K!nen)ZTT51@%h73NhKpl#h)Cz@>Z|Yf{PN#_MPBI8^y2qBzt6q>|G#m7 zZ6<HkyX-C3?f&KOJ@hwN^v2)*cVELS*^HI7*IbXjYjB|9rMLLg|3xnX<<9qoCw$#4 zn()sRw7259nCq6}GdmZS@4lu~9nmQGroh>Z`RUKuvsfRu96iX@v*4?H%EkLLcd!2^ zw$}A$AgkGrHYT3>)u6JdI?m|UPx12j#mA>x{r=&~l~dHi6!IQ)I&t<P9`FTg&$oze zp0Bat*LyC5`g4h(MJKSegU8><uGo6Q489UGR{ga8RVNX14aglp0-!4=U*BK6RYSgb z$2*G~?u>2j;PXh0LC1VN{=8M}vA_0)ll-C!W`UMUm`bu=<g%_mcsX58@pQsBDb}$0 zs~A4>YZ)}n`~B~MeX`(%{hYQTuK!&__P*XCxoJ0OF{XQ;a_N&Kf6%RX4j1^IJmL$L z=_s6ji|45&SI!4dR*5=)P=iJuT(jR_zg5CMcWqAk<ZvyA(n+AYUASCe?S||}b@Q(! zeG_F3`ya#j>_523zr?#JL;TX+zZ#KjHy3jCfKL`toK~}1Y|#!#c?&uz`A@&b_wfH| zYe5InnpJ$dX!YYmDs=Jeimjh7-+sZe_UI2=uAEP*tSj<B7xZL<Ym$mDFAqm`N7nV| zB!4}~WpE#Ki0$)j9iVGG?-YO2yB?JSx`HUJ=TBVdf*UU*=1u@zImrfEE>suQ{V9Ug zOhWU(htFV(44PML{mgy)#qy}`kM<%rp6q;`A{hd@F7TEq<hbO#OHpU^LKD97avAIg zt${lC+|A8;|1AFEuZ33C+t!1Yf`HB<dSv}Hdn;sJuq^kr{ZX!<<<xBTp$!i=_f4M& zS|tuUv8QJ3wWOL^OtWh|7*~O>(3%B0+(S37v}*dbq&DG_Z&m)RGp2*u0(spUQHj@E zcE)B;j0NA!_$fN1@ew2V+N&#Nar)T{YNj$t&soE8_&a#v`*KigRLw*>G^+cfzQ~Oy z`?&(%)-3|H)U$)Q7iEYCS%+jB-d=U!m9|Ji9q5+M*vV0#*bCb2pFJ^^dG6eXm6DFP zPyStF{^WPIV%Jx$o&~a}YiIK=x^ae|^^5+cs44Mb3C;604}7=}4m)T=+V;Onq|{?` zjSVMfas|Y$1Fg+Tk#V_r?tfUyjC)JA&bYh!z^l1_*BYOJ798LD*;D;Gq~(nhXr<M} z_W`V3peAlM?0jU!wXQ$+@NR13ja$!f*cjZ_T*(@kz1`!ja#Z)n>!LT7gf(P+)dU?w zJL^i5#;w*X->ycTxuykL1w12uEy(UAWvja;*seGLZAd!{oG7;p-R68TJb`5`=)~e1 zYcg5Cm}lvp;@94A@VZFC4{h*bW6;9r|Bv2fr!0T@=J8&U8&3>aS8M}kszf%yw|l1l z=J8v9De6pKV8T~sE`$4(p!N2D#P@T&c)wO-(f-*d-LG0Z%vQX;-~fk&)u-;z#z#@0 zEVT%H(c~%%fyeuTXD|FSpGkVpgOZ!_ht0vc7;;$8W>7BH*l=>Y=z?ATpguyUbw|eW z9h=QxzuEj(G-7WY<1^5$Ot(y5vI)MO@y;}=`wbtcNudlnCi#T?MJJKnxs!ZBaRpwF zZg07uzT?^=8LbTmkBcPy@CEzs82D5h>$OLJaHhX_Gg(~QVXGg=s*@HSQM;d&x4mqQ z>b}Xx8g_pf!{>I;4h3in`rf+Psgfz|nxNKZ&1S8Dm}LhzqUy!d1YG|MJnN0>{&bxy z=fjQdFIo<ZgM9=!dS~$#vB%rBH=IltS+FY<WDTcrN7QcD8T?tgX}fbKrmlIXeE`%G zSP&}=KKVIr(bf~|!a)lPnaYZif6MFqyc^ZQBC`726<;ey>m9qB0$g_=eQ@o5^lU}O zrw><cVBt9jI)8W0ibGb8GB@LcRy;bfXUY3)<DO{;f1F>nfyHN@-4t^drd7<4D(||) zs<56n_PLjNZ|SgZ?gp(oT@TW~KN!?bDJs6WP^)jxj>B>9b!r!~q*SjsWW|^-7veHu z{Y5zshmF3V>Tv0ut?gQRN3-__&0e^l=hS=9TG=0qwI(z?48Eu34{57_YTmftr?*PF zoldA(q@}|+`THs+&K2tdAx)L)6;Xyo#c#_qjD4mbd?N^ILfqYUN?M8WvoqA0a;qMl zczEmlrL-5i&2JvB+TeHKG3dw}o0!ypy1Bh9BKtwN7nX&8SL6Vlt;{I+%ikt?z8T|F z?~p`J2^nzfO%Kv~<9A$<<^C-{WwCySu}XqXFlgbof2acE)pfU@B!XLS&H}3*o#^hK zCAZ}4goc}GAlIA@X=FK*556wwO`Na@=-$V7;r;jWw@3<2uzi>N%V}<dIlq?D3GTOz zEF$i!4_P_xp0fK}z|o}@Z{J@?d!gU_X)`El>ehgok>(JGWb=o<Jz>WwZTnlMGF2tv zk5y;{liKptOq?t9;K9<R+@8HZZg%1>p0x7ShlB#w-&&Wgp0IB_sF{hlfU^HWnukN# zJWzXO$6_T$ljr$A=U=*ZV!Go;=FkZBjPla|xsUauT3M!?w+N5BDP&Nw6%;#~t2X!v zq<_9Hx9U;w4~08h>m5K#o!75ABxLY&sn&#sRps;6-Q~0>Dt-$}13#7--F2R=$OyUz zljX{7P<X5dg~z|j*pLGD?|TxicFE5A{C{1Ud%k2!J*Wv9XT73Bq5jp+Pj5UNK5~KD z;nRGbzuUjtvHm~vnRn0kfZIOcL7&;5H?P=HBGSy#xptADs1E3$VA+_0gAsZwBvh8N zbgsD3B{-F_O2)0NP$Ih_QL;r-LPpJF35VW}1-*eGJePJT2u=+PG;G?&!ntC__ptlL zujlStdCo`e{pp|oKmY%Jr|NH9`X*;T*YkT{t^NJGzG~)gE$%n_VypJfl{IeW?QVUS z`2DNjJN-|aMIt_`vP%4Q0`)jHoG^O*wd`~4+REPli??db-@9t&<bPp%;}~D{gSLtO zyq0p2kL`PU(Zp*>zhqg>eotmPGrwRKpNoU_b1_%#H+$mWE39?>FTv`z;qcr}`*$3- z{5~}EU&~P3v|3cc(OOKY`|K>|10TxPy8he1q&=^2mrn0R(A|RIgWj^YpZMV%)%~f4 zHLNz6@zs5BQ42a&zgYdLaorn9tKTNU37g9`4uJYk4%YJv^LKY$09}1x;KL&q!n$ei zaiiISTio=vDs)x1Ug5hQ_2hkcg6m3##p^W<nlhdTb1%A<H}O{fR<Wmgt4qJVYUK(5 zX}++|6EXl39M%2uDOb)nXVw}2V?jlfXYP6b(^p=|FN0Pb;`^$3`>s2sNQ+1~!be~Z zzuqeLbG=B!#>-N&HD7GNMJuS{0NpZKH~HG4KRcLK>xDM_ss>fxz95<RPv;#x8NXHR zvif|@(>LA-u+9(%$?Y?ie0zt(Zst9!wXXj`HARo%4rkF5a-q;l>cx43@3Gpq73-Us zwCz?gEbc~h=AXG_2mXs}4E6uh!xthC9wlp$blH+T<9LSdvTTtXZ?aft+y~df5aIp| z-Cxa{L6?5d0FS%y^j&aDQP+evFpsAFYhyfoHmdtiG}oFR%}hOV+x-y36LlM}Evi}0 zwEA2?L)C6jb8m8?z}B*Vy26vAw~Ae67rl}5esiki8GdNf477i#N<B;W*K839M&n}- z*g_R{M?)?qR_OYtcqaDxYonk4xYkt6X6o?^1>Jto4t9BXhVChO%?(-W7{1P%Kb5n$ zq0D!N<CR;whirVZ4Q=BZ&zfl*_)`V#buW@VyvIBH;&HaQa~l$+pxc)c>~&qHX+!-4 zYBWpFTeS6resIFk@1hAM^TAuCzymIIrz|&_oSLq=;gu~{z<TgNnGxS2(XxMh{_Czr z`MeKE*z7Kvu<yOw%nKY<@2>BER?g4#%Y0$`su%k^R+TR?bN&yy^!tM0jYVAGMkb$# z=vxQ9cLAWS|9fxo{G4pJ{I!^VXv4+b;247pl+BFl{?yI2=GRK5E8vV}TF8EpYq#1v zN6pg-RV_@j=PqIRd=``}e0PCHUv`;i>HhkzVZgLZn$fy7f^B#HrGuN9L0JlX&fA&& zt~>1yFWxHlGon#6T=T#Sb$Dy+^X1z==0|mZding65%_`;VZ^`@U+pH(Y{R{vqx{1f zey)4|(t%}5akL9)hvhqqYW57>U+&;){-9Q<!fjYJe{p`|y+vD3{9kyWYx!LL+lgPm zdpSX;T;BTGn)}CYt!rf;(`wL#@UdX`Lq?Botl8}UJvd==4C6D<b))b@E<yX?LA&)p z$JZ6b)+|5xH>xA2pF2fZIpcWId?~qAN>AR0CLF!VWw1(A!tu@P!#s;DmVoYO_g?Gz zGoCff_PNtcsjuLxQNZI=V&$bpo3178a&0`D7u4``FUSedM)S@69_jDp*Bs!GP-8p3 zis@z)q}9AGQ1H`y%?*#@xeThF9W|OQcx0a)s01-xc=N~BsP0dPxz_A*V0<MF4mL;w z^WDWLpYr>QHlODUY4~{&WCdvO`OrGg+^yFZ?RRbj-NSLq@7>;ewb!a=iz!umGzxA> z-kHDIQDpYdg$)nwrk}WUB7W(CE`E&zFJizY#xch$w@elL_pjTkk<PW|*4xik0ksX# z+~sQhKX~HrHQzPX`W`Hq3hKV+f)CgSHA>vSeP8muA#CY_{nwWkiCp8XNOf>!X<qov zfoYR)NaEZDbMM724*;FIw!HTi&*9Vw^{%4R8XjF=<-p`tHdD?AG_0d7x$4$L@$gSS zZ}B`%4cHecI<4WA@G1u;&~jnF0~2Rw%Yxb<QTNw?ZxQ?rI&JOk#?W&DoHOKCK?XXm z9nTc4I=x_j9B53Zd$AJZ$Amxp>hFwyyxvmEwaGdZc|b+tpa1No3iWxa%X2t2?t!+Z zeKCZz3vSD;ve>lW@15yB7f_q79MmTJw}?w+!Mpf%+2u@qckX-(0N*;f`^S@n+%^`U ze$d{rZJ?6||A22^JkB3@P!@jaWVQYEcbYH$M~hzL{2|cL#ld!dms6iC=ZU!GT(4Q~ z2!(8cZ#{AYt!$nUzXEg+U2doX<6`?&;6|i9|H3Zi&y^K_%xpJ(G}4G)#O3YqRWDS5 z@oN^SRzGp}Gib15e!DDa;j_VBU(nSD&Y+n29iz#fJhwsF{JMQeVM^Tp@NDBR(;WXd zgPL!*<wQ9b{Cu>>z`{Rzxx()@(6HC;UF`}XuGaq-Fdx33xmn~%|Ia45T&6DZl}wzV z_21VxAD#H8$Pp?tlmB2QIFjO4f}D~JatbrZ(227lV{`kr`+U2lm)^nR13ESDj9&n& zkO63m8H>!$N)9JccfRi%zK7<vv3#iq4N-yaoNPLHF8t*|6@}_XkVC)+<2?#`xNX1d z?8F^BpZr%H5()?bB^LXD*3u@6P5VJ(TA#Opjvv^=b87j!3C3GkLiEE~g#zS3!72k9 z^jalbS$O+;wz1E&gI_>xyR`CEi*8={JAKp9*Z-Y&2D^eTgRJ~;<FMrMj}>;SLbgUP zUFGE&E0{F5uv?i!@b$jO9FI7z=^hb0B6wXWy=BMIy{kgDt_lfU6}B=fRMu5CG&*!u zmm9zFd%Kw@Q|i`N)_?o?@8@h!`7JYeXZ%cmc+>Cqm-&ph&;D8Y|N6UGKR@dj|JRcF zIsZj{!biuZOE%sAf6qMMwa40VsnD7_p8)BdEcagob8@X0*5Qj45?<=ba@t=gL`<@! z^C~lE)^~w5=XZ*zaajxKoKw))>%L*nzl42(=TswV8yA?RWcsY}SJ1HW+F<eDXog0w zYQ(<g1&b7CMBJUTz-(^9U)`odQl~%lTFhMVh3DX>M}oprojJqatFLH%YOv96)`9}# zgOA!-mI|K!+*_mQ_*As%s%Y2&mt3WTzaF!+wuT)!^2nm;(p{F*o7cB=o-$)u9WH$3 zo??XjHlzC->B2g8?g3IZBEqL1b87Jmt~s}xOD!vz<66G522X^jaQ9*kuge_M?klWV zvq|dy3U%Qt^OPdwcUY-$u?y<l^A4Dm&FiD{-Xmb=yo9f{O}9Rn{&bt~6j0HbV7RWe z^U_9^)As5sYLat(*1Yo#*gZL+_FCc$jU3Mn6|9agbDN%;UVkY4w4~|keSs^}BE*GX zw+o8MDMZYvw)L4(rW&!Yb-^e9rmMZzpG&`0YWf<^$)(ME{K%tDmZj<()Any=RMYw` zyyjoS0<+_7Ga~L!TTq~T@X>CTrKRSNq<0F0)cJ4N^@YRdN}p0h{fq?$_m9npxHEY{ ziS@xxI<pfNZIV3rYAMTBbIxnwvM0?Ctz_x;7oH+^S48+UJM_@Jz!@vvx@@Rpc6`X( zbd=ZpvGmKDrl<A-A=A8PMA-H%_$G1i7t`$IMH}=FK8j^odUM->BcH-prfPGBSv%&g z)&1#a-@M?1&cR0u)*1v_H6{F9&N6j<UT^2syBt~Dh1S%&1W4@Uz26bc>9t-^XZ;>s zH7#|)HUB0p*!E%hei?p=4>#ZM_{-3A$d;wMIIq9+(l?gV*9AlVxoy~WkFRF&T;~n{ zY#b9$^v#Hv;}B5QlJN7jb>gB;;s;-qb7pNbR=Xzbm}qtIQ8dfatL~qBYor{XUT0a| z{kEy|mLkjR?}8$S*3F2J@eSD3nebDdWomEPW9hF<O}9?7ygvQDuk(;g)6wIcUfg{L zk9?|QnfhLMO<f(o&y{Uz5&OFqeBe9yXoKxfH>>W1pXDr5mwr2R<kdu$t?ZoFo=bLj z9+GG}dYi**zJN~tF7x{u(*@S-^9zvP#jkcvT~K76QiRM7eKoI{2|wLgrs}_I@4PC@ zk!8$r?Y^=GkNk1-M<Pv^x>-)2e%If5%8+GsztELu_6*>&5X*&h&Q&R>c|{B8#QO#O zG(PxBYG(eHlPs^#3y4g!;1KR^7o1Y(wBgUxX2ZantqEV#nr^kTyjJFWDE&~W>1a5o zm$qD2=Tl)0t=@#vg@+9SrQJ8wnL8%-9X8y!L)Y=8RMXS_LLt{IoAR%0=FB=TxQ5?O z2z(@@yqZRBu8rEX?+Pnw+7n6-1kPB|s}wQcEudm@LgCfLKi#Za6MlxXOwIQ{aO9OE z%hqbnYtOCNgj?rwh~+D2{GFRn*_`~-&9Xn?t7=me=orA`LLzd?5it)ce5QO;jQA(# z$am_w+9TfLfbA)Y>~Fcmo-Npa)`{;>l==s2nIpy#Cmet2au-jSZX+1}WP!}NLo!!D zr_Rm(trBC%UK=h}B#@Vy$oI%y=ZQkDQRn@K3h`&0_)f`3&S>6s>EsS2_O~u#&lcDp zbmBX7X!(OK%MNzX*?Z1n&lKt(hQv&4-XzOi9I!rBk^OC~*s}%etEKiIcC=`3wd^>* zc|!A+Gbx}m+%m+90_u((lDYEJ@3^RCM)f8G_P=FfMFMYlVhq_0H%@3Sk>oC(@c)Pt z->Iy0(9&Sg8r$kwt(Gsk=e24V3GgK*@;$26d7@DJGbhH7y)s>_C?GE-k?$3|&XWbX z5kF-4e*M&WqOj-8A(<mj&x4N2JHFX~{inFjlLa-OV?bxZ#fuePc$bvO_bVs3z?JXN zHJvk#d%9X>mh9hPz+U<GGw6cEnL1}2Yp1o!Y;k=4xXbc|`-Tb49~8KY1)lF@2!EpR z_S_+vC#RhFP94`d<0xCHxc{)@>{EwizFdzu;V4lhxNb(XMQ5wb67|R#&3jH9-J!(( z)Isdof_sOZ_-@S!E<7sp<!$5%#~B9?$sG9}al$d`zffI!bB!LirH1|n1NPDrCNUG6 z%^F)RU-WO7&}{I3>5e46M-gI06W%2x@@>*PENZDSd$R$1sTH?nM*c<v_S&y6|M0OV z8gUm3%uiHg&-{40@Tkla=g1R|JLk7ru6W1=G7c1e=AfhP6uFB9++$9@FPNa0lF0W- zTj$AwH3g#UW;9z(Y?aw^JaR_!z6V!#D6uEXa2E@_Pg7*i{FYsCROU%;#0kfpvsx`z zyx%aP+3ZbJU3+t#7`G+I`Hcqbg&#_HD6wbSa2HRIPf}!0y(hUNiSLz!SkZ;N<V3z- z>N-ypdUnmb|4_j%S&{uEFX*VoB4*H0jWb&<SNMaDYW(09W5{m1c|vo6J}8uTae_h_ zbOht;$P<p0Aa5Mb2Q5txj+oK>Pl?--<D*!NA^ZG)&!7JP|5LnBR>KE8Y60GNJ-axv zi6!&mgC_6Q5-zgALNOOQboEw9tXaX*xnilHsCbU5M-z+nqD6vR9SuDum<W|fOw*K{ z#>lq(IHQak+pOG>7>%h4f;_G+vf@|1uex9Pb$;DSd&QJ}bE?npeShcw{CSP9rmwzO z9RFB+?Wg~*Qs0G#CRpEDUtaWo!N2=XE(t9B?=QW6`$i;9%ys71s@=LX7+<`tFHAlV z^`$=`fn{~<)a~63a~ZD7nOOvKgD+aUwNXHF_RhfC%@5Poy8cUN4YSGY<+H1r3hDsn z`5E1^e6s(T{Dml=eTxn(o380lxt&RQ!Q6b`ZHLb7wM_eeE$Ynt@=l%PtI?th<Uo5t zEw^iQm3607J<rhn1?m$RAA7(Us&M;r5PVAE@8w?x{FkD@{T6Z2gp!RQn?Ogu%O0MS zt*iDwAmMU)yxQpnHIqRNeBm_Ec>AG0ORgpT%ViCVUC!|JJ-Dj@ngVDwDBmBG{yrVF zPtxsxpW?KGfl(cALRj4{^gT7=Q!;Dzmyn2Cvh_rMXu{EW(S$EOTQ_xr)|!C2<aJfj z`{S|=f6ic<UFXesYBku<o@R|(x^lJOqSqd+c^;I$K5h-eS8I?Abke>0+`W@g-Tzp* zdKx5?H@J$PkPAc_e)zdDr~S`Xt~Eb4F!k(P2b$=DO}ekY5am<1^uV&c+9eB$>Y?3o z(9M|2Tf{yKi$;{rW4baMWKT_A%9hWoe;UYNiu&?BP93^NB1ZgvX2AwAC2bK2N9$?7 zUsmtxnh?M4Kv%U$!WVf~H-X>344O6gUVbl4wEORMlW+2N%?&TpMHbkAW?w*yE*9N= z6qYW%RqQjnNW|9Xp;iI46PT1=?CkeGt1iRzYxh=%ZHM-IewWB?`<DrtcS_peCVJu> z<NzPg%)9j#uAjy0rn#=I*v!;pxAXu<QvCdEfupXoBXa-9u08ssiq-6IGt-pa@r#*3 zi-Jr$7R8=0y&QF>KPchqO0EFV3Yv`TM<iUd-^`NOo~iq*U(<l;n1ti)leJO?Jl|sV zy_Ky)8bMt^`6JLSU}02u&7uQc*&+#FtdTo_<vYXD=kIZ8^u527;ps_m&+?d~$lE{9 z)egU5T6?qxv`sy*p=$nX(7|SN&+jPj=(=DZ)+lJ;!*ecxbyF!<&jQn;m8^kxw3n2v zzovBmW!2nW6$_bq?kxnxmcK4&%sp%V<tU$h>klk5*K+td0h9(OL(cEHC!HdBhCeJJ zbRomnmtecIvO`<`mBG&Mxv$oE@NrZ}&VK2X6vzUTBWb?c2^Bl8Dg9r=q`hxB!{V8+ zv_7}K@Vn{1$=8xT9hv{)&E@IZ4wXG1t3ads=c;$UKX@}Us{5xD_}uxge4y#p`!jpW z@>u5k<ZlR^=J?+LeB6yioal*jVTcrHo}v56UTec6PUE=+Utm|8f)0w5TYK~gH&@Ot zXI72vpj>JSnxT<+mK@dnbKmR~$<=(J4ZpzW`lx|V$FT{{z6d(~33Rmv==`4FTLf;d zv%h+f6TCi0WcE*P=C;*a#D4A;jrdr}Dgip)0d}{+{Y6`6T;a<3b>-|Po>R`yd65-c zv+V@W^j(cQb3P#9suk-B&{eZrvW@tZZndUV?FdYN4>}iXh9TQdtqF6NAkCNjjOzZQ z#u{d`hGDV#eHCSC$1R^BE?>P>Y%;&rhL_=@3u0D-=CE2MUDn=JI`cNF`{!e>HMicU zMY4T;2#zGsm||OH)3rtQ>!4GQ(zpL_GrX~+^@LnV0?XS7y~hekn~z4A-Fcn9EBPN6 zYuFxtMz{DN&|q7-jLVkfW79Kq)ylOtytEfxAd@o@JlJ;3am%4|_dmQbSbOwO1#8&c zwG3a`SltfT^Se3xSNjqm`d2*g>$~e|FWwh+>p35|U}zB_dZI53ww48QujEFv!v&I{ zZOf_)cKC{V9C(or8hUsCrY^k7!pQ&G%;={l4dU1)n}cRf{_X%B_U;4eSl?`2C8}(m zzCCVs;x?XV;Cmq7{wj{OX8fuJQLwaal||8xE6Q6Xt4=Sd?*h#LOasjT@P}LO2#8i@ zycq_W0JzVxu&b<l|J?&00&}M|{mI;Z>Txtv*L6_Gy4FP$+_9bwTGjH~eA7qsEs{b8 zJA*{0HN0FO+Q>5H#xn4zLyd3?=+enWY3!hRlX<HT2?fku!leS<+0ALOsoFQ-$i%<G zyYEL<n`axVEch=Da^czLE)F*ToxX9BoG0QyeeJhF?`}DZPJgtu-UHmTUJqKzGX>PM z-Vg0re+#(U_h#~jkM&z6g$ybWzWvlTt>NWukVDkL13h``Y{7@$&tHAkAe!y7FX-$R ze$Zsn_gSxR&23QreRx@i@^AHT*Z+BedieL(bG>G<dAGuY;p|VvZ>MibHK$I91&!Cd zUH4A^+51acDhmInYw0i^Eq*^C+>OaCKM>TxpS}NEfav@~><`!dy$o8jQWFje4&GG` zOs9TB7L$OcO-^*nYi+iDcSYRm0Qh*GRf|I#S*|35JbvPxspG}2iL<lg*Pgu)&Gz>; zNY`;*EhULRvDFHUH#fhVw0yJQ1-+-|e)UGRvOJj|(5kw?!W)!0qCmqyn}2TR3;lR- z_UdhgOV3VdIGI=eI#?y)S5T+|<I{<dp^nXbpfyj|mS-5BnRf7x6X-OZwV>fDcaT-e z=IOGl!g}t^`Fi>NM01yX$uI37UD|zJEL)zv>$iMozr8jDH1M(vG~TfrcHd;<!_Zqg ztUvQZ5;-+;cJB*ky7NC(eBVF*TC1%>pq3BhfZ_b>dL8^FE=M)AgjIeVIJWu`v#9Z- zW(7fZl|_$|YXpue>=X+8*tmFwgh~~!P|X59H<7@?2`gASdmb?>zk0BETGq=cUX4L7 zI9R)uUMoH~r!?>SoI9Sgm&ISZm;HY8xw-ZK?CZaNxvFtzwO!iO|I0P*{$F)s+wTAC z3+j6lPRqG&yu=)lv{dwHvrO@c{cXo}er*){+AebA#cVEve`_IamT7Mu#I>E2jp}~6 z_`l${h5OIOEn)b)9W;$%X)cn!nq%&15s8CG^D65-UaSjbJr&E<v%t_PDO3M*_QLWD zQjyGm!?iaY{4KiR*DUa1zgso3-qd86{h4}g(LUeCUMuq#4v(LMOoJX4dT-Iz8Ff*O zXX_R)eBKXQg4uedYz4<$=4+>}xE;76<RQH4!`;=@OT-qf5rG~WYsS4cX2$bu-Cz3y z5}Id=E~vU;Us}+V^89d*(ygDu<?A07ZxQ<{EqddHFqgr;h(^H+dM%Q!TRz9V+vN9e z&DI(BRv$?7|1({u`R8d-3CD-`5)N7OG5*~vD*5-WkKL+ADY<RuKnJ@lTwJ|WD<BVC zziZBFjJdP1i`nqq-fJ&+{Y}@}aPYOrf?qSh9(<><NcQo+ZPyn4Yi5$ZyOiPa@w*zJ z6^KrE0$r?+-Mt*;bARc9wE5u;Km9=kz0<Bh7wcua{jx7c?u<)UPuS&lz_0t@McWAO zK)KbRqiSQ01x}guQ=9#Z-}R_3?p!$^tXWrpPI5VUE?vfTOY*V)nI|u;h+lKS4YaoN zCaCaMe5{f6=1}<jlc`bNFQr(`ZZBo{+-!ED#m!;&ghG*vdTPNkYq!3bvkY_+$1d>| zOixX@dKP>vPjn0X)SsAlE$WMW<zzkMibkf8{7}$Q#_f`>TastYzZiAJJ|toBe$4|F z?Vwth!?;Uox9be{oGGaWwn2?&wOD7&2OWMWeyB(3mf@MzTgB%3g4&pB2mJDK{<kjO z{e6Gwhfe~mZWnr<8ucleMfarc72YED*I#SH!S5mqW@#BTr93z810CM;dyClD$$8UK zbG~q~O3YsaIy2U&Z_(X1e`M#)S-o{e+{y!K(jp1_uB(Ag1W|mf;Z@e1(iN0_(K4=4 z&>*?wd;sey(Al`KGlgGo5&OGa^u~ja+fS6Oh+B1lW71rH<F1V32KU!)U9o?`0XO^5 zhL2XD2Btuo$lEmWLot=B&)L~VG@k7XZTJapwJ4s^@G3Jr!@X5(Znmfd<6)nMxk);A z*G6>|-M<Z*S2$KA=`J|?XMX*i`S%i|y8l^=-gr>V74SC#bc8YNu;hCSwyx+tYxVnu zCYOO-6euO8$he-&D||8e@)ohn+#)yLNU_cUO<Zg#j#hz8m~_|XO;5dG83Ohf`znXZ zY0yJ*UFzE^S6*B6zns;q!hvy>dj7<Ty$Uyf8Z2J1@iX`B51~=rziPR1zNE5BfS0R? zgAOqkKYVA&)*0_=+t1lqyD&ae2T#7<fm{qWZ{^k(F^fQ_4>G-Zvg?Q6-Pc<Mo|b_k zi}m%}`sF$8|FlJKJlM+>Q0s|uTC)B1s4M208!k3)f93Ev2;`?0Nsyny)sJWEE=$+g zaMP8`V836Z;D_DESzf%q<`ug8XM4%^OyiPovrmH#zPxA~BdXya1ghmh`D)$Pu!aAP zS<Q9?GOn`yw|Fz?WajG{UfpdGxj%T;y8ew~1>aDn4_f!ez0)mlQ}@oe)mvxeg(hsS zeLpGHz}^Ssh9eTLyKlBi_+N=C0UgoPW7xQC@^6!b7jf%aHLhzJG^Oc$caw2lIa8kN z#o8Uw<!|gm8+qdvGhAgCk#OAfS<*c)S=>pkE;c*xe^g^{UU<VtyW60~aqJ0;u1RzM z-+59eRkPw+l2t&$=FK7rbt^$7<@p^EYn4jMv<#TqI^Q*ZKOv>j4?2!~qPK3>r8vW_ z!CS@tuGiRb(1meTG}sc*sma?t-ah>P>dn_;(Hk#(xeV-rL2h3n=6X_ZSy|_`q^iA4 zvvb26e*XJ@vgI1r3;84)r_}*E&F3^hhq^A9ti+t@{eS7Tzb-#*a_2UG(t;dZ8?F|1 zQEvw0-rid}?0?&Ht{a~@@E{U&Fzxl#hoT&%ZpQD9I6Cp~-GcQGk8hPU3aED%oz{3! zKD3diXZy-SQH<_<;pSn5Dfa8DkI#HlzJHFQk%4WD=(NV0o~s<#o^1sk=$m3M>nd|| z?Zfwpg{@|%9n<$}tr7ij4s?QU^}ld_HRh*Kmu$BUE7ZLA?eP{+gX+IvXapPE{UBDO zfWL8~*SId7__yu2R^OT1cGuG+^qPNdw0^>)%e;8{3MNU3`75}<hZ}=W*u8!JV%iLy z=9f=bZQwa`Z55N`jP(@`*Yj9qEbl<%=Px@OpwsLZFM5ruV&`NfW|R0i;=AOpT(i{J z4?0Ep?7DY~9ib=B*<W{fZw?9*-BlZSc;vPQ^T|ts7Pf-US^h6@M62&i`-5E2d{#hx z7$`{kLmGK{!dHWXgdcRkF5mnG>egE&jSOr*)EBi)YrGi<3K8g`!~BkG9-a6oCl-G- z?S#Yg>7WR*=GPK>ac`CFgvL*TyT2qvIc}b^`|U<8UD5pCpCLh#1`3k-pdhIQxgH)Q z|HO0K9vZE9AKsdpa7Fiy{v8q4`u(hu67nGbfc<^7XU~qe%QL|9AvU2AY-!;unIvcA zhqQuD7S5|)^XSB$xBRgS)kAce&us=BNIczI)5xK?JnA2F;&<L#Qj!|&t2TsPc=JlG zDZ+L4(RJ5;uQPLI`&AD*+PR=#YeM5I&Ufv7yYE^ehfXRuboPT2j5sL4tPcR&C)X6Q z_4bK>XVW&t&3>A&Yxzsg)P!wbq9+dgu>hT|?Ei66lXc5-rEQ+pI(lgz1K-Ns>xf<U zpZ)cMn@>UQAjq2C*~RSX5o}on66^&tk{S}zxsJ9fe7vq8D4J{7)pd|d=;DJQJq?K? zV$CdpYdX5Pvm{+6DrAP3EK1VWV=**wRMMJtj8)WC%FyB<>;2WBgZKVTf0Z(=#`62T z>id;@{~9-cKEw9z;+*1^|H%a+ck&x^%j@qR{OeTq6g2!3zMik^Pgv-J3XeuXo-!U) zmipp^sl|rQBBFU}*KZlRCwfbVZ*{&Te>h!h!>6rW0ks~W#i|i0TZ&KEyTxCRy0Skk zfw$~R<1z5D=RdEdoPP5_Zt}uwNx%G9&3><8I#Ul?Kk@v|B8^)+CGRBi$z6y_c`Xkb zsJPtQF!zt*PQRZUqdFdyu)1C7g^a=Ev+BgI*(%W|8u62xb;ZBspq@79JYLYo2fi3x z@5ObEOxgFAF+BDM^-Q;d7PLgm{Ce^`s{7@9(HlA6O|35c0UzaO$6|Er=hd2H%QJO1 zaf?bY8oSl4)e5+?@&E_;R>6z&6YW-Qm3X&(a!&fwV$lUvo1vZUS8x6tGW)gT+M<8! zn6m#ZV0au`cCC>k19VvKMZK1vDr=8^5#`D;3u^c|9n_4efeyjsUyn)wtvzT19r&uZ zFL+<3c%;<Pk6fT*Z1?_}w^WJ8e$jzb`I-)<!3iuI*Yg{9Jep7!FaGRRZ0U(#9<0~) zgfJd^4)zjgS#0#3t0$*Mb-!H8mGf-^(;4%1;6)ptsrR(&Z9A82J#j81frUr-=h9UU zh0UO2p<_V@n>pY1U9QI;+VJWqcyk44<YG1NS-0mIy06Y_Zg_T_%b?Byv=qk|yhJDW z@4HPr%Z_VpxcQRHU_YpRxDIx`<oc~A-i0Nw_~<sRn_Rux{7HYNV%OT4IY}&cBi^N& zbtD<vPpLj{<C$$(vztlVel5dQe(*|CN%p{pYM<o~*9g2b{MCJJ(Yb3c-<-V++q^Wp zP{7st|H=~vI|H%}_l7l|eHYU3b1|shmdDMr?TuovvUMP6?B&T+t$>(y2RN*hr$-4K z-RqQPo~hebACmBNUH^5?)#}>N)ko*@Cf?HCD)zNnG@^JbQ%^p4iA*qf8E&;pw&C3^ zanm;5{K#cs58g}U`_@QQaW}~PtsIY9{`m@=cz@MNB>USHPEK%gfLt=UJyW+$KRDs( zZqWt5nxN?ce8;53-;nIU|IUr3)wvT=4dTE@41$(oR_cG3nKNhQ)){fD4y2iD9QY&0 z>UKcC4spR`)`nM{yL`%KFexXzIN=}0+66jYnZ2tkPeHtV#UqEF-51j&{<$<xtq*U= zI^VmgS<uBAvJ~9jIXiH_TcfFbK*Ptcpg_t6pVnKu{aVtm8BDWt?|M%=_(uiQbThlF zut@f@ZFKg;*o6l;X5_KF*&-qH^K4YdqdI7|T6E(~yyf>Paoe}Qv#8m{q#eJO;p%Vj z@)=2X!M8I$hHnx3dR{c*b3Us?{!Mo^6Q*0Be3gE^E^Wq~6<cS7apjclWIB@%_BCi> zd}|8Z_e|YQTm7%x<eXvnX>n-dBhV=7Pv{Mk`WK>j_OCf`Y9&+1fAF{nWD(Nuyh(2Z z?A;qp^8*?_rh>gR!|}>Z-9vi<vkmu#H=e!rW;OF!@PN}+$gquORQH=2&^l)21a<Rm z^~opKUvd)Ju4&MervpA|I7uhEDk9tPPW5J;+^3sG7F5jz#~FAX(!UMY7X52u%C1|? z@HliQ_^|263Q=j#-+y>wu=ePe6jn3qaK>l7p!Pf{VeWP{YL4C_cC#5YR;zq}!~C4~ zM;4%S`gR*Mcl_h|%(M3B2O-w5x=_Yd@kk4C4~os*Yv<8usvpwu5!?mIo#D9U4EPjG z`2NG!-=>2GfpQ^BcaCT1ZVGA?G&sX?L-WX__$93x>w-Z?DME&orkCs7UAk4`pL^rf z-|`CHi>u~Aee~+hpD$)r-Pe>Dzp95Ma%SuUtwybx4>?nJ9%xWSX~)T1%%%TXeELBf zm&#UYO=$SZ3O*g}Zf28G-<$p$dzbL9y9iq3*{-!l{DWCj7ssc!pz~wR@~1A|9CtzY zX<pWfM?rg5s%IGMtYtZD4qC5J7b5E6@HICyLcc@#_iedVyC&8Onf;n;=FIeJF5e|# zFNfe2Oq>$)7jeC2mD#x$w8&E?@AuDJJd>v;RDoC5+zx4EIU^4~yzY&@nXAlA`HlPE z{+7{6?_fze4-$?8539^A{QdIf*IQCQy#lVR+7Nf)?W?-9KfY_7nA-M(HVfVPC}2B- zC8Qm6GTofB_rC>*_8($@nD^Uzi=<G%es9rf4bMKWa$q{P9da;Te)WnjW&OAiHU2DP zm4san13;HfzOex97BUV=+}mK!-pTduL7(`VsBO;Gf9+S?HH_fgv>tRKaz1Fi>Hn$U z9GJRf`7Td>1wB`{yMDtqN2WIYAkfC8cC85wuZ&l10NsHpZXa@#<yLk6V)-m%l?DG+ zYw0kam1{r!Qjzg-GRP+7?I0Bs4<FUvDygP@@QYGt1XCJl$ZW>_rI0XtzA)?NiMO`@ z*P9(qP(8tC;dJgo732ES5S0bS<@ZH-etzCs%GI?Uasc!1HNvYF&Aor+@156^Z|Sfe z?gVXLnjg~060%!%<@2lDk52sa6j-%u;_dW<FEl~>%Ho%Dc{_Zz1MOeRhB(K+DL~Zy z+x`D{_GjH)cs9u3?m8~-1390~ES*&n>Y705DdoS4#2(MpK@)0yM6YpIfVP$WEC+3& z`V$8_t?%XCt$d*$4;<cl?`HEsg@4aCE}fcCF$Lt1)1i$lA@2iQzk=4bTw8eRy59fx zYx-HnJz-71(pPO@G5H_DD)fLq^+WwDW50IL(R-Cw9)OPCoB2MyXKuFi=>(nR`+CPb zlssFWsI_`@On9+OMQD@C#5~Vw6I3-bV~!bpOXX=kD7$;3^s$KNM$<O(xGgQdS3AG> z*_q0?=YMzJzklD~re+H7jIW!|Ja}yXGyg!}=DP0xTMakY$y>ahf2rla%lxLNs(L@a zzX}ex*`Dy#tLfH)uFkJ-Ik=t+>G&yY<d$gOKcOxVBBvS=`_jng3ZGJhymvrEfr*+} zxw1yDQpCT81)Huutyw(JEnv3O2D?XGJ|cZ;5pz5Oq{>v)rhQjm5#znV>Urpl6>l9j z)G<0f^lduod;CLh2*<SfDl691nW}~HtFGu(kB~3oRpY7_)ag^x;N2r8eEPOf$T_8m z+L;Ts9W1R`Ebksr(UDO2<Le9!S&t1h!j7r?qzyLi;&ucr0+D2C)y;b%{ZXXpQcBa) zt@(#so^mu@-OZWhoPX@duYD}7hAiF9`3GGxtDByF=Fpm(Q2Kad&EmNp8|*q4Y&f-c zMug3b1txt7l?w}Jta#(Mp^DQnSMA`hYui8e7O)+B6w-9*#BIZkH9U?_?V7Hp9!p+S z#&GagDNF0l_XmzV@?%-b%{lG9(u$goe)|IJ{R67I5^8&Q8w6VPBz*L1x|Aeru<?&= z)2XK{tIN#QvN{v~<}@8z&C)G>@38r$k1VJ8g+s!2hzqZ_S6gw{XM<h+VVA`4rlZ}Q zUhf5U>MND*Yt$=6)b=gdcCL0tg#Dxi1^Ndc1$|Fmv_<~lr>iVeZ^|Dy^2(ee>$&in z{eA&Ao;dCk^jFfb_1m!LmGg`he|i$Wnl;@z#Gjm0%inZpDNDD!;FN8ZE%{IEg+t<0 zB4Yms`dsN#jo3eb!G=33K00Ylmv~uDf3|7sJhh!8?7v??_3VV&&BuSbfvyacY`PTX zpOExZoMq~6jxh0hA>pliIj^l((BP@!67Ie&IOUvTL|yNKPdk_Ybo<Ne_*Ss#tLXfL zE)Nx&j&5XG$~y1pkx%6uTK|<IYUeN5_B3VRMSoQdAH@ink1}dr?t(hzO{XrMPfq&E z&ayR|<J$8XO`V7MSh~dprYsZIsej12FR;#i!!H)c+^s8TMA)}1FqoH6c%plT##_$~ zHIk002fSxQ{MB-N>(lggItQ2Zo-^i;3R#x2b55IH&ni4sUU1DlkAT_VLw(k~_X*h1 zkx<CynECno54V5Hj!z?-u3GR4Z#~R;O<h2wt;|Q~op->lu7t{M*9`+fw_WaJ*_z4n zT6*t6^Fy61-IF=IwEd4C`Q*>3wOwe9-VZgktlffZ`js@!s6^cPrMqvUpL#@H<AP21 zZqJCYowdMhVnXc=>lqp{4jU?D9W&QS&WN}-alt3^rmN<hS+n<@Hm_3DuyNh6<5=|! zjlXjee)2V)TJ%0SsZ_rSbRT1*e8Qp$9Af9yH1;}e_;Yh+&Eh|^622-n-TJ_9xUo*b z@nKri(VzB*TwdO0IsIQ{#UAesyN)u{ekz~)|L@XebDcKW&0MhIoP&>!oM*tU_Jm5$ z!!A#Mv#d_$$ZBrw{Q8!Y>$$MbKEHrpe-!pjtoIJ6>`5q{deb1#dPYL6jAP=%gEJ!T zG%pC@oMx}Gq9(O$U*LI#6~3ww@|NssT*rlU?)e8qmHGMT{GYI($oAl?JH?4g?78Y< z&lbd=aN;|3Tjz}9ot!+-L3-?B&lK#>I`N&F1v*P^Mf=7H&1LG`#TUe9i-$i^cmukD z4|Fx+rJ5Z{e4juoFn)4#7YD?rDzfJu{`pgu@7G72Cki%)4#^x51+6*w#mQYfAwN-( zT~((+``Lnbr=0k19o0GGSl{0&)1oW>q}-xo`KAfYUqFi)uE%nOKUwf+Qmf^P@0%tx zZ@Y2`cA;bp=)OivG0^Ig`=DhM@t|c2&8?OzX7fBOx5xn98u+(RtVkd%S|t38qfJYz z%#z#S)gVcr)gZfd&N%L!(kip1KVnAnzO#@1@UcGx9W1vGbVX(Qv;(5W6Y7sT@ttDU zIpY|cr?KvY<7v=^lAsG4E2kd;-6#pVLQ`JnjALDUtIQOA-J|ad0{qhy+22ZvJzKDT ztI4_(juvxTEjvIrEJh_steeriho9S0BYcwqd+7zE9ZKxE)?&{V%s=eJcgRHSnL^$c z9ncwijjfg^`ZrBz-gK!5w0^@;=gETJ)I`2tOs5}r$+X;!n9*zjS|-CEF{63U)q=YA z<{~NX;(+C#^&m;CF^24aHN=Vp)HWHg7vAUstp}+Wd!`V7+KKPf9PNi)mMg3`OlU4+ z<SxFjeDj3n4KGjq;bY$jT0W%0T|6N?o;Cc*0+~~XWUhSFd9r{v5_Ci5{mHE|Ef;mp zILhphT6e<n*0fg36X_c#G=DPUE)Fn{n0x=>0$I?Noa~V&93}R#t((zYp}=kFVZPCT zy>g#vp)21hJy1Y*w90Ie)H&mLzecyNy}5vkyI4RSv>d7Ih-mSI{scw#r+H$}7VyPN zhd){H_Vgi{FRMYzc=qtEo6)?(mfO<f`9=fwotq~#Z~D0F4<Gwi7qOxXpnD{1p9=0! zVt<$*_DrEKS&=<+;n#wrGEeS;mff_pTCOmTJmGk|N@f3HNBg<0GA%1X-q>lk?u4UN zTdU=dC+`pZ|Nq%=WuqK-1!L(9$n;J7R>2N02_-GN3kTV?mVArKS}F2^e~NqKqovcb zRvr}u-T$b?#+l`&q-9rdL_>>3Z4sl=tFMjxi!3}Qbg;R9Y-~vENSY8LYohds`MhQE zwVnTGO}1_n*ax~S33NZ?{cHZ!6HD);7d+tlKmVLpiB)L||L*_ykN(YOv6jF5^35xT zGeX)iKi|#!*`HW*WsiKeVdY|`*?gi2-*Q3o-_P65*=jkJZx2l@kdi!jHE))IspG5* zTMOsk<I;86=-Mb~^3S8^tdn-aOPjT>KPy?U{olwGV$A9`;mw`Ls*C5=KYC|e@#)|u zlQwsa4HsXFEcmyANqIpmhjCZN^Sv>%9_K^nc=cA7F1Yy_yc8oHa$(NgpzMpbpj!o# zzvzXtcFjh*;csqK_a{#MX>ZrmuV4xR-C}U^9At(;{!$dr{#6Iu_J=k6+7Id&DW1_- zBzw5#oKz&+WMR=8U*2*V{9Xfdz;T28D^Vry!Q1)3w@u!QYk%?Js1#_(`l(UhqAO?O zXH+MaXXu{#uD#*kbdd$WTEX)(k2My_8vmPqE$K$I_URihELc~7_w|Y!^({Jf?*E6Q zKZ2vWPktA<@#Q<0LG>H&63CT?9c6|y&uOi7EuRg#e&q#kNaG_dR<{d3b{j0#_<7s_ zbV&XGfP}xx<+W}vw1V6mmnL$tFL9q|w&9-^Ch1yt##hy#ffA<~fmd#vJ$xs3?m4^2 zg$I^JizJlIL-=X^m8cTXtP77ZBWR1_`rdoZQ5{M54<qIn&K}>i^lMc2r>|UV{u{Ec z*tZfiopIixE8}?2`%6(gg*(pKRW&kQSqd`qghki1n?I%H<}cnlBWB%!W#-xka+WhG zzu0mA+#!8CmM{DZkFR=S-@j_}dBIJxyIzC$X}{+QU9cmdQLtdak_@(@!sA+eM|NcA zwtX_^TJztYb;Z2hab=*1n#Z7tns<}8h#fB1*l_W=$bx-=puubCWs~2tb=9hMU%t6) z%4KjHbjgx9<g&@V4%rv?f|i!tWx1hw?9%R7?m(MG2aqqD+`Q%g#7!or9*ai&U&|Fx z3l2)y{7FrGw&9;<CTZJu8nP?mK&SJAVlBnI{>9_msP2;vjlS!H8g7EFa6nu(dCobi z`(2&;X(P~eleZUeiKJ^9G#$I&D(U(qeTI0p?y2`$8~%kbuDWafqJ;&V5_{*y-(b_f z62;>mny|QB+o2X5lpMxglVW*3hpj#O#F5qP?sthuw$0!H2|IJ}0Naf8EZtq8{j+T| z8LW5e?F)WyrR!3-ok=<4Sd;F}jk|m*7cjk^zlh=LZV?H`SFaEEEHVLYIjL;Dmh?lJ z)$G@1rYpZ?)WH*lpldCkZMTYBzIDc&g$I_2YaLh<mH^sBqjJ$`x4<*msP1>5@uI{< z<p(})lI`*Z&)%(^yH;t+bgd2l_(d1|n#`o^QCpi8IOQAH!kY_r2e!+|Ejr+~T-%|x z5tJ-Ebh{?S`n-)=d-O>et68lJW7_Ze3Sg_V0=JyeTe2-M`{G&=3C3XPWs`fow+I~N z<?2}=dHU~+-bGi=@IT#W{Qh#(lz#ib@;CBp7_Mf5?~LtPykaA_BLBVRTP5Pw9&ob{ zZuq4L8k&J!Hu*dH@L#`4sRlO}99WjFdEiYFsEC?h_`FJVVKsP%`-`_5J>50Kv<#Y# zomeX7nz??)t+2JOKjm1j{cmClu@3~zMlKg~?Y(QWb$_OAo4;k`w2c=hF<nWAOcaB! z(A2vW<+Cn0;qq?Lgf#uDjU1r;=pL>w^1uUmjV25K3$au^&r<A~2%Shf0={iB|6<gX z`oM(0>eDvKt~v~!ph<KSeEUbZeEz}gEn<iHwKrV6F0x>sGboX_NV>L4U;Te{@Asnj z4}Ju$J^G{}I7M=HUQokL(CA0~2c#P(=bqjoxeIhmKwIBE=2a&gH-1mMyHK<sCE#Mu z8$P9N4^P;1Y`rDx-Mye@s+JD(U;Y(Lk}LMDf!zKW0=hSGMcvA?1`#ZW>sKE#GN`(C z`pH5i=C5v`f%Ov)4U$FOpCyLf;(6?9u+v3!TH{OJRSs-v-yst@+htZ;80A-<*ZtLE z)xBUh=zhhDx1JLjXPuufUUhqGDPPy;&_w73gIYI#Zol?7WRKhIrwit+IAnC;|8meQ zfaLO1!dt^8xPh)1d~~9Qv+rV>gih1L*`bM&61731Cmd=)lRpLEI~CKOe0Y8TM_g_j zkI%OotNb;XFHZ$ICK@#Tpxi!PA*}F8Mf=?ES;i>~e!GI)54v=*%Koa{35Sp6kh!bt za;q-|eY`dQS5f7uh$t4&>I|MQ_ZM)bEcmrUYYoy(l+(-(CkXP?FXuXaAme)Yi(8T_ z_=CW65$kNjz*kXjHalD)=yNW#H8sI1h}Fnox2xziE{mca;3=cG^;gqg=rp~XyzQj% zmaqel{knKA+_BaDzdQPUL2fUP$okOMtxaj+--V87-8x&ob!k87qR2nHK=E^b6_ex& ztK~00XR&6c{1*aE8%=*ud5fpn)xahaG;L%Z+Q@TdIwS(%H&O2Moc%Q6Q-hWc^X0wU zPaC_irDelIW$DD{<u_htgF?j-9xCw{L7}1z50xDv>;Kw=ucEB^ru|ein(dc5D52b2 z21zK83pVW+gA<DUibF;QzZPpvXskLfyQ>Uz3+S!ntD7bs-n#x;nuLDS$+FN0wq?&( zGfB>fT@0RRi>Y4YrF=a5|4uXKbiopN(EXHh<v}S8&pv8ZpSOvXvoi{iS>2^jUVrcU z`TvY}kE~8X95PpZPJcnh5>fG(j$X&6BNv^N^;YarVB`_<I?AdXz++(Xz`?TT%z+Ii zMmo%1UP?kLNuAs-fu|K5l_r%PWEG7LH1yaY+Ph-0nHF2&!RpoTcYZy$8?@i}^V2{7 zfBvt|`&#`suIz)MS;6|M&sqP^D>VO>zM=f?|LQyO$~X2MPWWKK&oeP~U5)zjgb(%8 zuIc~UDE6~mG~#0@t496e100KFbvB(l_b2;LOY-fHi({v1Zus?<>%tt++PCM>`ykck zUyJgoUvMC;KD^=QX6~66I5M8!S=3QhXPRzq5s+;dv-|)@UV-3`6$Yz98sCT^jfK{& zb=`NkaF@?VB~}ek6JpU^e&Y@+&}mWUFGYpS4@mf0F1q07YEXeIohIPATi}`TwG&1& z_JuW`^$TeD2_E`|RUV+Reb80j&}oy)8CO4ARczZ1xphr;q0*CdjSY{IxdQ6ELHnt} zN9BR`E2^(`{dz?>C2Mv3QU+g8H4F9?=(H%%joD31v;X=qg3n1|{%&@C-+RFe_ir9w zmHIDtSM@xN+ldvR;rLw=xdE)8jns_b;rQvVT~<%{AJ-`AAGdn*RQ+(!B+Yr#jz^2^ zLZ`ppy+!OQE7zKTYnV*zK{Zl#G3fA>qFR@1!`geEn|jXjg*E&H?Vq*Y?kb|YIXihz zP`2S7-$p@$V;sBu3eIb|@n<M*ntOk3vv5iBPV+|!U1$FUyj%ThUsU%WS*|q}{*0&2 zYZx?TJU8ZBbS`h=NB*s1SM5b2{>~}g#50Q-)V#a}T5G&>a?xwwYni&srZUaGyNKcQ zZ;(vQv1VESYl`ilCCP`6yzmHBxV`8AV)EpArtYWrnj4bVF+4T)pE$8s;nvS9O)EA> zFZpJ**0ppI(`x$#3}->hRmyU+Kzn1ezGvw!TYYcw=JVge8h#3bTBEsHvqM@QZsqD} zSm`RD{v}?m@uF;0N6v2WyweQFBWbzX-#OmxireP=FN-xyW;w%CRq%#cgXWI1e@E_S z|8ZUG`n8xf?0-1pEL(r@Oja&vnI(AZc4AcbOEy-s-BFCsgh90;bm8im2aaox7EHff zQpS|lbFprfL)jLnb)aL|LbE5<`!$N%2R3|)hg-!{9CE5`1z%vo*OgosVnC+~g68aI zzJ6!lY<TAO7O~6hA~$lLe}CohxfT>|w?NM0`K+<_XaQ&!YZ`dkWbx~*0yjY`xuj2n z&-wt}Jy{!(J<-0rSts}CYtaQZk)R3C`Go=(`y}f<vIA>f8?WvUYDhbNxl{o@ZQ^ba zxpwOexs?afqD2zw=7KW9>_UNydMd^{g0l^4JV0w&ddhBV+*Y&(T~qc??+keN_SUHG zKh0cger#fzvOgq&<>I+^@aFA``RC&H1~gvX$z%fB_WkyjLGy~u(Jhs0uO(HrL)J;G zO9wA<f?lT?pKTbk7_^{;<;|00-{Q^OZf_BYTnxI13VK{#&P%zquK(;=!}cUh*6ID! z3l2iiiGF8(l&p3A8ps+}AImrkbQt5?TRqJgGeO7Y#hSf-bNR2xjW-#rGeGV1KQ<DK ze{WxK4*e4EV!9)6c4EbL&>WY9?JBO6>N%R$5|C^KndSN&klXghgf*<jh4B>R_yEYs zEcdf?SE<iidisifXu~rNP>adzokCRF^<%czqI}keCv4s=0zKkzcAkLi?g{VSMW;ye z@CPM8ub%AjyXce>t^uDAdUV)&THe*DC&sK{Z%VfD#er76ftMPWg05`eADSIFckO{! z{#p*j%b}6ty8Gxtf4NIhKIPW#(>C5@<T8i{7n0D!j%L4oP_*{whcxi|>0SP!C*H)& zov`3@c_R3*BfF^XKfYXRZmeN=3OnHteD~zX?OVmJ&KHgNyXA9=q{(mX4RH?t&F%(> z{&n7!y+u+@`(Vjz(8gmoQI7+E<UkknocL!1TKHP|Q~J{kGiRo@>fWa()`)-Lb9H6P zIQO2F@A@@~wJbN?LL>BFl$F}w-{ZD=Lc<^FRU24D>H}DX0{G5fZ+^*IXjWh99BRWQ z-?DqxdeC7Wr9GhI+*(1m2}*;n!P&kYwCYvd|NE1?+%^^;dGMCs<G-KsOl<hM5H?k` zC{=m;_PtlqB-XGTUJaTvm@XaSVz4U~wBYo8NaEfF(WhcxtH&;ApW5&z7375XA*@0H z^FUWu7Mj(sbq=-p@Gb2254PMkmJoZ;e96D%S`!-5zOOAZxW;V(zkRV891vebK=UEt zE0{QE++PKm54i@O583)}D`-AM!tS4w?ZUVN(K(R$kgZZ~`WLThp18M+>ox1$b?>6P z0z}{bS@tg7<ax$sktg#(_WjrgTKt?3nxAq1#_zb|m0RBYrjMKTCLMNXTBQm)h-Gp} zBa6v&&@GDYdGg@-kohim-iPO1OVgZjZ#kFufirs7wh9~EcNe|JZLz7IgDwBsDiNOZ zA+2AT;_?Cn53aZ-=i%@TbhXlpW#!kcLXI-?U;m|li^p5r@!uEkCl<PlN3%m3S*9EZ zO;^d>jDH<)wRg{sYtyrgd%~Mud4q1e+#kRybioGX3z?mJuPxN-lUesQ?)DQN!${6$ z?W+$78Qcc#=C11nO~^d?7F8d-+8~0ntsdkA*eQFO3=+0agKTH8q^u8V{fcxUrCQw@ zGjGSbiJ%3^&p~UR?L#4RGNAp&zh6Izdt47%TwV1qwWw`c!!OW+<XJ%=uNGC`b{2L2 z_IX$N$CII;70dV5ad|iV7QJ}t`hUi)Tg6XQFqY2ZUK0~4*}DAo@<l%qM4DMTdmIHt zpCnAsVB7h_L79trQPPA86%Q9z_mG+g$xSLAUQZcVHT#P+rUk8f8X@O;)WR;n(8G;G zNbsYEmfLDR$0SpaJHOAw{k~&rogU=$W7EI-zyIT^?^kC=|IeKI^^SUdLH+;r_ZnY( zeRZI0$N#v8{|>&9d~UA9r2Jy-9Bri=?+*B_J8~u|^jTK<w_KUx`S%Xq;`u8qDsk}Z zx>X<L=d9&Q0hO8TU0r1gE`sb?#~7zAyTx<3UVFnI*43%?J@=Lz;8-*_dRk8z-_P?u zl%u*IC9#IpxihW;AD8m;TFREsaSguneud7hty;je`u<Xe&HCWQgXdDVB=3?2Ew2=j zU<9pYdDfi#VTPE}?axd%k8r>?K4?ETvUAEd{Ih`Rw0=OtMdW*nw?}m+Eq6-Sk6*`d z6?AK0nJ?(nf}JgmC)2lzT@GrzY9HEg(;hT%GOMRqqj>XgahXXk-_|YEY5tc8nxjrG z=+c_7HVC?#V^KXv@#<?yKeAb;eb>9h=?*#-6jpz@XX|$PYi{`D#k!&nv>NAn3uyC$ z&6)jKy35Y*i<q|Y*Htcq>iM7o5wxGAFLB=LtuOKe;ipBdH);O8Mc}3|7xV<I95eaT z)4oM2dnf-0W1UtX!{|00d?E~JWq{%1_u0B#_Szdh&7A(i;p#z9^TGF+<CZh$?ms-) z8P)yrCfAyKOBgnT77oTvE);OxU1_veHmdvIz3Hbw0~LlAL82%8U?+*aIB#%0L$~dI zXu?l<k%TW>*1v3l*NQW*Evnegq`iLy!&Np=#i4cvRB^OaP6w}#Sp9vE&$NSYIG{41 zy;GOBh=C5}flRy`-B{LoLM{llpTqb+?}@)rQ9HxRW!|kn@Tpwmz>8vNr3gMI?}yY{ z*N?`mVgG^|SKZYIPv`rBb`m{nx3dJDpyJwib+t&sw$Mhw3$TL+AHT6(u=U0I9Zu=$ z3CASfEayr&u3^x0?S8AI%a?S}m`Pi>=7vAVxeR{I22b&yQOJ7x=a5<5xv86EyRK_& zFbZ#Yg*XRQ@r-U%_sd$YHFZvmX}@DsL1PxMl}G)TqQ0C5-xkVL20rv7O4r3K5ahKD zOWi|S9&Wz&(&*=Ek%TXCD0{!^BC`YUEI4o~Udv%CsB;h5`SoX=-?x3B)0sV3!{)DJ z*lfzx)A0Yh1moYkQ5&;n)=z%-_7>0Ic2Nn&%SRKY2f3f)1Fc`>V0r7HcU^c3*I|9l z4S%d)rys%2Tm2Cq)&1z_^%GKI`{Ec^T?VIV$i?m4Ymb)9W?C&D)Nu3ESMZs0;CtJJ zA2UXE{{!6!Y3O#LtjL~Mf-OB$aTD~sJVidxd3jIwt-iN%tHe2xh<|&sQ!{(wU@OI< zcB?)4$i7AF=ufUSdpsFev4iacHJ^XZpUpE_H2(U{<-avI{E}duF&ETGd~W=1ZLr|4 zat+Y>)q`cB3b%8c&!n-eo#?IG@hDDltMgW|!{4<x{L$tz*cAwF{DID%{(Plw=~j(@ z%MY9qk5xatz{(4>t_phe5zpGAC2N^ht8*DtgKvoR%)Q=gmGWNzw(~20@_`2`x-MmX z(1V4Kr1@%pXED##ZTqgV;g3C+fmDqCY|tstpj9w`I(MJ{aW<;^(PFMOdt4Y--3GN? zXMx(T_fGFUc=B*m_e;ImCEtE2u+Esf0CbYJ_2i4^dLK-F*}GNjFX+xo$>f6VS`%#7 zLH9{roS*ovv^l5!p|nWEKSfrFSn$!ppi{2FgSjI6gA<+xo4t0p$_UQ8pmus&<(_Lv zRnwSOU)MhH#t)jMK;zRP*%$9Wmfq9@Ud@teXTjE<t+*)|c8*SxasA;t&9Q5@YD(N& z!{zPpPdZeAQMo3#{4MCjSAQo__h+`1D?!5*BF>=^OsD)or<Pq?dB}><J?~xAiY)iM zI-{9)7M`8Z@Uje4Yv0{=LQ;uwvomC8%6HR{!Y2i%tJFbNSv~mJGPmX~4mQxzjZL8K zHIQRxMW=IZ|Ld9Cw$#<2=3{Tssc8*=azPt~=0i6MfvUvM+v3-qHHc$5$`9JH@*i|c z%B`)Jwpuf~=jBze$a0@||C{p`Nww~Ts!5;*mE|f2rnK1*S8TTpNzJ?awBD<Dy_s{q z;Ftfaxn8sExf3{%Vb<=;pX|eU;(q8_)~F~{cSCl_xC^YxFt5M4?TcY<8w-zqXsfEi z|9M&y8ZOF*CW6l6m3Lh6=tK>R|NT|!CUGoBwZX>*FXmENAhjACE^>}5qRck^Pcpu_ z;%tz?-e}Qj4ZjLQ6&N?~&70J`(eJ>0*-ox^7xr{rP<v;vf5u+(ta6nF{}VxbiIf>c z1$p!?ff_EkApW!ki|$_9+kT-f`jhRITe9BW2|GTYtvbbdB7Py4O2QXE(EcIi-`_!p zvfMxXe5>TK?gcx-KnDmvU**8G${phU_lhC9(mN~ptM4eKcbrt3A-9gp+o2Y;^ynt^ zRF|*(p#4RE^Dm~o&~5sMIJBj5$5iIsq35+3Z=MF7taJCOZBu}&^?&K~ch@g%1TAej zzv7V4g!r`}w+KVr;x4f2*2KS(H-Egp#lxvFZ@2Hc3qk?=!bCkBN;iRyvU~DO5VQ{J zkDKqg%V{15wt(7#`{G4C4!rRMdHBRT6GuV!Z|-l_x#i!#{e)*?!$0tTs&csy7lR*5 zK!^NjgPa0Bt@!BDire)o&TiPkaVP$Pr~QBSb@heIDi~95egA&rvh4AX75lS7wyq0u z)m#;_^;OW;PQfD_*ZTyOI|LuyQgX^MTz|vqNY7g-$BqSEQdgr_g#<5N5p;F6SLoGO zne`Rl{@OmT-lyLGx#sTo=lmXZRjIr`{-kfbd940p`hfsx1BL(B-~Ib@e{;>h)thc; z{qOw89_B81Wts4r^E<WFxNZyU_$g}a_201PURKTGIldcy2|4E8ylS}7u5G~wi-V7t zvJ)1WG=XN<yViDgUUdnW?XuyYnPcMDtv}pk`~#}`5-LwN&R8Miv*DM5WA3}Hh8yjA z78EESeB{ou^x*3cy)|}@PfeSyie5YFk}GrYS1?Pf>$Ss29(gofGHH5xlRa_KCf<Xu zrm}1;=e)+g?!5V-nJnGLoL;AM8akgUb7=JotU0$`Q7!8*=QVA|hrvxp6`Oy$&GOjr zN6j(yX|KV?DkaCal1*Qanjdpf6xKPXpmBC9r`oh~1<=BoUyP2q7nap5_E*r@<FsMN z9RZ&y$Aon39Rnf@jD6O;a}Aj7wPD>^!@wJp5(<qRU&=N;H5IzAv0P}4oqs@d7PrqD zc_;9?nR&W~fwx){eyTN{+RqVYeyb~g3pdBLcy*06J51HY`js_Io1W(9H+P<@V_E%O z;L5WbV#2R)3yG{#i>T>eu;pA?jq-fgfS<MpU-7pkEi#*x@HepO(4X7MNrn7PmvUK7 zGnXB3Ni}Y|>dldrFSus?CguAa>cTp9-T_fb>OMO19sxV&CwyIf*dXxs#Du?AO^4c9 zx~1QoF~78v<#fHmiX*WG8>>tl-+DHEZ7n<Mk|^JFbT^0B=67wKPuFs2O&4DCZ|Z_= z&kFWk^jFr{<FH}Jmv=KXWZgFWF>X3_{riC<uhy|_oy~d8UQHuslluJ*alt9~6e9ke z<nRgUQ;+y7>X>_RuffKB_TbY`=2RyyGMSR_Q>y9Iea^7!ciZ!~IBoc+<d}G~)^MXm z+k!6=2S1s+CoS5fe(=>>maUQB4j=jTjHT6+r8{4EO4#lB`A>p5wDv1T{C%eZUJ6y; zu)yFu<BSLyw}4%Z2|wqvOyxDczv8*zl{nRi_<s&QBF6-E>|6t)ekl0py!Q^+IXj_r zTeD%{?O6$bxtb2`X6a_;e<=Nur|Ic^!H|9R8fszu>MLx0HrTySoT0&^9<hdHsXxaw zbK5!jQ@T0B{<{Q3zvS>)Gv6VgqAj8D<HZ>ovJM++<Q<=CyC)@;RyW-eY5Mw|ldJjI zhhB@u1z(H~e(LW#<nmOb>FROLEbgA8M}BcM9SUi3mF?}kB*t=jx?spZrwzM)8P+WB zRgb9eTd?7Zg^!M$Q@}6HgGCl%!c*sRhUKfQsCC(3_rqeJpq@fR&D;fF9zQnRSfk_k zbUMrG?l}#ew>+A@X0o(e`W-p)XdBDY)g06Qy9Gr4R@oPLUS-8um5BIfDLx`@!a8<d z0l$uE&WN}-cR`W%!B@*!wkkgU={DaZprSjWaB8q&pjBtW&+9Bx-_L35yy_D$+i`>4 z^_Md=-gtl(&b*XoIsJP6LGx3VEUVWGT$yGqEc`l|lgnR7N3TRo&8u2i=e}pa&!B@x zUQJ`!dXnY!djXMaHna1OT;}lFuNv|19*a*1pK3&H#{#qSmNO#mw<@qdOcQ&iP<O_O z@6xg7pVl<jC~{kB)PoKo>3aUKOJ)n`Hp6=nCmbWb)WjIF7uJIg??_GL`!rqufT*R$ z?TrTPU$exDF5Cm1j$tMK$y%lbv_3^V@`U5BhpwP?EE9E}Eci30)pEt>_#W+|3*QnF z`F`c<JW)7fBp80i@y?u9nI+zlGn)UTixmZg?QOaLaKXGYPJFjE>zr|ne-somvAIBi zyI4RzL6QAs_GHkygt?&OJLa`ou8;>UWI34nhmZYlj98IC9O$^0Pm>E>`7RmioN@fe z%5AA}f0s=78OOWrtukA7N6cupdo8*{i9In}?3qH`K_|YT^hCZ-QQ@DgWu{z?oYDM? ziQ6*6JHA!>*#h}vPJD+B>zr|%^F1qOV)GVh=t`TX_jS%V-hCof*WO$v$X$Hleu5%< z;!@2WNqmnub)G2Lo;@V<<mkGiqLvzqBW5&P&25$0V!8gXXz_*iO%s|8T3anU-h<X_ z-23{6kNszkSW!S+QX=20P38}}WVV1DUEk9x)54kuS{MU5sHKFRyLiG|wU~*`lR(CG zw#sbT4m#H4)>+UoDK?;EQb6l9PDX>mr5UtvXF{vxisJB?iOps`t(Gs$L5IBvau*A* z-#_)fV8S_&f3tN!$D~Muj!EfjmD#c!v~K6aTTrm5a2E?K-#DS!<hLv+;>>iOEQmRI zNajj;<OxS<i>db?E|?EGE(f%{N3U3Y-3dplCeSjQ4HKG;?#F_b*%XKsUC`n#zF?oI z$ewuO?jJt(LM3iXkNiyr?3GV5LCb|eXYSY?cH+DB==*~%%NL+CcMO_aEjx<uc54?+ zC`(A>`?M8wy3K(@GFKcg|CHss#R59^=Zq8Ip`z~(yDU4BBTqP1fvn{|2TFg{8x7b? zwYV)acFBjIalGHuD${aO=Zs@bd#lV6Q@ID_7AHU}pg!4f7k@bV|NZa(^UpJ^+7{XY z8mWNnuc<zlD#AI*t%+N2OOlAFlVHq*j(<BABuxneUG<}+rI+C?;@Y%jMaGe(p*%uI zr?7~|Y&hDQT9DCLC~>nZbwZ*f*RjS9;WZsxT5k8N|68yBcUk}H$&^E&Gx4{dd%M3n zP2%6rq}Mycf1cd?H-9hF-g^d{-0#${FWR4TWxq-Xhe2H@lk$yiyRP3pH~oN+cFfyk z+2RxX)!tu<^08lk;M9Dr18>s6<KDA$Zd!8O_;Ww1`_;_pr;Nh(`!UV}Z78{Axm{(^ z-1xIMzn;4i^#!zt@ADmFPXFl*b7REsXKo1P4t%r2ja@zCc$4ni<w`vF*Bx-1AK37* zlB-AIYbo=@rHX&|>79|@B6b;cvCYfrC$G%d3*LbV+O5d<Z(_nf$F;6sd0E5a7cuyP z&i8)4&0~>hnc|tZ^{Y33{|*`k5N<vk+W061a=_!cP{FqA8XGR&7fJXtWvkI_&^E=b z8eY|{7jiZ&**asNf8*5n(1x4qLERLmtiUO6lCsLPbhUQJq_3a9p5ZL`*b=9#z%7UL zmXs~JwkTf9fN9w@hufV0?y$YyDsZ%!t7m~McjwZyhb0@gypEZ6>_aM7&X+t^iF!}y zhSIHNice1JZxOqETO{JAJ?jkH=jEUS|MgO2Tu+{})%<_1X7RN}zh*G4-oKdPtSG1x zWB2$TvzAl+bL|ojrnb(5|5iB^PGM5c=#2rb6X;qwciC2ne?E=9d4UZd>%kM?D_sM# zx6iq?dEJz^2DN*cw2yNcSR?IF+!mG{m>Zh#wJ-do!`V8J4T@WpLtFlVwjHfhouKwb zzUjb&8eNw_O-P5|HAgIp(VIV8x9xm{`t6%9Ot}pHO#m;+Iis-%wA9G-=dx=_RV$ga z-v>6_{I>r(Xzu<{5BPQ=xjF9_Z(YF`p78Y~Wd5$|U3JWlA}9FeLaWNxnmPYhWOX}m z!SF`z-ZkBi6hP;qPXeEdzS=_Iv0d2g#2==tX1{uwdfo?t77`qnb={JD=XUJc(?0PF z4xHMqao~*$s8=UYE^@K2aC%gC)?TL7@*xdr*LlGqyF}V`OY$!EEZtwnL1(7UX0X=f z?>qQ1s^gIp<m?>Knxpx1s=f0sMe$VEUyyY_AKLJ7HaI9H-9Z~nj&Bva{9X$*1U17J z)JX-cUb%Lzenry0&!(GrR{a%?sGY)OvK+MiskLV@Xvxt%)~N1(Zs1|}iV#taZ#%-= z%)p~3t|#r=Dm$(v{Rm_=`?Za!2Q*U$UcIs<dB*ol-DUmz{nGX0S1@c=2B*Lofmd$n zwpXsYw#X{J@#<726MpcPdxOOtWjw{-U#Cib;SU3ibb%Kg@lQKg7}fEJ2kI*&vuL@~ z)2?Ocwtd&yaPe{8g@ebDR#Mf?zLxYWlQrz017q5CEd!=otv!n~TIcS6_|rM6J8Qew zw2i+MSTp)Sm*#Lwx(9BG_FHCtHR_9gPy)*tiRQDb95()PgPd~Y2D&&QE~@)swCIf& z!CVIa_Q4W|?BP98*@k~tGilGSn5@%$vk{!w&S*p>iXXCZ%MP5o?!YT<(FHd_!+Ow- z&M~E%&)vHp+$d;p2DH-1$RdP0aL$4Q;33JtBWb7WD~?~f8P)wTlq=_pIID#HL$RAj zI3~?KZ_yQHZNJwyZq?QqeIgM*Sy^Yq!eT0A`=1NXrMHS*UCg!SUh-m{=4S$+5^KAw z(8Y7>pVdwI)^|PX%Xx^uc4`HHE<6JrVJ`w2YA9K)XIwLpNqVm{<FWanpw!nc>AH4q z{0%kxYf(P=Aqh{FxeRXq?FT0`P?4n9R=MHYA}hbftLYjJo83SoYR}yu7eaJjTeSah zu9Y?8VH2jZN9u3(MRh#l0LRt8Yac{J6Ms0fn(gvqJXRl`z_M~Zzj4>437{Qz!R9B+ zX6y@Uoccbz;bsVQ8OzDMpJC6%Gj&&;<<epP`s#M+As&-z(AdNO12aWrZrXBg$U1uB zp#tCKcc6Z&=c)~U2PQL$a`K!5txwqKD0+=^hw6%q?Iqu@W=N+b{8(cBq%oS!O+TE~ z$e<2%`_7V^e|nFtn&My)IJ+itwj%T8_*I9D0`9HjN=exE$}e`eaKV#;=Nq+pW!~5L z=eGS+TEQ3En!3QwQS^jE@iuU8w&3|jkQ2^b&ourb=2$-)bS%r7$x6&?cm57alXz1! z-R6&s2s`M6{@ts8AL$7_c`pCezov&7xzm~-ii4J^{EZPkao`8&>=qB@-{y{MvfTOJ zH=V2pZRD-}mR8v|t??6h(adr1&;nn6Q^ZuaZ}D61+RM*bdbTLwY-pn7iud8HMi<_c zYzLj;zNudLOWc}J%NO8d{VVP)P-;B*d<(l#z#q`zFSlOajXb{U%Yny{_m_bB&29fd zTWU>KJS|jWetaEt2CUT0`K4>J+~e$C^Jg3Th#mYAy=nu`l<A=TySag&Bm8B~S%oc~ z_&D-?LBHL?pGqsffrG>e6eL}cAh~9LN$ZQ4<Nqp9hh9|OmF-gV$NO{c+Q0te9Q}Mj z#jU-cUaS$`;=MDwKXeOs+Pn52tG7h*waEvxrY3wT4OL)%+zlFL>3y@_HmoqE-tV31 z&S+5F9M8F^KBciJKU9Hv^I`B^0qxoSp)xnmzpm>#?|&)nghOecmJah*wonCTU(f+G zGB^F*1>MWCe^|e<{ptUSr}#pBD=2=RIl3}6f6wAiS?~koVu(v(x~~7z29H4PaTcA{ zD7fua^T`80Dpzgro8b2Cy4-3DqxH2b&Kg9rT=rja$S9!BU-ZO*oVgGWL)N!!&on+G z?zr`I?I#{x=C6#a9N1<p4o#ffpbU2A%<J#|>F;U1{-5!{q`OnW3slaigo?Iy7pKci zW6TPO30OFBL7K~Q5%HcCy-JQsw>(^qw)QPbnsC9zWXA$sEjGuO3I|zxmo94R(kxW; zI3m`&V#gG2M<Es6iK!87ZH#F$#}2}dmOGd8v*?}e_r1lde=k3IZs%R2?^5gQxBb_? z&G6klA^NU;dC~rf;oO3af-$?&7IrKsI4g7d%wC2sc~_i7tVJXa+OFQ56B^ucBFXsr z$-TeW?_KOUrD;&n%Ot%op3yBnFoESFpP1xZnNRCKuHPay*;+JW=kvK%0biX!#m)U$ zYqm1qiS@m|Z0id9r3cdPhd2DZ4;tH@6D@M_oc!6?v~9lG6W{L-<V$8bBe7Q*x+(D& zcvGTz<f8hC*OESPvzq<sXX+{2?X9lTQ3g3iu4dk~q)%zAVSS<teyw9t2H%;nwXECV z|C+5U<bx76FTbPPe(=v!P|*uMalWl`(>1024xkd2<HpI}x7Q9;g=Z>u%|zVZc5KtX zS=C>9nxAWIcqlEB@Ffs>D4C0O+8^DuN1yO>t=SXLxJvz=HfS7wR!?(A>)iVfU!LA7 z_I0=DjW@+y2LC&mluz8*J->c=Z^J*|7Ynt1=`T8!cP;Hi?qs>PhJ}l_$BAn61%i%_ z(7M$a134hdc#9-ke0aj)`&tKHfQI_t*4%M)u|D>9$L}XQX6$ikoccbX;gt-iqE~yT z5S4iSNNlF=D{0XiIqghm_~)E%adX(Mc2}XROz|%0=<}DLquty<r{vu`8r1>1Yz2Bs z-e>v1cj5JmuO&^mzdl`Np9iBGXzT68bLlcJYv<0tcMP<wsBXc5Q~R|Yw)%ob#ZOvv zEV}#X((>Q-3hj=yOPFTQUB&RZ8x(VQG#7Q0{mXb~wK;o>*iX>%RmsKM%QpK($gDw( zR?Ds5DpBLq$O}62Js!NxIFT(d`#FEk<Myp$lb73Rv~T=Wz`DW@ye{Vu59oHfJr3D{ z@k<Y+8MDq<U+KZFv|#S}-k!358FpuQqq_fCKzEd0KU)53?#fmTJ;+?izpq+{9x$?+ z)m%DUWYzNvyn`BirO^EAtv^90qJ8FC^JgVfh&iYM0lvE^`pv5=+g`l+TE%K+?Z)^l z9W*hxGuQ=m^8A^g?1}NqL5HJP%?@cydcW3ali-$rdRv`CTMk-_-uSSY%iz~UCgqOW z+AK$r^H*Ea9@l5-s`YDa`1JDs)Rlp<poTc~ZqBk@*B0%IXgs?vwBe^4IQF)JVsFW| zyxHgG$u9!mrjV=tJu?5r+y$)~=fXe}KkstQz?-yxpV<?WZTO>|N!o4^!{X((O6rD? zMMl@waaR7xT6;8Q$$?Y)S`MY)eXyYUIMC_niB+?&EsEP6n?7H54a4WhpmtQvv73=v zPIWUuN20yBy2@drYa{e-i051@-~P6@WMf+&lyLaF=7AU7;EA&<O)IuWFZouy_UM!Q zTx;%Bw)2JL27vAlgkF^7mmN5N<$<*6@OuZgp4D>NcYd+aRzU;s4sGWPwWTF9#1>h2 zLhm<7+PrLPHOu+yQ9O0a4z&FbYPh)S+3yuS_st}s$GrXN-F^K>WK{Rb*P;<W6<Jq! zfdlJ|!lJp~i_SmzVzt(FuV>@gZ}(2t?-b*2{<)B=r{VuYanOlJu3yTHf3DahyjAiO zXnVG^`+Kg?1v@-JOTkxUuoo4!gO-9DzbIrsACPePy4Hag%wRw6XznQM`Mo--`{a7j zh|1|qA+LQvd#6nycM>Q@b^l^vHM5Rpd<MEq5_Y=~Z&Y{9Zp0m(d=aYcZs6|7@ghm~ zz?^5{=WH)6&(vj$4@x*3uXW%>8KUgi^E+#9E$BXveXfkFHiI(IEbt9cb!ju=mTg^; z&1!ag5yR(Nd1Yv+(ov>3^9{#Z*Saq7(*4*KTq5B}H*{96zLvD%w3O|c4FL@or9~su zJO0_-4REbr_S-PG?W56*nrP5)fBz~6rd4M_?VpLWc{xMhp6KSinV!B=(Pz42?F`T= z7SLjfGv~uW75JT;$Q6%H+}WfJxgc>7=xq4IphMH{=KkbAdGA&1mwiG3GE2B#v%HPG z>(;JyOZQyX-(=7cZ9g_>=`bG72kj;P>jybX4thp=?Jb_isRosQ))lo+YxuMj<Qidc z-Dl44xFX7MQ~mQ9^H!e?3W#3_x)4wew8b~a+_p^p!HKhwD|l?r%v*VOLc^a@kRRr< ziwb_RTVXq);ZgRg4SpBoPUTjwc(icG+x-_o7wjCo3%X$EdzAL}9+sZCHC&*(3Bjkd z7vF9NyW?jMXrn7XXrrq+<RH0iwjpaJcHaE<_7>0O)ByPnyYDPiO(>fJ+I^h~vT@?< zT+kHAhPUz8(oRfsv<q!5Wzs7TQE7OVs9jy2k*%`eMl%22^?n!TU9|<DucyEJLVcr| z_rV8iL2j{M37P@1h0K6}&!zuuz3F2-D9moI;_`Obd4FC}yN5$*3%I=izBBU4Q8!<I z&_3_lg`oIZ9SXX22xM*Fn>^61L-j}Bx%cN>kIC0y{8<bNN^5>Cr5AfWs}&fJ9tT}7 zU_0gZw}7M0g}3)FK6@dat=WF%At8gB0MM~=Ctp8#wMO{J#J}6xSCysAZ|RK(&Ci{z z2F+8cgW^UTWT3M7ZO~OqiMR7Frp?f6uKF5R<;*!lb_thCLfsmWLsRzO5GhQlKU#O& zei0~cW`jcNDd;YyIrdNg$3-vR&eOFMG-H-t`hWg2&i<*<ZcJ?Y!L6zZKR{OoEdCsl zI9K7^GthFT)gK&p<o@4i*8HEzpz^PLzPG@&3dY!5m3yuyOC9^T>;1gr_m-GU%GfmR z>o)zv7hGm#=uF=9{^E^Ys)=tVnr8D}YT=yhloEgJ8b{)$X|dNP<tp7)>8X16`s>`+ zKmQh=`#I<R?^4_I^3VJ4TOQy3R!>)KZ&mG`qKoZ&>wcbEy!GeP&#r-MbNi3-^X7y) zeezT-`TO*C_k0HiLF;OFrJL5@V`Lmy7+v1Jp4*|ae~q}HD}$i)h1nk8rdbOMvIuOQ zxm&=~ZU;X|_;zNv(oK7fg*&}j1h)PzSD&=!z1&qV7J-d<wVf&Rqri8MznxX*GAaMw ze(?R_XYRRd>eq+d_x@_1%cSxf>p|k*e*SaW6kos~=*SRg{XjwKr1+GEW=*D!8=u<+ zPS#yn2r_f)TXq4@e_`Oe-#4Cg7dUw@Jpp|G`BvplmHH)+`_E4-?o7G=VMdlJQ%BTl zN0&+Q@nAQ+X?fg{5?@yUR{!mz%OrkjC(yn3zxY)r)z)!Z%ur}pVOuLS@k#sK7|@m9 zw_k}U-AtcyVT}tz;Oq*iiBD|(*MqKaUt6O#@y++CU~y@~9*<A!(m}V5AE~ox_xN`4 zF!(C)jn4%=_kH;Zz8?M>tIMYOMT#JA-Ogp1_+<Xn2JrRmkEaWq-1mPe*jv5&>XT}! zAl{O86FRx??h26eZ*heSdDgi@#2<zWpS+h^0&@P_+u0o|`;&M;!Ec?UGx1H8F39-m zw^m9g+hhDezWQg-=kcxI>%do5Mwhn-r8`yLmj{Ei=G^`0vdKOa93pS?g*#O47bStk zcSd)n%nyKwfAMsgRK8~~I9BFccckpkFanux{X$Rar1&&QtaN`DI9YdQFWC9_@B6F# zv<6?Ae&k)%1dngA@~gs>8dj|RXfW|fKRBoaf4^SrvMK*d1jsU7f5k~PF%WMF&sUhV z_wE(2caQrEdDfi^1_#^wOqWgd!AyK=912<IZM;=}K0F_)E$DEh&U&uLw{Y(akok9i zF-?53H*O6$T0R*~e8UfkuH7kY6Q8_30~Y`LM{DAn<Tzy|4u!0LY!jcH-scGlpL^Ba z9^WqCQv(TCpHZ6hr(39?)|El<_w{O*P4YkLK+ep)%-^X}zYvnDd^dNdyti8()yc5v z+v}Y!lkP`?!|Kf*|IU>7znj7C|2|*X)9w|wQWt~Lx962Eo0jW?Q-gH8pr>7l9VoVL z|CCm``Fu*lYi_2FTlV{vC)KQfzj`W%Legt}g-Nwh;2_zWEiUA_?`uCOXg9|H^-=k) z3l7>V=W<qd-&<z>{)qL-M+}SP7+v0Yt4{j+D9i<vQ78DQ{PYK_I}#T+$>W=&Hz>HS zltr|8d@2VASI6DWG85nI-VVCwe#P3WZ62RAA?nv<d8_<Zd%J2XheDS3HuXt=jtR{I zxk-J!hf4KtK9D=M`iCh^`g5lb95wg-TsGB*L!)M`m&(rr=Rj&U=I$0a`45tcw|cG? z_Pkf!3ksHq=UY2c>Q{h+@m6YVr%L?#Xi)I3dAiGGQ@<{_ATX<_RXQ1+9{|dDzZ0C5 zZk{(#YGPP)tvp%j<nyVJ6nDk9GsV6F64KA21w8)+frD$~$7sQm_mW?Otcq&S?NHgj z8*J4L*8R$pYR^Kv#SQY-sdpgfzgZ#YvPpj<Gblw@XS*w%^nV3T5x>4DO{&e~+_4W- z4qTe;@u{{96jB|zH`+bErGrCoMeKg<iBE372?IGZx{hDzX1+GW`D^qiK3V-P5+pwR ze!s^z@895J^6)pcNqdgkfvn9rw$Ejg{C0VewXZ7~CO&yT9a0X|vJ0O4x4apY3bJDP zRVV%FgoOTLc7c=oZaxHs?AE31LZ1KnA>zwrJXL;oxr1ZZ&U%)|r_cS0i#QaLe)B6$ z`nzBCK|&M5BD231N+<heVnFV>oyRfpP5v}+d9^!PW8#zDb0R?EuZut#W;#Utk%-bs zbBnE@9KUS2@X5MZaL{g5Oc(OJ_iPO)Xm7Y5?ntqJodb@Re2a-s;-S$J{9N#4-HV@K zck1e^O!_kw;!a^Vfs^|l9|eo|O&9X4%Lkjev0G1lQtex9aBAar7xJw8ssYL{WoM?k zY|<|k1%*%b^DL#4|BYsKC^dAvwQBSD=I;$D$Tn_tne@Iq7nFK(7QgOH`Ckq;b8F$_ z4we6Rc|mq<EHf88Syv4aFFq#h`ET}YP}nBj_feSi_fsc0vwVo|RGEIeLxZW~#_Gu( zDfa)$z~0I>n)pP18YEhn%LPyFdwv&W<kr%6s+0blgm^3Yo%*EOZg#NqPusbu{5%WE zM1IvXJibkpTL~(cYCmdBd?Ie=1}bRy<~XVR=7&Vfw?o1%ljLo|!F=tnrqa#(df?<! z_S{72<o_Q&V1Ipm-jPzj1)PL$t$67&>Go%k8#aDXn)s$3Qfr!hNmV*|dtVSJ7H1nb zdwkoRE(EHS_r@tt`tw?-z`&J3aP~L0iEo(ifr`Ktxqr<jJ~0NT;EuaS-5%fO-dhWD zW@*)Yk59iL;<0}eC%(CB2QIe%n#}h2l>JN~kVRmlV{vCn{4-%t@O*o>+-1`IUy|T* z>@T;{O?!RD>*6c|TQxs-sMtR^4NfI$pF30P_d#6WdtbnF-{0+E=YP8|e9~?wM0_WA zhf4h0cCh+#?#>kXpUR+Em;PZq@yXh#KcCLK=tezA02QKDr;qwS2%me?UjXEQYr91! zKG_U$ukDo1l=u5xKp~d9cWH-8{VuTCH*TbMq}<QC2{JqC^);7C{yLx#Rw{FoR=OD; zw+~c&o2}QK_(b`ZAjo^ow}nssONLbbd$%Y}d~&>O1*kUp`ocry_Zo1FXO)*LO{&=* z3X1Wh>@u}Ue?LOR!^;#W)jYlm7WZD`sPdZ^oD#11MRj|861@g4JkGxLQu+NM&qeJ2 z+Qf_J@BH8Yk6riM@ix#LA7nK$|7-Tw%wJ~&HAcM>(dbV)t@$+2d+T;bC9PLiG_=?x zRUDi6-CU1qSV^d?aC8f)Szr_x!Xeb(<q}eIgguaFo`RsL{Bd@zWs?M(JNQf98&|(A zzW!zo_%PxB>;K=+o<FlX-}=|5Q?|z6rQY9q@&AOG@@comznA~k@_(0p9Dl}@#q4JC z{AFDXPZPO%628YpK3i(gy=H?>^h3!r|7Y37X9w=}Y`i)@py6h@sD$IQH-ApRHYC+G z<ZL%{-d8j=C!OW`j+L{SU*-n0b{UI+cTbcl+_<C~)%s6d<i>;LTn2yEg9Z%ldQLv- zdiUEqQ>!1Ho5YWu4@{VRUEASjAh@%89W;D%edV=9za}%Smfyaf&%_!$f}YE`DYeYE zXL+XXC(yu4a?gUdEcJ@j*E1EntU;FqfNzpK-Mh4Xi`d_Lb(^;E&RfZF7&O%g-jQ@I zZ{ElDEn<)JH8z}lFS?-S%Rlf^P0*6cos&PEf0wq_^{WtT*nVfmG|&+Lmd}#u+gEe^ zWCopqyJ&mb)-{cfSXkXINbS7Rr14HP$l5*oV*P$5>6%c+w*8<nzjMpQT-M&T+3IfN znJ%9nk}z3Z%i(7j*h5=E9@^@ksk=&EV?)(4hRwCt!8={lw#sTb)lFkkPRJ5G!WsMH z-PPS8+@R&Gkjry7MqcKM*cF|9@i^nIGY<+_CBA`AJA<yLy#C^BRQE@9(Hl>yxdK4v z+-=D|#G`bpQvW-TU--JIsR6mc3120-40eN7Z=NexTRd0#p!(#gQQd#^Koe3It0P1; zuGvRIC!|2jdDXLZf8_@xH1}&B_;4FMHUL_n$@BSqRQJcn)mFcsM6hc3gYQBFS!8&o zdyClBQm!@ooEg*jL6ct41NL{7ZsPegSww>Ia8JYBXU&Vt*NGu6^VTr?Y<|XegL$^@ zulj(5=HoIen)yKot%671wj>|ZzZ%u!&Xx1Yl2rpVAh!i{llQfA^(jfe8m=vhDxRQ| z{FRf-z#4qX!%2&d4Dm@P^{z)f0qxLD>xo;-C8EuDjgu2JaU%e_dsuC)>wj0SoDXKK z5_M6aWv}vS0vG!d{{>_l{+rD-`_1;aX^uN#>xQFtSI$V!)LrE*8d2NKbOsTohCbFV z>F3QsYilJLt#@wxd)zI5y4WI%_(s7k$vb<RJI+2!zIiD$s{7w}(Hjrea~a%u9&qyr z$D+CCEjlue_w-+n>WN=?K<#~4!zR$Vw;(^Ig07N$e<>=2UwcE<-^5KkY3kYrOm+4W zjDKf?wj<4VpE`H>*$MG04{%6WRc&9vbQE-LA+xKi^@MKgkV1uHbLH2){?;Fy&^%rH zz=v3HZ0!J#<b00m{^&1q<4HVMz`EPuZQ-+aG-u3=75?rS)%_}lH7tH1!)EXV_N<a- zja#iH?=MC@sg_^3S=b;}IbpegcYe3nB8yOj*Y590e(AK<^}iIW*^iA(JpaMhMx3|k z0L`@Z@>O){^nNrJx$z{FD<BShpJ<AV%f-C97nf&m5er>=;FYL!>4KYTpt0}mte~@s zi}SbL)Qsx>!vs!+yQ4%k@`9o3|6iOpcz-?W%lG>#w{IqRGPbRU?H>b8wYj~&9M!Xb z(E+vnAq|)Mu7D1+Y>{-isMoU7F+1>WP{P-rTn4*A3z%V7uYV3(>smJ-G}b4$LD;@I zTy&F3*IUrU{OP|}KKO_({4d99_G1wfPyI5`<sR~B0!P7%4E|^89&68;wleoq46DX@ zXmVeaVZML$W$mqES9Q78><ed1`xyu-J?@AFZaK4#vv~D2CHvS$K?9#JdSR?xuSG$3 z!3OOPc75?bx{;Ufj#75Q4;}Ebbx_%HwIyxw!fQ!?5?RfDE@PSky2107=}OkXE!->L z)^0f$mkZweXRtc}H0<oD+cE8?>B`vETTh5N#(}2xihIH5)}Lnn{`es~=v1|>$4_KN zsArUyzQ4Or>()=-yXJ@0vo?!-iC@d*ec%D}DhH;v_~2IXRed!JSIO?&S-bc?=s>wY zCz8Lm2pL!giF!Cxf+l(jo;+h}UuCiB|D^K<dmUykU9c+(v@+KWv@#d87P&$Bce_G# z?Hrjfu1|A8V;!e?wUl1WT?-nAG6G$$upGXP$PF}8bm2ef?7U{%?XM21BvgRLc8*N^ zyOl5W?TOFXf0=UIE-Fdv2i-k+(goy#)u17jC(okVLDx83`<`j+Bks8M)k@Ijo~qqi z6B=&L1X($8cJ|-6wNBeOKIwx3Bfl_2MM19Tztp+E%!ki|LL+CkoR50O^rG#yAs5#f z7Uw@|2ZhCa(0-@~y`Zp=2VIX1y|xgvjcD<E&?OFk#6u&P)ch^t&Ixd8Y+nUlrkq#3 z;?aq^xbJ#)wz0Dn8Lu7%ZF#!Bnu&A9-IZLgS^nJg3MpW>*IT`#`S$7fGjHr~*|Pq0 zy!|v_t?&m$22sH;^S~if3UaotqwDUa)pAbKc_*JgR0b_nUhrQxG=hn(K7>`spytix zFH1mol-u)zua~rlnw_|X;~03T=#yWl0^`%IAVVh3ey$i&m}192)$DMIU<g0xWW)8f zyXGhg8CVBG?kNB3Eb9L3YkjYo^EQr8{2*`SSA$0BK7V$4|N52{>(Te1#ld$$gLGQA zezM=K)v(EGm&;}P)vBe#*et%9iBn=<EXv4b`W8vI?u0+epx~(o-Rih54!lMicE99( zd*5=<p@CMQan@f(ptK?l89n0%tpa~2m$v^}nuo)_>wdNi{SJTz=?eb)>Ye__?<!Da zT)~)n6MA~y@#ooZPH*i=HJG+hI_8)`S+aSj_R`K4522l2N{Ze}Cw(`yxC9B^Rmnai zaqQi#-c*C0jk_g|8C~;wzUQ~y^D{Gz*MI(Z=l%Qp&wXP5xk>+clD;z1@5h(*jT@yT zR{Y<(+V1CfpZR|_mR+b{bl#y>=HQ{4$N&DG`QNoe`rsv1mZ#r2!?x}0%)fG(^V%|j zEABnVj~u$la@1{u$(J;rDc_YNcD60Bx~)4S;&$hPI>v*CX0sf<DE!0CYUYAJEC)|% zZBJTMrE%~UOVh6hw+%LK5O@4&)U>qmwqfACzJ#YaO|QCHvKPO5ApO^(sg<3x>+riH zM=mw8Jbld>_G}-w@YPt3YkEp6{M93Fe9GG==&u?v%O{}kdqUDvj;2?0S+bp_)L#7- z(24O3kSWnun^vZxG2eZ|Cn?9$!@qyHSx;PGH!&gc=x@V7n;8k2Hjb75*bO#rvUdC$ z)U;KS<*On8r``fJ$3m@xmrk@B1lqMFJdJC5HHkktDYw4q*H#wpVw<MUM-fd+xj9c= z<_I&Jd%`?RF=Dn$fc!rVH8DPAjeBkzwtPsQp;6zQ@bo>))tPd~kKFpk^0k;lEKW^h z-FKGz9>;~J$SXxemI<k?y003syK#Zt`N=aPZcJTJVR-P;ZkDHO=RJ~sdY$EJI>)tZ z6;i@ql{v-UscGohNeX-a7MikNDPrbRNuQAY9vjN+9QO+A<owaO-=Qw3W9t?Wm&v6T zR_73~cV<Fv<8y<+`^^apg+%OCB5svw-9NEkF`{zbf?eM}&xo*|wxCY_;Gs+U35zNi z4qhr{d1@Jd<jARTj#c$45!Kxb_TBWZQJ&)zP}7x=xplf>;6K5Gr{1z$b&WfC<kmfw zuctZ1-h}#ylq*D7O<(Yb>)@$h>p%8ZF&(^hnC0tJD>X4bC5=Ai2(I<Xi~g84P2DdL za$h;(_AlOj7yXqZW;g}Nd{k4L)~BR#-+9BPBPKo}`+YZ*nL5^9+itLNgRtXArlzIl z9H(aQIct7OpJP?O@S3$Yvcg}TImFZiMA-K?cP_Q%JXNn8@v~#Wub<^V-K@J8{9`(J zXvKGfK%2gVmyAuHjNT_FsS1bucimuioyX@&xmv`Ijs+HT6EeH`f4Kb<J$ULe%hjv) zM~>Wj$nw>iL#(Z)rSp*;i+8xdlytcnKQG_EWB2>&=~LnytM)5LR8L*7@1ReO@*IzV zIUXCfG#Lc`vpaaom*whD9^vfWf>-nvBW5@T$QT>n_ed9*GG93&GFw1xmAmj7)23e+ zA`Lcfuy_0z)wJ|x`capkr&+Y?6;|x?++bE^w(p|9TEq;O02w=LwP|%80sE#Vq%O2H z2)x^!@Ybm5*MT`RB5urEP{DWbk~hoK(&vw)pDt&)%FcPM?QC!7uSOPba{-a(Guef` z<5e~O&rEnK+w^MM=TE(5T8_0w2M<Xx3LjPGoc2yhL*GnZEo`4_z}`s-xflM-Sn=Lt zLxG*+Mq!=YEe!Wh*sDiWPFb+)QPYeF>lq8|<|QOH9-N`^&T+$+@TO1fEZXy<JMym> zb6#5}bj4k-r?WMgv+KK{j_y_twNv*MBYvtomiD<CZZw;=pic4Np=g$)XWKsXe(`Sl zG?_(vwO(82t3;OU?Sfat<79-ntA$1M)n_y>QT@;+^W?}OnJM=-O=$jQ#9e&hn)Ipn z1sD3$6G7{8pD5^US6Mfs`HQVs(S-bzM7~pdydQSST#1dG(OhQ8ZTaH;CIj}w*GqrO z@;%DbIpbJ0tySiU>GXr5#Q~s|&adw3oN<h<kyv-a@&5EyOOEi(6PhhvZ`zT>cWHXW z3CDl7+{FQL>5A;7kDvaK<-6sn^JIbju|qN~Q>Pynwd`o$V8H%TOsr^vcno9s8ONVi z+?Fe*wpwO@mLu<b+XXrSPe-gsAU+|H?~;}F!!DU8^EVi<Kdloh3YZ=z8Ggpmx}jC( z%j<|4%?8)Cb||qIri(pO_}kek^8~cEchgVMadcH&+{G8>B`LDkZVfFwD${Z}@`U4_ z=2lCO?saY2MHBX)JR~!ve#3<3tksXZEHkV(OlYpt<1QA6OI2hqY(DY6U_#w7C%#Y0 zI%gas>v&@(HdhI77hgEGaYD1)HPG7PvSdZ}k3wS46!xBX;`?N#47w-srOuNDeu;^E zw>q{Kxbpo9)H&mrq4Pw6PqtJ0nZn!SPJEwCb<Q}>%*~CN*t|=ayZC}$f+G80&<%(^ zaqagXD$F}`NM?z8#0kf^RFQQvnu|2JEmsIf%xE^Nh>e-pyic9GSU@jXk-ad%HpY-W z(^{-(!uhmBzEj;gPZsn=%?BTV=fw95bWWheHpX=)9Bn4FT6$dHJfYd<d)tmA&;fW) z7Q`JnB(nwN(EN>pp!+zdw#pm<t@rJce%vMVL^)zcbCEi?<qBuBgQCS3z9lNM|K$>U zrobcBt6d~eo|4FSsZ!^O!v152WTx<%KP|Udp}xt0Jy(g_@`ZLzoAxsWnKMp&k1E8T zDV*JJx$cDHy}7NH8q+sTXtru@waiG~_MqJ2MfwH<_Czgi%MRu3M?{M!^d%~?|BMrR zwm@g6=(-b*cjvcSW?bJiq1o>DwH--(ha$v^1oT1nnurxmFu&V&|KS1=v7!LbnTokT zBw{8u`~RQ*;(xsz8><{&3uwRsvM70W@zFxX8-k*}E1ouSGwP^#xEzgdOKmu~vQR?i z7-Loho8-1I9-%20TtZ?DTDkQ=H$=LGcpcTyTBhpZ(!6cQf}|~>JVF89%3OLcs;|D^ z^XvTopn8QC{pa_J-&=1_pQU>H_tk!>9rLsP+WpgSmT7hryW#xqeR)y6()|qrf)WRr zt@+vZ-Qk(|)J$T{C!V+GFSSN>Kbp+7=Fd8&o_~uDfX<IGx@GyK{+PezlDqAT7ga4~ znmuO~!`Eu?Vu0*T=lBYw{q`@}I^(ZX<5~9Ar3;?1g4V~J<4xJ}dG*fcGHWhJ@thA& zV6l#2vD*z^C1Vv?wpC1Ne`up%38dpEmVEQl->B|Ko$aSCh3)fUbX&@#+)-u+KD@hb z`n5&BnwVz)31NKoAGEAut0enHBkTG@m!He2olYp5!Zdq-Amgll(6UR=QRQ2rRg7n3 zf!1jnFs1e6Tt8fT=nn(p#G2ynYl|vCC)KZJSS%0Ts|-53-SABN7O|_F`zEC36zyQT zk`7weu{DwHqS0=%GyIvlY4-yXKHt}L_<X|@)M2@00P6A?e7_vU1L`t^&Z}vS*wnpM z;3w#sK=5%PInV0P7S-$AUAR@_-?{^*mdk5;Czo_UeFZ+i+s-*VFqA9jQz+|-dhimD zG*FN4<BQ94`!C&0uh!o1lZ`b)57g5wj&=dxgJa2lJxjL@bn8@F&zeBiPj~yLP3(mq zKyfgt`;iH2*gnt=bYajFL*~wnzj18;m8cNwwO4Pd>xVRePDNi3E1d>DiRWij_sy$Z zId!X<OumCW{1bW$&bzW6o#uy*pdlN#1Agne-%rWC>huJ(u{%%a#wA`Yr;niR&*6-2 z=c{{ra#%L5hhJcDF{=BOFIUbdL)I0`L1`ctbk4chcB{SN*@m}E=bp2(cVL{Q%hi+c zU|;pN`vn{?-e2nqJ^kl)iT>3ziMaI#IA-W=vJP$hlL%V2yl9d1iKnwfH)(V!i$qlR zG4<H30d+CK_eb82$o=EH*7a*Ot6AlpD%lnH{6XEkv*7z9ODA7TD%;33JAOICSy`|v zdO+u}_kIMOBGZ2zw6|PB`GwWaeCD>Ctzt^|*B#*4wegNV<O0b%?^lIq=yt8w-0;bq z%b@BDo9rBgTR(f6S8UF9mIE!n?h8$ryj{zo7IZOKEa<d&>;HRC8tjeDHp~{eQS&`H zRnmkV9GE@qw?kSUJ_UuM((XXf6Ys+kSmsWIY$P_#xw5ibTyw*wfQE~pi*UAlUdbAm zzTM-ka8&mzYp$G64NO;d%YqNWyLF{W<5uexzDrSOwrOqnnaXwH&H~V_h0DsOcNx^U zG(wliZ1+^S{ke3L?5C|<J&+_Zd+XXe?jLN{x_&HV4XcZ0bgPGr18n)M|E}(s|BWS^ z!}UWGCJT!!*cSo{K3?OFjOTmrq!(1}y_QtAoN2cG8V298Jw6#MTRzV{nep81!%3cN z8M<xHwLm%RjaR6`{>Vnb63~$~i|h~YanBC4soSg<yw8Qv?YK7R1S3e!+IVfzuO6n^ ze;gQJv4e^X$YDFh{nt+$%~%_j@cAv*g&5GWP1oDNN8Er;fhvY9%UXW)!HKZkiw8l6 z^f;PNJ8jXCWL%&4j(K-rcHkc0#;Nmz8ZLeZF9U<zT@b%j>}s(8gw&i*TC6J|mj_6) z2c}=IQ=SpGZtIM@D-Jv>*LG+Yh91u&_}0m&dG8jn!=NJ!C6#wOh@My<n7}f3Keutm zqI!<cW@}wPs<VdKy;Ql$w|F{|k0x&wySiKS#*(mxUwWXSNwYHwuil6y+AZ2T<89<A zBeVF`3}-9BDG#z`dg`^Lif+gd%4E<Dk`wPPZ#~f-U0Q&2d4W|xcHo>92TmcMH_%ab z=Ew1<?pN_#IiKE4PL)(y0J`#!Rc6KBrhu(E3iVGf7jKQ^N(*0gNXTG+q^O5OaX;uZ z|M^yno2<7i7rk{vR*c>7##52nO)Na$!&|>H$;q$iP`JIuc0$7+A<$Vf(-thceJM68 z<FIb!O6x7w)54k_wXfR1GUa~&tB^s}v6Y{uT?3sXe+$$f-YUQ9tU(;-D&x>Z&KWfh zq8<*lleN}}7d-j)Szy()-aB=2&u;Nto|>@jl}YVFmNVZ&S%og-gtUHT>bo<qenppZ z`{(^0vlBN6@z}5Bdd<4$8)z-i;n1}E*ROdv{4<9fXCvPf;JW+h{uu`^UEX%`@$v-` zpnbbGv7pr^e<5eM$TtOC?R|6q#IbnLs+O<XpbmA}u61)8s`Nn~I`Ot$A>`;padFew z^=BtE++<y~f#uBlKvtm(cb0*Ul)1TAP2<stf1P)yHyxZ0S~yelN%{%zTJaCh99>y% zYHwi|ngG7P;4bV|$^GuL6Ejsc_O0OZcKBHws=&DT|H?yEjxsmnt5>{o`{sG$$ItW= z+RBVq4OeYo@wvB#OJ%`6FUa{R;0qAe{T0h?d+BAc+h25A!_R`<>*h8*a{#;a-v&*P zOa5-1zvS$MhKJUniF+H4%ZhR?u-so?9~Z40&G~8mibGY7+gJb2=lXw5OJzaDxtX6% zO>6k%0dmGftu^9DZvNTYzUqgF9Y^_Fd2o1uMnlxV=K#GgnzrsP=!_kZGxq#&P2IKM z%o)5_opVM!Xj}Wug|GmLGApWnYn<D5(97V@a!>-=0SXM`^V7oJm_8kb9P=~xYh+X_ zi->$ktLlP3yFk0t^FtH&Dx7<E-YVoMd;a=c$NDYZ=PNN@?FJ<endP8x@PLE^=tP@6 z7TMqbxBZ;|pSkqOwcnt&4{Wk#xsb{uLHQpFR&1O?2W8}cI2`SEG4%MLAQc$MF52t@ zy4bO)WP#18#a}|abwf)&G=lDk^k`}c{Mgtf7ij2lfm0~Z(N;_Ahr+wscX7W<&YqjO zCG%J5-~Ye<@BKE@`unoY=jU!IeinB%ko|}KCZ<R;?l+0wzt6psf5kpVOz_5^e69;N zxv96+v^eBqCZ_(2Kcmydb$U~5O}quiX}*h5Pt-LGnpVe7mDgxmCmf^9W0tAdB`qT1 zXbzet`4e#9l5JFX(esJ#ENbR4K~IpmJ!8%0;`C%QyV&eNUlGv6g2CU-Ov(%97I*91 z0v+3ScHSPZX^wxrSk3C!Gns&nOTA@jo^pL9$In<$ZILu#?wZ9SzqJgS&Yf5)=2EzR z!mWu>-Je8Q&HgN5>e;szbV`lCZikh%{H)&pE4Hqfw;^iU#*?Q-5`N`@3nR!p$>*(N zU&BRi{CUoG;SYG6-#7O?|LH3y_`|_>`B*HhpVoL#OV?$iFSH0x+PrOQH4Eqn(EY&) zhmX%))Z8Dp24olLJc#H1clI88e<3R5xyFW*;-U#rpek-FXbxr1^lG;B|Cge!oR3jH zedEtot_w8*je;rfa<|o{t1Q^RU1{<D*(cqn=3Y!Y0lGAXN4B{-v@z*=aA^t?pNQyP z2fcRzM;j%|7oH8+7uv{sKB(d1bMX8OWZ`807O|`MMQ=QLx%_0=47o+1itm`L%a-Ij z>KVGAmPTDOlL>g}ck4?w!M8KsbzLs~R#VUFcA!K#VfoX8h89uWfonn&Snh(Z>g_uF zXKHc(m#I<RpMG=Y{19iAuuWZ?lf(j>HCcZtD&&1|!sKqzgeurUZKY*z)7x&wMs-_R zJEzZoznbCfL~y}=%+Y1{1fOkg*%RYI7ws6bZC}N7lo?w027cjBd{?+Er~T7Yt{jVy zhKsD=nFYu^(CVn}SK?ebpW<0(y!$H%I%*MA@y)$kG^0IR_f;rY&OTqpS<#>sosf$1 zGw6uL-;vO*E=9?|^>vz=w+bBH2)<4#RmLS}o6R)cwb!GjfR>5Q*E;Yb5wT44&zjOr zCabP%ZaCR4lCaAaRLh^W=qTIpxxAum^0h_#!W++)%f5C1ADeg`+yJ$l`9@-`>ps6m zL4#zTbwR9Mw?!o!pT9rEqx8!d)JT46d~Vv?oF7uG615J9si3f^?pLq5az16V&RA#R z&aMP*W4=we-nMi9wMBMOjc2cGITVAB5QerrbECTVfc6s_GnH{|<oOn(@4eU}0^T3^ zW4`v?^-CY4x<3iAn*Eu@)Kj+<loHQdbXbAz{wlW$PhUT8^#Qf~kcO91;6(pep{wk4 zyWMW5?7;i04m{)6aM*mj610&Beg@AOzDrS0j%$LNE(h5{7wiawrh8D+B{)0qpMN9o z{NjB%?H57W8+2JT<oLFeQQfbKSj}c?9{5rRw&@IL8uH9t{R=l=GmGB%6TzBs4U`#R zjpw+<TTi@4K7mI$sv~KGqnTk7sPUYr<y1L|Njh#B!{U4mgQgqT+a+BJJ@&8X{=7Y^ z`_)GAR}&_SYaI9@0k`RNdFeJk@Cx>4+qE4&Phe7hP~I<i;r?3D)ny;@FW-HwbdwLf zeEDTk!6L1I9LPn{yBcHGnP-Wfy05X}VY1$}n{Mo2%brWQ6kaq7linYh9XNO40k!#o z4KH)S$$1^<xW{$9*B0ITEEIX{8N0Sa@gC6j2kB&iw+Yve?Fh`C2)@-jnI%^Jd**?^ zCO`6YT^f6>EF8_JRVzae;aT-=`Bn{YRx_JWMz{Ik%bwC@T;|3~fBIn^)&0tm)vWT- z(oH<e*g><L;GWv&`LlU8Ki(qtm5tSGe;DH|Yf$sB=Ge`}pyl6cGjDN7sIj@PU^<$` z>UKdAI%9l$-B!?{xaOgWoD%=yKrMrhQ}sZ%-PrvEovb$JkM|bP`6N}#wR9LK7l$^o z_^c0X1vNtM%?l89=iC0AFSqTc(hB*&R@DT%8=$L)Oxi)0{kZ4lKkx!iiL3>kerGKu z%GtsG*j{yqeB1psYl8#sEC-!37xZphyVk9(Y42>aw)el1R9jF3T335`JLul)UQmVD z_a+}S-|^3C=k*`lpj&t9)^K?rcp|^bfoWNFtq<R^Dn|D_u#&pC?`f9SA+r@3zjlIJ zDdwx0I5YCV4W>8C7k4P{HvMCJ<{9YnWWDGwEme%~ZB}$7e5iTb$Rd&-2tVU(`lDR? z;Ms|pf>Y*)f|kTGgW3lBSAm--_rC=komhE$e$~u5M#s7rRBZ(X$#>Aq3&ff`_aF+^ zUr(E%+w?0hG=k~bjaAv|3vT*DYHrX8aL;n>y=E&$v;52i1<Pqr1LfzZ%ES+uxpSKy z?S@>>B-aEwd9V2Gy-)v_oDB-Fd-=HN$Fzor+98cBJ@*!Ky=E;;`7h9-1-e|)DYxyT z(u!rE#!?+<IrFa-kT#?uN9f%XZ`=Rhw7U&Dj^!5z$T6!!8(B<lgBnK4+hOw{_b;V+ zIQ(M=HK9NYxOqOE{+b{ZuqQ<H8uzZHcR=f_R~Fp9zZA6X?9}IwL{5piNKhh4hcq9z z+lCaT-1}CaZQLX7`1Q?O(7f2m*Pv7qzUmM(YF@d;?Ry)&RWhx6!M;dPtF3mG1JkU# zb_=t=Gxfp3EPlz^3A#;x-ogWAr?u|?#)5)v+uWGCR);3;U2q#TJ=9fpdiSkM{#zu4 z0{#VyPGk69|KiZ=|EqtRp9HmmIM<}OO194a%&+9O@u-5MQdz-4)-O`7M-?jdJY0^t zh4d_nYjO!u>FomTSD&CTu{*;h^#LE>V*?8x{?i+HjCgsXC)^NHS+q#kEyiK5qmY8f zmQ}m_?v}?rEi;;VX8Py<&;P%^QhRs(!kT-PtE=9H%I=&0e|7kR>)OiIXZ}{Re`jC3 zUC)KZ?Zbt>z4P?VBa&LbR$2el;$Hu8`4+LO<)RU_y-YsOK;^?e)0;-tJFb^3znUoD z*L71{<i?*`t_wA;je=k9l-2!bdGY>DaOkIUXU1#MtIuB8@7yT3ro3Imul&OXt$;U$ z``&;yCu!bloZupJV*Wnqj7-nji9bNSQg=qTaPW=Ckc0H^XX|S1c9_00ei_5$!=SRj z=?>^9_9K5?*B*Vt#A>$Rm2p-*Xq>0DWU<E0qb235L3?&XKqr7QmUV69>AUD8lCELU zRHie%P-LTI&7lLA($*gRV9vGX&wQqyxIJ!WhE1T`l^0z*=b!($JX3eodCd*Kj&m98 z1RvX<0=b9y^8Reyw7=Y?-+sOpS@5$1)N;G6)S2--{Imau%qZAS<Q>tX8uJ1mQ|?_a z&KrFH*O}A)@V01#Wl+OKei6_Ox`}Rq+0Vtdef%2L{Ysx}P2I03*^EzFprTzMO+<CK z#54A-Vw=}%Z}?fono$Qneymt|@!a^c?LSvsTeSbW>n6})S}ZqCmVTVe#-6R%^;lHG z(R$kNm(_h;27AI9r`88GTnxVcvV{d{gDYrq{kLeu-%!>Wpmxs|?nJl1P0@F*zLbsX zzIm7{XWw!ER7n$WP^9K{t3;)VAF}bwz6iP((ZGji+X|+mwOl<5zLu|C8?`=|KYrbT zQ{OcXyzmB{AMkahYv3*ImbAxF*@1Ug9e7o*c_3wbcdC=}f>;m8QTh&RkG_#$HM4hS zoOKptf#Oz;s5J4Q-Qn36-{)Sq$vI<|;Fh^}s`n;t=oedL;R9Y9A-@@PvG>9|)z3Vm zx*t}8k7;xJACSOu5_Gfo+E|XtKWn^GGDFsfCwz4mO(>cLs+AxY5Qn79$h#5+T43^7 zU1Y({-|67$KK+h$i0g~_D?t0L*q*OqI%)=4ZnQ|_t!QwyL$+bfF}Jz9YI>P^?3REo zjqum)irVd(b^k(Ch`2UrS<z&7aLR)mS5O_RbvmJJ1Ji8z^$ce%A)Z>R<@CP}bbgzV zhwv|R$Ak@^)#h%{5CN@LIMkzL#=Z8Aa>bHsNk2?k!{U}OERNRz-4p@4hy7#y7O|_v z>YIA5<_9&LECic)MgzJnNoVcRoNlJs_m?xAU3Jz<fU*9gG1ISVZKGeiXV!%5t=%g5 z%N?|Ortjd%RSp~7KwFZQWUv=OZl}n<oF?%vx^e3Hoj%`=zvu;DMX+NrXj4*hRQIdf zTx<6EFsgx0*S^&X7T#UH^PJsn_r|mQ!41z;K#6ifp2)?%m+P)YeE|(IE(2}tXFLAD zQP*YTYtc=e;59mnHB3K)HYKrV=(a6o(%!$6VX?O;D5*oX_OIV6cC}tK;%}37s-zDe zY`1&1xSg?&|COjS=Ry-c=W<=J0gYdY8}%vOI+{|oJLlrf-@moNt+K#X4uz{A=imiS z`I9_DdW+cMc+Cx;3|S>?|7%I!MBG@uZ0icUwFh3k*F2B{K3bf^7!-*!zGv&ES;w#4 ze4d*%qYpH4$_=`{K;oHZRQEqC(2$aF0{HGo$vZ1sHRQls{q!DdByBz#v2Dw9(@iFA z`C$o9)kP9QG(k~j47%Lo@#-yNSLH<__CDTfbs;4l98QHIx|=_jS5&oJODfyMG<$tu z!!t9egz9crqrGm~7w^}u)&XrjZEg-}d=$azcH!4<gT<h`BYoB${ZPaj_AiXl%{pee zu#k$iJmiWLm+Zj3(T!K<hc}#r6%o3dxfAoQN1c%iNsyfJ{#Wr$KFJKefYwqbKZ#HM zp_zwf{J(gMXYbSn^}9g(joVaQ*)rq~%jaCWcEX_$wC{Y&?p?dZR%dMgA;jqIpS4+r zC%$5@%)ZnGHSJmx8ZV}U_RaLZd7r%|%l%t=%42!ZodmN$JJbJ%ik>)-GCg+}Xy??! zbH`Vie0t>O`~7;_i34vmLL=CoEnmeXnPCRr^HjE+v&&+WebV=DS9W{PR%HGQ+BMWr zE*GL=AmQ_L%EZP;0-+J=8K9LU;Crxzw@6M4YkK%SG*MDxeK4z$!LQ9)YlN3f{F~b! z`u2n!r?mZBHrs_fA?t%$Qy2Ve(3;SAQWLyt<8W?&Xy%iO+t)LVeZre=&IMhBEguNl zkzTo2rl*vt4{@Vp{>8Kt4*w^Ew4I(SBDr9vG2eWa^VhCPtYJBN6|~dq-K%~7|1Azv zWInw7&XV*QjYamM3e1bYgEpqmI(z?H#MD0Ug_6@jSA)l{;5zM4yIX5QqbGQ`H|WCc zscvz90%pG37kf@X610`+^npJSp$g1rXM(JJUoxr5ddFkd)zjVztotu|OLp(n1^=x< zo78jJMLBs2HqQ%T>zWQ)RI;5#^zF2FkNCIp8X5d)($Zmm`g4^7o16LSLspKn&c^?V zm@35a{oXPDOyiUVRo`@rs-`u94(Mf5bBFBG7q<s(kw0o5J3Dcg&>6Fphl~vNyNjN1 z_`C$X2&mwE^3_cf58KvXTwB!kVlB(xa*(C#OM_Atn3nJVzT^M9uaUWPn;*GDg2e9i zkyR<r%}eh;yu09Rk-?wYAO{)eU;cdRzzfjAnF(&+KsSK9^Z6hAarhRG<cfVOK_Sx# zilSJM$0r_k7Fd1h#J}>$!(R$>r#0VvP-f|T`oJFnP|$F$D)^s2Z{I(D_g`}zK!@l3 z{(U1^_V~xj{VqYfloc;cQdPanVX2}jc=&D2EW<O2e&)q<42$o`yth2lGxPJzyAsa~ zo*O?lQ}S$a2`X1o>^jAN{@(r9zvAv^_rLzR>;8QEgxV`r#e6@0q(6vxZ2!|ZL0j5j z!T<AVHGke)+WiZyc(MQD@`S>LU;l6ZB{HQ=SSQ{;U}my`T9~=g3SY&D`sM{2Ua{3E z_bF@G`fk|sq;1BEH|`s%)E#s8^%`unYgtgBdhk&-%hFPz`zO)`LgLgSV)K%Ht{f9s zb6!b9XB(%Qn7hD~Gy$FSTQ$|RW(%%~a|n3N@;W=`nE4@3mhSU{Q>NXM5kAc>81haz zA~u2B=SrL48h>St8kY?g72f+MstN1tcMFKj)b?2;=NmBFcY|F)wvWg+#fUkc0kg`b zeRT4D19nbH_$u3UE9&;AUc1HxACwM0+T?5yDCN81kAY+A!?)l&A#<$`?&3Y_l9=3d zbS2ADbIxhux98<g`N*>RyucN<w+)?NC$hBe<`g?@;4`I7NGIMkpmKgf>0Q~MZnHf$ z{Nr*=Y@KVc(PG+yFBS(sT`xQ4lFHw7bv9>KG5>M!VQ}3XV)vCa*6!rKe?nb2q|SN6 zueq^?pj-3))-@e!+MSqGsNQsGE6eHsN-J_USl?ffE_@|UJtDr61$=Fuoohf;62Fg5 zdef=5EUV|=7Z%={%W+L#S!0j)h8^GHYLw@?ZTMr|bn5%}V@F;kvTSwdxF&v+UAXlw zhgiOf#@U&M8~1QJKDBAOYA<{x?EdupFONC7&I{@2ZIxH^Vi(Z4?;P;+l84WlJpX{* zvl9L?Hyx__{khkobHNv_gP-{M4!At^Xu9ea5dFu&XU%)hfE`m43g<N&1m2pI@H46D z)P0Vy`XYn-8OsIM*!u@WJQMKI=~L3M_1N%dYT%3&Z+tdX$vWm9SU4l%{)7bvtqC7_ zn=WZB{^@4dx8Rd^)75;rw$5AoSYDS4h&;2F5$<;8@Cp~u`QN<Y(`Un)#q<0Esyh>G z<r+H=Ni-deWLfHZJSnNNyy=uL%j)@-Lc&{pIj@~p*C=T^YTEZm`lU<L(|F;KeYGZP zVf-p9?s{*q`)@cygGV`Hj$6R52?>>dlYhEdb|-x0XW1&+fB49+K$g~<EZxk<6BlhU zKlmw^W$O9f<40bFv269`xOQJnV@;{e{SILcFMR=>`uFhT;I>`poDm`K7cj$bLxy_d zqA48H&a1BY)0t3ub@ER)Y4;8POdJz0XwHa`@eJ72o$#}pRe0)Kj<EGAEB3l?*msU) zpP-tM&OWDrsDB|oI`WR-8x%KQHVBk<++f$dz~KAK84-8pEGSVt_$i)K%igXz|H@>J ztn-3v^lb%&Tbnt>-m7cW{`B$DdG8Uhl4Z5L;1xFiN7A)Mjt@(lj!r#)$mOL((^GX$ zt@|^2I<MApWEpc_du}T&+?vZFrmw8=cW%PZ^yeRXi?j~D>So!Rx;!DN*2M9lVAE08 zb4Oh=!<(K;b7;-#>b%;^nH9`=?Y@e}nmW1r9mj>I)VXf>v-Y!L;LZ67U-O!xb}$IP zcIM;?7uKnB2>5lhwMKcqC-|&KF7d=gX44Y>7B(GvB%Yj9=-+fnm*w>Qy)43(8qpgJ z*uN@)j=)Pw<oh*iI_L<z;D{N`KWw-yJ?`)22|wd#*U~C8g*{?M^R5R^K{pnvi#=Nq zf7*%fP=j{CQJEvWktZCj+FLD8)Tb!2KWzt{M|TBu&RKO=tK|#tm{#o~fp4I*?PPVH zDAYd8iZNubj20^j&`VF`d$n8V$%3`Dyr8@JdRt{$rbo<ZmRSC<OJ>Po(3yG~+?E>g zYe7e|<>@?GaQDO^nJ<>>kBVAy%-&?c{;@`^Xu`ikhh(0}#~y!Q5a5@P$oFcq&XWbY zrMBy4G}ke3TXK8{U4i)TCFlx7(B+l!hn@IN*+<T3-gS@n4<CE35O?tf(DjswN2Lpo z${bl70X>{8em`gUlLc>%9+J5d9eKiWb~@-r!+omUmK@VJ7_fiL6)T!hS7p5au%jO6 zaKLG;mMc!*Y0@sb;I`3#{jZ}~k$~7P(5;Cw=bZR1ebqVRIPbAp%*5tRg3vn}zp-%_ zUkIOh@_m88v5f}oA2Y>@CQRES8-B*|UVp316zj+t%~tniK_Sh-U3_7Ef+G7vTd`*f z>x$L)A9e&S<~X6hc|!B1JK#g>B*cm?q-``{uf4dt(3S5HhuAZPa|w#<nd_=Shw7aL z9X8m|YPkY*l%MIlr{xwe)Hh9N{vg9$EHHf^fA|vx*&~N!p8SqD;TZX#F2<0(RD#<w z<8s7|=6{OZmK@FU56Ue%CW8*G>ut3>p>5ltT@=ulmdN)iN37@qn_Y|cvjy+ZI`JI> z9jbTkw*lyG$XTtHCqS!CKJjrE2VAcLorvfQIy8}6=ZS*OZ;f>`nk{Cv$}DLIUBdZ6 z2NW)#TSAv_p3t1u|FFyQMJM!*#+u2kGE1(?JukO7@fdV8U|Xx@ie}L5lhP*-$$Z%z zal(<q|3Q~!hjZi!$6Z~mmM1_*6K;B!Q`g>XHL+D@OE~Ccz(12gCj;tm7k@YjK0mLX zIkvm$2zaRCO-!idQTgZED_K0}v51Eh9AOnbDt<*l@ay{~L2(%s50_^BMUR?(2^e}z z;E{?cNEB#ZA<@PV)%)T>Q<tNgYKO=R1=dqR5?L#!1^v<p=-hGl_p^Dw|E1^MTjF~; z{@nMu@2j8RoB7jxt^LR8qBE;``RhCXD{D_kElud(x&Qr>I_ZPV*6B0DXG?A>e%>mg zxId^-a7~nDe)B!I&;>WX?m9Ndwr7E<Q%Y;<%-2&6KB(7~U9k1V{^-W3%&aTwK&M*E z>TJ4pE;rk3M_{&LO-N(!yq!_g4*uW;^}o*PZpvW)ZscRPYU_-7YY(LD4{Z1)2U=<W zye;SHSF4nL`(ay4q`$wCUD>MP7Ygb2cidQ$`Sj2pkL-*4{Tiq8YdU=0BAp@(TDSr_ zXrlhnJJX7_*OGoHvYP$c#ne*|>fv8+k#yPeIZp5F$+=P8nt4}lrt60^>{^d>R`*H2 zYf(?cH9#Grd#0-#HiEVgKxf$RB=_dD|645*@w1L~#Xiu=oVlR6nd1h>qq-mFa^-x9 zXO*ze*8-pT0GVsZjp}~c$(8dhmUYE{P_GMYee#UiTg58tCZF>=V9*QMTe3iGk%b$$ zKi<=<VfI=5OzaKgEn<J?Yi#(G#=7DkZ16qfc+dR5;gM2@&9ygNR2NOC0Uwbwxlmwh z+1d2dPrTM1Em_Po`)$=`z7Tn^bty6~TewfAxM%Brx-2TeXzZ3hRV!dkAT;<u7ZtRF zMmnNyo;zi(<?z)IJaGY@Gymzk_UMQ0TsbDe4IfWMgYKUM9kY^c&R<-=_UIQ6Rx|5R z##f;IOK-vFpYs%Ny#`*%A&~~Y=tf?8uWkO+rGYw{kkKH4jW=s_qOJV0FaB?1(ym|1 za22xI1ANiVjl9b@+x3GI79SQ(sM!P>V3=Gepu72VdPPy=wWO+ErrEin4ORW6MhgW~ z-g&?K6P+J$VLzwsh8OnzTGkP>741QHIhK4m7sA?g8n#;ta@S4uTr=nY^;~Oe{=MB~ zziK;p2?6A`8~<$Gw)?>ei|w@zRDdQ2V};8FbT?-|I`{s<&E@P`8*UnM1<VJpidZ7; za#3$d^~!5XpxbC><gvUFNqT9!u2tjP&R{b`@K~3?M#*|6tB~xA>qR1d=CZD+TMwNr z0c|efeRnyEXMV->b9PlTnR@!cN8=drEy^&je=+&;R<X;WTshm^7+=YPvO-?B2IzRn zy*JaU*H2HK06tO1u<H0~rlXeNVWC9uRX3th-T(G-t*JS5*DCRA5H!xN-1^y?`$2E* z(GSI3IVBsJdcr}oBA`gy0`A57eBT4QRA&;?70?{aE!cF)yro-DfR63sF=i@zl>VVg z*JWeUvS#5e$p@!>3l{wKJ|y93y66HaO_aHk^HJRoo4InnJgH92>fs0b=n&7M40HR{ zlasfKT{afI@y3XC#dS>srdvC87lC%|q-}FdKmR>6frUqS@8OWfM=Ibh`IU3wg1_p6 z5}y9$3b1{dZ!}x*$UeKR3SHHv3vWJ{8rA*qx5$kb-CPEc<uQ;G{d3n|OZv5gY4+RA zfzupoSAbJX6e#L~tR1r_&R>pn22bqLRt+<70{m_!?Xq#E{HecpcHOSsmHhuH*P5Ds zrjYsJpmkd5GA?Ulg+D!TkLrFH(%AcNCBx&r<|n~NFg;f2D*G2bF|nxQT2j>>rrC2B zGkg^VMU>s+oaU|x??08xPHIS86uyG#XdqY50@I?Etbup5mz2%Awy3_7Nqc>G!z;*S zV3y;RTW5o-f7z#4^TaPd;3gl|@G%l(kZ%@f<|k`<w(hdmqBnAmroq-ydA{WkRkU}7 ztuK0f<!|)+R_NhRpmVf3&dv&Z$CY<E>dXA1{W<ARSBozA)xh+c<>LM2(yLy)S1wq8 zFdVeN;?GVk9md7CLmOFoHtX&R0iDId$q7DBP48PuJm~5ne$ZJSWs|ffH2kUob(8&< z1Rj+Avt!L8zC8W<woR+IR&#yQ1$8h#b7?8Pc>Axxrc7mlg@-8Y*u8e^Ef1&J+*w+7 z{F2g(dQZ`54WE{Sjvt8z4RG|mdGEa9(TP3$|F3~BZ7kk)%6ThG&%H%lDhV}B;C}Km zJJ8g`?}B+|hf@T7)(5q!CT#N*^>EmGyBRzJa`q#CsLagc|3z={+*CSoe>K-@7Mr)A zQ~g0VNXB2drm0Z}IvwWix_3e?t1_PJ@2YO!?>T$vg8!f+ah`78cJi=0(<<|ohd^6X z;+sHIGMsknz?T%}gAP;qHCJmw!$&rd&rh6H<p_Oy;%xZMlX_e27P9zohej}^xvym6 zT;U%KK2#?Lr2nwxnYsm_qf)?{W`d5fk-I48;qbAi{mI2h&|u4Q+mNG64`tTxH9HIn z6Bp5G4Mi)sR2KZ&ss%o=B@^VM<$v>X+YTy8yxS8yKY+<iKa5q#z|IM>1Ll@<sP(5m zTjno1YY@qDnH#jGBX%{H$^y_`ODr-wEx}hMY_b2ESlM<$%<-QT$n_8Vx>&$BNKW1O z-7&Y9MFhNGsw}*m(@FI0v)#8Y>2Hw~y0G6F<e25|Un*4>R5e1JqskE~GjsX_S?~># ze?Z6TEPlV5iBlqeE!S%nnVmaXK-WP1JDax2b@tMPUB4H9;?ZT?+#J%#a%Cwb28F<f z{mZ1qgEq<hGX}W^94vWPLBS#q8G%}E8&aq_@7wct6PEWZb-hr(8gzWm@{mTBko$q) zja~K-U-@s5yz_s_=k@=Y_vZh(0dD-PQ3;i7?f%Sfd|-o!fetf|nX=b}23EZlJ8Z<8 zR6L@TwDfLtbvZW8RbUj|8fe(n)yrb)u|#BgprM!^OJ|0%ps2W)YL}yva9|+2ZXkP` z;4#=GkJEkBV3$1RuTM9+y-BOs{wb^bul<`APfVSBK(6fny@P)be$nR^Y@E8={z{nO zf~r|e$~X2TPpi~Cqol2K`kIc!o%3%@qq=|n<;wXN%9;V1DF<ENsBvqjB*W=#S-PJN z9^TX=m{a8Z>#uTx`t`fzQgarxYMcuMEsE&qIr|`*(K{S;u@1B{n!eV`!f{Kn^kmRA zX|rY4Zk+)>E;F>@6?oDh_n4!|Td{V#->ugc{p)9%E&roKrx~)~*Nz2z2k^1<Ox;hQ zdeHdT1JFFXJ7S(aX0PvbNx78=-1LJRK7y_QhEKDfe7;re@_elgn*tlM_WwT#D*VhK z6YbBlbYGckZusdgy5Q$D&|D1Y__jJz`Dd|F-7@{J-mvgo=73C*v}Y@JEreVaId{Dh zkNxTcZuUV9AJf2F1`V1u_+EZ5O?>xvMo#<7%_0#$t5{cnW&kokt1m9*6^5tZzYuk0 ze`vyIchLowCA)nxSY}pl%Aaq*316fNI{oo{Xab9mc(ZnB<D)eABGsz>VTn81ucu4K zU(NYa!YZ*p40J)I-F1bo?rj@yJz<XOetBIa;%7GNify3TtF1fP0=IDQ<SUzy8Zdvs zfoIP(9Ew{(6^V2*s3J+;<C#72KIp(W@N9e90fPu`**&24SxNFvb?AMOp<hkb9xYgO zz%4$k;iEoy#SD0<W1G#-_1BWBCY&@1+ZO;m8SGY1v&OBT-+kL|rbc!D0?oC%GtRoY z8q}!z*|T|ZNXwrP(BQb?jsQ`Ob)W^1&{e8iZ*LJhT(7y|;y>$CQWEz5pyeL(qXbIJ zy06?@vvo$DPvg}4VGXY!OCZ6kj!f5FyZQRC=#82c3}=6Ws+ZiX@YNhY*TSxg)SrCd z0i&+V#yrrvVemT38*6f($~7I&)NRWTNLb9TeP9Q8;Q;8i!_VsP>eTjMj`EQYPIxL@ zHYqh=F6eAqP<(Cq9QV%9!a6)VFn;BMXXe@t#rr`?<GqiYwRw}j+UfFZH$NwX8l1-- zl!PwW0a{oBZA0$~%QpPe%Oq{Ph~cqw*+lRin2@&e(Wvg1pkt&xSy$u*fljA8%%gP6 z@XYTmVqb4<wfg->f;GbrypI(k-n~Wary3~OloOU8eIRjXU8}}64d~^AN7Meb?PPz` z7Mq&M6TjquTYhN6M|Ba2gK5`K?hiMCUKkmkZ8%qZL($nhtANrepxB>XC{SAV*1GBD z%Bb#NPON78-56)x2CoAGwNe#lzHwaZy05N0@)*YqLpJYK4u#8@lwbUbo#80**LlYD zEZw&IfeDN4H4fbPc7c-<d=G2JafA6ww$9iW(l}LI!=ZF5$QJ1|Py`y}UyZuLud(6h zu9#_##dDw%7yA<DE!=tnbYU2%Jyw+bdwTD|(x{F{eyna6dY>BcDVat0q<Y`pDt1`? zou>Eg7phzab-SU9+84<h|LeJy^vjtw>|ZG3sv3RSj)t;a=zU)|H%4{;Dq%I-AHg^) zTU4U)zs1%c4N@Xs@}2p0K*w3WyO4GQv|zWb@8Ge$sj(vJS_a6=!@ft%PW++CYW8a% zQ_p|!HEsRY9T~@Wl*cYV?K6MTfm7j{4y6;pz5q9)AKzQDbwz%7!e?Es3pqF3K_~HT zeF@5RFXh&6J#ij%3${6U;gQ~TClPZkXtZs-3A!`#-PI_b@>}8MGPaS7$3RU}@ba*^ zbLZbvdw(s;Xa9l&r{-%qd|d#t4YdAy_tAytuf114y&x_q;j^*mf}NnX)UZ`Y>bKui zt#xJkv>UXDVLL;Ji$TQJ{d!Xy9&vycyiO}JR|zT9yf+~jGAm*YTCXs5l>^f;`!L8Y zeW?pqN#9gYoLmpuUAyaim8G+{!_S;h1;(qApp^#`Z_k!m_2|UIWpRtn8bouxdL5F; zIph9HE|mp0*MV1e#^-=%XX<bBTRWT+;5^|U2D-QK9cb<C&wbBqa(fSY1;i``t!!EM zu6*nE3{fHQz(3<+TmCD}o(Fz_Y!OKR3_4tG@dw8pxBppzT5R^<)v%=?XUIaF0Y2lc zTYc(Hv)ne8E7JUvjJL3y@eg4Yy3hmix$^GIEUu^B^74N#-zvFjs>1(9&@$7a<}MDl zdeHre8*j7O&WO99`*hvc;H_Mo68k_~rZ4^mtsmLH2(*6041Ss9l51%m2fiqRE{SU9 zpLW=ZY1Lz}4ckF?FHStX)h>E=;x?fx(?Rzp?hgd5iCzy`VG`B8Dph&=^{VH`Uvj2u zJI1XzRK*C|_`JZl{QZHu<_7CQExCK3)hc(_y_@T^qN}Vf`1|i=`dOPrc<R@2c^`Oj z9~3j}JWpKKiXWNyw^<>iRC#-U)5*`EW^Qdas5Nmsw2>v`I`lG0mW5h<Z|p;NzVCW> zElqPpxa=bJDGfgbL5oR(L3e2?|7Lgm=C{_%@t-AVHOo^rQ9+)%MYa<f9?f61A?||j z`*o!&y4dB`ecdPh)MDcnA)fh*L9PI8zdj}pS^;FSY5%o_tE4Ln*Y00=)*zB|+5YfW z)dgqd)@Q3Pu=0T{;aTe(Dq|V*dh%AuG;PP)d0IM*pI3u!yxb1*zI)#KulKid-SnF9 zzI27mw69F?>m(1<wtx4@oy+t;UUt)eX4UsIxIpb7?lUo=qOI*)D_5-W5s_!<4A=B% zyyRUOqA_h_2$#r{MT?Rq#29*fXbd-e#9YcFbVXrj$BzRYi+^NHI3c03Xc3=Vpy3Gx zL0&rvQPJd!2NhQGUnzb!r}W+aXHWiRUjC5!cJDX4*K_LY7F6!cnm+IMs+`{ci?@sI zjgz~<_x-%}&iif~?s}GgJ0K95xA$J&yoEodVs^ba9wibVmhkkv$bw(}pn_<_iHzqH z{!6{v9dBH>Wwn1$!eVpH13w;v>Y{z7l5h8jCro~yp}VX;Bw@4qoAu0RLCaxnmuLG; zZ}@kwtLI;yTK%5A##<$SiEA4)<?0FFm%UlK%AsyLlkx+Hz>9oO9`S|BbQHe7kft&3 zr_9a!ryh$gsA>k;YxhoJk?iAt9oH89TgD{)*N^d7zpVpk9i(u%z{S48=TY4+PmA1m zbC=8DKX_r?<UE0keJ}4V-1@?P%>fQjuYQY!%*}hNQ!}4{4$HR&Z+6)HVafT+Q6gKJ zwD&J#xSB5lx(5!j(?O5@)tj#expKZFu}XlJ+gw<85_FWr_XUk7)3=CSme<;F^S(&J zzbnltp!FG(!50o+zP)1Wi}{NWaLh2=bULK*j~loTY|yOntKH!J)hH2b*)^x9uxmJ! zt^#}LjKU(>!!@g~E&4ZwNxIgb@mPIe0?X~YbN*dk&S~5cwcB-uf0Xj+gsLe_v!`+y z><5*4plbs&jvM4(i2Cv#y126_`8WTxH-Wk?`(6paF2g*M*1a)jLuhv3p2)_j^8*^P zz(ZM(Q$GGL*}7u>j<9rj*@X;`jX^6hAV(taKRmg6tJvk&A~)XXvCaT3umm4!lCj-m z+4QHIcz%Hv%o@9u?bDhNzZ7v^@V!M_HR{$MICWfhm2g<Q7Gh7z^laT%-!(Q|6c<UT zX$O_$!f66)H)J2GiO4p*TRm4Nd9yE<0jR}u0pzDeu^gYd*1G-&wfGFcr+bvm7gM_b z)j)R60$I@I&^gcS8}6(>2)Y?kh&60qFypH8V9Sj87J-%;9hT43eYKNG+V*WhB->-q zMSP$eKEds1OZRNUy*`a+^FkVag3cx>gAT#Srt`bMc=Puv=z33O?U2Slpz9UD{qBD} zpF#H2vWDe_H@rIOe(Hpqz}uP`j^F{9iY?a`)lFrR-W$(&%p9~?4mtpHZ}HX{cB>Ag zt-f~J2z+Jpmd`sUf==u|yvHH?;{GV;0oJ7-=WdGLDgZjJXMrrY*^S3Lcli`9VbYFY z$#C_zh=k*t*N1r)SuDAKz4fQ+TGzk9tY*KKGxcm<3{GXjpj~B0W9Q~vjPf}jp0Ihf zNJ3pVlk$W8wr&pf+r!pGJ-ol9dcT=-{rAPCl1$6GbLMJI01a_j1cYp1-Wk&#)%vKL zYt5fdrV!BjpN;FmH?I4xF{?Soc9Z*SAy>|qC{_v3YU_;aPo!Kf>OHC2d@ZSJK4`$P zhtDK_F=%o45eXOVH?t)CuR|_Z=rL^CHu-nvfeEpzTTg&a!g(9<PP$OwXj+}d_m#gU zTw7G}>vKw`c7JHYD^u_iZSWboPI7e~*@5+;jlFd%8Ip6ZfDTCk9mjI+|DBW>YpzB4 zaI>224q$wC9TdPnd#bmu<oFBP*>u=t+w+Z^*go+h55;V|w&+I#llF10fWI-&2K3gl z?koRRZ(Z?S`h=00RV3pv&~Pv8@RHcITW8!`bs&vj<3LUS^Vbe6Te5?q*DHbVRPfPl zvRL?k2FoVzEdoD5H(-7(Ph=CEZMyc3@Sf=Gz(3xNQ_tU0xxLWJ5o8nSke+k%cl?&x z7nmJbAJW*nZz;oL@HO$^Aye>`k?)Sbd~>;!E2pfD=}bGgkTjNjdxyhr<~_5uuK)d5 z-466jv|YsY<aZ8et0CCBzmm_=*1CSQVhyW{U|h8xJf;b063@K0=-yjatKTmKSUnE> zC;|1t9eg3@k#}#AR6CtewH9;;Eodz0jC>GeJYyzkJS6p-Ra_s>-qZ{7pfx4>p`f!i zx<5OWt=s13SO-4)b@#5<lB*WYt(SRMeb)Du4(rkNpz9fPyXS>7tzr&M1YMW7zGB6r z6MG&l&ot(l?)cX%G=j-(K4?f|pD%bw<1>d-sCCh2=c`W~K~0$NHjd{m2pQa706K?u z8)Otgutlp+=3V0Z=36|RFX~-IuW?p92knH>2W<=b?=O0d^A>!C>391;Kgs6QfH{jm z8-v6^O(AuNJHU&3_q@G-HBCaV`PcbX8(4aNslU9%DX|`MF7lmk0ayF>?0B1=Y3wuY z;1?m#5YP41pi8fzqaEN;h;9F)XD{5&^XvRQt@Mn!4b!c)gdB>?qyDKcdgGGY%kpF@ z=tSJzyFhnHZohGrP5yG4hr`EQP^-v(1rz5Ax%y4>!qq#Jf4hS&ZInNlc#G$7YQTOc z(P<4At3hLN+gBd4I#{+n>d*YFg;_^W{F{CDiC^xtrkBc~rO4kbUC#+{&d3jIEp3|j zddrV);e{>MChL_p>^S@=>08|TyAvD!O$Cj}xie@fo!Ga~c0$9S?I6A`_<$Dvg}mFJ zR9Bqg{yK&I^n!@hpb!cIh0t!uh}?b9h+GZ-{LA1FIt~h<f9tqZ682nQS!8gH(_)i+ z{~}Rk^Y@7h&Kg9rT`mTXK7ka}O@>6&FYxI$|Mp)?d!gI>?_BG=0QCpC=2}7yp9{1% zH*YZ&ny?=<Ja{Yit};jHmgqm*{dw-KI~x?x14=aY3qS*5pmTDLO#G`18gKj8lk;QB z<tHB|HvEzRC7bnOtU?d|y>j{Wwf;u%#B9*<D%D?Z6w4m}IRAR}vw8e!Jju`U^yGVH zzP@ud)$Z6C-6xY=eoc8IB;=`LH;JQjiOMHO&?ze?-SdhipBa_g<rPbc&9$1F{{FtD zwRQEJ=dVBi`}fm2{o}pg?7TIfjFUcS&i`k+VKp1uqxv^({{L)mtJmxB)<3v^vEK1# zLeo@f&Q--W9i3Y}S-yI6h}~1s=&SC?UvioARKM_=HGd4$R=EqUx$77pZ^SCh{aa9E zy;{U9*MNKf1os7=Q(v*qV}seVK%XoAN)Z(e3oJev`sk!KebQyo{%qIR`RW}@_H@B3 z=>j@AhEwy8Z04A@OmIqgJ(IBZaiNg+Y7x@kRMcLv3+cr82grO8QJbbFG(}%2qOy0v zu7f7~F8Zmi@K=n8c$n!U!ml2&Ywm(Q2aIP#+?>6jO7-9^pQc|w(to;HOkZFzHzD&& z@eGam4jV3Utn&6de&m)S%h%Z)V$Z7NgpZzN@t!U;<-StH%_pq;0?#W%%=QY9|Ei=W z)~BX%&vS#x7ge7r-_^k<$9ynSyQU^^MPDJJV&(#iZ=(Aq`l)Hydu-TrX7!8}b*%|+ z!<v58tWQiz^ly6fl*RkK;FRq(bMvRj3x&*Ai|CdW{`#0h?46>9-aj_AX?#i=|N9bB zznL2b-tA0yo7D8{H4C@&-lOJ^GFiO)g{FkpNDFJntFQPsGa>h2xk2Fl_JoI8O^@7J zyl<a-ApP?=i}rcpkn45g!rAIVSKcc}NPLu2>uML$x$6@!?{}@wnm1kne_0RSy3O*{ z*ZzU@L!YKclUcl1pF866Q<_D)UU`MyCq=bud<rY#y*DiAHwg4$@fH`F60aB$`967H z;C}B7-vk_MZ${3Du;^J(A${=DALh;VzfRx!Unl=t=isUJoU7gougNu)zW*X!SjWaY zASQT5gw?zSfB2iGs`3b5)fZZG*C9aOhC`TpGDp{R0iC^$0rx7(_66?q52)=)$X$AH z#tM1I4F&9ug(qZYMBJLbAcix{PHjb=x%&N#=Ym(_6(S^z#nrmh1$FNF2gFt7`K+m# zx!@Oj)7Hvo2VH8-n_5qEbQyC@Gn+p>|B1Z78XMPu*jziGHL}hD`@0hoZ=@Rp+Du4z zY1OppY=7reS<Y4Vs^BA2>K;fx3~72K(zNuc_yL!n*(}=iN-Nq}4L5ERcl^uM)H;*p z=tu64y(N5(KP{W4Zf#3g^h>vCYc=Pq!{Wz|98zRCI-6tKGnuZ=PkJoc_UbD@XQt!{ z?z`x(9x=l!KxV6k8tD9(|5FoEA12ON@y;E5evH_`LoG*txb103c&Xa-X;*i0(o<`e ztLz-t-1HhdtJETPOkH4czraW5tz!V_5SZO8S9Pa7k$$Vw^vk5Fb%%&r*Kt9ezjGJt zxxwMHrlxU0mF>Y>3zixN&iB|*VCeX<v}q}?`={PNwoOyrIaX=wG<R-2%=v1&u#V0S z9ks6C0y=-&7W`2>c*^Yb$KEQvgSSeWe!X~VxUoRV@uOYS(xqVsTz-19Xzy2Fac--U z+BG%dEBdMt6|)!Yc<EK6EZX!*m_>W_nx4*A7g@5)g|4*s9XfJ|k>zMM=d`qFR^g|z z9AR}%0kty|a^I|~Sv=oo!v_J!!kbqOHhu|h`XtX8X1Cc&ZB@0<8OPmoT4la`kC@TC zA@oTk`^OxyX9~85o%lXUWk2Y$)VQCb$o^GM?AZd{eQN7YINEo#T5^DH611q$1s&ay zE><+59(0DrIVZkXZi_*e^ksrhNI3;MA?4aJQOgc@&<QE}I!_ezxA3i-(fr9$tSDf8 zQX=0icbz8-@~zwMKUA1=+==fIx6T>IsC3ZrAb)bjo-Oz@xmD(hU~=J6nJu6-3HxWZ zT5^0|(+)bjBRP@pl78d~$GY}bOO4rU&%ZASIG>WpcWbiFlLhN{F|M1@T)@L^*-^gH zfIagfZ=oySr{|F~nm>V-$!rx|H=}u4#0kg!6Iv}f)^D27yyM@oKYZ*jMZ}6G$fqUp zoho`<;L7(ZQRj@~?%r0JFF(x>iWUoeOHyS22s%Ba_J9-Lr)#r8mqf~MoY4GB2Xq+C z9iH$f3*^rql4+@qJmDy_&vM<2<`M&L%M<3C4A@iqbU_BHh&@{nd&r6Jm$c3qM~UsK z`wu(X%x$&w=-)h{dC#XX(3vKnyD{$_JS4M4G5T?r%$M588O;Tz+?E}iBW5&j`CC!f z-dtk`I*TSnk-hYc*^VU0Sv2=S_D;P0s7vMu=**Sqh!c+U%B^Dz*;C8KiURbL6ZvlO zh!tHhzdsKY&_|v49+`+eQ&?-q82&^-{`4W4DZ3+2ILdBgUN@t;Oo7|-#r2H_?1=$~ zL@hgnBWE<12y$DVSijMLJ+)c(r!3#Al{#k}XM?=)Nf>?-4aghZZ(6jACVU44;8dL_ z3(kR}<Hy{evV6ZRb<Q}>IOoLo=!GZfNF7d{Ckp1^BT~56op7{mX|>ES-#DS!?s-a# zA$y_`w`IrlO$O{QbwNj@+yvb+Ip?4g->VR@XA637@q&W+=pmUFYfv!nvR*f%d5b-_ z<%#PX4A@f>_+utETQ#;?W{7W?(ELw`yIA1ZJJ6ya|FlHDOU*h@9$fx!^zZ-tdd7FR z8IZOZFMs`fp-_rTvx|t^QTB_olpU3%zI5@2@bCrbY_;L@X#Aw%yfI|KwayhCi{#`v zgjAYY0z+&-3Y;gfaK3uLEGplVG(n+FanUvQ7x${a-Fm+6rloo2th&hm`~TIiuT6V) z@Afa}_T2l0@mCYI|HjW{n(HTf)9m|u(RclVHcyt^wq)3RgzI%l*_Shp-_!qWt+c<E z^hul5?C*T0GxO>zBpOxS9IT&DbJ<dS=5{IH)u<A4O@k)=9g2UAUc@fs64|b0&~!|` z^P>Dc*@&uu?2GlM1b6xTEMk?ASq|F0VC}i-*g5^2!~7Y#tJZ65xOiT4!M}b`f0o0z zYf>!FXUo+ol0NsA99YK8IwN)!XqgFgi%I3?Ym2Hu3tMJzZkYV~k@eRyyC8P`Z@~#H zXCpQ&-KypEe=paXKZ}@n)WCZ`65Rr&F1>$!=+E+Ni*9|+HQL%6x1QlE=w6^Q#WNaS zWuJFj{abP^=~FDL+23ZSGxIh?fo|Hlt<*W~W~%}BTG#TepyLl-<c6|#Ws68SK7J25 z*U`6e>Uj&VYX=^HdS72*J4_A-ZxOqCT;#@!Xs&?&exOU*mWa8Y)LXXguGHMxPfDz2 zwlR!pphGBbwO#?u?t8q|TI>3~47?>H!|LFElW%g@oJ4l-jWsiDO4IpnB<;F#ru?bB zXXHTRA1e-=;@3X#;5B%IM9<<C8@Uzv>(_2wVU=C_?IO3x0z1$^2IK<Cxl^L^_jqO- z?sRJO%@1w3*$nC+**!kD&i(Y27jg?gJ4~vUY6ZlsIKUyLJUvQe>E5KQ>)E=$_6H<9 ztrt!Ba3?t>McJdwZmUMtn`bNcf;L?)J>WK7)1el;;sd&Crf%)gl;_E%-(J>n8APu> zz>y&ywA;b;MI3k~0aK>ae>ILT?=Crs+y;jmY=_B?>xG+SLFddqmE<z`F@*_a4`?5W zec^Z0Kl83F`n8xz+IHiW##c_D@N1ED1x=Yq%B;T@<+Dv|!%I^xgWbW6f+cq%9wr+z z{@p7o`S-4m-KukoZ}Hr<?VJ9#r(xmZ@(Ef2Yr?@>w`MiQ+}YU0Z1~P=_QL<UtYQD+ z7};*mU!W|kVl5B8C~|*9cA)IS18&bX9crh7(qD&lSH|%j`PZX-<iZjz-@T`JI-v|a zWbR?!m2te}dZz9!acu)8&@Pj+?C$T`bX^MjnUph*6-l}WW<6U!r+P{FoE6sJ;#V9v zwO#AL0~uDg32$nS1%htPid^gZm6_G-S2xp@-RmZE_BNC$KGw*3b11#-BV$yz=gI@i z#I+8*se<mvxTvRgd1rL?#af?6L4)Kk-|RfMITv;?DQEnNodMZpvQ_Nwd5sN4YZ#jC zwGEn5o*(W3&HJd&U$Z$}KR99WV$lWr9H4>Nd)Ma7>n&oFcZ=L8nay<Oo0ThQYM~W; zgXHIgwXWsOpk&UuVY2k?{TsjNU33yL*EDEK18+wIEkN4kpMA0ZQq|p!H7l8T`oI@( zrpvf)Nj~=eViagK$YOa-hri%8B_}MprrrE$ZFBE&NF>|jW|12udzsE?LH(q<yV7W` z^j5LE)u1^pxBT|YC5MuhLjB}Y-?q~$`=Wh(<J9@V4F~zb`*R@sV0^LzZU2@;9&=kC z1U(6e!?+8SL-nsk`Q!y8T&@;L*!GAAG;ssY)rMzkw}{={2k}#I&(iIY+<`GG4{*%d z7;`M}NZRN8dmBq;Ut9El0+aUqU5?X^JrITGs?V2if2dgN`qh!u?AI!$E9Ke-;7c(z zZl2|@{WIfQ(x&e-H}&}P2R7Vv11+_K-X9s~Wj#Gxch`2v@;ByH4jWH9sH=dZ3goT* z*A~_HFlpak&d{t6-lB83XA!vgo1dk-s$O$L;Cr1&wyy_4*%a!2-l*=As$4l$lbO!E z15Mt+M!oK2E<ShfZa8Szi{(b=`wiJ!1opZH@PsC=T_AbqZ_LN2wN7lm?89197yJQj z{WxfRep>N{unB3O!_~r;F8;9m)w(m;pxq&JS8<&_@Z#|*2ewzzp^0ljxA2LtzI5XA z@~oQmX3lJC)_a2eHJC3?UFE=*wmKwnZNq(Sb=LBN<J@7ow-xU^)x9M<*Y(2QDA8+N z6}KH-nf6+P?ohN@#Ffo@M<~Q3dRO=U-)fcxDGUB*g+{QQnjF%|!(#`M%`~h3m>lY* zaHm?j&Uv;X^VR&2L`jK<GO^aoU+q?H2s<$G@KNx7nUBA3@jP}lxVeVw^nov4pi2#J zgPft<UM;tJTCdEzpYdBIt-2Td-g366?HX6b+Q~}Hy*q!~ysVr5!3(rGge5dWJEN>L z|Jz2bn?HT;o_Eu`lxF$jI_S2>{UNMI1{E=)*SId7_@~$rdiKOaO$odAu|+>lID8cg zjbL+2U(F=BLO;B9D^s709QaDf`^r1+*W6ijw#cB;L3CQ<OTFi>Zb{B~yPhkXRpzEW z%iQFxTI_efuRavTsBgZ)W5M^-w~ag^_Q9=No6@Sv*CvNreW+Obe=TTp@2~TA{(YB? z0`>)po;dKpCp1FaL-}{M?CMz)pI`rV`4-P%*MQo1(P@nr=Z7@%T(O?JK6^V;pUk?_ zHD1ccv-hqyJ6s{?b8W>TBLmr0Op-HVSA$$&8S{F6hA1dF_(LPKAL#mZ@hoZnDF5qj z{*rlHUHvTCkG>8`T)QCh)ZK3puDcVS#U1g#oM!o=-br*?<0tD?4s2|}5O>^`TWzsv zzu&v;9glZ^Z7~Yi>jHAgL{Ku(g~U!S<W9-BqsPOujZ+ee+O>3;FS~~{@|-EVuf?9c zwn6##ON~b-@_6g{t-WvQu-}~wN?xFSRTqA*_~yXYmA~pxl;h?pyWei?^8UE(e;8;F z_>TYow*B~8|M$hebrp=IvpC;?*6hZg4;Scs@t`R%M9pJDgRlEhR?*MmqRlKdiv&fd zFHaH?|D>{Lkzm`VDN7F>4)R`jfLU`&lZA&!GmCrS!49hx5-M8FE+K1-1gGy3*z^6Y z?f1Xu@9g1h`EdH@zT$V*<<o?UtW%et+1vJe{ipvY!jw;cI#9NwKK|jqZAt%kb}&4h zEh2HSY<td9`9@c>4La3xlKFmK|8Us~bf!XBeLSNZXi{Oz=hY`Op6_LlwlBRQV~{p~ zk5i-X{pAdsH-m=%|D+k++R0I{{*BvQ*Y|R)ZX2%JPJZ@MsNk}V#jpOC3T(gUX{j4F znTaWNpRUnJc*!x>^&cl|Sj-}Zr{&=J5?OY^w>Lh{FA)pP?@e1DzlvcoxGXZ2We?1L zF2!~%{e_O&b?pr=&x<B}lLwWr%N{E%ip@~F{oBNnr>b4kfT^sfZY39wnHJ~*=c$q| z7wxB1&OWE~e=n2vyn<b7I`QE7Xno_3jN?Z47j9nR7n<-jj8)<<s2#CR6Ess&IrIOO zNS}GNZ8FD}tq*Ou=?<FIpJmkCQKnP8_uQg#(9uvc1q&v3zqQZ&_5HO1+wM6l4|3j$ zSoc`r(WXkB=%}@uPuyR1pzFM*L*-P^+{`}E!FbmHCZ1dLYc|vB`5VLdnlDZQ)w-7p zLF-n_EB-jnJ^I9k)$H#qrZaVG4{#WXul^~cx}ZL|YDU+;&#N{~O@EnK#jat%G_CJn z;Hm=;R9M{(I0Rl~D=KZ*WJ|icRnPe!Gi%tNP{vcs=jx_|rcGY+Dc#!mF8r0ws_)tx ze#x*(++6`0Z@(hza{A4zUGFbO`p5+*T&_Jo@o+*}3)m@n0<OC+yz8{;zL&=8cA!l8 z!Lk7F|7EgA9w@T9T{u=G%Pu(m=X#Cr*Dt+${z~%mbj=NqY`FsFtvtZ7XzqK^T-N-1 zZSmJ4L-q$Ge61Hv*z(=VGlOMIwiRUM>f^&DVv~a!ea*EFyfI^S+i-VjMxE=g`;m?= zyD#{BTX1gC_S?@*tr@M|{yT&w6!b7DXZ($w>F82FZKqrM#Q4<*x;mMr{0FZznJ($F z_U@iD&*!Kwcm8U{8de{|==K_v@Mal-4*4_6zZmKBE<E9K?78a>n?chtW$$DZ7R#Qt ziAuj{9oZ<j;S9%)&ie<WO9Yy&MI{`ixwn1k=$c@^dD7*`k6El5_Dc?MJc^4?6F54- zce<HPe0pGQY~$7afejZ=ahnJ*z6INI_Wi3L40De@(O@;R^<+!~uhR%l14X5L$xT_S z?t6#1dKyv}?LRL5q(lO8ALjL28?~J3)-h@CTgC8ny@-V4o!8Oaiz?P#h}stC9Cki9 z;p;>$11r$B_H~)M9gAW!ayOq#D%#C7+inTN=DYe+Kr5`4ZB>X$yS}At!nsA^8U{?; z#2j~@ti3M%E4x&n8FUDW^y%6&Y=JRi`;6aTi+u7wIN@lq`H6*r`@pjc_d%<^eNP_l zf335sTO{JIE~|tsI7yVqxLiE<U(2Yc{P&`?`7$dGEIY1oAP01*<lOfbx0fk>c^8zx zq9goQcGZD|^}UnMazrU#j}kaq+<J}gYUC67;Dn>CTmf~#pc#z&Q36}bK3}U_zIlaQ z{lv8O>|6#`QJ^zyAYOW#GWTdoH`DBUD;YLJ^3=6&cT`qhw03C}+_04+$>~3P;DY)& zd`SVC(7YRSZB3DVbpEx-C;LMaj{1pbfB5thJnsX_jQ=`!U;l8{s{55O*P4A!jBe$i z=^fKlHo>=hrdRX#$zO}~`L@G_FZpt_XhPW<Cglh6f0P#N-@d5hpWfv4TG7i-U)b&0 zD7c~I%llAPHf^))6ehN=F0%=%t$hpyUf=Vdu2{dAN!xBE!_%c;D|a<_l&$%_+p7E3 zd9F2epOzL`_3(k$9lZi)HK%mL$khjynQI()13uPi*<FQ2vbSqP(l6eAZe-abxZy6x zj!Ze3zlTc&nuSFq9Hmc}%D5EH++Xxgcduvq#D39;kL;`(^DB0;sdtn?3XHmq=N45h zXIkwa+;9=RVR_kOg{-%KrfVH5f0@Ij23mbG<6Y?K!ifzx7lKCfPn@;o4DOM+b++$% zs)s{<GiaBwT4NW-ukEk+R1!WkfTkeYi?-|d9CdDu-u7Db7teGt$A3(r5lmg615q^m zLCY#7Zr;CQaP-8UmEo_Ac*GsQCWl5az1k02-TAh1sg1;ImYzFzz8PF~n|J@4bBW}! z?u4Q_S~`rEy+l0@yh#g<P)~UBY+Jjg+q2yL{?iqsS@zD|zr=rq@P{I4QO*TBtE2vL z@89nguFTlHeZ?Uw$Lg@}Y8=5^X3xJm&2IJS2@QX|K#q}L#l)$RzG{P?0O;=P8A&Po zIOo+(wW$F)M+@W}{uNA|5^F&#Y~Awiu_R_iru<Jd-sd@eX+qI@Egi<oqS0S&aL#zU zoD1aIJ)DO^dTjQ$N3J_Pq2b<g&=t|;av?4k_WP78FgCvjt@EwcD8GGJg#YO6eX-LM zGX<ac?~IzaNp(TRPOS+IpY}o=a$9bh#LVq~bz<8dDy`TDnnn8S4LZBUJv4D{!^GLQ z<(5rz&CC03cm0I%kqSW{zVKGngsLXc3I1xZP+2KqdFNaHYonCz1>fC4vu)s86K(FC z`ZsyotIu8s9;kwL_RhPym-CRw+h_kD@_E0%l&X2cAGAtjho7j2!%t(-U6K?3&Q|c* zI`OaZric4WC4~aMuAiEo!MP%SJ(o&C$sVmW!Ujd3)i{C;KmBp*HGg5Gl28O%IeVEs zq><%J+1+Kw-?8+(nWv_al=8phu7CfV)?GZDFZP0tzX#8r{ayIZfr;%pICfyc@=rLn zZK>;o|DdJ7k0P(140dBW1y<4XCck<{mhx}4oF9p?Z7d<;D-H<-)H{O?aIr)2_1yK> z)lK49CWo%tz_Q~%tA6cY`MHMCa^O}Ebg{1U0oGg|Ar(g{@qj>05z*$B<&H{P7ZyBf z@@DPKm=K|*w<Dq3vB~AdgC_0_X_tdM$s2i2FgPD(Xg<tg%ctDDNHZj+V2Yd290kGm zYxh0BW?dUR7qM7(Z{7O)SMuXGIzNkBoE-P;|0zC)X;&L_i~rv{_xIqN&&7g`f;pvI zZ(Fy{bPddl-1c~mWWvp(QQh~dSltR%uKrXe2pM#dzv%R2cl^CGa>tc2jyLJbu2SN$ zTXLXly|zQ;Rwm_++Pe-Zg&yT>?@a&9y_VE6)#}NQqg(;JpytDz=#-0m|2zzS_FPN) z#mpLZe;LE(wOl<4#l>dN{T=Gl<yTMpY5wvZ=+J<@&7u;FmtFs}geuragAR>Yk-=WH zGc2n0;9jmZKjt${(O;7i0lI#8oui2O*Oj|s?yuS^Q5)3QyMH;uRq#O`zUx4n1lHAG zj`Epb*?KN+e-vZddr)_(INIf+(QdV4##_bqg7zgCx?L!1+CSm1Z&b&hLRPm6eXS>w zHa{y$&U~+(n#pr-$$_qZO^2Vk;4LG^KwA=w_XTDf{@TnWZU0tFc10C<6)gDb;qst= zH*2?FOR@?{`06j3P&OU3zVp0AwU6tIx0PFca#?tU{~iu${FB0pbeT|mw&4!HM$xTI zQ>@or0<D4c*X@YfU74kSJ*wy4!UM<R0~%f>f!cU#;MJ;IzeaVxROVW9@2^xO+h%8Q zrGLdQNU#btwzN#lan6O-_i=wiqdNZ7v4T%<Xa;S1I4~)8^=Y2CMF+Z)MHc*718R?f z?hc=8U-(_>UqH6uuGq%j|0@};uG>EWG&bQ2+RpU;>AZs{4@Pyr<m6go7tNRk8X}ns zTdjI~(bgBXD-UqYF#Pp9M*X&;eGv4P<3;v|Z9=mRf9zw@&I@e#^d4MofETN7-X4`M zzt^p?_x^f@t206UU9dH6JDsu(?=Cs;>bPjaw(nm-=b?a>uVfrI=)Vy4#S6Mx_2+{Z z@e5i{T!$W%BLG>g`pt3e(FZThU-TW-7F|#SUP}czfBE00Ye^+5nWXQpWw@FQwh9z; zKezk%eA^Q^?cf&!*0A|&7&d<f2VYNfN15WxoUPXueQy9?H2i*xoBEmW;fNhypDorN zebCLd=Ep{+DdpfrGLXds_1U^^=XZKe+xT%3Q;0ff>keq?O13%w=a{ueOQtfdejna2 z(-*X6*%Wl_pv1GfsP20kLFXm99q`M``M>R=y)XB~e((`Fr!6{e<k(HqU3)o-=ikx; zUFr9AycgHZ1xFRA>1_OO!L_6>rmSZBoETT>LjAPm%(?pyPfm{NerdP;gi)CMN`}qB zpc}flp4%^AWBoh(0(1Aj&nq@@Z<Vad7L{OhcK@#!s&GGbZJ*D9#zl){PaKt9Wg+m` z&SQ4s2S(Pgno!15r@_mz3)uzVN_@AC>b_VndgDjs_ETjm`oOneKjK@ITIPFa>9wS) zMyA!*H4eOS1gA~Nz3X%HFW;<Y*D_#QCe3Kw8u9CRw&Eva(EVJ~z`px;*m|1Y<tUzI zTx)*xGfg=U9_)nN&~?r~|FC(MuA6*l!sC1mhppfi6ZqowKNr;bGjx|(uf2Tp^<UA1 zGSIr;xwn<NGM<MQ$LDOmyj5(kw+LvPUVXFH1p8HpbyV*P+jH6vz7~!6n8*sg&FA8| zc1f4DbK`HcUC+>U+aI3r_-*}4=Fs1;c-z9AD7Sj+jCsoryxPcg<{C&Cw&Tlg&DIxh zx5Z5}WCER={n7S^fUZm3A|~aG<3;nO<}Fs@Sr?LUw3aI%t^8FB3)0!r`=Yup26E;6 z(PUkr2Rhp&8@%Of|LVzGqq<)@bFI0T+N{%j6Le}dXbn|V+Vz&m4Be`9O#`O3&VOE^ z3isC>;Mlgy^7;O#f|a%lm3Zd;*N!|a6tE`}R1p?}D#FD-7C496bg89F*SXJDWL!KO zv>f-ptEh*=*3Yq!tw5=qp@mNhBKfYQ&CqFj`Ek_-mMiHim^f#|E`#h;DFE$MIoo=B z#n}nEP4~<|mGaac@J&P3(%(;hiz`3<aJhngjOaDaTd{X<`#~0&7XQ(_rNi2s54wpa zW<8h6f<KG3)(9V&_}5ur)vbxMmnZy~vT|MklN)F!)#LJ2Oq?tHAWKPXz)MW`N0-h& z7{BOjkip#rT;2|USAZG`a?(!|)(97*{1-^czpzT=iyi0!?mc%FC^h}_s8(S72|Cf^ zf**W|sr(knX<`Qx+T&j*sxGKl32LY0g4!wW->zGQY*jwL{_FECl5O1yUqCn594iMe zF$sgL;CT%?R_}V%cHg2lP?#u!!bE))6X%S%iy>ihEj&|nlk0`wYeDTtF?Uy{M+bl8 z+uwcfwr#gNzZ&DuZqQ{qv8V2S3vk_i>`bkoebnrw2_G^+Ew}EFMwTg{dxRWiZr%qS zR`<8GX#GR`Es{b8RoBuioxKnI*b3U}1>Lm-I<M~A=UwX`P2LPr61$Si+hH&0__sHl zpqM!BgtW2DaI^RyH$GF=y`Y98qdZf9pyPkxTg;p%_JcNf-4P1e620rW;+BWgYUI>F zHw`6x2nV?+{myFjsSTe(A%Sxqypl!k-s-akF)WMMuQ()R@NcHpgoZ3o1QtAbRtehJ zbpEheZre$v8Sl2bp1U9vAP-u}Qq~1q$)eSF=JkKw+_oISFL|J|eeQh&EzW-ay!GGw zEu~zamfu_c{3<B1I17N*KV7&x`w$~2v6QboBot5s+NSnXI5dLkPW{%?oBlK3Qa=(3 zI$Wjm`h#HE;~!_9H=jHuMrc!uhssXSiWJWxXC>Zb^K02}+6>E*_f9()aco~tY7CpP z#P4$w<!h4P9Gf;pTS)0**}2{Ci_aC$gWd+jKV#302a#+wzc!y(5IFy@<c3Z*o)iB! zdd~k>{b2e2u(JQ<U*ZGyPEE-DcJ}|*Gyl8xNgh0u%5wBO=d^3Ln)06nbA-hytvIGQ zBSP9SV7}9a4F{&p(BM;znB@^LFIC%TO^!!^ZGXaB-KJkz(Vu$@bQ}xW4qlpcJ7Lit z^Mj{iS+4HfcJ#<Cfu>&-O|AW$U9a<wn_rS>`jpM0t)183`D!6c_GOM&-0vGYTVpx9 zCUZ_plkV#Lq{gBxE*x@SH6l9MecwgygNHt|98E1dbmUS&)2F{I+MnO`biUfgk}b^f zin;vY5ho>$KGle-$qV+JT354J#x<a}DIxdcU4uY*w+#i>j)i?aol6h0JUz`BW~Z=X z-Cpba8H+hz8FPwFlW*&M<k7TrCCgLR?+1>Y>SMX;$&%gt?TAaQa#JfeM_0bE&f0zA z_fH%bTw~)K5Sx|nv*xXH!2a0@iSKF+0`GJstQ4B^-*LmI)3ra{Y?~9_mNxzJ`kk2c zu%PMDVixc2cm188@>sOZg+umR$Ovc876RQYQ_;U*$1}zn<+&~ab&Uy6ce5ugs$w{J zi<#x?Pq&1m#OkI;r7YfM0&1uBt3_D0FZiY2v^CWIQ?FfDLZX#pVP~`9#x3fOKlz%b z7I3Jonk~F0)-mA!goMPo&OhC3dJ|rXHhuceclgMugDh9uIj@OX$q0X)%ORGhqH(T@ zMQxgynnt|GhEL*6uloC+NWZOU`en?*?JeKh`KXS?`@7JT{Z?GU+R?%x>(wHDw=CFq z?_!Pe9M^!F=7h|r$p(RTO$kp8n_ijs9X%4Iw&K3i27}*)Gc@wtHkkA+_+#EQb-wI* z^H(QXvh{_oOt)ne=2qtD3g(#hOn-*Pdxs50wvJzm)svEPnVWv?WZ}*i6bZNP%wLks zamrtCP0i~RpOEiL5xXZZuzRjKBSOL{K*oQ=7eU9L;){Q}S<YSXOY7h*uH{LK>NpM_ zGHH5rXnA5%rg+mQUl#3n3t8c-_l4HPx&+j>CnWY7)+mcLEq%^$>b@nju=a1^koU?F zv!DC;T-mM|F~cE1rb0|@+BJ2J`Mw)Ixi`JyKle=ftw+<Z$1L34{YPC2B@SN7WqGPU zzqxbjec=#&<%sI}3-(>xS)<&erg2UsBI=!u&lG;8h{}!yyAJ%E5h3jrFyCW?!3!oI z5p$&otN9D=aE8^DDBfRjTxiW%g%$dhf@)&l6gBSoZul~_+c5Cnq=cthO|Oo#WWSC( zWd2K{sr5Q%mv(Gd=hDL*r}_of=os+{UybDitt3g`%_Gb`TS!D-G2)lD<Im;OKlc_X zIex8d+M2rVfJ?2#!9&a}M}Mw6a^zAO%hPPmFcUf9t1cVNrY-oVcJR=u@Q=L~T?sEe zn?9LqtA%}2SaHv9gW2(`Ggk1cMeJx=@I&$7rSt0_O8*RLnmV0x)oK3&M{apE{R(Mn zZC!WNrBJ<TsWiu_`TO~Vwf8Hoh;!KR&CId(>+7Fx7V{ST;5m3{yL!^1J^BYv*|J>S zsh*gWtKC$kI-~gmC%0wC>$?ZE`7X`Wd7|*YvDH%J{(iymCky1x9g^AN9(lqs{)<|S zA-m${3C$JU+{F|2nMj5|QTX2ny}0F<9B8q|o|*R_E|`DhkW7ns<O#=^Z(=cq>@OWa zt3Of_`A%KWJ1T0q;`U|(_P17IMHk+hfmS6jixml+Pfg^zG(Yl$<G+V*|M0Ot%@8XJ zSiaeSJ-5>ubV1HMoimO*Cb!BQv3w7@31(Y@BKuD-&?=U>tuj|i-#sd~$XLE{Li0Z^ z?qY$k9n#@X6yy#al34<}m*@I>&`m^TX^QM$-Nc?PI9sI;x`}8;t0jl{#tF?o__&KF z)D_9^KkR4+^6~ji6PkB@`3qY8QK$1{!Tr;RWLhfa4v1QI+y<?)krFGKz;4r_{cORX zu2z{Vn<Hj4e`Djee4*|Cu-t;feba>I9~Ruj6U3@H!k;L-KX*uG3g}3dxwTsBW;Ab; z<hFeAIATWg2Rm-dj%?dA?+YgQB`UK2R26%+;7FTxQGojf1NK}lZp#;+{SS*43$$&T z&}=cW)zV|LO_O%f1anXTR_Z)iu<z(0nJri4K*ysT2i=gv#%<Z*Z3ntj=j~Z1zE82B zn}c2#f|hH5mVbbbBl!<nRrK2K;QImrkdBw8Vnq|eYlOnjI9g6=mAR6sbH*{c!U_~F zt*w?Epc7Q)ywwCPKN1!znxGF_bpks2W}RX4{f7%g#fmP>Pfp}JWaR(2OXkQ!oimQR z=C{f`shkfA=WVHq?4?ZH#TVG@y0wcgTu)DgT+DMHbTN<C`H$8zPZmeaX#T{)ZMmY` zzF+&<0^Vdr_P=Ig&lJvlWLkH^Q3kZi<}@fFG_+c3WbZ%mz97IIln~UoEnl48+oJtU zp(jO=y-*7j%yT-miza~X8akySRusU#w@3Tgg4`oce7}C`oN=s}-70hBYW%};3y<R) zCp7;t;VurCRwWw#<iY0u_rL$Q|IgAPwSfT!c%cjdC@l!3<DqmWl&)ZWdtoi#Ap;SI zhei6F#(!829?%iyn8(N~X4`y3*^}es6Cs`oIi}8-3oYpnWDPu~nZ_2${s_KUlAgJ{ z_T10HxzD~PJ&}KH`O4z@sxYBj@(V3~`X+XtORD$b2(rt)a69_#)~9=Oo1Q&?)xGNC zoQY}^Ie$f!`%cKYXzX}=`aaG{_HAkzWj($V-qs~G)s<v6@jR0jzM^U^tP(5hbAjRB zAMd}jI2l<492kft8t1d@b7>F_s$hIO<NfN8P?5I&?5R$yd=e^444hn9kA);GnBdIG zDe9Xb&(q_np|P-yi|5T@7B)^%^@WR=q<MloG8Qaq>C!atIMLRkVrsi=+O%sCQmS8) z-xq(s^?cv+)c=eJWmz76jC{SP`s}U47JIkv+iOs~zUC#<|M0S+uxVeJte^gS%5*#= zq<5>y>1P(tCe(l3KS%5TWySEBoQ;2Uq`VRee*QCZdgGW-!7Vk5MeOEr<CR@Hi<B0( zZ;)&*>}wO!uyb(c@Znpy&@*9TY?9$grQd?x9%jE8{5l+x4W(wW+`hXzd|z;y%Y~R$ z+ZKmxW~o^$R~M>yeGGW#^6u)ruCo#)`y8A(OVl$s8t<jDCzi}&G2C?jTR`f>+}rub z(k`uU{=;Z8<-h|=la>P?Vogjqb&7VrUAU^tX6xJE8)x>sO0aotJx8GNQI<)|fhT$} z`BO@_x2->(HtDKkGRW22?p#zz;4i;i6z)5F_lB(k8FQVSCoiyCy_;p>DLM1fu-x<3 zEQiIJ<}S_9Xngk<<dV%98A3-+{1a-?>du+|KsHD1uhohDj?SDs|J<B8c-C9+G+$KN zaN?;dN9bjx-~F>LzP-_N@Q0zv6o-#mQcej*PR^4LC{3TP5OVZHaP^G22hyAt7;Znn zsoMB<&kRAwV$N>@OlwUuGK50fi*C!UdK0kit@Os3TV5~tY$oNk;J2xi(}M5fQb-{& z+dbhFC@9Q8K_LhV3RRd_v!b39-L^lJX0)pLW1z_thr)~BD{`17zrJ_@<iKt1t0XLQ zerM&VMar7|%E%D9QRLp-U~7|;S}eUyjA^Yc$PwwK(-lH)KK?V^|NHV<zS)K^bS$_I zhcn%cz9_)7xBcP;m5zyjRXIXKQ|y)B?(aC;;_y!qlrAKA6%FRp^7PMT5!<<kWucba zyjv?SCgrHH99w-MfaxfkNy~v0ZE%|L4ffe|qV7nZ>886kd^k+bEm-K8V6C6#a$!!r z%rYI8sM8lOsI1_7y3ID^=E9uY@<-Axt!qB_859}SpvYh}G2u)~*$;~LH}^T;p60hu zWcq2Eq0#8b&Z}q;)8D4n=&A?Wrg<Xq_Vxs0$*Tt|#HG9zShThY%sBH~kZrMN!o<Hx zvr{Ji?fAP*|8Sbqf{kLlzD&{YG6XiX?`HqqZf>5Kt$0Cd(L&FFxuIM3@~>`jcrI@; z#o_xYVS`k~3%i+leVb;S&97e3wfIAR%k6K+H_j9|5%28G$z$j0%)#Ri^3TN6x%{Dq zpRTl@%6R8FN1*YekjWH>jcmM%1~)s})Eu8(`Y}IbQDS<*W6{;K%G!$lmM4^-e6?Vs zIIl0$?J`5<re~FJ6j`FW>zX37gl=da?RhmN?_+q9wBm(I5nj~`wUWGw7k*2C-JxH% zqU*iG&hNME+s?K))O|c}A<fh*eL;Zf@YReAp@=6%ADu&cWW@IOpG^s2f1I14(U`<9 z<&;pw$Lq_~9rLbo#VoO%cRshqo!a!{ab6gEuP7*4M7cLRd@cAcuQ>IYRnFN3TP1mY znch#eZ`&Pz*te_yvwG?MH|0sjY;&1-RWHmfmU`~Gpj;N5Lie*QT-6nGuj!xd4Ihpt z{tFkfu;njOWNF(CNr0*xp@y6OpI>|G1&>*>!My+6X974yPG1yYn#>MX@J}d3KS8wl z*l&;{in=8QP56`e6)#+hFJ$rzSX!PfyXw(_!%^|wXP3mX&)t17fa$I}C{>zY0H?~R z_ElP+u0+-Mom~>o{xcJlJ1%ja3E<$F4la*QZ{-U$EQ)x&^@fjIslmOLHX)6+435T= zc96_-?SInLl+p`3Uh(jFPd>2CLW<>4!k_TciO=TVnC1AI)5L^xmGjPA{?KnbJ|(_$ z{!^NBY{6$qDX#_9LcEF>vj4Pw_G*&2nP0tP(}}vdcUIR6n+Y@htj*A9JZPN3(fE)L zV#(Wur<8v0c^6!>MSM1A<Ht%+s(4X8W1+x|H7=l1;&+K!WJ)>D{`N@z*@hW=n%dML zg~VrJP<l9yk~8!zyNz?MJ3e;<rOh~#7Kitlu&e<p@$!#@%S&xgFz}nS94L5bDbWMX z8<8ouzlnl_A+EhmNW*NgB8!?eI0l|>YuD<|xn0LH+tckp{o47JXMGaVn2d#I%slYF zgy}ycw}0f@3dXlnb-h^vC0eh4Kblf-AjvSI$4DVUM~SUDu}7>qvHKur(@~{}oMzVz z61r{CEWBa|lRh>b%nH2TfA#fov1W_99E&;gA4p1+RaBInd#WiMmR}|P)ztFp|G$?r zu9g2tZvR^BUu)f1@$2{cb%`vO4!k{Iy}M2LgxEy`rb>syoFelxBpQphwLVt}c)K8x z#fh6&UEuipgrgiwcunRwlr^>qo@jrcc$8D*bcRIZCkbBlfUmX(x&%2sXIM1uXm1nr zkTsd(VD`7;xx$3}g%4S}v`u;(EN``mst2rHn8<QSQp!((&+cHCpocHWxP~^t6MrSc z<~SI(w+U*LUo2n>mF86zP!pQh>~P2BFvk*akZGTowA~cq9S?JgsAott8dbN7st4#U zNMt!=C*`M5w;++_(i!P_%?{go+XPRnzgWO@^oI2siN+#XUiE;vixXK61+a(Bafop` z%&|n-WRAn%hnJQzX)PcD{a<iEoaeqcYX#%mi-vy8h9WJA&-XfbbOi)BFch+F&kSY| zZFlInrK=Elp@1{WOQk%fS^7d?K%|#!XUbl_GlCQT>6X2n_}ufiWy`N4&wu9?-g<97 z|MXn}w)$_1eZL+g@4aF3wq@&rxf}k3&3@Z*T>r9H)hE3S(evrfoI1MYOCG&U^JhAp zs3WScFBLJzf61e#g<6eAC)duMc+Ygh4yy@W(~nMM5wp+U@OSzbgUJi0@%Jx%R9U0f zIQ6<zPWq9ft>-kZZx8xdQ>xxL^}W=eb1&9!W&O&xOGuxj*3*BT56oSM)lO6}zP)7c z#q222_VLMYmy2p*Vp)n63@00!ws{@6cS!jL%VCX4hh!9%rV22{B`S10(9F@%-E;7Z z*u}dkN6eROs}lQm-lm56bpG~>Gh`Dh;_cs@zkW6M_w$^8k=Iu*J$NeQ=A-=V%^^)o z_fFk4<-yCu(59y!cd_)pmlo35w?(C*=FX&q!VSs?Kc|E@x!O;7@Y1vS=<aWaj-*XU zc=$D->G)}7&S}e!3)%Get3+5>Pk*2q@<en~`^%|55${S=D}G!_`1!Lc@zEmngO{%N zvYcNW%_-*pTgaw1W5cKQQ_TPV{q@yOk3*q>fr(h+zv2g8DJ!k;3dXlLs_$I;EPMRp z?dOkwm#P`J8y=r|ILMAKaY~z*ZsO4i#)?vQleTU+Vc2u&!Xni*5>A#amnLjzdFmsv zbfK&FjK^zszkmMshwZ)l|G&?F-T!;vyX%ju?C#xv|MmFSiaXQew|xDiyS9IQy599) ztDCoMsn}xXJ%78~)_b|r_pW$<Y5rQppXsVOTfeMNUGKmkSiMVj;v4mC@nQ}vj4o>z z3wr*`;#BBjP%=xbR=T<SZNOR=hQQk^m?u8rpL$`93xlBaHsgtJa?4kSDK)Iv`o>G; z=L?}(Q#llpmY1tcs@>{$)r&=7>(1T6o_0Ho1zi~gUvFif_@>+Iz(E#9m$x7HcBt6z z^4==M=(5H+T<K=|bdZsO();-*KB+ES9j4UKvG(>{k8jmp86e|%-#V)NeD*t3ThQUi zz8mvBzGd?%C~+ucsef0QWb<8V5r;xjF`vq$+6Rs<EQ~I>_nSLa>i4t6#0xqck+YlP z@y)q9TwBoL$}M?6m7ixIt~8hNRQa9f4l?q}Eg5H(pR%eZAmiI)+*N+J)vlV#p^zmn z@2~Q6s=7cRi@?UmQTAV=qCQ-l{`a;}fq^4KVD-lorIUN(L0)+Ce7V5Me}0^2L0<mt zuRLkba*%yTw!QIJ`TYfKUsk=0gUZjo^e~VeVNxC{zjr{y(|MI9)y&-tvM=es)ohP% z-scoSUTf!9oAl?iP=SFfgJAVD8>O56QbFJt_{*epGG2dSWG91C*>zW!P38M{M0GMO z+IBKP>E!ZhpkNf-{mMw`=Iz)xkow8m9V+s{PMd`oUEcI0x@=l+xCf;C+q+PgN%PH{ zI+PkZWJ^pZzUlUc#7t*)r;2@93dmbI&jVdH?GFI!eS7V7r^<VyT~VD3O5d6dT{hhh zhlp?5=rSq4Fd3x&&Fa}5De{%Zf{qM<zrR{2oeY=pcM)_ra&J$o$2Z6ALV_#;TmR-M zPpWa`+_6uoq2upYKBb%dv%v{#^X?9n`JrGV-yG|7*;GC&1mybP_x8F>x*rJ<Z~EPt za=)SoWYycr>>Vod{}ez8%eszh;+xaHs-RHQxA#%0{GaI}!swFo-n}DbemK)QeGY{z zej7KHpN*GSgVJa8d4)-}Zji`&Jx^s)&GO~o&|iH<WzyeikXU(sMrl&b-gL0Iyq&ko z@1^GjLC#lB7xw&@%4sn}siDL6JJZBBf8*AG66oJIsY)mBYk*_L>|?0X&FVd2Aot(S z;hXs6GB^=;{C()FbaQ*{YEbZR`*Yi6Qok-(ec7`ZrJL91goD)oe!kmf(tP{*;Ar_H zH}Q=(G{daq?@)Qac>y^1FSojE+8+WAlegRYJ5=89S^*N@b}&ilraB}e{r)SYbaMNz zB_Q!{XP3Kd>i^Z#q0q2m?k~%UPqgbDLDBN>qw2&r>GiHI0uERBezbXfYE^yktBXPD z+l%cko8&J}iu!+*0p#bmsW-KM>9szso{{d&5ZKS4RQCCk%cgQj27kZaLFMONNY>Gd z>h$>L8@>({Ahp}LCO%OPQw3#B^>uzKyVp5_?1{^DR{7ar+Nr_R5wU%1N6P$oX#A}8 zRr$I88mKJk*c#jK@lD+uobh|(=6igKHCq8L5Vk2!d{eIp3bRFK70F5`uippzZ(ByN z(oOyQVE@VPwVe1QetJW*CR4|aH@Y1u_Nk|{RGB(%&5IWH{1*m}^o`ZGgih}JZw4-) z&fXUG{O17?&+hT~_I4Yn@LBOxwnJtA%9b=$rj8p2_*^!v-x>@~c7Nw~s_ZWiSL$L= zDtoccWmA0=6Q3G~LYA?$kY}CKa*$Ow^5%A?)Gr0=y(L>M;JNSoJdjlzd3Ot({FeZ> zYOD5cA<uu4_kz>o{ddkPzrWt?;9%;QRjxj%=4ve{cy3tRcBK5jTL&u8);?!+nN%Ob zv~E3zLek}Gp_6qX>p@oC>SGu5{P!K4WRo8AsZFZwcLpWdtk+-ECe;Lit=j0#r#|Vg zyL$+z0JO88<nd{BKe)hDmvvUDwweY?6?^MsCO+B!(ixO0cFlKC`CYC0;8zpFBD1af z6Q8ut0p&!&?Cbp=-}Zw`{y^zsu8B`}&x=~?!XOxZxHDz{UWjii|8}bUe<%s|?NR2A zl>Lu<l$sb8mA!FLI{81EiEkN)LXtj@(xkszz~x<5>^a3rf8Iiz|D9KD(%<RNLFMC> zZ4yo@Ka(Me$}P@8<u{)=SUhac43AIt;1n(>Z8F8<+gAOxpv0!TqsQY@x4t?kv9-rK zs{F2p<eJ=T21+M?^Q{M^o>D1CmEYN5Gq1ecGt1*sd`vLN{kJPrCcX&<=g<|r@~$dB z&8~y$gd@|WeN}$XhlqcbcUJlN${ZAgN1pYnPWpRCx|4&c<5r`&u;;(`kTQC<VV}ph z<YS<6|4P}esUDx6gHv$F-6H9UZ>sNt%i`#Krio9!L(AwddP+CzYs$gN>U5{eq<HI2 zP_F;Fd%DLr(Ra$A(k%auzsk>ibzxBbBgZdv@*k++RA^Y?JKIU6^8HG1IOi@GJo&GL z6I}Id>=*F7_wgbqY227~xie)xwCw&P=`tz*iwnrAoRgDXHt|<?fujBEOiz`{)0aVo z<(s9EE}Q26;{g@juYbu*d{XRv;HxO3OU|bprJL2Z@oPbK|0`Felk$+7$Lv+A(oO3< z;2J6Vr>WA(<B(XfuI8Kg=JmcnkoxE!Y7?J0-v=i)_dUKUzr|G_Bs4KB`t~M9>7@TW zP<bc#+Gf7TH%n-&)b)FOs=W`6t=wG=9^cx*A-JM9!b7F<H$OP9?CS9NCJl)c-UuI+ z%Gv#3;m^|rPTD;Y1|_z&_gU(`|9B_+!+t;Wf9CBwW={Z(NiO7NP~dSr$i}f*;YPik xQ<DZy!>(2P^z|R@n^g3rV_Mbz&pBUjsxmUMa0n<kG%yfkeDF_TR48W10{{dNEx!N& literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj new file mode 100644 index 0000000..81dda96 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj @@ -0,0 +1,18 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/automate.vhd" \ +"../../../../../src/compteur1_49.vhd" \ +"../../../../../src/compteur_modulo6.vhd" \ +"../../../../../src/compteur_valid.vhd" \ +"../../../../../src/diviseur_freq.vhd" \ +"../../../../../src/led_pwm.vhd" \ +"../../../../../src/registres.vhd" \ +"../../../../../src/tirage.vhd" \ +"../../../../../src/mux6_1.vhd" \ +"../../../../../src/transcodeur7s_d_u.vhd" \ +"../../../../../src/modulo4.vhd" \ +"../../../../../src/loto.vhd" \ +"../../../../../src/loto_tb.vhd" \ + +# Do not sort compile order +nosort diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/LOTO/LOTO.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..8642eaf721df78927cdb00d610ded869954d46d7 GIT binary patch literal 97723 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C)N9wI)42Br*WX zWng21u=Io>^f4$6;gL=nFfcH{Fh1SPP)VqJJ%clI6+$8dTov3reOwg`3=A1wUAdLD zCY^<WfkBRufx(-Bfq|KUVL@<+OMIx0zq_Y11IXnd3^Iz7fq_9iRGmRRLY+a~K%GI| zP@O?NN}WMHT%AGPN1Z|4L!CigSDk^8fq_ARfq{XQfnkB4V@PO_qYu~|kZb<`|6dQ1 zcVu8-5P(_*wkbZ$)j7mJ2&|BiVF5@j*dUN%5C#h{Ffjc4|NsBo|NsAcA-NT709YqE zPSt=KT@0lsL+L9}8X9^*At9bn5eNly)d>if!44__RSynr7bkZG0|PS#P$+--|Ns9E z1_p)+j0_A8ybKH(ObiSWtPBh{*f6mKI|D-k2=g#7B=9gWY~W#FVBlq7Fo0q<1_lNY z7Gz*x0AT^B8;~hG1_lN<1_p-zpy*{_VBmsckWnCYAm=hNGBAKJ130W182<nN{~s;~ z(hKE-#6Xx8L_jepNr1u|#0AkZ3=mU9pfpGvWCutODCvOI=rS;X;|UbzAUTj45C+)` z!XPykkeFpKL^20mjTHj}1ISyZQ1d|YAPh1CghBR+Ly{oaParW^rZXs?Bo9)Hj6rVX zXJB9e=>uU98{`&HG6vBgzezAKFn~0I<T)4^7!t}R$%EJ+|AJ_cdqDPpFfY{b4`q|& zLHa>{0*Ql!K{N=%)NFvN0r?3ej!O;54v?Q<YC!5h7-YT@G(CXWuyEyr`k!IWX?{># z!PF|FsRgNrtG$1oAC#_OYE{tGg3O1jJ#wBO<R+L}RW!9AJK<{Yoacw7JCGS_Xlg-W z2Uk1iG(RZ(Vdko%sRhLqT<x9n{6Zjw&~yMwS0H;qc7Xf^@(Wz;oYVZ$NNP3F%w=a_ zV1TQ=bDm!oNv#$%ErQGj34`JQuJ-&penpTVH2r|=1YwvPKxqY}7UUjKT!6v}q#P7> zAUl!Og2doz@0{lcxe=xoWDc@gP=1E1opYKWl(%4NLFOQ<<%aqV?1nq%`9XOLrWRxl zvRY6)A)5=zV=%QKbCA`7(lA`@o%8&bAcfFy0GR^{FIYH$)PXSA4RcQO+asw3nS*RD zDE>ieL3$bPoCk41)d^GwgaX9@NDnAIKtv!Uy~M$8gIEbBLH2_DJ5cT+)m~EFA77GR zz>r&7VHR%~Uy{U-Sd^T>;1U`F6=Fz?hsZJHWag#D$D5ilBo~xGIY!0|3=FOY46cR@ zu0{;5#tg0|46ddO&i=l>j(#q#48aV}IoS-J@dgZ@@rDeZ@kR`u@x~0E@g@wO@um!( z@x`e*4E|vA85kJ!GxBp&i}e$Yj8jr`5=-@cU0t00{q#!;baV1c@^w>7N>efu^GbB{ zjEs}=OOrG7i;I%=A#RH=NzyCJNMX>=FDTK^%uUx1%PdPw$u}}EGSM@Ha`d6Rl*E!m z{j!Xd9I*c4l9YHO0|N{F;*!L?l*FPGh&csC`C0lENu`-NDaHDhmX?-!2JxPLA@K$# z2Bz@_7A6MznR&$}i8(pN`iVI?@dY`FC29FZxy3NMAX@Z^vWt8-m!wvdWaiVu#mF|1 z?B2}O)Kpj?r^M&vr)MU|8ycFKfE=E~pih&qCSWJk{GgkZpHevx9znL40^j77=B5^9 zCdU_-fKxv0qXW}I>bM>`JZPMvki39wEBVD2DE&a%=%Bg=hQXB&0|P@h0|SFI0|SFC zBLl;C1_p-jj0_CR85tN3FflM>Gcho<F*7h&GBYrEvM?}wXJ%m7&jP_9b3kUmXb>Az zm%#YM(jYyc_6(@4YXfoz0|Nu74+82HOENGpfZASi3=9mQwi2ja32N7Y+EpO?kTD0y z5K#9JN`u=A$O@3y9Hj`(0jT;DP#RqyG9OuA1*l=gz`)P|rIFQvOh>LKk@XpX8d(es z3>HutT_30|0MZY_$ogJD)qjA}==wlyVUQjWM%K3g)KFt!U|0dA(e;7CAEXC_k@ZD@ z8f~Ed3Y13I2Wmrs^nft3J_k?(j)8%}14^Uog9bB58w0XF4NzkaG(rKT(e*)t8ABg> zyd#G@NHH|HKui?-(BmClA2hfy^r6Q)x;|)dVdz7TcXWNw;KI;{9`ES-puvTq4?W(| z^?};SU`-4RDB*%0@96r#jcTYy<oH96cXWNoV-3jqpyM7cPVP`cp%g6s&7cPTfEw@( z+9BTt>R3b7qEHXNf|K-1W=LP30h(}DKs#n}Q2j7HFnf-dL0K9M3@Ho@4B;+Ldah-u zc_lD~P#UU=p$=+}2UHwJU5A+i6BwmOLtr!n;2{9YTX4=OW57cIRGw|FML44jT288f z2HO}I7*6X!<Z{d*G#{v8#=yX^0b20+1wzDsMndShNf6pO8$v&a4v=@%K=^vC5c+a2 zgsz<ip%vys=tIjPbkYV0&9oCjFFgpMJx@XCmzN-P+ieJ~^aMihegmPyzC!5te<5@i z8_1^&4BGq<`m{KN&QXBSyqXYtjUj~gwSv%ZognmNZwPG_0-^83K<I{42(6q4p{>gy zG{n|Xa=3-SIt~Vg<s1wQ3pp4V7D4em4hDwR91ILgI2ag~LFvU%dMO73!zvC21`r>l zegOvq0|<loAoU>jTn+|?IUEcOGdUO-W+TxcJ3#Uv8ieOV?FET}>;bt0WG2WQn0XDz z4fEj^_*nb{8tVp?Uloj{vkFh7q>3I}|NO<Ztk-?nJ73MZSK_MLtr=u;L2dC7E@^|n zz>jQ_YO2YJU0r-ynkg1ZO|4H?q-;szlhlf|Sk&frN<wwTl;&1XEx(j0Q!Z5ApZk2y z_m4&PH~!kyRnNPBv-sZc+V_7SuU<7*{_E@8pT*lxN!?g}xP0}h*f*P*Vw2)?HrOjI zdemCG`lctZRV}ND<MQC&T36Fvd?|T7VU|d1bF8B2ioJ*5#J^?!ue{PfZ2`l=qL5V~ zzr+iJ*tQ!5FodtXE}}I%;fIF$(x2AMtQ=Dp2P}>4`R3KF$0j3x*nx4uCXorNKZU73 zF9=$8AFP`t)a>LNIlohX_<2Maw4_-%w4BSDy8jmiO=Ev=Qzx^MspVAQ%Am-(M?6>R zr7U1r7qnh8#4P!}n4i~Q1E>YLU*n%G;W1n4Zq*k8wc%d7{Np7i=|%w!0hUc#vr|e$ z)l>fjvvDy@?PX#KwQ{(4vT3r>UvsblK}(ISFYMdhef7kj%RC|nLSLD-o=S_U>7Bf3 zPiG^@`W2V9h3$W`Bt+jZfMJCW>yuS`zTb2EeKC?R;=81V!wMP4slJ!&dnX(1Xli6~ zNL|d}IF&D;<VUif*Jo=sE&(m~rCzCD{QXW<{Qr`?x8fFK%+k2v6*<RFs#|Ja{wx79 zpj9h3yNp>qwa%WIwc%8d!@5(|@qaoeZ@Tj})<(f$g$T#0oJm*NEj6!dLc?fPNkbWb z+$qnM`RR}tcq3QSG})-4xshpy*WP6TH+v4(-t%2O@tFnK0SmouOV!StZ1j&0ZvOl~ zb0!<r_JRB-7;;l(bCxo{g?$g){TJRHoNTFix*P1gsam_)^PesW={F2u2)}8&V;wjK zLjAn<rk2l&V03z_5t14GH`!9Nxea81rb77Bz5MH+E-|rB2DuIt!!P>hO!@d<LW3d1 zj7uQoCBs`en-u=2ULX6@4vbDuSA^WOtx!}?t>b29Etq<@`SmQPRAW84XG?VA!D&Qf z)t0qamwo@xa5WGV0N#u{wchV77gJxlZx+l0e`V)PIcN-$m1gAt2hYFu$(LldbgG3l z1XyZ?mhsoMO*Z;xg=B%>zT=)V?T&yP0P?`*=@y!wd#gV+Fa$($od~Ub-)*O!S}6re zBFZZ^d4`1-1g+YQ?1P%F$wB*1g0zF&za!Upts9%V0V0SVe^@<dO4=ci0+9KO*)235 z+Z)4^(8)Yoxq>PcnE7eT3xb|aHws`_kt3q8;u7O6+X`#-)SoOM`Ncu0-ycZNnUeK7 z&SWFgj#KXrmijK-Bw2mDIOtU|I0?3D<*u%`RZlIDhsUtI-FeT<vYUsPnOeLW7o1X) zls)GuS>FhXA#f7C@a|xKVbC)B6i^(6ta>9?(=*wqerhAaeDUaS%dVdI6ah;^QKoaI zys`piqNNQnQ@`KaJ$-TzBmzMR^xy3{Qx1One(4B2lkH}=Kkk{i?;t1yKtc4Q*6&os zIS0m=)b9^=ffDKD{e?lxs`vG?vv5ph0%rmd_0&2sxEJDM&U!|^O8_|#l!#tQ`gwf? z%epZ(X~{0Q+x*pTi_}VgxDWO;Og7pv6Uhhd`yVYasZRtM07^p_-$hp*_~;Ib#A!@L zq4$12De*h?#S84efYixn-aT4!W`5EFhJc$I4gpJ<w^V=d^*dE`d!NZhP%*G_Q}qWH zKd(|dq%`y`@5z!Y{NQ4SEA&>_e^<X#35V-!6c|Dv7JP7?Gv!$~kBCA@A1Df9k9kVo zKL{!Y0~;22v1fdLFnP|DmtCMl9Jq2*b;0X@Tcl>npGOL!?qW;L)30H1oLwrfzO)J) zhu~cHJw=*7LLMBz;3$|d*=WZM<QSGO44QQutQ(Yx;~%F;_k6c&2wO1s!0em8JNZP< z=5SB$-@J-<S^Oiew=5UWWG{-m_2Z3I*w%x8;?`a8Ye;+M+G~D#`R<Bop_iCWZ{O); z?P&5@%H;Ikl&ydNmMG;0)Xvl1BD`RxE{|!(G&$4V8~2~o%540_9<y++!mLW)n`hpA zagVsl)*QaW$-2>eb9B9|)~uUq?X&rxm~L|@HVMyA??{UiK6`0p@42*4>7Mlux!$s5 zq{UsS*!-u(=Uv*=EeF1QhnU4X>uH=(b$4j4!Fv8huG#7i#?ca47j$fUzI`iHTD^pI zns`BLSyRR{(^TVX`<*jGa|8a)(%vFmVO-rD+1{15?c}}q$g6B$<xJOa6m~Io^)>Nc ze(k@;>RSl~Y~dN|4#uAs9h?1BJ;fqz>K2F3!s{;h37p--cD6`g()R2sGl~D*NZxd- zo^17iIrP_o^(-FTKK4gNZ*eY~d40;+H?gm4Kq2S0?t<Tgvu|>9z@8Q7-?WN%ntl<) zbr*H!-pV?BaL+NZ6?SJtZ*g8YvuDM}w4a<W=bi!uToJ<Jm-kPuGL!f-4aH*ZKkTcQ zu+FOGU+9_*3c6sk&EJptZ&<~9EFKhe_s-mtzP@nL%-o_+v0+;eei4U+9mun0)s3OK z2Gif}IR=YW{}Ycm!aTWk+98TS0laC~jL_VGyT`x|6Kj()@#bH=*Zf~w=q08fymo)S zToF4FU3WbGKf8+O;mQie(wV#*DXF4u>z}WgI>n%sQ|#K=qVi&2^}A8ISJyq8rJXuC z@LPNArVS@D7WGV;v~k0ULv1~igrqbN9!k<NGCHNXaEbh!6DO3CdnVNxuyc3!ys3%* zZu`7?_Z?RexpTkg*gluG5MLu-5jOST7I|B<|K-a=Lho)2&knt5KedC&)Oyt`LrKXg z9|iw&zqQ-4iqCV)O;FAO)vh5apWOVo{y7zBJFJjtJ>_E*`)_{MH*Z+c<zw`pUp=+( zjo<9etN!G(3TUx{+6X^|{7$`!1yv!h`B_4LS$D3pKka$5rXP|!gKqwtI60`d>|+D8 z4e~fcUVZ7l4zTQsOWTfx7Y5CmEvW&^`A?qDner_jmh)%YC7Z8xOM4D4-<iA4-9P9V zSp%-XKn<L__Q{)m*@B9eDp1*ey}#a!e}^T!0kUoV!zCf%AnlL_$VJ~dQ-0~eO0FLH zXG=`n<=us~p%pBnt9p8I(5>x;pazgusMclwqM)?<NwD_Q<C?>skv3)g{2T&WtX!eD zu6<(hJN0TR$nW6Fwf1kF->GNZFxTJw*D*QB_6V%p;@7aUdQ}nCdxaNTIhB79^7AU@ z1v&oi!FAx0J-;C6)_ntz?I7br<{xH0*O$BiR<(YfUK}L$J{43hg9`RfpXW@u$`2}* z!L0+vw|sU7Ja5VrGWo6nw=duDy?eeSMBErux5~cB;+lHaV%Mz6LHFTx>*Hz*&9jRU z&foOeC8~FeKHP#YcjrvG`5f7Ty7`kg{Sbz^zrf@fWB6|gjfT~4_{zY|zxX4bH)D>1 zYA#USeS5a0=GD6h^S^MYFSUEN6I?HVnop0L=S)dE1rMK$@(;#yrhMBC4nMD{cMsYZ z1f}^~bh5J)OuY*UpwE*nHM_6FDvoQPy!=kRQiG+>@B8+3Pu^5H1C+Kv#@}A-zwD|< zX#=cem%H%p!O}TXeno-ff9a`r4|3;Bd1lAP^+0QPb1W$NsQ2GK&Ad$=ZvL0hIa6-3 zg8~3jFa7DBGv#PMxMFae>bt3?WAY}eSCu9kq3u_>3-1yRd)|xz2k?rJo3eY_CI{U; z1*(_IH>}nSF?;+X&d+P_<DcN>DJX;<+s>Ktj0x@myGnWWrLo}n2W72a&VHxftOtc3 zsCD+Gp^Sb0p8hc9?#r<DWwUs3P*^xp1n=0_I(gI1c2EceuDG;qTYpi|s@UZhK#dD< z<HOEs{^X$eW1t8E)qEen&YALz4`e;4eP89UySe_^k}du=Q^8G(sam_6mlp)3<tHp) zShvag##Irm*@gcS{Z2j721k+8)NNb$%$~fdss$9n;F@)Pe<kBuH#2s45X~(LTJ;(f zfUqQb@0{n#_ps(SpWMFr>^a{+J)oP=6j~{(zVxpGIE4i)&Gp@X#B=6aMDg%Pe$JGm zm;DxZib9$Ok#Y}~tkFw_wLRFkSXbz(rxr_t^#`Svf3WlOD!uX2Mgg8gWqK_&zqZ2M zZ@RB(@})Z`KuHkP!@8$#|8U8cdU*T$i)Q+Ttdue}P}2(3c(Pq^_u%$|AhG%2##P9w zH+=U_c}CtnjTFOG$GIyHoRtG7v4vi@Z^a$(T&b51&%%qPEi}K*1zQhl+1Q`(%<Rj_ zNMda`1vCG$rRLk&@CcTFyyVQjV@T%z37<2?wKQ$&za#&TzjRn3V!F`lcGSB^OV*r2 zSn~R`h34$nk}niEwqHLm_v)s-&7rjce_ORn1QyKn?Uyp~&d*!^*)#p3*;4jb!8@JU z9Zf#Z+B8%4`n1s63pFj;B?1-3*85{uWTefWQ!_QR_QJm=?GgbO<Mo|sFRw29pB5^A zX5LSws4aX<CdT?%dm{g}o;ja9^~-@rb7K}ZE6l0{SBZQ2LTfkdnhUlQ+{~%#`6gLl zzj_I~S^NC6*)l8_eFB5cHuJacUGu8>)z_GX%?D=RT<#(cYUsGjCxyyiiGRi=3u#+b zZT)yY;wt-D`<+hgjI(Bno8Hs;@1*8$@1U<_dtu&TQ7eu`Gp{d*e5ZPO`{q^rY4aa( z$+BdmaXa(QS}MP|_EOF4&`ZqS_X}J3APt@0FD&24#3l2uIR>#cJrHc`b@5HB_|3u# zAj&T4?7j8PE$pk~*Wh&*9HA|`{Sz+Uk5sh{u>X2=O9t3+7j(W)`WU(9Rr9m8F$<d+ z&gLYpaNPVQdCU3DmaCVr-^wq7n3ih%yWzL)>R$<EFLv%Z=J??38{zfbqGxj^e|{W! zmAxC;(oaQUUk|=wL$>tm+%=QrZrGeac=Wb1|E2CPj-_njFBG6ny1x={cYi#)W|G_r z`*RS5Q_jBG{qZ%(ZP&pbUAbTxC`x6D<koLwzjYT9S-vLT>&<E<SN}@*Cb#awpXuKJ zf9db3k+iH}ES*scYNi~^e||$u(sD{*&><16$cV*(L2j{L8=}@;jJa)kcU|_bpBJrC zQYK#Po#f?p?2wZ3?vyE09(Ztyi6u{&805#PmT56@V$v}Q)!Ky8d)q6|Rm$s?{}1?6 zI1e<Y(pbFl?}O;oU($EIKk?`N;w7tY=~kZ%Uj0hbO*CQqt$Gy&rV#m%P-~t}!-+q` z|K~dg?V1lS8$W8Or~Z61_h&xGb|#0^zl{sL>eVk^&9=~d-3BiGRtDV!^)&Auae$2+ zT--Zn%E!sztmia!o2lial&Ib-)`+s@%ilRuo}C8EFF2((Q`b`S?R-SZ<@)@*RV(|O zIB?~*B4nk`xkpQM><_|Czq;L0^L0G9;Q*?-pUKaelJ&=IRs?Kh#a+EH=omXVUpr3q zHQL!WIq3c|P`Lx@((r3o{bg2PT6yetr9(r2X28mznX;!nGs{6GJ9ucQ@SmZd*LPo7 zsS@?4Y4WB&(x74qGVHN8!E5!zuhQ^hcW1-opxCoW#_yUs*{E&;G-PJJv6#xfrauwX zu3^*)F?;-_#Lw%qAk6exwR0z5`Zot&sGm2VGv!_7@{316qcQ=hlXc?Gdq&PX4mUlc z{KMusQ@)miZ3k5v`=2fe`NStDa3HjdeLJXKAR~L$Q_>$S59+TLNvbd1*9SM>zy6eG z=D#zrO6g{?-}0*-zoU?=rRN1fyZnto?INwvGWPsOOLRcZpmm$9cdP|ftFJu$PW|eL z1ve}}^`4ovrRLS8po$aHE-TShPpttDtbxWP)cA{oUOAt8z{t2@6FBrU%0FD4Gv!$h zH~>KH0(DExx8;y_O<p#r-Spp7ed%v?aIF%sG*|c7`z2RTd{P0q5L~V9vpV1z8Gjh& zgR{AFro2)F$-=5t_V>>ij~@r84v+`F7X-bU2JUb_*am9%UCu8IniUUkcD#8%XUezM zYH+mxj^FwFJ11X?JpnWS=+!w>o~{LX57sV_f3jpv`J9vBK?_jJDcM5vsve|aa~m`& z)Tj4+NzZz4wF~OepPV~q%CAC@1HsO>tNph-!}QXgMo{_ywVXD8w$yx!+VJb2eCh6a zkOM&Nnkkzr4m`ccBLa`$7+*`x*Qdd$8x+CCvg%8BHNzvgU+(de9)8=|;6Wu&!={Ky zed#@v1Y|sCN*cIB4l@1^ulmxz{E&8WE~s7h-2L6ss~+V>@CeX*x<qF^sM?2&Ijz2J zsrkAcoW2~V`fjp(a@QuI)CQD2V6`eJ?~8)-HYj+cEj7Q|A~}D~zwXJG_N-V4ZdQN_ zhiYT>rF#+0n)CZlc)qMdgunBgDNheR4|(bTr~aaD!znjM-jJ7!Z~5eodq%!b1U1h= zO@nQ7ro3W9j)Qm4mh?bc4&XR=_&dWiXg;Ftv0S_`Xx4dfA_s-hw^~21-DyZIn;%<> zD-XPT3im|poGDMAB6%Vv_Pl50eelQ{sA)O-xTWUR#P<t3MH#dpxgexC=-6*qAQ|oK zf^-ECd4SQ+Yw`1s4Gar|76hf1e^@$a%C`_C_n)&r;`tKP7J-ahc3WF$o<0sLAR%qz zR~J5Knr^a#r=i)kbEdrV0;MrnCNM7!I`$o&2mYTvX}6;PmcRA|#|LLa0)kC8>-zX7 zhRU1BKY-Qyw|>0QUh}H?+i`H!dZt?{e_DL`KaSN)*mqs5uIz1An5CHos(_DvT=S~= zX&ku9+-7iPLFBKMH;?XX3cbYqS{mNGx%;I$;wt;q{X3o58_hSb-p>oFeiw_!JLq3x zf4m*3)=xRM%5KH}BcfIu&`}0)qrZZym$1*WhgRkp)2dItnJNBBs(L}KYB+KQ{Q9v^ zm?wXU{Bwv8PNjXTEn;5%E1}Xn{Ds1Yv~R4N!M3hekGRVI`mp{Y*VhV=ft=O3ekq~y zA>zfYh(=Y<z5`k>8$a^z05{OUqeq{r!@fHH{nB08i`3N6Um3o^t7?H|Go+EGIs4}J zYwnR(+25M)0DE=)#$0ey?*7qLb}#;&g0u}>Hh;<LK77wz{}TJ@S>Q%mW#HqppY&6< z&IbkCY9vdGxmW*M@JklOqpLqGVBT{~PGWx#+|u&LnQLA(|2hnAYTPV;Y!+g&+1JlH z?5pEv<8>Dt1<vkKo3%82_TSdf+6#8yg;1K0@yE4ZHol92C<6^2MaG<5WfyVp3^)?N zBP#Z8zw`F=hfWHg_V1(pF|C3|Py+=rj`DuqVsHD1$*rz#ai@GV6S=x|)|}MPJlG~= zqO;4@&F$unt$F{p+>0sJy)JWEm3#8W4JS^322|!496XfNGs)<b=D|Z;>PALhuOQ<n zJCVmxuJ8ZlG4-F%{ojlJ+)rMz>YA+ew+pLoC5D*&|64EEz%*xNXzKqEr9QRxkgMl< zcuswr5qV8X^J*q2TfQ=F^>TIFZK?T{7o2CEQq?5yAN8DRa|l#(icDBN$#dCxi+=VT zNSgxGAG^8RQuFOoP{9rzOF6gyq-W%xCQ!K?xH2g6AE>u=P;XWQBXl<8>ugKS*^;ng zG1vaQ=gM~}h|Wg4rRLW}`KOGGPD>pEQYZKLKUm^(4n9)LE;2XnlxOC?bC6<v<(X@9 zrhJ<Zb^58aIrjgPw@5|qnFzLh#iec2<R2|rvkp<}zJ6aEWVRn%sepzfzIpDhJdkw; z<T-Gys$pf-JUIwdPlG4Bj+qw+seK1^^&l;pU*&#YpYMFmOoB{z<(`c@@0n?T0Hht< z6?-0D93*xhJ{Iw5`<y9P6{{;8pd<avUF+fxdEVU93wGVgP1Y5R>PvTZf-BX8aL`QA zMcX-3eg%T-bkIzW{KvwmULQY@?;(}O<?n?-v$Wym;cqkbrGHJ4>x@@Sas?lgzy^RC z1(|cET+M@&hq0ha>AHDAkXbpnJOj1(z6ttymHuc24+eny!u@_vmO#dOK#h)Lzb!Q< zM}u0Mu%7V0Ns}-AfppbZZnFMys5`^-(!N$C5B#j0Gv(-4NZJ8)wI@&BR0STU1{=Sg zfA<XbJ97?zA`g^y-bT)uk_Dc}fYo`?7Mfpc!P-G}-f>IK$&2kAg|*>b;W_&{Cts=o z50`)%O=;H)gKo(iz-L;1%3El5tG|b*9-ZTJrd*W-B~DnY<9kt%nK`(^0yS#h!{@r7 zU3sfdLQ%a})WHfsA@oDW?^Mb;XarC4Tz3EQ5|jP#zV@37)7W$3!J|R{90Hc^pM3Y= z_TnHhad4{$WPbjmC2QV++N`jlh`$=@sl`XsSASvuza10`pjz+tWDCu!H$jes)OjTh zkao7yQ&1RvWS%qSWS@5>JQ3ZJwV5{g(w?dCL}aJF^sf-4<pCa%`z&7=BvucfPJ0Tf zv^QBzgg0&0OZ%Nlvj=5BP$X~LUKF(IH*zG~9rRq;zpn?}@&S3m|LGE+GSGw|G!wA; zd2LPtwHQE6f}8S|nr|=L9c5;6NCn67`S^pLk$WbCTnB2FovxiT<*F{obFdhA|9DA` z95@GpS{!nvmTTQs1w$IH-~l<Axu-o>!Y5j;{owOE^#t57g|_=n)yZwB1eYHm=clC? z1>N!nRq3!aS~qp_rXQLh?=1#32@L&Cy*i<tkp!A<gbc{No@}W(yBOZ^vwprLWIJNA z?qc(tDOJyxgF7_>AvaYXKep7Id>J`>;*NM`?gKad!3{q{^`&<~MFea#sQz1ehUumX zU9by4E${QsmaO4Jq|vXrbEceS2B+}_r_^q~+cAlK4S2v5JQc;h#oFTOk}K6<=YcvV z=0!nj;7%3H{J-4lODmr-!lz?5hZhIk`i?OFr|6t1auGG%kXp`h^BwPL-#4$~5A!bo zRn(hH7qFeZ)PErF<;C!eRZH2;mP1<zsm8mv?FO|r#38MX>N~BVxs;3ikyqKj&WF^k zvofZ|<^K6{{*zR7!Y8kFNMj5ycSoq&UWhpcsi{HZ8k_Sstm3~lAKW_F+`15C>BW8A z|Bh<CY;>(P)s2FV;M7{qIkd_yV(w{CD~>%gYoklRa~ic1LTfkdgtiVqLpa;`H?HDe zwfr2o6#;Hl)hjNZzS*m4K^=Se3s8%sIc?|kp6IZz2cKkvn_jR{jr=+DH+oeq_z6`O zY_@s*g6FZ$`j^<>N<$hO@0aL->iu)}Csx@-#6X8;K#h&lw<E8zuVzOc!MSE_e?0q5 z<JpgpkqGdxk?q{j+JIQpdOz+r*XkwgujWJBXsO1(7yXuA{VU<qob1etV4r?21^e`u z6{xjQ2WdutTN|-QSJ`Fk2RQ@Ymiy1UdI`H&k?i_H0T*Leu%$Mjv8q``;3$QKuJrc} zUR4Rj%8&pFHrxFE*zU-y>{sI<G5Y=(*q?p#N+-lE;eT}<>b8qId!yb>`S;bHziwZ6 z1!L(nNDF2C^EIhcOq@LJBPKsoleC=j#hG*ah7%`d^=uM)vx!qo%y-Jfz#?ItH5(L9 zo5p_rwfX1etj)Kw@=}7`JURU$QW6c_JUO3ENl2VH_kH%g;(gOk#~x{I|7@3j{wLeH zxo>_&P5tLnE<5@EJ<U+lo12pP*RFEz@v43Jzneki!QH6PZ}CeXnSSkCRTab~DLhlp zLi6l;M6IW<zSQ=a(CgsPf9shVPW@tbochmq(dX?2LA(0FRhw3*R`+QO&C~LrYzZl} zzNY(~`t@=*I9CU(xU|h|{evYT>4;2ok#)|Lk9OdCGaz-c&%4J<uJnV-1n>+!bC+Ly zf#zDbS>`a~H}@BSOPz+*S0H_DJN2c%mEk#l-mg-*f}cj9js&>S+E>M|o_ZHtD}cI5 z;I8I$P<afRo|g+K`68j7T5}~8G8V8hXy%+Fo-5C%frdIjOJE*<(S{6p3uw77^twH3 zt)=GM$@<S3VZ$`f^`9;&c@Lf%2eoM)U7j=LsVSnwU9@_BVbHaDXo(wQcK(+0>WQlm zroYhfJM}{e>~)aoG7pwq;YS3_%D98fX8PdD1Z4P|w{xa^n~X5LjD7u+B_;Oo4&=Y7 zlQ-2IGlv$p;Er(k`iD!_Ale+yocz4D&IfrOTwV0Pdk*Vig3M2OJ{2_2pNQOtEcEj# zwgiVQs62O{Gv%8;sKEyvr<v1lCAXnk6)X=bZO=VeqNASxZ%?kSoihd6q5ze)Hs?H7 z_U&8cRsSa%vIc1tXo|xB*^-`gaET0Rb=;jZ<(D6%(F7id@cVznGjm><DSQg;&C@wk zzU>7C0;IzJ7wP9!ZVPe&s74a|UKBJ9w15V(yo33ctj#N_sNOaH;5rjj=gm9oxl#`l z0kE;47YgdBe-IN0e7mQyujxmOjbAPY^|Il^h-JF!OaEFTxBBV}f_A09UkV-y0Qq3n z<V`l+;8q!AtY&q$h30EWl>?dpsce`W^!A4uKL^89aPqXX>YHq22X0V+s_e<dmYSu< zL2Vvzn>eHV!}2*(p5?>CCq2%6^~7&mk>g<h{K=PU!QE(3t4!>-rRHgHlLFe!=JY#N z_53S1d4nvN9c-a_)e;nbur|T>f}mrNB%&2s#xDPC$rblI2bf_ioGybVTp_)1P@C*c z@ti5&5Ho0Y|5eqO{su4b0tdhQeUH@>pPocEK7G!VuX*4U4r&z7g^YB8X3)U#+sF4` zjNbw>3IKAx+VbL{SD!&OKE(N7*kBDFPzrrBf6kO|mA}CQU9eWGc|p)FerVZH#;*Tt zi4H%g=(#C-=Nf4K>8pZzYV8eQ_zc?Y$Clv!7Hp8CL{2?*&vaOeX>zrN=2!3xCoFX4 z7aOm2n{^#-f&Br`%>8G;6D$SMpl-v@+&NR;m4hP)6u&n<=E@aR%79V`cqlG@?kUg6 z`QY_epcbX?anF_SP)2Du)KhDoG$9&%PnKLsNA|!kS3j>}ZcqYW9I!O^Y~5kc%+-Gp zOJDxD&YALVE!g@6r_>_n9QKR^HF_ayYe4f`;_9i;C48V9+!38&S{twz)P=7w-aX}Z zz{NA$46-7wvOhjw44HbksFPb$WW4&<f`6jmE<AX}#`U@X+CwifpXJV93K@d{)%a)b zompj<VGkN1xNrv2={DVcWBMkqss+Cp;q~C`e*&NpjUVL|y`U+ZtM}VLO`EHnYhE>f zeF>?_eNDXAZ?l{qS{rZ|G-m;?dVjI5Uc!FtKWKX9;+bsF$j!;m{1>{vIR145w|^jG zH-@*aA8(ITwY^X?71Hd|oPG2A$?p+Y+26`T8X5XCAR`*~PtD?z`AdWm6@K)bW2@{U z<{bx*8-SOHh;O=eTI*%wS6hhF!1aCQl+fA$+wW!IF$j2jYDwIA(0B`|i2|7rtIa+O zYOlS8jA&fH0U6P#KflT@gYT~%r2PQ$s#!JX>R$_LL5(8lf|&Rde}dOcl6$cZ$*V{2 zf(EISQQA}M4=KN2AU;tpVm>IGAgf_^fAj&(I;pM$jf=h6T__En5!=3L75}mQPa)$< ziz2JGo>XM7?6nP$2etL!0bDB$8m9s^PC+wW;BhLhu&<84laYhB>zVAb|9|;IKXQY{ zP^R&7q=bqdoB#ZZn3(01iGi0mc10xmy0uCE)Og9S=99Q^qG9foDGAN2YGS@qrUV*s ziizErFflPmSas^!Z`Y#EnqI%?d$%MzJ|ZOr)JjQv9(S+&+~0~lt|E2w-j~}v=bN|v z&u!n(U&eP!o&G)dTe&LrxL-|GsBB_L*!jPe|E4o=74(Ho{Tte8v1)!;sI`%i#_M29 z&9gnwC7CNvJo`Ln%D3O(4B?cjcJtnG&z19FLmVen7C$b(oRw0e2Fg#M5=%|IFzD54 zP<eAro@3RQ`zlts7Mh#)gUfrZP_56;@0?-Qi#rUOwqXick#p>6wWa3j^WfG5s5typ z<>yt*56|rP|FloOwC{-+xPJi3?r%XW8d?#>;iAQ>Ei@nB1-s5`>ZvD*eqOat^eY^o zD|!omY5ARcBLgliLG6M1S(7*YK&&Q>zjxF#@^3e+uzD?Sx<xAU9(Y6;Tw7@D`e~rP zG#2bStx&Do^9zDj9R^hu;I+0gZ*K%Iwf_;nvj<Wef_jiAZ_b(WD+u0JvimEho?6BZ z3!G=sey1L>ZilZmta7;cRN6vwHKc<Gsx9^(@yx6PO_`-a7c4w;^z*7dq7QHDZBs7_ zTBQjOAo<uco|1@(kCSnJUVk+}var(p`QNTE<;{rV_WpU#$eKoQbqX1!dCG60xf(Jm z4VpRltD?TtvQFQ34Q$QJY-3B!x31s-2DLfj&U&u=25M138k4tVYg#57*}XE86Ho}@ z0=EYad9FO4jA-r^&zW-bJGcV~GQLh<J@sQeJbd=a-1l2O@oF?!9yD{XZ^GnDp!F;8 zLGc1}^`*6tArjCS)06d;2VSK>+B4umAou4*LC3h^5gc>ebLDqXTNkq2=;eM(&CTNX z9fd&)szB3z^}_0@9|b}7!v@9UA1+x_cM3Fo0qOwTobk*od-Ir)F$Nw1Dt=zoW}p}Z zRTsJXPnU#TM_SKh=XdIdA=r9QQ@d~H<S^y0FA?dpjNSf-=giufpd=Ou8f8lMJM~Br z$^FwmNy`;{4Fa10T1nt<sd@G&NI#@CR?Mru6tuhnGJNuO@ti5IUhGDxt}k0?K8^)P z52&$p+EVlDETq_d$vkJu&Hv!w1qc73x~N{Ad$2K}Pxq$FKVGs1G5`t6USH+>ygqXv zr=P{|o-y7I1{(nCz&|exT6G$EeB<r`Pf2<3Y7B7l7oIca*A8Ap>bbnVC@4)HK8W~d z^_(e3&w{)MYYzNB;u&fCS`LwX&Uj{?OGR4mviZT1Es*33Dj&-1)t6QwW=8w__D&B| zerybOA1Ho7^RDqHk(+zzbEZUsTMMA*tvSXhSMZSwIsD&0TC&CtK56qh+fwta9VmT( z8<YDgdnR9!`y7oZe_qa+@~Q?T4@*Dc1wqHmp(R8aJAYwN*4}=k1yh%&7Y3!hhX>G4 zbqmezd_?+rnmcF8)%&pC;3m6Yby2-0%RvkFVfpLMQO`_$Xn*Go-#SaptBy#{clR$0 zI%X}u0Nh#zO`KVFPY$X_1d#v!gPt!NZ-UlFKo^D>{nuXoE5Xp}gta6~M%rr!&>D@# zyO*wS@~TSsY6q#wm*u2g|7H~S)v=fvJa1CgzdPXK8MB?12Ugi-%!f}8Rc(D+xPP(u z%jQ?P;93<nlwk>KWyC**)Ro{?hAwDk;yhRpY$)S>Q@Z_$>^F@!SHowBYAyG)ht_WR z!2`DRTkb{hpv}{A(7?rRs4CE)&0mYvzZO`2Qv^33petZ<&LvF!;!q83CV^U0IqN|) zI_8BaqY8!pPJr4N#{3_p*d0xRuS_}nM*GE7kn2FpF%HbWSsnyli?V!vQmFiyeP<y< zK%nuH&(@%YBK6=w3aFhr@jt4o1Yb6WLY09=ie7(?yvlx76xFL+r9sUpIcQ_#RN6P! z<@FCtzd3v@f;2K_uLrM1SzHckWK2g4W!y?Ry2@@vK4>;81G2i9d*;99&`ZplpUW>p zYB$E8Uu73j(*T}Eg01zr`@=QNlYdPea>GVp^{)k$UEuXazw_QWxf<Vol9uib8a;}H zw9Y`SscF_r_y3hQoRB&Zw6BN%1ZZE+`|@+|f|^X#A|jqFU1R1Yb?^{Zwvmz7iG@pe zy7Q7IPBipXRlSigvDH;rRdt3>Q>&X<O3IWgtdsvG7`ll`-n@F&er>e%wprQhu2#lB zvwU8=<Bn_CkIH%Xf1cYZey;WWS@+#qe`n77-t_0axL0WIww(9xR^2kxx_UMCUq7^c z61=kFY)W|OTTjJgrNz;fnqTX{MJ8xcrGMw~EupRQPHM|R`D%^5K*-B<p}G6oCts?W z1}S%gX4X!hZ1f+oOjX>!AZXh8-P6In1W>v1%6HC`GVpQ`@Z87s_{U31?BT`MGterw zFLrVQ;GI6JzA(7DFE4=YVVJ5l^<(OsDJQ?e8wPXMS!$k52A9d8ELr{Teuk-0Ju|3S zg>+#+>lXiTf$9`clPS#p*^({bF;7UI7m2lhYA3g$8ayn#IB4mnN^A9{yQV-JWH);j zzqZi)`W9}y-4V~3GWGUIW$yLDpjrIj78huM=9{YDsXy)TGMB+sy#C=5opRd)%%B|> zUQ<uKQk^s9+giBmW9pkGU(y8^NuVm@Gq;~t=>)_OM_=`XFy*hAVCz9E=;ojIoEZb( z8^C|z-GlFznrG*OOJq=uarJ)XfuG%wDg(ThV@CzIdg@O|oe8S(V$XVJ<|9pN{hc%A z-Hg8`puG!_{<WoMD0mANxJh>5-Girdru=dP$3C<Jd{PinB7!@>e)InefJV3AvmS4h z=S=x|9n>O)^nf3w&zbV{FU<Xsvb7EDIsS+-nvC)fF@9d<(7;bE|4`-URmu*TkpK?? zxq~M4IR7JxT)XDUMmrH9<iG!vXJ#FE2`Z>cy}5VJl%K)>PlDzYz$0V}?jAH$PyNXU z_W@|0-wMeG>++v3DQO1>ASeQg|2RhVhAf9QYEmYFhWDz#Yc)XTe>^*9%1Q7VU+|RW z%r|`R?tN%D>kW=TPz2;ZUUJ6%BvJ?asJW%)X~bfeR|@J&ZQms#R!Uu8UKq6NGgv>U zNw%|Tau8@07qm%s;oXB+(4;~<cmx1csopv5IdjfYBnw_1x70jq4)?&fV!u;=5R)GJ zDvl{e_3D6XX-KOk^f07`gLbhq%0IaId6h#J`+&OG9O_H0J_>^7TvN*-Lj)IR&YAKH zKFTK*f7Em47El6*w3&V}s4uO&wHWL^Pzc>TZK?UW98srkvHp?icj^-xJOX6rO!;Pj z6vNlm|I7|kzAFh%BcL&eof9Sp#e!QE;L^c;dqL1E$iyBf2grYw;J2`x3Cbd{2rjnJ zJi8nm0H7GS|7gjXdQg`K=KiC~bEZ7q%zqiYpbL}-=AQG+L>lLN&8oh1H@M9R&H=kE zHLuP)j+ivtVqGDmzSM3uq;T70UBRiITBM8Qf#sn6L(*XXfqFf*pY69u&D@XJ<)(k{ zxMyS?c!&#R!Rpx-ns3272*9l}hPQn0Yz{J)RfCfN$OGwxL94nY!OP6SS=eG1boCr$ zCCX#atlma<aI+L#z|G&+HTe=^5NPxH!k}mOli|al8RZ}N=S+DDSqcbhwF=K)a`lU2 zwHmnIFVox(noLNn6<qym!ER7(1z!1@`FQp;(5L~ZK7;nRU-r%W7ay0*e`P(iNdjtX zd<4xBOg;~p9|BJ%tWFdEZM|laTt*#eSOU_?UuJ)9mEDZ%{};QyR``%s_7!Yp(MPwi zuLnPYR!}I+s`NGS_RlR<UHxmpUdYN_@B$6#P4~cSC06{YF8?389lW9y)X#sG0va^% zL-g~jB;USHKBx7v@z-r=-FoxPKL5JYtL$djfJS)s%&a|_;|!{TzgSvZzdZZx|IY>N zv#!Gjjcm8xWRJYc{#hS9=>%?Z)H#dUCr$lw;M01DiQuu6TgrQm$z}M1+7{3yx6?1~ zTXHUK>KBJ{PWYfv{@QHN<jH!-<Oy^|ij3`<Rdy@pFNH3pT@+cB_4xXxRs3r2ky;#W zKKnsUrf2L3JB@xDul|*wx4Qzd3dZPG_`~i<Roe}7;4^T)^Y+XLt-TNjn*7-_v(`Kh z?Ac#tVP74~K}{8KtiD{C+jrO3e+mDpaAbeFJqJy5{j-6%AJm%b319Q7`J_B}`s(JP zfabK9sUm0NGheR%&%Ei5xdy1I0$DA){`rnw&t`32Hal<axvbrJ*-rw3+&q;dB2s+a zz9~LEx`bb6)(Iu$$7;0!O}t`~ktK_luylKF*l=Q5PtPQ&4KJ9x4UJBnShj>;XVd3> zcc0Js{;){g@n_|{`yc0&Ke%H*=WqFO!Kr^|#?~qPU2CQB?Yyk@mc^?s?Vs}NK;TXe z2gbOSp{ezuN`1@R!>$HON>2INZJ~MgHYn?W=2p6N?2dY7?gy_^)CftN^i<wLbM@o? zXVBS?NuJlvKUk6j8XbX@jBkHiYF-7eGX$6I`9iXHPkTz*gX@+RAuD~pftEpjaRSvH zUqP!3&)qxaxe~M{7LqSN=NAUex(_P&Aid$E8;^fzcnjIQ04mvQMAcKTBKq=O>-L}U zy!i*(*$%q-Z|>xvx79qpYhXKvUNHHc`T^N?2r5?Y9PpfZ4_xzuOT~0)Kd;&^8{x(7 zPtY*ZX;8fjnap+-fB$gFmif@GOvp6*Crj4+1MSTK?R?5P_VwiM4AV`OdZ1PjxThU| z-gD(T#Js`c+m@P-e}l_ZP)G0L<B9_>6A>M;i#NS3HNWl#%Y%xn`A?Ua+)qd9M}AEA z^QwN73}5b|JO8X_Wb8RmR}t*|>+;W*<V*t>`Ji!*e{&`W*`5N)f}0%~<sTk=+#)3z z58ihRs`d7CO*Yz#yxiqxxuxb;d2pEts<!Q<J~o^+26-Ra?ASVI$~VZg1!!Cuwr^np zd<Cqa->J0!dElNV*!&5TgU*5y4s>ge%-s{7l8DuXli4jaFUP8XfN%TV3>xSU2e*1a zt-gPDeqNtd5#h7D8ML$FKe!_b8m4(yEwk1wOdP!L7qVPr$G%CEH|+#h3ZRLDZRG{f zr4$hNXFTt;dGG_WL^mLHvX9=wB|hsB&898ZKUn>|{wjkj9Z<XMzJ=ylP4$aM5Sx3% zgZ;dILuy@6od;UPd>1MFzZV9X?P>>ad;uj++cS`PC1|T)i*<#mdg>i`NBjM=B|h?? zjbX6GC}o!(XPO4tL)P=GxU}ur`o~L5<UtOEtTyUiUlepJ9^NYcsiB@)`XL3}>Vd_u zlHaLkT5u2e&YAKGx`Y=p(!^gBbZoc(L-1lQQ2L*9+H+<cqR)^n|76J($i{Eb)XE>w zJkxzps{~xBHk7gZ-}hcU@f#~RiGgZ8OZC)JWk{_JUh4AN+EQ~iWQ95?0quUxX_HWj z7_5|!J>@AG4<5<@wQ5f4&YALZ7QE4M;oSp!OU<t<{g;4;0zl?JFASPx4qCwuU2L)A zhlKjlzihA!ru+W+k~L-T9zzSCPxr2;7YCV5hlfx#ulmwmh*r&ocMojmO!;^i?)<&W zFJ+}vL3R}%1bbk!c~Q`-esC0l`c!|i=S+Ea9TWnPc3I(*Oq+yiF_8VBZqGE>-YNLh zVveQe?A_oD1j@nug+Z%qlOfGM=;kdOzf(WBz!3xr|Cr;RGw&gV|NbXSu3W7Hk0gO3 zz{k()a}sh6c>iF@mige>Ur-JJjs4o505@A9##eoRxkc*c9B>GOmolBU(7f6XT8#tA z0WY-YOp%lL4_$EyT8pCgKQUC^=e$Mpv?ZYRpt7K?C(0Y5e>sJD@?Xh^4uG6WE6cS% zxymjBJesg)=H18Ol?!@x=U3Tf^j8ZZ<}tR}fQCPIO#%1g!L1Gblv-WTjvd(YSWru& z?CdJL75|QcXILPUL~g(JEZ#Eg?f7!w$4^Kt?R)dgKAC%mR@u#{n*-_YZ+ijm?!TE0 z8td2&RR!95V*gBG{YLg#pr!(R^5l^ysJV0>G_?WU*mHU|Xb`4)2WbBfr20Lcao>V} zvsYEZ=I=gHTfpt0RO8=VR-jp@y`2zC{a%4B%{aKqPNE*P6$w)3Usc-=3b%5ZN2Z9? zwrA!Y0yUOWAx;A|ZH(&8SN~e@QzjgFg>Us+c97ftAA*d`Oga0eJMaADm#)7OO69=K z$8Tq^gNKd2imrLpe6|!~oG)lXY;P}U7Z#{NxnL$VbW4sT#wGLj@I$vIfr9sm>Y7*0 zFRhUp8pkv4_s&n8`o-a|Eqr+Fes6tJsJw~#9M|q^pxs2fH-blSy3;qV;=cu&421gh zP5p)6|L6Z_^qqU-3aE`z{{l3evi|v!R#(1=loXR7y|lIGves>#Rejla`?a%zI@?an zFf;Nxb#RG%M2Yg^o*vI%ja+6CDJfT6JUPY84<1sQJ;})HRa2{|SaQmgDW&(WgSYg2 zKYi#>`uWe6_lxf}8rQ#hm8@^IXw&?c4}~wsU*%i5>gu$)B`Kjx>!*Bs?JvTpaX@jc z*6-kz6~2F$tg_N-?de=of70{iKX9`IRJ5G^96zT%_>WMKEO_Zby~2u1?^UdJO`2@9 z4^lm>44S!R&Xix^acpqI!*Bk+$?S9Hf;*+4tn=BtC}>tcsA&Ohd4yYPo}Le{oWAP& zdHvoK4DK*MCMsV1s`2ys?g!4>OTAJ*OZs`0s)Nc#@UCKaP|@o?{}gz{0yLy@^Qh-a zeni9OWxIvuW_`Hv|APIzKJz1T{>7ukYFnft=Yezfijb9S?w#|@6h|8HXrFxPZy&ff zwc^q?Gxv9o7+23llv^d7>ZvuRuwqLM)cLChl?u>i&2nkKQ*R=nyK|O$rPlGOFRj#u zyIp+#lO;O)!Mm0~A+!2^LC`H_Kg5EU>*|F;yC4UafU3Ppe)LlJE=sAJ9PhMxVioe< zjOF>ymYlHzuOS9m@HxLAXjVKTY>w7jYMvIK1D^ufWOcwZb3JGR54J?Ff?0iOHcGLZ zT=uyEwBr=AxhKP1J+%h11`)I)blt-xSGFU}f2nVwxw#%5_I7rY!<5gmf<g~g@7+J@ z8CeG%iQ8mdp{~BPb^>^c0<xat_2T$b%w>?48>rqZ?wA||UhxB|HA=MAQ)~3$q2FH= z^y+cEn=pLG_*=et$3186Z3aglXoe-z&+Bt6s7buL89X4QS9jhsQf@1xWdX8a>*UFo z;$UmQKi#_?UL3RwF$1-;eR7a3SQgeS*s(do)aV{G@!XW%(=$0J{y4bafQ&}G+-|A4 z`98ebi#hj<ake;UC=#0Xr%c|2@IaV(anP;Zpk^T?`Ml+`JLCDXuhtT=XZ+!kkakGJ z58BzDHrXi75VZFSRI5Iz^Yi*^0Ut}8Kd*vsty>yol`gp9m*?kItPGNe<gB{~<qLwQ z)x+28$Zej^o&#Nj30cumtEs+pH)PWuDEV(M3|jRYl)j<0o}u5VA1$-MBc&koH-R=V zgIoQu@IlP*fvWBL2TM%+Ygzd@9%#WL!0+)Aop|uxB~Sug-8T8sJ#fn!((e9V6tv47 zocuuP-|}BfRBs5_epmp-o%580Xa~28n<odAgYqtH$&}qs8@Ylq@MtQi-*7hmv}dLr zVi~)5{F5a*_23n*pt9|%@|-E(cGQAbiGxRLF1&jXhce!0<>ysu4a&ZE4?@QK_zQxL z{qh30E5LJHC+AH01#VX?1sx}2S1Yfc`i&J_a)AzGsXyVF3F_7$8upUUFK4Axg4;cd zLE~8l>Pz<`Z$5i1s=jphd~o^&g@1o>&?;GR<SpYTJSF471qjFkC!r@OK#qihZW%x0 z_8T%o44NZVQ~$l7QW#tr!p2fQ28Mk-_zAR@6f&?O9e?-uD!UAMP!lBsGPAJjwQ!s( zzk=<Bx1i2Hba}{GP$l~6Gtz8E;O6eXwPBw8J^w)iF|fguw^#QZlUv~r(s}R9x_^*` z8ut&ZvYSym1G0t$wC?p;JZO87J(8uIk$)zKUSdA|Ty6nkI%CZ@P$haAsRllrao=uT zI%q@#w8o<&Ee^CCR9$xUuLV`7S|QtcrO$vZ?ej|wmG6m%4)lQLZ6@<?TE+iLA3O~N z9!2@r;!_W5YTUdJnNk98?D_Ym`HNERg)2xtP27?nln>fg{V5pQh`4!XU-sQ|pl}0? zH{Cn4uQwMwrV$l?IQvcGyA(+40JQG)b_{4_<=%O4BMrO^M%XB7lUG&3A7zLl&>owa ze?Scq`_GV_+>m83?HBin&O591vhij#bd~a^nYH<USU?RS$ne;=y^s|t;``G=<#qle z`E<2xaMeV+41T03s+KkDlR&`+N(~)paiD>eoOel~@*(vP5nHtR7q9rszE{2OAO92& zllS0O3TWSP+xvNsy}VAYSduu=d0AeH$t8ZD4eMOp#4KMfi(dUUHagez`Y}^;uTuvP zadoR1`FPB9;qK1aaN@*;#z{gZZYM!46(O&z1BaBl&wa1F4_-9O^6=xH^B?ErKe%IG zR`6r@_K<JsH4i_&jK9hm6xuv3_jXk1OZ!tFrabRr5J_kcoBB7n(_$6>s#VR#MjDG_ z=S=wp9wq-e%W0|7<M*Ii4pQb$otg4U-tW|x)b!`NKcM~LlPa6H7Y98PhGk2gyeE(? zs{xUq=FI=eFJAf2nez4Xc}RB-)Z~!z^V&KYKFQLYZK-+K8a$i;sx!7cT5{z)qCNA` zd;8_AC7@cKV=AaGqc*)TXc?&Q2kotj%>COj+2}trsM-R};8fhJt~l^?HK<e%Y2ccA zc8AsU$(#PMfTaVL=H})-UJ}BOs4@;-o-^g4;qy!2@nO&um*4XxSE><}hU@Y8CreE1 zp`G~<wf&Em`0!hSr=7v=rS$brmYe~txm{QUDzT0px6nKdUSkO!osIw7GkMcLX^`b0 zx0~tTaa=v|l?hUN>7bn7sSj1)umg4FK|yZ_sZqft;_<81l?R@=faO6Qu|3_BjrMkd zx}xA!u;=$3^PKs=1yrDcMwh$y7X(df-`}qm2HQDwOV*}!@}_&>A`M)m_e~DE3z?zV zuokqP_(7oGsSlAb^QC0BgH~0{1hpw3ZGswB^`$?xU>;EWUKq3tv_%9O_*<-hG<~i- z@DtIdv9{Daoec{7H+<Vb+o5Cb9`uY{50Bi>JnBoWzA=Hv0>E_=dwM}o8)B?!SL5WM z`-my1jPeitbEZ6-@clDvt7gFw&zYe8*q}utU=O^RJZH*J_!QmNiV2f9fsV{^fb1$+ z-*?k>^+eDz5y&ALH{U#65@L)9|J}{y#X)WT;5HR#QP6fXn}k~ErWo*c<;S4;1<3hW zty;O+pGy5seR+-uo^R!Tr~a(C3!g&kzHFg++7=`aYm%*hzGTgNc$2{HnCHrEF(;To zN2n!$*I*tr^E>rH2N3}emRtdiBtTCQ{0Lg45)Z4gjVvEAZ;_e_>KZ^!5rmw=GP54i zqyW`O>guUKH6T?g*!`>1Ej3?1{&oSJzCnj{%sJ_~@*8Z1YtQ$CzH_ELoCHfii`7B9 z=)^xZKo2-$-(vm4%FnCV4wL}EHEQsjDKED}rWnETJID5n=gj}$bzPvLEV1KpKC34_ z1#g{#B%rPRlQ)4J+;R$(LT6W7XkHaY4j=x%3j7u};29Xus+}FplY>Butl;tcLwe4X zXNs`!nf0f6vQh2FI79+U2erxd!3)VjLlH^GJR|R&MIKBn^gC7cS`|E23d#XCos)xd zp`(@HRkj7D>ZujlU=Ic?&Fz(Yu;hv~D1ktl9Cp8gqIym8;j84IDEXcG@)_9!`;U9h z{11<S9Z#KY9u!F<$G~QkMbtZIP7VU?E{B|Rd5_({FsRKv&JDh7%4+K5p!X=NY$GA9 zY|t^0r`|nSZ=relKV*?@4tNhi^-sH~-ZP*x;~+!P-GApydFl&tAv6M>EGaqg%X8g@ zIOut0H)YB!*DqjRb-lRt8<PoQV-M?^NqiD@v$VH}yBM$6oPBfaU$fP_7Sy$9ZxOFB z-hCXr4prv=?9kW?JoY}_*FeUBD&X0BdP8Fk_Ri7XA`b8De-jP!WIt1XM)VdpbX22T zJ>n|sX=n94$Ko2&V2fYN51w2zi7(>)1FpBM7tds$O8fS-fOqw-1;5n7GxR^CeapQF zUT}L*KjJFu;rgQ1Z=j`~pwmiTsD@wYE^+)Tw(de4{JfHnOV>=|%h-1WVd-S~O{=(< z?SIbomK8c-Bfq%zvCN+N*KaU>4ljh52O3Jbb#9ejMBG`?TiknQ)~as>O^OwjYOmgv z@RuPxL%#!j0Nv_bvo)`pUb%)}TC^46(+_H4p6opO&mcYk&5B(VkGRVE_4`hzYQ|ac z@tf@ZsZ&cFl;t0qerw8DwnB6EP4T<h5m#9+8$x_~_Bz<7H{BzzvVM-<;Z*Ht@_80$ z0ZyU)>RkyxMZz=m;X_8RPON_6x`g@Ka%g~nhEmeZLBS>iRt7%x4s=9H;X$pJ4G-7v zgqXHzX07?Z{?OO}`T7R2wR=GeaFW3bZjZlD2^BX9hnjX#XYZ`Ptb3pT`y(H?uuKBf zMuE)s<Uh|4lkA-s7-S??moU-UO;R%UB{TOL!;_~p*e46=%sG%_dVSsObuX7$uiZ8) zx<q;Lk{-`r2b5;(7#U@)Si;h+X|(CYvL!5&U+r1{-4eVmMWAlp^KzT#vKHU_=iaZm zD6I9{*!KC0FY8}PtXx%jyw7^as!R1#p8f6@XVf@wd&{af^SzE{ziM9fs#7z?Cj~Ug z@OumY)4Fv`98;M<{h1m~_0+F^psf3qy-Dk@edoIM50>P>cHFJZsXyqsQVz7<EeO0j z=EVxxEmD&6!Rrx0ou$d#7T~2Wu+0sB_0&_pxq}NHP-kO)ks<$$J>Wg5;Lc1y$;UE3 zuiM};T&+;8)A~h0VW87YA;-@?{uMuG%GqMQh2SA#(8PAFsrphYr2Q-33WJW7gLQ+u zdJmUeX|I{V&H_6e>BSe<Ia6*z`pQ$arv6+yXUenBpuQM*J#5UMmdTg?K|10qE^V83 ze%F*R<<;Scp@Of~7MimmwK6DZ{#vOo-37KB>h>kpl?Oh4gbpyDdQ#%&1?tvAPlmmG zz9=Zo9$aUE+B82w^9#m$i#y?`D}3bg^Qz_p6`7z`&9w01pfK>sd9a0g`=2ez`3LXC z+x$}ABDK;Fv``f`gHWQVo?6oYs&zn>hFW=H&?|OOn+wtpF1hldVe@owHyji;|MdL4 zidB)j+3O2}Zb4R6fa+}dr%TrK?V6(&#t;r0ez%)CdDBj~`P+V5YF<SeW%|OPo?7!l z58TZJ)frD*{Jd)6r-p(KzB+BGxmh3V0FVXs=R7n2odpj=K!&d$?UY}7)#JAmIB|d` zSmvGgjC>24b%#uVytcN`oDDwu4irAy+G7qfhk?$0g%7{mOrC6X54;i*R3+_cg*19V zbsqTWv@i4LOu4yvJ!F0XGzhoeQu8dhQ3?s5M^=7bzj?v7gU+Y{%`TLfgSO?sBH(y& zklAv0qXsm+1nC7s)*)ZKJ7>yAXK>_$47dEF1=+g{JG%JgZcEM0+2A%CX#VfNl={-2 zyvX7IzcA=lvK^$(0u4kgFANF;4Z|S9|A6PsJK#nqC}-UU9ZZ}U{u$a~sC>V8vW4d3 z&G1&u&9j~>^Fg5p8z%dq?RV;pJ=lTZ*_Bx5)f1nA7k!nn?*`4j>OGq?<r`#S5-9lZ zo%D>fMT|pSZ-4iY(HwHL9Vh`+i>WWYbriWx5axHP;w)?-a!t$RAo-t#$kQKRw$7Py z6VfULwfgF8)tCM>1BC#jRsUz+<V$uhqu>eX6X<M2A5h5yivZC45-juXZvI{nw5lD_ zD28lRmMxTB>vn88IQxS~nr!^M_JVizft&UI`%ik#{0kn(12vjHH-G!oaF!c1Py`#O z**A0Yr8w|N3n&5QSZc1`jbwg|{SnWbGW+1`rncJ7oE$XwG$;hXjc)g93(d#3;o-lt ze{xXxe3UbSBK%Ih>4irCe^JmZf0XGhM)jqC;TwB&`ER?go_N(0(dMW%Q%~ItUNi%8 z|LxlrnpeU5-e8Sl`NvCo=GWW82jccMPOc582Q5_q?d*wNk&zZX<K7X_m_|QrOe6F0 zXZww-_-8G@cOY6Cb^`3K?&%x6sv!GOpq*}=@{Ozb!?uHa=8%rQ|5?3nvqCR1&vu9R z^Y4C9w)fP(#D4WPWS7nA`{0R;i@zhUvOflG?}b&rpJYL&kg%^q-m*OT`)8@@1T#># z9y)gOGa9rK6*QlMI2CPuzdp!me>KCw+l)cOD3jYkGds$8OTa5(zOh~gTl!TKG<#AB z9rysvp4>gX%5KFz$g~r9L_^%@mn>+ZFZ=x?kkjNqqYFN9Csx_5I1d^hK{ReWW5MGs z&*46;&Hf8o8uAA;B~)SjTk17<n&{EY`HRF~Hh-InWUI~e(Ao{RKubuVYktHx?VAe< zw%-uLz=Jo@ch6+MX?$e?4iH!~F8tx_2vu8yeI1av(wu#>{Be53Rras^kTo#S4a9!` zPpz_>Vf&W>x``Xqy!lrY_SMlCX_$&TG6uBO``c=W%RrM;oA!WQRt1_2gdQVY*R$xG z_!qhVpX-m*UsOdjQPw|S($vZu5s^}|G|b2A*deZN86&S#8B6%hJY{=(dWy6(4<72& z(J@lfJm^y%m1}yv=!)sxlGj?b2@`$UBqd8<G7IZ0J8?qcHMS<o-8~<&*+ajjSH0_l zG*Nty_t}D*DBpbjMHw{?_^s9Yy<Di!q%ta0cG5)0n{_iL2gM$BfSg|vl5(XW=#@LT zP;EWsV`TSdmW|1?i6=W@nPz6nuROm~Z!Uu~9q3TD`$r(hp+HW2`|rQ#bbWD9nEsAw z;PGLtP_5VI1wpewLmA<ay~>|c{k*Ed`x!xlv$_8dc&_BTjW}ST-|xYaE7tH#zprJo z(M?28Tf^$Fq<ZQ%e{iL;)GPJh9?`XKV(USbHl(@q>F=B=SHT@cP?deHya;8z%h`kR z&lztcX0(5s`FWKZf=W!t(D;iCbM>YBAS16UgCz4UH76^VUk0sM_zEh|{o+r1&IA>J zEvG=HKZ}2`L?;iiAm#M^f}k+=*yG?ysRgIhBIQqeW<mzG!6V}uyM9WjFWn38g#BRl z^ZF_Q>4Sl%%NHxJTYl98)UgNOH;~(V{^1fIJy4Ycsjx3cTWD^EoM;ECF#g5+oqFVY ze*vh)11qxbpYe>W1&_3Vx~UbS>Ps^r-C%Hue#hpJXD0vs!(bPJntQerCkM?%TE{rO zDCpH*Slmk5pYWV%gFLdoVP9jI@@B;52KytPku}H%q&&5qGvz8`$xH2xUYmqsCQtx_ ztEBsHo-Emd2>#umnH6w_2o9f&@(+c6UbR=uAxm<CQp-Px_?>!E0~u%nFS%GA|7gjX zcZfFMdrQr3=e$So6${h#pDtMg+RXx4F7@^3oGEGMAnPIVd-vdPOU<|78VejePvW9_ zL)4L~3=6CN$wBe(sk6tmbEcd;3`_g(YqiWjH*6LMw^%?CVE1&1jvaWx3e5dcey3iw zgToKhA~<fLc~<cLqE1oJschgDL7v~EC0m}uE7WgBeqLKay=X}ME^fEb{0dnF30g+_ z{)gFGH#L;KAAdB|Q@@2mQva!U5BAQPax@+(eq-!Di^&yyg|CQ+nt#$WQxCC}diLHq zQ@%NZwFjh5&YAyUNr?OUOysjpgDo|`A{L}*TWU^b1~uoQ4I0p1@tL-N!@x}naHIRQ zg=Tj-qCx+(Y4WCB;3;8HjryA1Li6lnQ1HQ$XaBC=Fy*(J@DTcJsd-ft$%4Lb#o%-J zAQ|jf`@M6_etn1>cDcMDC~ZD?loQk<{$u5L>XACK`Tx&(M%t#!!)xq$XFM~{fl3Eh zlfKweed*ofpf(k_$=7bFIrZK_&`NA@i>ANt@scZ$7AYuxYXsF(H+6%CF~R+j3-2EE zgSIY$2br}(%h>0Cm5u7vi9>F4e~R%t^{N=`KT!UPD-N2~4r&oWTEx#h{k(QR_5&~J z0!`h#&73pkl@oG{EW9}A6{JN6YO(eg1*t9G4cfd2?J`)@s;Z}!L1vCXdzI}Edq&;? zAMgZjF-_n9WXYEUuk4X(CA%tn-SzylK!?gQ&bny@S|{nAu>A{Dm?!_1aQM>9-P_nf zhoj7g)P%6ZQH=MU&VJMQ>nX%I(2@x=yXMeZgP$VdpcNS4earDDzPN>X@}H@L9&rlV z^76TU<0^i!^ZRFutp%-ufgZs6zUew>b;wsn$b`qToV0kp@C~c@XZ?k2=iTlK-e_a4 zyZYAx+b?o)j}<!7x@Li@-)bAs#Ev?$r7tFf&Q)CxnqPvpZa(@)US*$LkF?CU|Kh&F zdDRVZE>-Ym(5bX<qF?O7z8-wk4Y3ruyJvd&hE@Dw`;m6@ZmsLTeuMdJA$a}=x{qo0 zWzepjxFe92CLo{Qjs=~S3pouBzK?0^e$ZOgx^Le>XQ4nQ#Jb;^f>x`7mdiAnZ_eKb zUhv~*e}0wSjJu$<zl&yG2Te_Vj^DhBU+h0hLqqQJg>Dc2HTR(FTR^tH<_0z7u7aHg zxAoX>&>1y$P}8QIeY3l;M1TE8_Eq7anXde_`@NtB>uj01pw&Y5(3LzOOTUSKnfL2+ zeWI&;0CF2;$D$xJP1T4S6K1-(DQiuc62sfAQ*>g5YU{e%#D#`pl9EqTCN{MSOGc*n zBsH~KMntT*F(Z4|%WD~{x6OLJW0|z1>6b=Vv3cLW+CAUHKYcgHq4S^r^gZ9h{QTd> z#jo@4FW+SUm|t$@ztFu=tFo?aTD(0Z^jCk-`<i_m4vgEfKqupOUBB`>>}nlo6y;C6 zpV#M8@z3C+C`Ogm>Zw-XwS3Uon>W#Oru@tWXFRW|ryhCwo%+OD{sDf*{VNN<Q@<d4 zJV5#Kr;_^8U5N8e`NQT+d1wsQ3@UhK_w|M;e_e?vSQb4lhHqe)sx|fG**Q~QJ_Z$A z;9-tAZ|l|hE$YEXWPsW<y7x|dM%K*(l^ftvW&OH`OV&V6p#+s$&)(0O@@hl;MbHu8 zkdD~JgD2-qd1wi?-)rir7e#(vwZfow0eJ4_cz;pQtlIaF7(r*ofoE5yO*X0p*J|KO zb$;!%$wr8!G=<{osXxOJWy-~?-tU%OJ@LyIX8befIa6L4fhtvSqlPc<$r2vO=@g(o z-ill2n6J4bO7xGrK|2@V?XjPF>Zw)i$Tg~canLjUf2QyvcVFY=O@A0buD^Q_GPb|I zAn4k4aHR>dAWdC;Y1K4%;PChDXb)39d>P#21oh*==b?j}B(O?Ve9n|-n&3(cH21{) z{wd?~<B&QVGPG<xd9u-d$Rgzxm$r#rFASQd4f6j|&}{s^s<z3S>}uG-?gIyZh@V%f z9I_9zEi?}!dcmOcDH!)Zglza-3z~eYIO3TJIvW)-a6MVSAZQtArVV<#&gN=M&Cm7V zDjig36yC_sG~EQ6DS*#?Jg}TI<tyTp(AgH6uv6=DL8sQSM~B%w_#grHAm~`Uxd%O0 z>VuZ!KpF*)-xmkXf-Hgr1<y}u_0(UN&<^M*4tgdJU&a^*np=P_V+6ZDOI$rQ_U?Ij zqtCKya?o6Gvjtpfu!|Q2wS|L+kw6~U(mOfG9%X9c!TpO_ODZAf{{*F$Z+N)G2Q-th zZj<#5@YKY&WWQ5?7-8;@vN`P;DYtPlXm$^>-sNiMoGD*_f|DO;A$812&y~{<d8>^5 zdr?puJAC)q-GjmwnqMP9xvPvFyk4gdyayh9)+?yep8VTF^Kw06Zs?}A+>)y&{ve&u zaojU94!PO-LCo(IXoVEVRPf|bLwm)6R}r8Lu)7%=1D2YHAuFyx<0vJ%>PwN9u^<2c zWQmFXZ^$qJC<Q$c@jLY;8QBNvbEf<}4^F|LT6?#p=4oAMtqo40JFGxy5IzoSCSDY@ z3Vb30q*ah$t)99AJ~i~>LVKoZ(0s%t6&d9pl;=!&wi+COpl0R#f}mym$Qj`Fb3wU+ zIup=HC%F9adyaZY&}s|K*N~zS6#h16Jy-JmWCm>ygpFiXD5<AbK(@38rIvpP^7E=? zM#{mD*)28CBKC}bTIHT;YE%m@1)wF+q{&AA!TLcXiDKS<r;7BEb69iP=Z4q9@Bm6L z+{C>~A2hF%pEi9H=m1vkX8Db)xZA!LLnb>wC!~Cl4=Z)Ft?9Y8VlTs4a0MJ+{>LoL zlig%KbRq^cjd9XE@+#})=$(jZjOA(Kzd1qkI{Y!8rK+J@dY8$cUZoe2_mt}`>%BAU z>cA5U;o=)tabLTRm{7Qt#txdE5C>0BKpQs?p7vkv_F(6^e+V&Ov$%irD(+Y7LGCw! zjNSBK+-FyJPU~gEGegJ(*0P+m`|7{nJ~S;m@TD|nA!r&y+y`uH`uoIC@iX?vA;y8~ z-|p3sS6NTLjadluXT9QT-qlN(ueIAbLguePYeR0%IkHM`h21I9Tih3*N5Q!Lp7cv< z^%CYbdyu~%GgE87a;)C9V4D3Wsp>}a&FeQp*1*IcUZt0DEN0<e$YP)MW<PmW?@IV( zi##uNbMBf+d^7&HLMGNgi<!mRZ9Mfav7Q!23f<!w@vZ5by{r;yH6c?@pb?{E(h*l# zuR25gSq_=5VgH{VD$dhi(<QbRZflkL>Rk)|q0AEfum;VB<$>nDpzXMm^Fb3%;%}dt zf~Ngi!AI)_UisH_?{ob;=BaVnIf!Fs*FS%=>tBw6o15FoDJv2ao!!JFV>J&hNzr-Z zuF2jzNl4};`{W+OQztZ*%lmBj;O6EgHF-+HLS8X3NmJ0_`MPh?O|KXFn#W!~_q`On z9!1o#!sdOk&2wps>#_S@$+L%kyZ+@*@@4x~kC&{PCR=^-+$yjCL9@#L@8@t}ya(FY z6Ry<vN;b5#z>O{StES(nU%NrY7WkN1v;9w(Z1D$I3tUrYrda<=my`PJQECOs7C{c{ zywoJo4(fP2XUeZSP_+e~7BcVj1NGC-fyyz^y>cthfEG>afcko%eM4t={4rBs`V+hc z6_n-I$~|7PCjJ8hC{ss*FMXSBUJ!H(vFG=<tDjdX_;`9qPx!@(r%QUuZBKwJ1<*Mu zpveU@P@V_3IhM;mUvg$2c<3Kg<bIAX3KBzFz5Dd@KUc_DH)Op+<lg?toBkmk@BQK# z`<yA?{GmnGsW7=mjH`t~jt4Jxv9Ri%Y_x9*d}8H#anP&X67U;`z9{;gdSh9g2|9-s zRGvIr|8$8C_%aPhc_k8CH*NBzHSpr3{=t$ppjG^!3h657M5^L9hqp*&-UkmngPeaG zv~vJ_=Lw|1ec|VKs)8R>T!M^OTdd0;F(2HCg0(no=S;b|9NgvsmFMP#L1Lg&QQ_wQ zR8e1Ac~Kd>oB}iu6t=uL=o;u&ALw$3v!-*Vd@BQ2h@fuv`{zqSc7I1+txzGPo>~K* znF3cxTz+1)$ooDn-!BMCgRJ%dwV3|2_Fu|M`RE2p9FR869|iTLKZRf(2-{v5bWN9; z6*eh%_h9V*XN<Rn;T}jY4q9~@Y2aN3bVkR0@XP`zcqZ4*neuDJaq!}6NO^t@G_L^G z4r+4zXHrl7=nS$Q(p=pQx|7D_mj(F5I8Z;>|G|<q=aIMG6l<z4-8&B!zuU?SgI0kD z)*(~<B~R+2dVBogHQo~@Kd)L*WcR->4oa&BFJ=Jk%dk7_87cE!9(m^DlkS`;&mcQb zK+XAGeUmrsn+$JL=6@>)x^+<)yqE&q%|1D2$}1aW4}=#59YZ=N_u-N&?4Z;M-B~qf z-w)5I-ZS^WTf0CZ^x0B9^<yZ=07&@nX`X!P5BQv8&@jj9yX+MQzJli!q**yufsWie z|8U8caB$`ag-`yIB_Yy~_6B$q%l)^d=Htcl7r|y-O)YyT2Z1({L04NWkAJr04Cv4w z$SBs&cni&L=YOX`!xXTAh|`wfU4#xRWI!o&dU4P-bL5>{J7-V6^bUNGFKCqJZJ__< ztR+@c;Q`dI_hd=WdT@&o+@J~b^QuKTs95$qXl@fe&~g8iXJifN0H{;%Aair6hdndv z;KO9A_s*H}b>h?;^N)r9SeysD`SbpqLy$ep3yVOOeADvtD&<Cw<!VdK$FCH?V@aTB zmOJmc@;vBfRM=R}FG0UkZ?eH<2xtQEzovTXM+T4q;6xfPml&;cFK$*ixMu-sxt7YQ zr`Dp(z|OYRd}|9f0Mu?`o-^f@#O~swpz++_-yt!PUJ!H)G9U@cX-}g3y#5Nq<Js=7 zwfa)_wDY$P3iE^3Lx3v9XP`qwbk2cB3Xl#<@dq8r_LC1jiITlLF;srdchC;j{Iu^o zz*|9PFD?h&5K}D$Q6+r#(&}7&(9+9z&>T$$WYI0R<lh;gmzW>#hYrnvR!uy)&<r|Q z%pY~H4eR@asb3Df5rz)VfcE$7JG#m);tyy)ONH_8ZIJyvp8`QAu>OffZ0X&4btULz zxK{A+0k~mRuQ+=(Xk_CabnpN)#&G*LXh7(kGt!pc<SqGgrf&qTSv5lp;W$p;xQhQ( zK4{n_KkdFJc#L7rzoyVygKFf3zUOVH#4X_$TaPldv2Qx)#5?FL5P0IIlza8Bgj#*@ zNDpj*NcCE)p6fT5-+n~+^u;;QNiOoBNVs=q-@;v>vuG@TS*`w+@CW&1dmGTy)BIWs z-6+H*Ma$MFPW^Hq2k9u^&*wL+;upIQaTz!CiX!uW^FVGxTIeg?f74h068mdo$Xwie z$Z@lIdWoU(TdqT63v}4*ulY;<T>sBtr~Skqbg>{mXn#-J`sYi6Zdv`yHod;*U*v7m zyKaYBg!iVbSn$w;GkQi(o2#4Uk||Rjbcl*+E}k-BVxOwkEQ>`G123tlMu1PzkM%MD zouY5D>D+hI_m=lhKh@(tZ8zt;W%W7pg0!D&>o=?q`IcSs^4k~p(lvi`_a<6@TeHfx z$MfqS(1EiF+ry^*T^F)vS*362+o?{+T-c)H=706@ss&%(0jdGpK=)j{2CZxWAJGQd zReao2@_!S!0ACq2^H29=Bikfy#J=A*I)11Az|S+;`ol;)^`|X7+wA+LtiH7NZ5_P) z{W@WC&|A<jH?;nijXCYP60~{@d<=b?e__z9>7e2l+_JH-%5hjd@grmk2-F?>B<Odl z1Zh{_{fA4=)Ppw<fXeox^L~GBIQ<ypc*v}?YdCmUF}QFGSrr1B0$QaGs{A0PM-&IW zTFVY!Xec%BoM$G|6w6}$!k}aP@FL|?wBM<cerCi;3ti{R3WA<J2P*&_#Oq%aG%X&q zP7gBu^a^@@t3pU0s9v&rpa43l18E(v>YORRAUj?IQYZ7sKUrdO9<+!Ua?Z;w*+2a1 zsWoQ~LRuD}g_3&eslPZORmW`56x6wWr#xrsgR5px*nG56Pu=;H7janSs}w)4YC%}b z;M(<K=$2hb*u3SlJL|a;v@97E@^ARAMQ55`nsXMsW~3k(bk^p@<CdC_*Mn;=aDn)H z&XkwnJC`6Wr#GeT9~wSGS{9%cJ2%dHM#h~6&Dg`5PI-P_zePaygIhMLeqLMO20&_V zhy@2hCv_Bo+eQn$ZcE)e<C!Ukn4nl}Ul4SxdhbNore7n=#>qytD1FKE1wqg5gQoyM zm4@9(&&YM4*nPv7mjzl!eXB}ht=p~3@DQq%RA0IaaXLJ|+`}bTAg2q0stk?W6$jGP zL3IkGS$y}PpnB>b#9-6gIa6NtBN=b;TU$M~?!iMy1c64ImKOz0n+}RX=vW6Y@(jzG z`45+btOrFuEQ0U+Q;X`o@*F(c0%{tpf3m~`w0A1}rtF<7ptkE5XTMWF&V%bLP^EYC zJ%?PuuQGVvvir@Tp878m9DblhHSeA;(E+u65i6aI)R(T!o&~zi8nouZX7=QuSkRqV zspZh{Upr^YFZfwIQg+8ZGrw6*0<Gu**Q)Y!k9bP%?+4|4P}63zrg|!9<OI>QoL?C9 z46$iE&$=s2`Lr=o2<_N4XY!?cXJ9iduiY&*L%|6IGS*Zrf0p?gWNR0wX}M>@<V!KI z>0P5K?;e<2YCfJ1PJW=!`G3rFrd$#Dz=2KHJ63`Q<KFQ2o%#b`6|{B7#L1g#5Z3~& zpZ9dhmQ8bE$!F8Olb$Q}KzkM;B@cMd_|kolkr>d{Fc$Ttl@l95%bXu916}*HxcO4Q z=&DooTn2UEGa5mCD_^~*OMLc&rzydHNayxD_2)V`hCq|Ndro;q=G7a6dR}l#<kXk$ zg-k+&@~|}Igt{G&3(cFwEi}I-u!9@Vpe*)5#Luf3JO>SlfZD#vM*qMwJfNakZU2)c zKJlP|Zn)u3{Gxi#oCmj_K{+7q;7PrRd!TWFJu_oZ`GOXuMA#l%rI#`PF=VwT=qBW< z`Hw3+Wdrv1B9_0t=DT-Z>t(|)E69iiXpBMf-sx3(8Fl9nV+``9yKnqYnObt-$A|3~ z7Hx$tx%I6V2Mr$tuDcNT;Ov`M`A(oiOWuful^*=F8!^bREdw;JvA6#@s3Q;EXtSnl zqnFi!y%P|F4A-u2SjD|+_YTAW4rp&r-6@dM-a`$$d1l{ynJT8e9^xjvkcFtcX~N(` zSmo0~#e4D}!8}^E_2hQYc+mYq(3)YWoo7nK*KcHgb{x8p7Sy78#1vL~@KY9e_ye*$ z#Nd|sO6v$!*$Z|DAtN)O36yKypry1Awu6?^Rv7;_+r}w+HfM74Wzfo5cIbLl(EQX7 z*RWE@&jN^XBmWaG%t6bP|4&DFRP8w5OV?cqzw%IQ-F|3wgsQB;e<{RhN-t=5X_|gP z>o=zSwENQL;AOt1789@EV0^0y4H3}#n|(7vW5L%}!<PA~r+i>oy@dHyJd#g8++Xvm z>Dh|O85iN>M)GbKzwH0`=XJep<?BVD8J~La=AQM>*GvjDlT=l`5irxuEqK?nS$W~P zrs2`**JCsnXRAg;^t8IVS*A{z@}Q+vO!B&h=HfYZ2@@v@OG>Kx1~#>tN=Bw!321WV zocsRszUMXi(|2<`I$Sw_^Ya?!=l>plc{ANBwSHN?mDS(XRr~&4-;#V>E+&*+a&p?Y z3c&`Zm?e-)dq86;9&T)@Wy<QQ|7t<i9(Zq0w|!yIEp^%X|6l#v4q8Urddg>$&AiE* zs$@YWH+VE9vVOwkO^7b>Hv8vGLi#}kFZlGg_bOIauUMjbd%i=97Olykb1`|Lqcx|} z=G0A}eCZE(;u_SUPxHTVlKEUbcuWAC=f%Z~f^K~W*ZQDp<0q@%saMMpBfyJZ*B1qu zowu0?Tl8{!*5shrW6(u4Ima%3oipX5EV#%373!c9%HLN=f|f#p`;&IHit0-(!OKoS zh3@9>MM2My`tvUy9iKDh+k3Efuc@a#y;Q%Ll~T$DAFR2a|7=N)9ry}aP-pe_dRV0o zsk2wyI?CJ!x>^v@4@;3(U%GD+s4EEWO9qtu0<GM42G=N{`IUG}&Cko{`5@g&6Eo+C zXXbtQ`1|Spf}pT?M4kOQzA(t_Km2ICZ<hMYuX=0+FB1gYaN*qp(K%B-!segu9^7rA z`PCT|^srS0^J}>HZ$R$N0eN8ab<lhU;##0?{o<fo;Gr&1i8!slC@9Q*t{3u#-**p} z<bbYpg|uq!9e~`q1Rt;Yq5xV+n+NV`g8IWJTP6p|gK7oHP}ybvf}mOY;La{6a=#h- zo%$1xh+NP-KUY-mmgVqDTmSKrHS0i)K3Gq+mR&vdGXuEQ1KPY*e#IuC6mdYg{M+YC zde(y%t$;ehPsIGZYWYDa7uM#eF9@2IJ_l)`YEjU${6yrVY6{^iX~7Fg&wECOE=Md> zeVuHfIlC5|K0pKfaVI@j=E0iVl3wK>^88NyNQ6i5!}JSTOF(<A;0t-TJX~S|Ig%9= zIybLdXnu}I%%Z=w0NINlSs_hZwY)1Hx_HU(lstD4`bXOKI*K`96{zqr8`bi*&C z$$jgjrz9vZLFdo1Ei}L8f`S(^8uW|X&+BtO*ma-<?DJ~X*1A0dozD(mhFoK<zVxpO zICMd?6MlZDULl`&dcA$#QRX}~u=hcYZrcN%E7yU%4~bpS*^rN`!R<j%i=z@0_dm73 zJ9A)dR?uCWVPF@4oZkeQ%Yff--F?3>=vMRjXR!I#DA1*XZxM@9Uaz*$oDG>j1T~5G zwoeYqMRZ5r^2u!kok)8Z>^{&i1n5|zQsm^n|D<PT9r&yTaO!V9_mJ`VaZuR>X;L1o zoHOMcL_4Uxaqo<0<Xx2cxkSHH&ps4`hf5a+rIr_nt1sQv0&dhm25T;EoipVleD9g* z&i=_k_K5z}^7GZEYu#o+ZVUp2P_?>x>c31-ixW27r|swUI~^WAiN7ljT!r2s0UkuT zE?yjDb{$-@f*Lg6%>2B*f*0CD3b$YU>Py*=J^q}*3cnS2@@CMwOKZr027FBl=z#W& zebD`@Q_jA*^>1QmtwH^xDc3DH_P|d`i958)E<+!5y4l4u*^45pwm!YO=2i1m(1Etl zd7^s7*VUkt$0|V=C%YK02Myb7bKln%w}gKcsQ1o@*zm#%-p~63Wf0}?D!Uc+ppCkS z3wx?g`~;n;23z?7nzh-?9dVWY^LNnN3fP^?I(Fx^UN(MHhm2Ez*M#2Lw2D8>{mpXM z*GQKFOQ)NIj&PX{9W(&#?Ag^9S{qOcy40w`_&4;Blo#9AylUPUa{#iI7BpPs8+Txp z-HPSN12>mJm*PN<M1ig>K2rx;k^H|Gd}a*n?AN{Dwp_o|?ZLlA9eSJ`=*phmEuagb zr$J6;c?MZ>`>QPM>%nKxQ-4AGrf%CETV=PRZ{Gy)S{~?d(c<DrRa=8PamYv(c$u#q zIM@z=_cy~1p~?Hh4LbdPxy%DoKG66Xc*$+&npe#~L5r6g%{M~_QS|IU*BtDJu5bZ` z?l=1vw*Q|0Pw%oghiIYXKhKGrVbJO3b}D7X)P!bHG0nRgnu}Akd}6crzMYl5>*bnV z+ipoECrk|V<5b)Fpv99-GI`3B1%CD$0!}XM>6x@Hsj1aeN-{I#`R_U3=e&PfB<@&a z0h#Xk-XHttSINpZ=PP~(zKp+m@mqX1Z}nU5)vs8OrTl#VyqiJf0S{>1Y|zJ9Ro<a{ zH9$wCw56xmPP()YzJB~Qx25LQub_erd^TIXknEk4o|2Gyc}2*|9zL7Nkb6(o1u=rI z`2FGI=Vc78HbJHC$?cY!myu5CxM^>x`8hlna_b2w-|v|`d6Uh2q<Z_SsGrww=<!-! zseje|yh;y5B1&D0zR5;5;4x}Yi^lD@h2~eJ?RGW#>PsuT;N86NnwBu-%iw#$AU)Nt zbLXBeIkO);0uH)cx$b~x<Ui0U@sLi8_D>D|8=wn=Aq(}cCHr|nYJBj57k<!^62yX+ z7oL7zwI?7qFN2F*4XZk7^`$q#<Hw*=>-HV+oT-Nx0QxPfp878yT;70cJDF0mwQkei zBbLFg_O{S`dmUDyZ~DinzO<?d9xxZ)Jy`INv0WWp9)UWM+adQ4G^~aW5m@}wgX|Q6 zEVA{T_jHL*{9i+GjR0=!rN4i;<cvPNzJ65Ycj}WLa(UQa7<7wyJAAY6hYCNhty94T zE~FRPyc)iu1T;wVg+YC3T^q=O;Lz#kzwfwu;xot*?Vu&pF$X+n)`17-7c)4fYTP|2 zUkq;l!Imo&R~&d+2&pj4!JC!m9`npZTp069&Cjb8JbDl5K-!%3l$84h+9(2<J_tPP zxw0Hooj_vtmh2xR^`$=*;q__%oGB~kon}UKf+6iM@DK|qWgd<5JM{@^@@ZH5<V$wo zsX@^A+gC;FOw*vbh$|8@$_v=lQ!Bvxia^!T2QxpfzXG7f>fM9Bp!F`t|36-0;y&LU z+@1i1&!;-SQ(uBW0Su`yezU2k{tE`jKWK<!-h(AN?5O)bSp2+pLy|VAe{HJ1bQdW2 zAxX2D-BR=LbMWLK$ncUUKVercLD~b4In|f`lmhu4)S3is>O$Kpeu=x{z)xv#@&e7R zL|bZ}UJXi|(B!jYSL@_UpyNa!&6(Hf7MfQDAt&%d!hg;gPf5rUWl(GHg_WNdWT^zK zwdZc3dA8dgaWk}eLC`YL>K)MC*^nU)FTYcNqQUJ2P<v)aKk8m_zTFeTlykweci;%{ z^Ybc2>Mh(oc-vC*Fy!iGu>18MF}_Yl8lkcHr=Y%c=NwSp2bBzJ#eS#0h=YnPNPFy? zx!<Wj7dYYTr5?HXohkya^?-RG+d}g#WH||_In!>DdHC%!@Ny~W2$SKQDGyh|oNs#T zuqW~b3?I*1Xr7G+M-Qm6QF-$yXsdWDD0jem3peLX`3Z?Yko&h61x*8QAApUJeU(vP zYWqnDJj4ZRFue|jEU1F*h}_XQ*=P^ER9<}CQuC|h^iRlp#nt@0ioqi+;Pkn9&YPx} z<vW}}3nsjGg1Y$Jn?+aeO8BP_85vQVwX|2i?}qd1w@pt^B35a{mv8M3y~Owyw7dg) zlK!TB&7rXYwxF>X*cuJf-8b}i_FTWgcvvtTyhS(IZ1e0oE6_R!9`H~`*;hyhzs7j= zt_3^iL&gh0XQQb7PY4zFiT|k?wdETVtch{v9%!@d&q~NJ0Jw><V_InJhCOq^13R$l z{_97B)k~Of=~h?v?gd@Rd<t~!u?}c)3uur6eC;vFpOc$Gn|lxEBQ1e>F@4RereC0e z7kFdp@$`5<eI?lobC9<z7lRgQ=of*8S>Q`xmh1x!DE)j79U0j)vo<?4{DYKrLM6nj z-%KF`D64}bud=?CgNJT-^!xNs@eupx;0@4mS1LCD;g<X}HS`kW;bwc^Ztxav@bJ{$ zsiCm}=HMuREqh&m==^3cs|7#h;Gz5e*!@kbxR?EZ2wv;64?2GGZC33UrC5V{eTX_x zYf86l^D6FX=AdyFSn#fSmo~M;;kN}u5vX0WhJV+j>o*v$&PHAXqmfnb`2MH;fA-sx zC*B3MPYR(o_B?UpG@X)=cu_mV#7k}A5|-+Sl!+HzI=R&J4=X84n;4xc$+;VqyEU@d z^m>UqE4T2R4JR~`dnO63*?7oBwOz=_Yt|tp?$yQX-&;Sgv$?0#VSnzsb@e$}i}(EQ z>2+~hzl|+_pZK!=mB#P&fyevocC6wvQu}%ibU4a`5>V?zsPKx-`c-8qDFMf}7YEH^ z2W5It7p%+Y-%-!Z_|n3EtAF3;QV8J^(K0^yMRv}Vo9eJt7e*)lNco+5#1783pytNE zd6PF)9aII)EPyA4%7tS4Ej4FD)@e@Fn)>$WoGGu&phGmN#(kgz3H-qWB%nUPj}MY- z-DXXOnSSs0ljJ#5%D@#qsLXo#-9mFS_#9eDoz!)Xf8P}Lm_2>qLTP1C<i7cnH~rE9 zRT|*sDA&!4gUmqNmLbQNyp6ZiyqXvf-uMD3v`UoJm)e0(`UDN2Jhq)P1=Js9m<s8^ zTs#Rn<7vTM@Prho!O{P0$r;f3UC=w5e=fJw?1q&1pep01u-~ay=Mg31-$|!I2hkeC z>Wpo=>Pv4SdSDt>yCzQ#vWGU6Zpzk7n|w)TW49V&qpwA!x%yID@c0g>#9em1I4BLV zLe=iSvU=*rMf#sWhlePv*yK4a|H+ay=Rhk!VPn2KCQsh9b1o!sHd+5rP+wZr20xf) z@h@*s4F{g^0@c?aE9Xo}L$n9x|CuoP5~%40Iojf~_`7F}>G`11518o{R_dvRoFLzU z%R@82Q?D375xct?(!KL94l-+x_k-8h)k5k^t-#|ukoL^CqM%oZ1*&y(CSQ89qX{&l z0IM*r7sHk!f!bsC$317>Jp`U}g6vcN^L);fqmWHGpk3nawKKz%R||u>(2xPFUow8D z(%{Q=z^h5zL9(zpty6Mx8|>h_sw^HZF+twtYq7I)@}+<9#YW5OA1*l)SEULXuZJ`U zK5s7w5(Awa3mG3PGFMLpoivD;@@TivoShDD54gv;uAcZx1Sx>r#S4O7!Pl0X?wdaO zk_~tPGq^RWuK$mV|Hi&fQ0##c=Vkt)ptR|r1=f(Xe@pg{n0o3*ZE$-BH2z>bXG*B; za->Z|>-?WB$pP(Rfu?@Y-4pzv@gqok!}g%(O8%Rt!7I5ytJmd^duE<Pj$ez%OST|p z%>Fe^Hu?>{z5u-I>~naG@9K$1Z-eV(Q2MXbQ%^-|&98s|40fe4s6kV9JiHRTd={3w zb~i6C3VH=uJ`1g|XZ;2hJ#YBHo6hF^>79IO-y11-g$=m^2sEbwjR0*+&F=T$_Bv?% z?Wcx%s_O3w<Qt*eCU2SvmItLD{U=L8kXP%-+&%8Oaz41Z4o?0HuV2bqV%Z34QGnB@ z|G8&NwoC^XO(5fUJy~+54m=|T?u%rUf7m}~%F&6}FM`jW0Y!l3oGDjXA?<DOrmc0~ zi-XL*gU1I!W!pDTKQGf?Qi#!8t9g@y=7YD9gUYs#pmP9F+Dq}pLAN01A%dJAUu(P8 zEe&*`DSUkLpQqocM^WGe0P67EJM0;03tqnrPC(b&-#llmPACQ)dkXF2=bTRnl@9?8 zARwQNVjih#8}NS;e0%HGzv`gtU7qrS)_&YO^R5uQzeYVjJyd>+Jap#_XaMCUXaMEr zckuCQGsR8s>HK$kCLQL<uQTs|DP$K8cx^_d&gx$YrCKO!Gj=~v3iIU8$pfv#fGj|L zT42BW*MeWx;M3+{H}+gSoxeo<W%Dl3LKo<$%}0089+pt#v5d*@L91wLdmtVFjoK`$ z5?;TNJ#9V6c*O4E$9wuiFEMwYhhB>V8b7f*u*xptE@<cobTUdQc*^9j$m(AS#V;74 zXVHQVWckJdI+H(f9nwDOi=dNjSL{c+u;(#o|MS`ZkijYN!Scs5?q|E}zHnW_e(O8J z(nY^P7L-9QMEZ6Xa>(q@e$b^r_R!;FL3S3E7_Z;R9(EkG76{(XI1f6tKMiU8B<DRS zM)!jP2;ot4@Rg8Xv-1{0&cXw)wFPY)p9R{k{UPn!-5cP&*(XcaylVdD4jFgyHSu1b z_P_aFas5C3ir=^Yg4!pD8J>xzl9H-dm+J6#t8F@=v6E9x^+v+PAh*l>ng^4#bc{A> zDlcB5rn6zgj#=5emRaxGdMoSg)~k0kGQo|M6H3bMpu=Ws;y=&(yf@~ZQV0L@+CK0M z&&JL6F`85V&fN34@@4$h&u{mqMVH-K7+Tt4IMe>&Y|ugY=Ps?<RIeqvKC>+3Y9eS; z&&MD?uis+eR1fOr*`M@$xei<?KzH=K0^MtB4=$CaYE3<BynfMD52RTS_veK{uOOG2 zfC{ZW{gW@*ASP+m`){9Q_JfQ%gL37~*_N80k?%a#TlZ+m7TC?cA=By~FDdcgKMPc8 zL$)bjEeN^>8NvV+DrdzlG_S@Xx~RM6PY!zjLkv8L3o2A<Y}A)pHiL>Ba3?09<Wr#E zsV(5aosd-_Y2}4M&+1d*SKvKbdHq7x63~)I$Pk3?{iB{Q?Z9`^f^&Shc~Q`{{ooP} zRN_9{KWECT8`((vG+(?Z@$;&M9(T3WXy2U4mt+#)#y>efXUfaT<sU)qi3Oltf^i2u zXYQX1aviup)VDkAnYkW(>^{i&PvUc?yhAyce15U)TDNPUUDc2Ym2(!FS5qMc;&#vg zgv{ILORhk!C<GOVKRWV1H=N}}G?+ex`JMU_3~Nfxj6Ll+b3Ldj2&=IFO`d$o?sXNo zLRb-U6Lk37rMbt!Eds~^s#llJner8KUp443FdNVw@%@dUCIzI*>$TMUIumBTWc&%w z%rcY#4o&scU*+JG1uD;t%Pwc7{F8#z*x(%{diPFyX6{GKXziUd<sEqKHFyAG->r>L z7_T3P*BHfY>PvSufPxPkxsds+UyuupK@$>*eqO~_dQCQhM^M09o+gJE2Q7mvZ~~>C zo6jvZKhFk*0Hi_l==z)~+je!rS1H&`n|ukfAqQ3^)%czI1z98m@&Kcs*H`EW3V6SY zyZgI^S3PQ#z)d#LX<(p}<&h2`-Pt(V=r8!rXwVQx+W&`3&dmQU3m)KtS@0Ep_W-C5 zf7(LxZXHtitdo1PWKI7MJ#hL4Me#EhKd(|VM4Kb_i04Yko-uIh=T~1^IR!a@Hh;gA zmGTQxrGb3#+d}iRzHtEP=o`opvxVB~OaIEkJfO?Je<u5zV~7+~s-~V=3m&2Z`M}KD zQu8YKMkCnzTKR`duDIWK0yjxPZ4UL~pjpL`HV5R0+{l8UWsn8FAm_g^pEKp>!uyDm z?Zx$<EZKrMF6|SOpI136$a}CRYxA5bUm@#@LE*Fb+r_LUR*<_LAZHXWF1FD8stQhm zpc&nJhdnbv>usTX!X`WCfi|guCk#OW^o`B$)Sts3@4-B<|D0zg<Pt#88V<W7o-h0M z^nyws*saQ?O6sY$-~t4cg3cbDGbIbL<xl?ZY0s7N;Pt(r9Q<R(`^#BNEPIh-fZbB_ z@_KNa1=Q%U_B-{bA1MdN*nDKSN%(C9&Lf~U$Go$iFZB>puB&6`O!*2~ISZOmv^nLu z@)hU?E{9ZD7FaoF3UoguD1g=%Y~r7F8?rLednb5D&*b}?SMe|Fe_x^A3>ty}twMPN zI!XN}=w><S;6i-)N6s+NMr7!$1ZZu>r@XMQj^8=LK|72gOF*x@Ns_O%2AyXA3womJ zvYa&YzcHY?9C|75t9)nB8k84epmR{7A*)kCvlr6x-<;!;`Axv{MX)8dGxv0cUShu7 z53Qs@mcH={`+D#X>OS7}{k*G}u-{rP3q6SzRQpbz9C4NXu4T+Z&_&DQkP~LtftG-- zfi(<3RsJ)(u&<7)<sYOF%TC?&LAMdwg6enZK9`T~5m(vInxix`;)BXTXTtsxhxh=r zizv!}=j6C0{Lj`u;gV&!cV^$QZQ#buM?TOk!jTYlAfHCROAD1R`3^o%2D0#_b&VY8 zu-acU<S#BN6@boznJwSAihmX8czY(q-X*U6$3Q3TmqAPe*$O$#-hWp&c<K#yRnL>B zpp)=H(F{9y=36Ex+^morR7cN)mJ<I1&1FIDoc7hRYNFi>Ka^J7iodLT|Ns9fZ+gN& z0n|i+o!*wCHp8UV)$Lfyj#>}X83~COdwGn!)DEs^k==GeV<qQQA)UNdS2r`&)G1e5 zT*b5&r%d@_s5)cqv%a;@X05xowe<4ZwHr?;C|kdOZSx$w@Ay&w=RfD>ede3<yM1;4 zeZ{GNCG)Md{;s~2^?&QF4cBe9ubP+ab1UC2nq>jQ@@&w)<Br8u-l4KnCOSsmKjOLa z8|V;2P?JDZQs&d`Kjuf{o!X9r`y!xiJtb`FOY>j_yV1!X$01j*L3YK={MSAC(w-V? za90!5sJZW1^3Bih)St!Ras#wY`SqMBPxV2A%U3{$B<`qcnS4pEL>*r2{`xv+3aG0I zAKL7gY_tcwung3bTnySW<Oyn&K!-U#dcR+E^~4j%RIk_6Q(q24_6;5gh3r%Q+cVjy zegdc?3L1tG`(!Ow@G}aeA5!f}6$jn&hnHD@Z6U{A!}`H59x$k<R@{IbA{w|dNK*E^ z=SuL>MNsI>F8q_~cPa&b-v8y_kS&7@A&@HX4d@uVnfu|_z;}PP&^-Mfv~Cj83+`H1 zchoae9^5Dam5BQijxvWGH-yv};DwO|V(O_ChOio=cil7aF)=Xnf7s8Na#H&}<RClH zq{_b8lP}ev%nEI{(EL0b-i@!e)I9B6cOGflV|B8H=3B%SZ+RA)S3^NXHe`fpL-S;# z8u*0SV&$?+SxfA|olIDXc-%sBay~d^fJ)r@lb)GTkSZ0jaCct0KEDO%&PQmQ&+p+9 z9nd5bw8~hmZK?SdzGrC7{3lC7+V8s~FLJqtv`75zLC`7!@cN}PcJQQ-4Cq?n7xEPj z4FQ?~D=uwY2D<I$Jz|x@X8+=#XQ2C!Afp_Q%KT1!0&k{()p&>BEx+nfZ37Nq&?*9H z_$q=2;H8nxuPrnWLnf_2HC|QQ<e>b!t{?|OV)zH>tQ7bz-<jYARVcU72+x`F^8q7x z5e_JZL8~a{fzBO*txUaobk3A-S@0U^{gWjjpwqmdd#VmjtY32V#0SV3!=Mw8m(7_1 zY9b*<A+{F;sr7@CFDU%y|5xC@u?JdZgFUdBzaZ$@d~l@+s#1@J&YAL*50Uz-x+Y(e z`zQdP_xJ^B^5`MA2~JyR9)^q(f|?wlec}gqS2}>(G~g8a$Ib85lMt8(X4SP#zVrui z3*`BCPnMkd56&Q<4$0An{Fk#*B0<Y+AUWWctWEpmOL5?X9zl)bJ&lk>^YCe*gwx74 z4?bkT%$J&b26dmfrF!Zo$Q6H}+nD|z^URF9gLJX@?&kcbOU~GX8@*ujTPAM;4Y=+& z^$rrjpgRAXB+U4z{JYMpC%#gF2aw&4hRH^Inn0xoxbV4c0X>HjQuyp{)~}huK4;HN zkOJ`GI-m`TN}wTJ=)7P0^@5;hh+&jRpeq+o*n^KjfRsO06DMEt17}dsI+}fRCI{UG z-wFV2vlhs!r&hd(LnNTn@clwN!1HE5KF^tQ(jVMm1oa4aJz8S&AF&Q-bGVJ$>WO?a z_IE?(SU}?oV$V0N;+{4gyjm1-!fY>SOX~Lm$X4W3<K5fX-#<1jb1(%hGri}-Y6@y; zJTM6>J@{cWWFE#BbZyQr!PUDK>;oANKVSBbf0!q`Pu#cks4d@`GM<@&S{h$uK?^dK zQ6@3oH?803WtH%+7&0XSJ{e^<Xz{FW|7Y+%-u!ORy589_vS(N6W%xr+aRm+Cd~F4- zP-O?323?!c3|hu&@W&c4htU)FiDj>cIOzT%loMuaIzlfoPF@f9=x?rF^Fm`U*c^Z! zFq;cr*SqG{`Rq3hKUYI%bwKM~3x!tiN~mN+tapt+@ro<VlYPr}q?M;t_N#X-sJa-B zwDQ#6?RQ)?H)zMQFv6!L@kycLS3ujaLCalhW`p<g?CTGWHTa1%S>qf3HN0w~UdFw{ z;Kj+%^X7i5tX{(W>^?L=K>NXuu3hu0>8Tyk8e79#<&PJ#SM<tWkUNc7+WXNbtkm%< zD`W-p?n3ZtVs`t~P;s9358<ncO@GUOnfLc|y=FzTIcV20BS%WA;PLxsE7Gf;<!Y%$ z>}c(BJC?R$MhJhmj?SGE9*3WlCM=w!D<-A+b-@yrZrx2MPHa2EGWphq6DN|U_6V)j zJ?Nq;u50wE$lu?3z2EHj+5e62&p&S6^0@BIfAe!S+?(HIyw?LQLV3RN<@#3^zprN; z?z<lq8r|br`(=NTU<1>f)uE~XL$%_9<pV-*2c4L4;)m><DbFAqaX^(`P4DDO|G<^r z-^K-A|NR$TK5n78xp^Ms?2x5isdkT+=z!*~p%WiZ(=9Yt&xYriT7C7Ux4^6M!NU;j z?rSqmjcmZH<-u9L+d}gztecm5BCg<%v7gsxad3&T)GKvUsX1g#$PO>o6#+MUE`Ki! zN(1dpfDBvzEVtC`z7OiA>##mqwdecO;5k#So}Rx9ya^IC<FtR~<e*r@Isy%=9n&To z?SW472T9r<@m#sx<}fo8bRGTU$CjFBZ-QG=p!#U%oXMB!W`lY_;4u#W`m>%h|4s%K zMj)quKK$)MR!X51qBwuC>EV(!`woEiDS$2jsz2emQVubMl3=f%T5)DGe4B?`dSTG3 z)3Ao#z284(&zX`2FUwEfx72*Q@I1;6UnBL@GUxzJYWauaIa7}6Li%6e+B)Xn#L1g( zfzO@=Phl_q@4I^9D<7o7cFJTUQ0WYrv{`Izq4^c%AT9oTr<u(_vpA3unt7)^Gwn}9 zMo@x5`*>b{x76GWIY<gr7Vc}GyvgEKC3pw`9JkZc3xdML!L=o*gKGP9NeI&FpIfpu zQzjeT+13vpq5#!)>P11Xia`xk=qd<{eUm0%ssZm40ktJT7Y=O6MmWFrXnlsMQ8oDN zO;8X1nRro<*mY3k!z#Nyy^}Xpb%5drR9WnJy5A<DlnJS}U{Cft^#Oj6nbh3_p5Ps0 z(ALspbxX~&4}WLEwur0cKU%T{w1@(+560E+)Ejqj@&I*E*)24?{~||#+-Ih>Zei)* z5C9Dk{4(<MDzycr56BP`c<mNEhA;MsS04B!0`efNx|4sj!~}MC<r}_#4U;eZLvC$c zer~C`Iq~1lC7<Mj7@U?mEcCiPYyL^k$QW>y28n~~g+XD6!<GM9s4u;>PxY^y2=Yc> z&pA^bR>Hk8ZE_H3TYC(w&GhlTrRLeqU};b$s9XvfR|IeK2m4}v+!@cAwXL8~2Iqp? zmYUrtQ)hX>as{Q3aU{@4mW-cQsVH(Jm=^`LLDtNJ!U%LaqTMG3L@GL-{}ghiBBW9I z#Cy(^Zz$)n$v<0iCPf?Ep8++>{2wgQIffhyaR)sk?}9TSI2(ZOHP!<KGPprtXOSem z)~yY39^015kW=^J3y%vxM;?Rs8i86gHI0)m$yF<Wwkg7P3w^l@ndEj@Ap^<=b++oM zh2ZU8;NXe3JLnntwt^GvK2SEO<w76nyE<peL&(W8pj7nRQWG>R`9KSNa#CM>E$CwL za_}e%D14qgo-^g!Yeb*nm$%=kH|xQ%11g>K?PsvZ%t1NW#m4W{D)1l=s6~A5%t^f! z{~)z5bSIC5&GA)w68o~Obv>bHt()0ZS%VrHp!4pb4UN}*`$5}fo;`=OMnJ1Vj3PI# z;=aYq{}8nI2C_iI{jA=%*O98S2G#bErU7^*3TUBAp)$%!luG{9yArm}hP0AED^aew z@0$|0gnJd}%zdbp>M0)r!#vq}_9LC0zj*$pRot)K(RT8D35ZK(H(8H3q2%wAy=z`I zeG7)17GgdF+_ITd*B%;c@Ef$d2YQVC+47C6xW(MxEO5<+ohk2s;!{bOC;OT*=z0}U zbLy8As2)#7s_#KNdDubzteFV$0%+Cg+Q)2Plwt$+w}Wp95WOODHfQo<|4pm7XXQhi zETD0lCwgI}j=%ZAhCOrbH9tLn!pjG#dyetVm<w9d1HB{QGk3&Q)^1RH2)2;;aK?SE z{M4x>2VT{|+co#4zi(W{z3RG*TlY24E~)CrY9S_@efjPkU8N`ChjeuQ7v8W^$G_Uh zmVUes+KD7nWU+puxQnqXI6^CxSMOTzR{`AS0uPJTO8o!N9sg_YwqNqWH>TEu#!w)4 z3BI4Vxr_7KjGfD7zw^Cbn!Qyv+qAc5_L87Ud}5jrCQ}20PO3yiq)c{o^W>c|C81E1 z*Yt{J;K3zoIz~oP%F0XSlbc#y`6N@PT&awIZV5U8|F8Rxf_dkELdH-wpO;xQ^{@2a z?>D~8&zc@`HRo{I`*2VbrTX+|R|c+v#?;`LBY~T@uKITV#DX*R2R$SI!0ymldE(QF z=}-2H)VHjG9j%Zy>1(@%=2iIZZZlH~<kgqfffuKNO02`od`}r?gU^ltFRGpG;{N`@ zk{)~TY%6FbN8Y0)KJ|!=Ha9^v@x$90pn3tcckisU->FB;h#dJd)$i1+JaCI8Aa(MZ z`qQ2(x5*uYm$v~W8`>ua%?F<kxgumGkN)!|Cg5w-!K+Z*?H?}b`48V`Gub)bYxTsJ z;C<7OF7TV$Ia9uM!}EK6`{YeOkWM6j@u_~!l&k9wgKI6&B|vrB>PvU^z!!2fzqZu; z3b_y%RG8OvO}->k!-6!=+&bB)4t#Phs7h6v|9pv0KB$8aS;rwV_ixwaOaH)&U_e!B z#h0?EULDX*On7bav}N+9U*M&Wps-oH|GekQd7xz`kZJhl=D1_b*C1^M(9%5c-c0a1 z251ND1L!<*@WM|}Y5PZ9J+<n}MCh~!q=#*6q4^fF@(^6w@~Wp6A{L^=+nw`_lr0c} zm$rMSPY#-U9(nUH=pYpEGMmMq+DOsQ>oX6iHiCq{`<n$<PyFZw2QMgmek!P^{t`vh z7Q5RgU;5Vvuj|&D^6$6-Zp^}*Z{v6B6?{@9;k4&UIml@fkly2iOh2!^Z=b@Od~M4M zgJyLjntU(3=S=xn4(py8RZf{~w6+g?Q8&zd3qR;}17O!1`JH-Hg){)9C;wo{nt9+! zJ<#yO*Mr9|pq$CUe{9Z_Uy#d&L0iM$KUiV{K5+-uVEUsE+8PcyI}{ZDHQef{zXFjg zIJfVdXJ$RTGX1P>soCA!_Y`q9?r#gt)!Z--Y}z+za?oAyHV~Nm6aBpY>cGc;j_XDB znt=A<K+kRdBItMO2jmbkaOlgar~YzBgnsqGLYo9@@R=>3DTzNdey1LBfuv#WCG+B- zFn(}z3)B(-ZH&HM4nA6WcQbf^j1P3B736GPP+eMZ%ro;HVw2h8`^7=We(!ApH%LLL z({kQqqgrrF2R4A^Ul4Rl8r+uwHCXwJg2X_>NAQi+JKs*-A{99oo_$|;TWDUriYOXD z+h*!|V9DpOZgs^0)T4uoEj1q_#+UXV^ql#x18LFs=KTdhx5VZB!E;jJ<gcZkYKRzr zIKA3Jb2a4tFwpQuUCZPkTkw=GH24dib8eAZ3Av*S)EbC8<(auI89u3-anMupKX?%l zxV^1kBg$`K+X?UQoWFMx(gT33`Tm(ZXUb8O38(o_m#is!1KCahYRVW}YF<@EYKqB# z&tw3Pzk-tIL*Y47o*hs`DtsnRzQp*L8&YwB*Moe@3@bhOB^o^TkORNzxEM4J@E>|Y z8|bFv?ejhOFR*rB-U(h{TfGOo@bq+d<W<(yl8}Z7Xffv6_o<=cA@v}AuxT2}H~+KZ zlG(4QLkB28)%}OJpwW}b5If=L%1VQ(`+tZ7$@JSzSMN%wx{(bUdjRd?$pMdKyx|2k zJpMvjN#HS?{j)-2H|#(ev-v5pdI@t_^tX?Yv*SQVp}fAk=2cS`X!Yv__{nR>ep-OG z+QOF3g4Uq?GF-iD!B1^)TS{w%=B%4)?(K72^e?eKzK-0+uwC=2>7_Whl>}XElUBaT z%WA=2Q%GolR-K-ipByT#Q#Q}1`x??cMBi`S1l>av3vG*lZaRKv1#12{LxwSC=R<}u z>eE8Sujp6lLRt@CkJ`)(jlHl3#iL&=!#vr0_JeK{f;Ma>$AcHnf<{x2)}UNlexcig zeM>sj52?n#^Y*lWf^8bOE%iI^PD@wXwv$i)u6fmTRTvStY5$w=eg6NCf8|EznhM6! znUD#d_0Ly$s`e%<oM<MNCm+P8mAY=*^_a7!*N^#bzve5u?ZkyeoKq*+?cfp<yO}Z} zC`eq#XTuDo#Vt8rUK=)Wa`*PQoe0<{)9vH4>GQs`#piw(?EHQH5M+WU&VqS&-NWcD zo9rLgx-Z|qN;fF<HE;D>#?Y<v4OjO4t`}@z+H-u9=DJ6wU;l-cCU8j#OTKxyga<xi z+LQNm$(8S*b$Q?|!}nFJ{)wxne(IY1NvsAusJ=32=DcH&<Fwa7PWyNR>hD1Mn4lu@ zzp;91;e#+a0R}C|oWV~vzf+)drv$XzL9Gq@gPtq%Kr39J6}If1)1H!cu%=88pY_Zz z<*!IfYV8kr&a8p&NnU3EV2KInP%LN{*x0g_JqL8{EHuZ@n7rwS1gQTCDGy(KdOc^# zReflAIQ6UWoGGs^Y`+9L`v}~5;oDXOyU`9*Se3}CFP#S(jD`%(d}N+8<zzjqt}wE# zl3wdJYcpcerEB{1;-Fiw`DLxo^9zH-K-;aMb;Zv~v)E(y!b_`b;zdELvO&5b?W6}~ zey2Xv!CGNG^PVobg0`V|-bv4ypkp0cPJx;-KSce!J_{pPb^i`|X2yRp1CIrRimRW6 zbEX`X164QRp5wk83(eKhFz0XD*FQPP7O`MAqx?h1<qT7!JK*)mpt=-vgYW$V@a@_k z`z<vmi-K!H(EY~o8|Jd-+yjqHf&1<0&p{Jq;9ei7(^$u?o?3`<M9Fds&DDqfmV-yO zKxJ+2oGGt_ketuA?)efP$m~DJ@fV%vOo=)VzSsn8{_*wCm-w6mcMCyP>6gcIraY4d zRaCIW1E9GjNIMkdc$+V8w@5|iq%Hto(7Y0KNJf#odTJ?rb;a7}bEbfH%)(|7E9Ol$ zx>KYEpXta@S6{jhJZcD=%DQf$IT>=IFsQDUf4sz`Sr)Xj3^w>vZLGd@FJ$m$#ieb} zt``M~fk%%ZiRUTk4hG1e!~)PQ2&H0c-B!sWdtmvTDGwnHIZ*I`_Jb>fsv__#rOf^x zvNjK%RDhEQXw)R`fM+Jse%{Ub#X-03gXh^mq5scBJ+<)2O_b|<%luBgf-G$Stv%tL zGvyU@yDFriA@^(v5C1L51{_enwVE~=a+e*X9sJn8Fi7n_ye0D`e9n|-^2n~|w{M4S zzyXE-o|eg*cFaR+37odJ&|KXP&Uv7$wf6lJ#?{G)hGc@cdTIqYb%C1kZo2AA_qBuS zaaiErx73_Gy${++NG<=6;^+0*4k`7V1D)BjAKXU)4Vrvrx76%@j>ud^r+03VihK)q z|1T~-uTo<~!EpEBZcEL>kh>y54W;%sj~HJIgVxSK&Su;<W3tgt9(dy44?5`$+)e?f z&Gi2dmuy*IB@RzKHSFrChM;yDq)^*pU7@U=S}G3K4{F2<`gxUps6<XY7LAjQ?!bpW z7o%+l-}7XN59k&vNU8R6;&af-7Vz3NSopMCXuh2e&f6g8mlti~7UKt<#hRZsy$DnR zicMpG`%JXtz^e#IXB@PDXMOqRRotumLCPR&FzfCf+zvW;;}24;+w<?_D!mo)|MH?h zJ8mGOCZN+~LqM}Qb|>JI2g~XwhQ?m_13JW{!g%*D$c)8r;nlkq+_m>yb?X1+ZJ=H| zxZbsI3ys}y<0xXzf;%$qsMgDdQ0P<yxOq_xIz1J+O=Ea#egE{g3&kh$Nz8+uJ3Hm< zo9`!oM_y(9`W)Pl3U>6pc*e}~pWW(R37^>E9u1!zCjLRndO;;<B*noPe2A@nN*Ukk zCCs<9Au~AOwp*R?>Rkzi=18LnJ>R@v6@o@r_-=Ybw%jbsN!!2GFC|nw1T<Uo;Ov|0 zHy}e8cBfbANz_4)HUSL~x$RF66~A&GJdFlfd*XC#eVzW^j*<gUbRcd6?cbSMHzhRo z!X2cBl>FkqT7NV_j@u6%(@8b{eYfI6>z-qL5py6TVSix_jJUAUgRi6@#(`J7+&{KT zZ$%v_B%xOUaZB192Hhp7t-r`MTOYbsy60R%sQ49mq*hIT;HUkTf6G?=V=v9{nhP2@ zfwWED&zmeA`D8&*lB@EHoQXzKnp#g20zpUIXD*2~zZ>N{H>~)q>E305m)L_-7B2Mb zl2Wx=kTmhAu2ImbWlLCur8jw;%vjPhY2UfuR_86>AAb7Ie)k`X^Y1IpSr@#M_uE(0 z7WOTD)87eS!b?}&*l(V5J1%tV(HU3nS1;snVD#IX)75PuwSUE`ZD}b1%l1ECVxs>u z;oWmB25^-sI<wb8^D}hM=hT@gg_i0|_ky>KYAS@QPS&YE<{9}HJXHc3HF?dP9n~8G zzRC^MmTAj*-#mBDl!xHX4QS=Y-Q%8;_Mk(dmd1hR3QoqQe`@#&={kW5tY30|Ud5WA z5(9j+%FNp4$wvP*K{*;!V2RZ~U9x5U4L@ORh7j=1p!+JjYK+xWOQAdJmTvkdqrP<4 zY{am}aSP4Ey7G`YDA1W-xsR9h_#-+-le;Z7zw+{kz!uHSn0)EaOn8A9P_pfP<QA!! z^56p!KwFWs{Jefkg33d1J4WyQlO=2Fz`G$qrQz%P;-FQ{=a+TDZviY2Qcv9hzWEgt zG>czbXg&s?J`XNV0!luq`+5ESBn#adbW^rQSbgbF=<)U7?W*bFg+b5m!~5+=^({5u z+9QhFPg~`eT|My%vgQU<-OWGlxpEy!foQLu`U8G^MZx?24-Jo%K~pJ^^44<d<e+%) zL<7kEmv38Wo;{B+{>}S2Q+}>4gJ1lt<M&|67Hg0^Y%%RQ3(eJ#K^stU=wA@DYVC8- zy$7%%@COEdr#^_lYE!AV50~`hBLzOYrRLXJuwL)w@Oj>=CqC0fuI<i0TXM!8eB2(m zvYS2m5@<_1VoYgT|EGr4knNzL-KusoA<Gt^t<+{|OU=XV;JOghYp-gXY;^Cv4R{6t z+VA}k;pbJW21;GvVUx{sz+1N-XhDYs&ea|EocSLzss%c4jeWlV>WSYF%M$k8IqDf1 z3$6`8%PLAwd#(fxvcTtocARImdGJ94?0-;e;N_etAFsk28*^%APY(JIUe*KZRxkVi zXo<;TP4I#(@F~ih%i*W}ff^fyy6Q{!BKF{4c=urPoGDlD$v+044FWP=aL$xhCZNO# zbv~bz->DCfWj&yzZ+pg5(jHNps;|H2x_aU-$OHpuieTPh&&+)&GX&Oiru=*l4nI)z zM(aOeynPsyc%V(O9X73#H-YACp}Uc1TWVf)21OsF2l7EjJ+<P1D|k=~octeKYCcA3 zitPtYticZx`!{X!r4z@I7qxt|@;g;?2sBL!N}k<!AvYRAo09u#8YXW7ZAF7jQ@;MI zx9qA1<m4MjFXZmQw{xaEGzDjFP*aapJ@pUx-e>TTp8Ef%ORluPflL8AP2FZ%tFFFu zC(@Fcb<dZasRyrE0mbdn;5k#u=6j>;4C<P^$qK$Pt^%|Zycww_*6ePfdH6ZFtpF<6 zN-n5pm>St3P6PDUd$8mR=qMw|{MDD=bEZ7w2ZbK2r5#_T$!}o~UU3KNh*U_aFU>^` z{XKIhZ>oY{J#p=PVbH4UZx$j7AJFD^cAoRl*$>bHg^SZ8ud+UV57{|ex`6HMrTznX zFS|l7F@EjVf4uf9^qh>XwsSx|c2Fe?y44uc#@O8x8f&m0dO|y>;uYfuP4lFK_ohMz z6Q;4(Op0@{N+`8K3@5B92c5Bowp*9`9caelLm{L>1+O`&vRuEB`Ph2UK3v#3mnWdH zk6%I%MW9-D_PryZGvYwa5@@X}ZuA?prsLV~c%<X%BV~@S(#zNmZg4?bQvDbA6|PI1 zT5=!@al)GRi$Ku$g*{~a!a5Vwd{bj@Hv?_etpT0G51kC^)k_K$@7WJIm=3!3<EJ2K z0A@K-qsD3nXshnoi>RYRTa7{Oy#FSU;0QL`tiQ`T;wtM~&=Q;jvu~Q;2RCZ;=BI~> zui^V8q8kOBXp76ORa(7k!7Ol0L0UDfJo}D;+86vtqhMd$!%7eSNDcoeRSlaCI-YUA zH$P=+iNjx{>7d?l@N5&vG|*i<kO=+F3fgB5ns5?0y9X4yoBun$Z?*r=Zj?WN6LQ1k zaa-rr87V1Kny2YSS~Rt~9y2{<V93rLZBVGS_(+du(1s0c#@AymdtUE9+rRGFtmu@* zfkB?J8#bIs=;@g>$xzeLxn0D>=+&P5&vQQ8#k~8s`NLzI{hOcf5kL25WB2vgMN|Ju z*1zd}x&Bqb%2h|(a&Lndo&28nA9Sa2+396Fx~^aO9dgwhwB+QIjNhqOkY#$HL5=z| zo-5_RXLEp7xbF8X+3-I6(}fxCZSK&qUaiHKEi}Kn!i!v)`{z7o{()@Q1g%s2|76LT zxMHL-_w%gDmukRS4pd@wgVsgzBPu-C>GjW+tSMm!&mw@Y7c2}~6%6XWfS1r(?3ggw zC<k0#f^t0g=ne2HL`{Y8r+fS3OC{I3sev}%L38}$Ia6*vMdbKJpLbhoo`qasH&tuu z(RxeGw-4i&f;MA<JEt-8K=<o`CmBHX#WnLH==rM9felZ;Qy&^mSAxci1VV1AEKY`O zRAJD96ex=xds}Kweh&+o%lC_e(m+eppyNq@F3jB`mFWlWx`74;j!v0;DGoeA0`7ZF zw|~53%|Gw}HmErK`Y-%L!&O6s<IC9npD*Fj2X6!hjUc~!y5x#Cs4fCGl=?vPMREU4 z!MkoiW%=drMUeG5(5m`#wuR<de{j%&2B<4~CSQ72tqK|eh7IAn7Y2nPor3mgNk}zP zVQ5h?VX{#Td>^kHdpxLatAG#6*!>exPc4FXe3#ijT5{&!A*AV_g87#GH)P-wOg2*| zUy6g=V*@!@A{DeR5<b82dby?MRmb?{h{Co&Mm@Cx+zJJ?Q{AjBH9=b#U}0az{{M*Q z%n;E1z6*mEfV!p}ey83*Z?^+4%9%6&yk}+}qNVqD&XjN9<<*ceC7TCQQN3&W;NvpC zeEht&BKCY;c=sT7&Xk9UO(Wu#nqLz^2ZIz$1=n`R-#=gCV+Zf}UY54d+&mvK<o5Hv zrRLew>z;y}5&@}`b^0DI*|HoY51RqnGXu6}2-FgbDGFL8jvV|JPpqPPdHmt$wp`pf zXG)bgv>AV@TK@l($woi5K@BZX@GR5cJCA)%FKAc-){?B7G5J!>L{M80RM+hQ9rJ=5 z{7*N}nR4}@`33MkS<s#l`SYGD&mo$U&6et^75Xsq-TI4yUabYKk42p0W~`q2$r0WX z11*q=24!4mQ^w-IsQS`Mc)=jXUfUd|{I(F3z9Eg7N-_1+t?(sXud6LIuPTFNA$hBe zefymg%zWFSnX8Q5|KXA=;-EwEA?wpYeUwk!VB0~XN_Iy*XYT!^25yOhbJz3y=Sxh4 z!SbNcFDnST1-_F47CLrkJR|pl+ajRQw|SEW>SCcRP?u9r-2py#1XMJD#&znNK;0N< z$y3M8Z&8T|e))$>&VVj`hp%P%U_EEbGexldpoS#(oGITX<b%g+p-o9W&@~H?VL?#g z@Kq1(*q?KrlJBm60B!mM9U|c7UK|AKl^~M;{v)0<?|?fRAP@Y}Ro|WPO$Iz$QKo4Q z+EkMG?^bkXW$cAL@U<VAkGnU6&gz&0K3$|T@bTGCul3%8+6}KjhrbG(-2>{^N9OPC z1)Y%*4W87v3Enaryt(_YB6x)es29Iz=JhFO-z)=n*y}+v4tr*DWr1c5KF$h_HK_c$ z9W+t12yzGBWzfQpH1Hii(3zmtGwTzkmN<N7hO|P!R@xi_H81X*%YMU}kp@1rz5ile zZB8<15rzmv9ccW*UoRn4JY;(T_$<}^31%Q~7Jcvrt=*MJOjVd!74U-=$i7FKeroNp z2Q8{OnF>(`UW4%$G}`hFG}_XT201oX;_dV+^`ONXdmi#Z7x|_d|6W@vw0hS9+kVId z3G~#H)1a0VY}^NQ#@TCi(72EGzO!IIfR8<C<+%rLU`Qfax~nBLHlQBV5QJVUZ0Ghn z^oKQQOzIzKU<neo<&Qu3RZY~Ju@`FT-5ub0HqhDj+_SPFjig!NwY&1GcP*$zics-Q zck=buZ)6U0hhDw}nr8#AF`5M)(1Enxj%!qE{r0a4{c8Wf_5CSC(`5bg8IqE{QxcNQ z#PXIdadz`kyLaM{QgY8EuQQ3f-8yqlXm}=064F_7Qb~ESp5~2b?u&Om%Ubt%R$ko} zKF|%wlSQUZ*=TU^kQHpd&VL=yn8_Q<_Xl>?zj?4KH|pm6kJk=gioYtha@E1My)RWm zw@x>dd{?=V!-3H*>!yf!VaWT~(BCO30dD7uf?hS(&-=ZT3%udQ%k|j%XG?tS4m&V{ zI!9@fUY1*EZk`QlN`Pu>qmzHu&zX`oe>J?e?%rMyG!49nFcR990UxmjIf-hiS86Rk z%DEfO%<C6kJ@J7BoMl0sySYa_SAs4^gVv{yr7bll+rxK}YF+=-u-P0@o_C!)K4;1^ zZ)n%(6zGiCr?K!>Qq14x$(Q!MvVzyvuggI_dr)x)DGUn?)l+wX%X-ip!3#w{ufO1X zb|L+)7e6+{U&u=N1i6)MsaNVRR=-nk+Tq5}xqr|zQw~1cdsJK8=E0}82-8cg)R+DR zuM`2*71zRxgI2MF0uE9by0V`y4r*(UgUoe<`lafH(B+|!M%X_)_0%G1P*nh0XyW_- zoafBFf7lQcWB<bZygow?AqSPUX>+DL1#h*1mE_+Gf^H#B++hDdDNOllC&=-z%`S7! zdP+jJN`P82i)H6b*##PMfezqLzjuP!uMgae0tL<&<vCMs{segrX8!sIOH3d;5kT`B z^B*qJ;lJYtIq?>9io8|h<V&E<DWHUt8U5PZLh~xp8SE7^CL3Lu4c=-4Zsi@e)cmT6 zZ2tZ;o-_X-Zecc8PyHqWTF3(33GsaWKhd>rvmS%nTA*g?#;KDx?U@0uEY^$7neq)% z6@Z%9@eh`SMCXBrv|t|af3}3@Jh&wSYUstC^91e2g$xzWF8sryo@#XCAoxHKP_Npy zZL-mC7I;Hoe*N6Z;6v9TL+nSJ=S+F}8QJyxdt1Yl-+Dq*=G}wEi0$9tc9P7SCrfw` z=fz&E+y1HH>qU?Wkfiyi(C^d}$V!m~r_^Tt>zQm+jk0}uzoq8c?0R4DN(pf4DGHjF zZj7|ot5j2c>0NMD1&ZF+(<TSKt(FG0M8PdFJBzN#MmAGH4HZxiVKKLb=GUnp>tUhu z{_zr@fADr>%~{Za=~<wLFtjE;XMfZ)b02u(6=Z(*+c{I7f)`6b+`n}D=Z3cr!QNkR zN-c8!A<vbd(^ud_N;e9E+92oMfE@2%9Q2BL8fY05<ovnE<wZei>EPKx&^nec(sQQV z1fL2CG5nvqpVw!5aG3#0`rGa}uAcbxB(m$zKZPAv0!o~qyOY4zSHN0HH|oXtEo>0Y z?DPWg;VTRwphE55?;lZqr=EO=IezB9_Q^)of9Il1kNvjLJUayxdZ1=rg`|4wPS6TH z_%<MSOU<`+pbIb|tCpkW&w8%(1NZR2Lt@R`mYRnl<9(phX4YD0el13JKL5_{Fy+aR zMZ+Ku*q`>CSvwtRQ08;Ah347&h_wIyuFZo_8t`tyKC7vdFEPGlMO1;C_Du?n4Y+$A zJd1LzQOd;Io@w{e|4CCz4t!W}cZ=Iw){AGdK}${UA6=!l!XBl40XhzT@@z<d9bEtY z5)UgqnDhSrCs2PKI%e|BeFNwWG0@p)&`ATGbD#wn(%?mzkec4`R{6m^(ApNzBob&d zPpa|nB8vxdRTK4A^npiA&g|2Lwl6$E?TgtE4}hnEb~T2^2K?_tw4(G=V(y;Ke$#ML z8d5cbCuM#)hLs+C(~UBGkv~TsbYlL_$?&zT;TI-@wz&NJesR%OanNb8x4`Q!u5JV^ zZsCD6ebi<x4UdioT^P6ybO`{o9mOsArxA3h+JER=3#g)h!TbGkcZnl-qypBcF}(Ht z)^pI3nxCL8J{@Us;6anykylwaABP;1m%k6PYIpym@;%4+GVUFN%#DFsHQk`o@lSIj z4@rgH2DOmZK_UU{)4wvHy+L>7kS5ytFYc4Re;PEp@*JXY)~1=Y=5_s{u>to_fj1;U zTa3zUUNxOOE%(6m8)&EuGz#{{{>9!O&;PFuwEc=`n7p5NSt{ekguo;><*gfAPi8Dh znG)RQDQ_|9qL}95B_Tn4Vrr6=%8Qq@1bS`Qptg95h_u&+7tPTrDO38gcm2Frm3{SU zOsP+=$mDas&7iY6-1g@_TUVc}et1USTKev)sefnA*{J(BbZ^+ItZj+6w})L7OAf04 z@ZXhzYr|piLX*ehA&~ov|FQa^oUPUgJ0a?yL%`Dd$uC|_2nF2^_!}}%ywof8uc4op zDd>c8$U>6`Zhof<z$-sN^=a?6d&ijj_(4lQAr;=_@5MpOAUhjD_1&B5Ia7Wi4P!3) zeB4sAd-~fY&;<#nKCPWI<=J9TeF_=Ws0D2sf>c*4E^WI8Dspr|$D2YMGR>edTu5~Q z%JFlLdrHP5)`e<V{ghQt{lx*U?SqyYRiBK{FbF&d*8FX)#D7eG}^%~>OduF}^ z9Zv;u`|9Twnr~OXUjTL+sJPufdvefR#Q97bRy&$tBRW&Hre2KmJN4s$HE4ty(yjZX z=6C9gGPt}0)pfsx)R+DfMAUWnk9kJ^0nZ+Qs*2O$Zx>zlC`M{1-96}E6m$z|+wMWn zmES;}M%ZbS8~(>v9(XtrZo#dCo|5s1wIJ&DPnP)n2X8?Djmg{$o-^f_XgPROBW%>< z{evYscJQSpbBcpzNh9@ocicMbnYsPmSy*ko)o#w@pt;~-5pcH@?RMT0WA)TMpguQj zy8Xwe>I_rxN=WE&u|9rYe@l@oyZTd}k$2z|WT$n%e`+|3Xw2-h>YRLO9(?}f>(n_@ zUa5f!W0>=QTWB6`{)af6{&-Q)G0?CCcwTaL;ipi)Q(un5gJ<{T$wB`SXTzP3-#j}^ zxf@a&fM!rC_0*T{1b2(U^KTd4B^>t5L^Q?t_w=)`=}SXe%JLw@@6-n+Sn83AJ?$w8 z8oq-j&B^H&nwJy3!7T~U{8F{J`qF<Qpy-FRgYO>9FA5Ud4{i#AT1xL8E;0H2e=a)< z=yZ4R^j^4oQP3^;)eKwrO_&@M3tlP&3H|K_L2Zy@4MDCid0`KlKmcFu4R!wmbqmeQ zkYk8ItpWSTkVRzhWvBb@%gGgdWP^DiYThBwOz@2~(B!|`Li6oeaPWZ=|9VT!s|UYd zKEj3A)_lA$s134B2~?ZvKU~t2p8#JK`b5s}REXRI@R$*3RAX=7<RE|0m=2^d@MrU! zDM!n}RV`>pZ%^msOK(6mIV|y1Txi}RmDvw(NX|Rwxl#`~^b=0P&awp!Ib8faXUfNI zd%=wf@Ti9Wz0;mE>)_LeoAV2UUfoA*>c1sh(=mBd#YboO?5fSI$(QDwLfWTx)pgF4 zZ;*3hK!pQn)AxDMHZjObqJxQ`P0|%gpt1$j5pjDCx~>n=hq@*EM^rtv${r*So;_@+ z|J3j`5LB{3dkS;vJ0@>h0iOY?G*w@^6MWb#*!}D0JzKJ+-41e&3@C)`=1mTo3yyx+ z?4*(3sSnS=@dKK_nl?GspzfjFydB~d#^7Bf+>@0-C!z8~*Qj39$*uWT3EI$63vN4r zcaf~O{;#}x3A30#R1v7&{q(^7rR%N*mVMwh0r=cjJJa1a(m|E|UeMki7vuGsvv2zD z2elmTg4Q_fnHl>RT<glbNZxadPh#IZNRt3m1G=eiUd4UvIe4}OGF9QEb{{lM^W{6F z6#^c<sGbrU8?gUNAyTb-JY&Dzy+c|r8;(MU9DHw{shb~jW|dyXG{^=WeaHr#n72ux z;#<x`hX_EMWvXt^0&NQV4jv?cTFN#bG{N)XHj<^kbXV_6_+x;&(j@Bx%leJX%Z@_> z1UzIS1v(SgC_F>|L)y3O9Pmug^Xs5JK%hw^P=gC(>8HrBQpe&O`$6MH(CH`c$hjx9 zUN(G_fi!)<qeJoMKr2H)4MFINUHQd-wQ3qbjURE_li6=rFZu)qn{5V7(}arm*q=da zUz`*NT_BVVZG(VDOv<!DE`zL<fi9@|cWU_y*Coti@1H>S3WFy0p56p4O*R5YJEX}d zq3WOd-*f${f9!XqCvzayz`mci`StN-uh(pw6@A0?^fVzE&4Y&?Nu{Jr32xG@eGuXX zI=22xqh&-y$`c1SPtM>O35mXLo|2`S2M={=8X0-zxp~Upm~bm=-ObAT&mcQ=(jOkL ztV=&%$$qXiw*I@|+BfH)<evAf4_)pZx_0}U1M61ZO7z+F4%9R$xUfl6@6n2zk)iG> zDFJ5gcC)^Ec$KPwdZ$&s3xg(JJPO~2u+V67PyVwdCf`9h8k8YZCY{`Gp?P`oe@NF2 zRN!V?XnqDCE)H5~^76jQt{*1qsXuesxCFG^mwKgst@iU;-Cp4U>ze+p@$>p_1(pRJ z>{Zh+IY<{Yt^g^nUgY_Kj|%6Q3d-!qe#<Ss>QRhz1jCCbp!?X=!MS;=*3_HkmYSbI zJBp!I(MJ*W)XHCv!IRyfA=t3>50`9t4=V2<W$kPIf}maU;PGKlVg5PX&ui;<d(a8D z&|wYt=LJEpyurogRIRBWx6YaJ46z*7ukNhpOgng|baU|h1y@h}0S{Y1dUl47m*{}z z_95NstNa$4Z|8&j1~vTpahYd~yT!pBLr_V6|AgmC(0O<3Hd*gj3tF`KBFxWgFL?3> zRMvh}^z$k{H5sX_1)buy4?MmMYRYUr54r~r<T+Sj{=?nx)Tb5y!N<sg=Qn)(ys8aB z5qtL__}H-P;e|oFASa-J1|5n)!&!(yhyHK&p!pgbaO8jv*wBBx#N;|kS<9!M`imVb z4;rC9cWR&S>Qnn&pX-C_BbYB9eVsGqDLXidK$dKMvSdp+$PiHa+4KFY^PDMp_xZr1 zTA=pQ*`0HyyaFHn4r^{)FAREh8>}5voqjJ2n&pK^KA&v-PJP)5E{#Ery=r^))PJs^ z#tL{`VBZfz_0*r{U<ZQ6O}=TZb-PszstqAg@Rl#`kmpO#+8oHH>3hdKSAw>fAY#Bk zeW_ipI(Tg(I1X6nOnC<0!~!<o?x&4<>MzJmNuaB0{vGn1DZjfLbSxdLwIN;@G%X)I zg9K`A#2xm`%m=N@g|y<U&U(J&11CSwz`(O9${D86{ZHVwhWqs5pjXo1)CbC0`zFH< zG=x<}FO(|}yo4+^0Nq{3=6C83c-d?jJNQ(-n3~qfoBl|G>%xGgxohQ~E!pzBe-UDS ztX58a>2B~MFi--T{n}FVD#}uj_s^GH*$vv#<h0ZQ6v2{nraV)Ev?Rf&=K0wj@tj!) zU)7yv|8U8f|KN@Q*!|+_@0MLX@o6bIdO(et*i)XF>ycAvg|+(9-H<)wD>qq3*!Hro z;e)oduYvA9EK!79O$E7B;+T11&@Av245V~;@_x>gmmiNq=AS^}58B-9kH}$f`Re9O z-t@-`8~{P7<sahwysBqF1|Gnt^1Z&?xAdw<IU`sB=$@u^50-?OBU&4QphMNbO+`>I zs^Ywy+y+bVi2|^u-fT<F%l+^Sy#JKv%>R>+%<n#Kp?Nx89&xcjsh#@Ly>k%5dif8Q zlt6Y1gUcTV^`*CLAA$B~!D3j)&#QI<d}IdNRskK*0(Sr8`NcuY`oSxoK?&&1%<4>2 zqk2nFYZtPec~AG`OU$QP5x26ed6y6>zXf~^u?gaQlXZ_PJ#8<{Jtzvkj|X(oPTY}I zb}Q`8h+1)g?%By*k&!lArgmm%ZNUF2+9jZ)!$6IUW71(?9g8n<Zpn~kfsH84+|vU( zO067vDk`XD^ClQ{!OM1(bItCv?gt%3R&@MwWiR+NxKnB0o)$EO)?SD^0k*U@ItP4! z+b^%Mua4!y5Fdc{1?9d2-H`nM0T;qf$+;W)<6Np1)Nw+Tfi}$a+&{I-F2fGwC1{Or z@AmuHPqx)d*q7~x9=dia?VIQqmDRrz{>>52ya@7Yt$Hrl)<>WNaGzH1bYgcj`3xQ< zDpg+nYe6pZiMZGJL5I`cE*4r}C;;7)yUB8PXl=mWR<xUT48uJ6uf&6{69x}UeRKV; z4Z4Ea0&*hm+3ldy@g`6HCHY0E)}U4u;s=md&)z${%5FwI=sq6kE^GaikF}t4c>f=P zL=|YY@antjpQWl7*n-YigH8z5^?bWqF(LF4^WCe^BVccy*(aT!7%CsK9~5x+&g_%k z4xah?qOtndg1T#Uh$C^Me$`+2^Ss`5L%?#x*h&8L8Df&YOCpxtUbAiWx?O(jUM`ES zKFq@1ZFuIChB_;^@thMUJf2Fa)+YFhiD_C*Nm_JBL@Y95a$t}Xuhxu=lI|Xnsh%1y znP2Z&{~5gAM2Q1w=)~&lgIcZM#&-G9zv8#XuFBey=)P~ms<;H7SKRaUnF1QVXN2CY zpW1c(%Cg($tv#JRpnUcRyx9O$Y+bf53Yt|LKNox#V8f|j%#Kt4`7PR<Y^nKqGq~&o zE!$Xbsd+jdG@uL_Blw!_=d~MNvwn4KzmT;Abod7Jx^+kw8$MA}qOQKQ4t%93INt}9 z=(K-on7kNf_)E|%IVjshhI=<lgKkR$7Yd+E`N-4n)F;vCj3n4C=<##UcxFOQT>@2j zU!PlQUPbhO14=#!sHgsr0T)R@OO0-QlZxuSk`5{qAx;O6rNi$6G5u?)zVs(}*CRN` z&)=JKg87_3yv%y^cg~cj>4+lHHGF$v(5>Il>U8SY{T7;6udPLlRX1O@)I8h`mIhVU zC7`_s;1UnqJL>;`$aChNCkEhMHlQN$ONF1;XYeVwu-ckeJ+%&e$OpLhwSCT%r}juo z5_VWUDF9uZ2M?WJPJUjc;2qIm=gZ$Y>M6MmR$oZI{T?N^;U2g`ffb0cbEce31uFpc zzTOuE%>s2V;hpPm<$kCBOhs(>*8Nr#Gz~Q84?Q&O>eV?@zWKo$1o97;gzTD&Twlx1 zneuQZ+<efPYQ0FEN16X!lZ`47t3%mkKo|FbPXU262(0@j8~tYjMINZZD#q=1>XRa< zIRXow^MygTa@`?|ccC>Z+D4$qOL!2c3}1XaXG#@lgEVw`rJY^pWFydGWJrT}+5E># zOu+kWU@Jmu8YUb4hl~q?j%<6)7uBodj~F(5mE`ADtqXD<XxMP=`)5l+`av7qAZ@XO z|J*+}Ku>f7kLw-wT)7^!9UR`EjP*P9q#PbP^+iFmockAo)<VHL*Lzzh8~ulj`~@t{ z)m`^+iOzeZLqw-dzVxnK2fR`XRN?KNjNZ8}@;miG4IDk7(5am><rjEiH*}h&FMjLf zFy+bM;RR58ei`V<70~6?(7~V0@&!T9AoVfG_=U$mHk?Lj(42p=WXp7L;sZ6f^PemU z`3^eG5jM2<!9+c^;z0-a&Q4I?&EQvGY6o833rYU_Pk7F(0XJ8`1<(EBpjrPx!3PWd zJO8Yrde7X45AA_A0)fu#0ae)G{qU=+Ei~Ug1_vN$X|$ZodFHU)pxg;*&^(CoJM{s+ z<GbhG1Ms2B4Dj_bpv%CEZs>s<%b+D2HQMS+XCiyx8?WD~Kg;3fSM*HYbmu<{Vw!bp z_vB0Sz|-I0q6ajz7lxcXwf#<gh=d3KwCk6%me}<|8Z=<{Kkl~BoV*_1V*LV|u=WSd z^*}m2-xdj19{5=Zwjb2qn*+KG{2bDPt`BN{Ue)s8^apB>N&1yJmVWTu!t|E)-Wk28 zpmmqJJonCkHj~VNjb&s$Uc7$8D(+YEpoNmKtvVm&K}$Gqrkg`{w1NjSY-fSi$AYFs zptT@q_+&n4PN)X7Ocb&zV%h!EtMnr5Km!5r;gcBIA8eqL#9#-!frb@+)r6HgepLnc z-N8dP@h2Yq23_E52O1h!H1j&B=3V?M1+*R69kiGPdUh&!_~fA0%Z8Kc&;f%@GymG{ z1f8PxrwOw9gLN}_A;xCVVvo<$=Q~06)_^By-lT<!>wwn=Lz`2rYt|)AEpe!3hpbfv zHEh<%gErv&2Q45*taI^{-PaCU<NL!5GUfqV>9W-hv>RssN$^m{U#Z*RNuiJDBd)Se zhV88Z`Si=u{3oVm4#iC10U79U#y7h#PxdqRprh5Ge(jD19X}@uRs=b1r03h}50Rkx zujqR&5I=xymG(~#6%UaIIq%+?I`cg6KI;ds*Su<a=noAM&=AU(bkLC4qrH2M#Wkcs z1GwS{DBN}<&3{#~g04WCi8LbS7WkXJ>iqwo_DT_4VTkt0`sZ7`<Zn!v80co6b^1_> zj*ii#i>7x=a*u~)Z@v01Pb+mwa8oO*UrNdp6E{!!8Iv1b-S||ePATB-?pbqEL-U}X zYD9!dpqrcL^V-?Z=X`%yB<}d9aNhlobIKpwu`m00Ie1>c&G|1E&V3nwm3!$bvFNfl zeXFd_%+UG&@4pfQ>y7o0%Zk;OS=%T#Z>kFW^?sF8&@K2H)UGx6k9od?jTEF!I=kIM z^J+6J+ssVa@;F3pgB^GcDX3g|3_3Rxd=3Hlgp+!qxpfmKU-|>>=LOCDJ9V;A{jp3$ zrQLnK0DR?qLx3fy)OwXXXUaG5_E1Q!{44F}75)~o+a@4&a!wrd5L;-m($%-_(UL3X z;7qL*s`YrcrRHRJP@N4~xlw!T<rb;TIQZQ7<~B$P-*5_CW5?IboxJG}d<|z9XgA>b zdR@?l2}rFW5<CB>=gWKG>4p^{D|74)d#(f@g9=%LD)(&3758}uVUr!Eb`vKXSt3sD zUk)ze!Nbv@_Qo6WIa7Y_M|9v_#n0PL2HiIgZHw)0e*a|27SPon&;_)=cF&pe4l?-- zDsU?w<;iWZ0w2-}Dbc?b2ffM$YX<kQr%pE737)F}H}o#Ndth&&d3JL=qyq;Uepp@@ z^ei7#pTb5rk`8)Cf=-!(^iae2L30P`pmX1#BTSDiH19&rtp^4Dx@Sv5wu1)GU^T{` z_Q^r{VEaL)yU+JsJ@Mp8NP`31g`X35$}<x(R|Hy^usOdd=-Gb6!j|sC-##>)P6oHv z9H;tjx^>z!6LhaId}Ts~u=>*7;2|Q=)cy|+^`%y?WM)M$f_CdfgSL8I^qeyVdU8GJ z-1zm6m-yr%<`FN)gQ_@ig$b%qzcpRIoRv}sKK30p!128xXxe;m1c3%Pw#}LHEfHyi zU|s*6)68Y+U=u)T^BIHs(p}))R*>+|gUpUJ1XzO1|0AKE8sv|3t-;-c#+I6Acf$i{ z{<9@#KpS1*&Oa(|p?O+;F66EoPz;0C>AXXD;B~fz=3V%1nwxh(XS{%d50W;wSXZ2p z&M*xEHBlfVKyl|hXWjwr`%W!~Ts#0;B6b$C-3HVkF8g68SMU!!J_T;@?Xv*g#b*zy z(LfEpu<Zpwx2h58^DSSVh34Ih&k<M2oSZWSv=bS$n+ZIG(zovUk}HrS-$3E>gVFDl z%em*EApr0Y%5wc@OH3f^%|HVjpxKpraPoyEP<~6z(~u=)pcYMkmGWA*Ta!V#_YEKT z-1{ANCp=$*P7Q&axp?;NoGGsm7aQ1B&6ynZzD5{4zy-=+75wU{MXI0y1X%o@FASRX z9^4fIS@8da=S=%jdwveM2lPNEw#9+(x&o!p)!CNdy8vM)9@NUKFRexlG5unS>J0(6 zc;F*&2R&Cp&fN#4pL<6=XWATrOb3C}=gZ0ZPZ(!U2bWHu0D8mZcd7>5qyUGHc>J>^ zI-uj8pku6y=S=xF!yIM0Y`2Bx-KXFt38=>)ZJ~K}E>Z@QDV;OrR|hkAJ__W1&>@nO zKO>rCUzq1ik;~YJ)ZgAbf5R&NXZ4`&d`8-92i{pr<s0u_N{@4SJykAZ9`wpu@EFRm zRdyNcLD%ZsJM-?N8R)RDb)ZXKO8B9JBcSTnP4AiMH;1o6>yU4t*|}zt+=~04%WI&u z@8j8#SJ@}agYOoGEWhl(xR3kaA+48<&tB#uE`!l;58u3s|5-ca-2MD+@W4$>&6LpE z4KdKe?Ln5lsso)B4;p`f?g)-QQI+njuVj1SEp%uEG=Fh+>Y7*0uRen><jH{^t&%@S zeuG!lf`8BfnP9Wc>la*fj7#SCi8~1yw}FnJd`SWgaeyw?fo^1(UE3R4Yw#a5yaBiL z(fu`(<Ra|e3+P6H23o)?LAK5Y4FaK#+r;gj2pY_YMGR)VkOPeZ`B!SMFBGUS{{8HB z8))(H#nX{j*}t|zm6>?2H~Xis`d7jyQRL8l2|kYg&t%9r7U<C5wDUV#Kqu-i2j9p8 z4`1o~2en={K2?W~0&SXEYku$KD!UBpbr&2ToDB&GHredEu7Agr>o=J1eni+g?Vn%V zzt8rJ!6|8=1`6yZz#S74jX1@o-B9*E+M*V+X+wpWn&izTGrGC_X70R|wRzd>ytUT5 zcHR=RoH%jeBMz;V5sMeOy76g6t}tkA?K+k+WlBObr<hpjyV8Bd?~Xs#ZTj%IX8-2r zci7Ya-MFfm{yy^N{DaT$PyQD!s}s64YO}L>$W^&xOK$zE5^P|~xw2~0f6zrcKHu9! zjMOgeZiU?U4aqbAPS2T=_Fw<m{$2mqGA!5xT7a^D@{0uzm++j2?g9*PyZ>-W4`|*5 zTB|;Z^g9)D{vmvlS@xLc%zDIqw)%Pxm*_wSy+LKltMEBfUa2Cw85i%>&CW0lg74q` za{kd0lii>d5Rewfj@ht-)j@qcxBriq^zhqFR|{i+_Tf)1x6r)Y4XzVFmG<s=la1;- zKx3-lGBKd!qq*OyP33anUZz&4)@uHOpjF0j<8RzP>bVk9;Dbi=|4p7V<(VnSap3aY zuHyRi3{#^&EU*&YP1*0%4|Y&n4YHPS(d77|pk?*2kV&h~f5!OS9Xve4AgVRHuuw`p zwbBx#8{EULJL0)g45S;}6x;h<OMR)`hZ=AP4%7qtS2$-%8p=@&?+b(4?7_=$LB-*} z&dEk~k6CRLKz9|d%jTMT)}m5gJ@pr)kG9b3_N?6JOU~qjj*x-0H^3v#kh2iL?ScQN zw@9r7?NEWY2zGQrj?8p=3hF`bnLqiG4fLX&SWtW5;5=oyf**0<J|K7r^AS(Uc*F^% z>cM`ez6640LG@AGqa|m)-*yt#W(a|m=W>TVBj<rziy-swob_D!E)lYNW1VJ*S@Qc# zKd;!>6R`H)Rr{97m*yZ=&#;RZ2ep}lN3@%?W~Y2HP*43+4vHPv080K(uC;E<+~F0{ z`6o-xltVUM#9RkW85D}Er~ZU&t_IC{#64aT!XE1aav`{nUHzWL&ucII0Mx&)Ei})9 zo8pk@Eo1+G%2RUR&m`~=7bptkPI^ktKL@Fiz#()}bk3BQklo#&DW~Xypl9n5QwB#H zqccr6*}xm~tIy7v@+u112mA#=uOK^6K~28u+Ntb0zaSOTb?{Y6b540m#)0>Af$F2h z(UzKzk0a`%C-dh_d3jqOajk*uY0sIUyatcp3SRZpN^py0F{qDi>F2feVUV1_fzUE` zumx{q&v~u{?Y4)s2>zM*c@=|`Ah<m*|7X@@qd)AR1Pp4>xaHq<TRrh(JIDdB7_I|d zCIsG91-3xW@6?}6NR#jNET>dsy;bW!Hk@__=TA_RsZ>dQ=`BPGsx~hQdgTt5b)4#Z zsea<*OK*Ocf_L4(#`v1&OnHcy^bl1~{gaGjzF*yW&zaCu4Od*+md2jD{OXB6ETA9; zXW@M}4tYk#9S5!P2W7FV&2y%_Vg<><lF;v%W6alJn-R;{&p%jVVht)D-ta+k*pBAO zMt|UgWWE26dP?puW(W0XAbD(Ze{s;V=in3wN~2}E>Z$)wE>3uEsd;+uF>sRtln34v z2d#n*B0?hI?Smy(AiI*dLT`or=k)XX%mr$4K_Xz!<D#fu9((Yy{~!;5c5Qt`j6Pp@ z_rTv$^Rhj-Neya}na3Pt-ge+m!MY2656->`S_hiIIP@qbZ0o@y>F^A7$cEWt;pUrH z@vgdG&{_t)I=Jrcy{^zpOkZ!8U0SqN7<w_wFZsk!X_NF~kSa($2s-ZM_L@mz68BDv z-r|G~qSzl^WhU|dBX3-?`iHc#uh+p-2}K&KZzcT7TzA0_R^#WUhDx8wf5P<^Hapa+ zBbPXJ%Yjd>5JljX8E>mq_j*W&$Ug!rgKyla=>s|LYRtm93bQJ~Yf%2QgyvrO)2O{g zxWf21>t;UDvpJKS|8H8w+xGsRYxgynt<trVLURrFP0-#V44pI)H~Oi#dI{^YVuY<T z_a0bfHsgJ<==zPqu+bv7->Rh|tCz4&OD||G11&EBEkfBkIW#xm?n%*GoO@>em5Oc$ zwKDF#kGRVAb^lH$Yv|<A+W2^1{Yz|TKgKMa3%$o^|Ad3Apirxuue}8pxNiFsLZy5D zpAo&q37wJxFG9I&UfHW_P-_o~EAS!|>By^WpJihf&OI=D<$`4yX|rSQ99v};VRsM` z1)x>6tHUF%vR!4|op}*t8h8gZ_siNIP@q-DfCCLQD0b<;-s)QmeoBUCs5=-#hiw*p z<Np%(|8u>{g;gD(1`6b;6VMEgnD3M+fkvF!GgF-1+&u4Uykzb++~jjoZ7IK3!67B( z?(8X7uDyD3Eo=2o^Vs6QH)IYj;$L&}gobkaB)bhS#Ka^eCkF;KmEPN4d9G4kuUv47 z{PUl(pcN>8lb7$mUFnfpzbyT|$NyD*g1_JER=-PL{mSrIO4*C_V$eDE>mWlYS*vYK zl$(v}?bMf68iQ6UfR~^g1+74b&hn?KMgHrUyy+IG7Y!P=PMP%evYq?tiSHn7e9+}o zd%7nFy#<dtgLduwpZwxQnct}&cHmlTsaLAf?urAyB0wdI=mMuyW54Bdrrc}?ccDSW zRUNPT(w~g*>=^%N>f}pyPa&6!gR<jOZ%fV9-~}#_K77|Y`?H=e^PoN85VQRcmV_W3 ze75CrP*iV^Ke)XBYHytUIcG{0_-rt6Zl7P*KiTLv_=HnXar?|a>O;fXZbWJ8DxUv* z$(H%x`J9kdA=5x-W`eFghBm~0-JdfhuSOEweFK?(Hrqn;Y9+kAQM;vmve7>Has87) zr&T|k{uzGrxyamo6DAu~L-yqarIv4axWvR9)RuwWIrcHw&+E58IN(5w@$8Dt*Sdv) z2N)nl;p^{(LAy@F3iC}r+0>W5Lhk*_eXEo!sIdXnCg8fl#Lo+Ki5qCGC3peK^6P~` zY4-4mxS#heHP2q&?*Ol@e?JnPGv(V|aPJK?p#1L9k~QGr33&fqUVZ6gTX<1xGi`Fv zT<B^-aQE>d>zpYcAu$hXE!{clxl$f9qXBXL<>hgXt0&%sd%(yZbVm@lAq#FxUU>Il z(&S73z+2D3<0l&Gsaqd=A&;MY)tocsT@5URZoPTBBm|r|KuL4Y_lwMPrhI(S4R#+W z@to|OGv${Ka%D9C(ULQuBLE@85C7&&z64sF44N<i&+vDrzh8RQ<2PbG<h<jak#7<0 zz1P+jns*^L4TDm?Or0oy#9Z+38LTqp@jLYcvRW22qr&LtRm%^`SI`we%l|)Ga%Nts zDLe)JTy3d&_BSX2f}2af9~Jp|{q_a>541bQ+V9k>4f>$*EqDyrs4undg)dQ1R9|}Q zJfyV*iGaIvrhIe;M<A%RWcdj+ooNcsUi0_0Pd3^OUTF>*`ux1U5Hg_$9h<x*d!^PU z;WuOn63G245jXLI$H!vcKVDJ-xpxpWI`GWV&#TnM8<BoqsQ8`wAp>*1PgzmWtJ}y4 z^k3Ixqu<~y%HZ@lL;rHtl1gTz01}sfvSdp*eE8v$ALK4<=zQEhxmft{7N{*yET+D6 z7kC8~Ed4n8or1QeLC#m6Gv(Kd*BMEyh#au~@scyo;Ni2sY4W8S@ZoRZ@iF~>Cp<If zZ<r4|<aU!y<K#_N&G637g?A5J=S+FW0MB4@eqLV<keu)C9_zn);>VM43oNIj9Bp?w zzc46mKe)dE>Z<)IjDN^D8$4eMDcx?#)^MvY{VM^ppuk=|wbUGB0eA=db^gMjUCQ~8 zwfdmpx0RNfSE~`J=S7g87eDBj4)DSf(45KS`<qtrzjEgL;K#-|>!wvebJ|PygzaA} z!aVuU+=Gs?fCf+MT0(0tY=K;>XL}FayFbbwd6oU`{T)uAv4UBkS%k_Xy<e1SH&}Io z7hHgMBkQNs@~vLNzH2U|Lk_A0H|^{Vtqu4)3#<sdu(qz}TWrAHBiU~nKNdrc+cdM* za?ixj+JO2F?Gn(C!nPY=OJ9Okf`G3=hF12u|HMHf8&76~R*XPboQiL%-~`<ah`j3M zQ&QO1gRd0A5w{jfyp?_)7v{-d(hnW~0i6+R^-HX3qTPzP^C+VyFPPW7YW_GC;s;;Q zK*mqK)xRLaF7VNlFP-d_y|xC`f{+y>pvj>#=Tk!EP3D8vct9tI<QLbjzk5RKWn&ri zLSyhDv56qJtyq6)Q7Oo)(9x5xC)d1ceis9|^A9v1b^A?PsC>x%XUI!!{x*hQVt#!5 z{;6ykmWw`tAWMDJ*1T%|wH9TZ=>4(&&0bXrWwsEv1)FVte+)EkHmkm%l@D~SPw(x3 zi)YMsRveoYw}k&zcu^}KsL6FvXYVZNHGuCj|0A8mw*L7KM^3Yhl!S}Dw}K32vT*m7 z1f0}Z$vD|W$L*ws@@ygPkb*-lu1gKQyfz$T;qIMNa@q9mp6JzUZ@t>FEHEf8<kx{i zoH`~!@Lk0m@IzuhAJ?<reP{P3`^THNE%_h%e!{A#yc-LDhg^+2w&drt)u1EnXN67u z8@{sQ?1_@!%O)63yed0q$~S*-mI75u@BeNF-vYSmu%rg`1hjG?**S+iSAy0-f(rJK zHuHiYx80y&S;!Da-Mq<0m4|cT3saZ9f4=059e9~Jcv$m!IOqUPaH#_-R(`Vkc?I7+ zhjc3aS3f_m--6(B4YUv0PJQVv(1DYX6)2#y-F{huB|%+yxgAr(lou}sISx{$R~+)3 z83XQNg6gD~{+61X!97e!2ha8S|Hn&o?tLo;k5YinSF=9?IfDv%N6^)BOU<`?!QKaD z`G4H%ORXO8Atsp%MAVns!5bZiy)85sgZEfNjX&OB6r`pP?Y?MT-hRh(^~9Ut>)Rn= z^PfpQ^&@1xFsRLO@2qF$Jkb6YNR_?%dd_3U+whBEugO1O5+V*-P6{ip_H;rDI8eC1 z;oEn>Q&P4FbT}nwO(*y$)E^aor=AqUoNqd}I4BLN#{N0qQnS0Z4l*GHie0&Lo|%52 z3Kw4CF{&@!3ps>$<tFPL-IIgXLVAzjjlvcdKk}k_uN(*a4^$<6$niV%LJL&ogL|iT z`{qtI`l$>mPeBd7Wz}~NGoRx_o|5<x=y&RoHrRcj0qfV6nrD#@D_uA5@scg<d62VY zLE&#VYjV(B@ERF#(qRWJ8jS`wXF;Q5HBFO^st||gU3mB4cD?87i6<cqUeE|c{Iexz z_Cbe$z&8a|9`=m<1Kvyv8h@L{e&;l^nLB8i1EfuJRdUXhZ$jYI6_7f4P5v|Rp)ZI5 zpnXR?SH5loub}{SPyHV)={W~l^18_ya;*Lj>p4?SLT-`;wFqk4CL2}1MM^&Z^88Lc zGJ%<|1DXIa2iXpb;5%nMGvmSg+`+>$pR}WT!6zHS*8mmpsW06JKQ*N7wuR>6<)Atr zn)vU>e{PrzX)=KF)-OZ9Q*WF=@dFzluz#{d2XyljBn7Rr)I598Iulf7gR8vo<3&NY zHiN?#)Lp0*MH$^-_b&>{+6lQO2^9P`J(G>3kdx;hE%nq-wP4?Y8U(d-rrbncAZ20y zqAsderw`s=csg^=lxIaC=Yf;YzFna1$U)fP#@EwxrevMY2QMyzP1sDf)clILALzA( z=3-gM@DgOJ_W$0=MwNH<z~ft>F2l=e3(d{`hykph<`$aW(eNhyox`4)aiG2wEcr*+ zJ3x-x6VP%8`2e(D8$6#2U8BRdtvKjaIy`)K{BO2-@WKP`0Xw_7lZ|G=OSWIiey83f zf@EQ#|Nq$%oqJy(w=RMrc-{fe%yZBYL~#CnCFSR}8`9te?M?JA3|jTK?lh=u1UH%Z z`yMR0vK*ZKK+#(vsGeG)3~Fz}!iU{bb8<b{0ifi0f5+^w%dDFpL$<Mc?*y&Q;07O( zAr=nb+mc(eb1LW_JkVMSXq8_Y{>fndM&?_GA&VNoQx~>rq2eL&ko~pbfein%df)gX zRAmG1gSIL|2T%S_4vjUa`m4Mp1GMU8-9ON(7vH}7$5-jim;*h&1JuF4tQ~Qcb+amX z!3A_x#=q(?Pj;O+=yi0UDVnF#ME81#o2Y~L`9KD2Kr2wrC4&}?$cATtjywg8D5zUU zTxGp_AAC^k=6D0hSz{75r?THP{MrlIn*(lT{1I8bE1?8*Za%b?p`Y?c7<90R9LQJD zdx~GygEszdf42~P5l^&4)&-qf&|$I7ptI<a?%2s+n;m(T^=ujBEH~&`vFqlghKg_b zZGQ~1=LWRQb!|UrMGMOLE<F3rX}xUtbsXX}P$SN$rX@7i;1@qQJYaUN{&05xV)2Q5 zGv=It>^Gir_D%WY=OE{SZt-EtPunjIKFFr1PJH#Qgo{Ox8yrDXU`6|nSN6(YkO3V% z2aQth$hn{cUcM<o*3f`Qr|zFvrME)v5f}WL#Qwl5{~GVDuK&mHv^!)!V*F(N^EHzu z8hXmlND$YasxwPN^WY=3h=`P6H!siFO&bm+_4EjB(tOF>J!iv-6B#X&gw||4aY(6s zl8~2_W3FlVantK1m*-wP+rMqa=Y4m<D^RAlw!+6x4qyCtyFBDu`lo&3%j;J?Ub4zf z*81UvRaQr4TyeiUv715ULC#vO-_e~GS!+IR=;1lF<KYsM=b%Ax(2`NnnKe@<U-}20 zgAH79M&<HuOHJdy#{3+RK8%l1J*Rr=M>BAd1nT11pY)6bZO?Z|1z#EHyXf`(!XPuq zDs!z+t=sAEmt6HI<%0JC+@BW(y@Kp_1Qm!ivg%83BB~?MiH=*2-}QuV$gJg7PyJSi z$nh6{ZU<ch2p;m+3e`H@ZlSsQVk~IJ1Kb?gQD>^2`dJR_I?x88x_Og>Vo!sXl!0qI z_w9v2ubRP?C1}N>{BQB7UX$gB0=LU=*_<igW`h-g?mxahXUa|R318rS&U5S^=gAd( z^n#flwXb{fre8Xs(gHj>6aT+q@}{4{u*!N{e{s;N<g$xL;F~coUd)^`<rk#;4=N0w z&YALz4K%W}yE)c(;U-D-?}b6Pmft-Lo9-~JwNy_9tv`i?{dc?nChDmlZNc_~!v6mO z&&ao+)eErFw&Kd(EmAj4pzgnW@H67%joqNZcJT56$odFS;O|L3%xtEPD9lgZw$yyP z99Ebc#TGz1d+@n}9~$bZALsmr+>R8KTK+-A@6@XpBoADlUKC_@9b8(18Z%|;>TiEn zo5Rx1cRQ<jlY{2NtD}#tbEZ7=Ld5Ov=KW8XY~cqFm4gb~`K9)2-O|=WO7k06LDMz= z;`~lM;s*N<)R@^bZStmF;A`u_h535^q9C*5|E7Xl6QJU}+6w(XHqkj#ez}1I5EKER zgNlzZgHq2MzB15s%A7r2lP^IwNr753pcS)c>Jaly&$InbJ>mpw2ZewCP3P4UuV#V< z&|vdH=btah(F1poLABAn1D-3(K<mhF%D%}0O~+aNag^I22lgQ-fPU!sc@-PN6VUvc z`I9gGn+O^m5e&Jh0=|6n`Tt(fTqdkJQ)8>1`VnykTI!rBSHFVlYj6UMdH-lhPMOUS zSo+y&I}xR^=Pq6t^lCLce)r8p+s^yrPJ5>5rG1dIK|ouC>ikZ<ISn$tj2)bQ&eb3C zjJyLr83i=kbUI6)e}@%#H!~#s&p%j_qX!;+1Pu>-^YZg56$beZR+;XdGv(Kb{g=Tf zz=6X5<eVwXAdNjp^nP;n^ZKm}4qwpt+r4w1Gyfvilb?_O!Lim&3^aWOIeqaHli#UV z;Qdvw=74!okXbd@gP^vmyUi))Fm+JL1`q%C$wBe((`X-qPApstiazjsYwaf$^`*53 z?Z7=5a9;;>#2)G;N>=KrAHBg21i3%Y@6@Xgx{&29;2eBC+3(ad9k4v8_=!8?xf1E> zfyL1lnqMJDDuFi0_5WjE>ozMG)YF1=cHZ*Ubxgi=4%`|7x!?Zzk~7fhP)PXHy{MBb z_!tB;KWg4d&&XKtalhc=4YWb8DxugI(u)RlwsXF3T*bfYxviV`CC7%eZG2Z2ME(-I zp<64vdI|e0*uoOf6~*z1q4Fm7Pq}1S?wxs8D6Tc@rkZ;B##Q{cocTUTu{)XsUjer= zgd?u9Z{A+i$_Jem`qz5K9<=P?XgOqH1l+Kx6kh!+Ve53%0hEF#_AgzRu$!qDL;CKZ z<4-aoud-jA4qJVq2U_ydS9fNW-HP|1A&HA;vO!lAf2@zV%6_(6Zn^7g1!yxP{=}2B zYbMEM*dIgeYq^}iaTR}>_BznQ7|8Zn!&~O(nn5c@Y(8_wJyz&Q>jE7K!aDcJD!Yie zhoJ)~rQpe^TF%wK5{h{t;Rb4C<mRV_%7^%aSI|KACb#yyQU`h9l{s|q0kl`?$Ko}w znx9Fdj%93(-{@7fVDEg$iV@A(H^uK>p8v@7+krQtkO3<5cyRbWPv5+XUu-^f2@S}r zPeG$cS9QV0o#~d!pH{E<nt9D6xf?Qn^`TpCK(>Ah3H$2!6|`6eapFq(<LeQswgLZ} z;I@`O-V1WvhUPuT93Py0vwI^qXbNodbWo(iMxMX}DEw9b|9`f>+BowGsC@#t191KG zHLJJHTD<P%tnA54QX6^2Bqd81FJbA{ys+tn+tQv%QX4j$cqApMni_nG-{jLIS2s81 znNt#ayWGUArc6n=#33doIeB8>?7Zi-yTON_=y7w)pZjcizxduw+t0_(*>B5tPu;&v zeBP9QEBvOdnpOUWl|Ae#BWMKWKd60@8x{I(chJXKw@R#oPs}*+NPNzeGVsBdpj`RX z@c&tR%{q?Ay<MP@O;EGLe)5Z7cjrtw`y86}r@rNfoMR0>$n8{`%*kMz2S4D4n9uUD z)cl%?$oIc^{Z74s-|Bbf|0&PRzN%S>Vz&#lYAq8!JTq<n!zF9#K+FCh=ih(Lx73^s zsWw5i>hC>&w@4u$Y`NImQuA>(I9G!@c{`gS>uI1TH)>ef?%7lyx9Ze?tMibF{1qW9 z&)h%hnF+eu2Revy^t*-T+x1{0Kvw+B_dAtU!+@A%eto?-$V?vG%>|ddr{_$01wNw# zQZQb;xOmQ#k9v?LI7_`!i=@<-+V#UvSh);3;ENB`ZUL=AIs5+c5|jUkX^-y5Q^S<s z!ri~AMqWMjD|pu|#PHqC_Rp5&{5t^ZWr8NK@9b=5U*m^dte5bqr`Et%x%lQiSaJol zkpj9v=jDA%&CSXDOJFCs%-la~@})iCQEk|;_;X9mx4Ph3A2i0%ZlS4t^CUCVj#KXv z!a=K0K(i{)6RB2Q+O}<aVbCh1TNPi(`JMVv2re@NQYZVA$-Atc_=^X**&z-($QC*! z_l8f;QWLa<6SRf~+$M;zIqR9}Z#4tlssPp6pK|<8K{g#jI@$ZCP2RK<K7w`oxP|7` z7XqLGE?A?$|M`-h^YBJN+)2-spgL^bCTmEk{!7~L)Ej%S_dr7&_3w-`OfT&LuiFGy ztNL~)JTv!$Yb|j4e>-Q&)y1IH3p2m`?IT8W#KF4fA1?_Bhs?`CS{=J4PBz*%AJnV> zHEPuSi-KP5ZNCI+mx4WDS1YTY`VD@c(wY57JTvRSC&)l&g$fRPX7XG0fTtBe_0sa9 zps?pi7VOwJXY!_<kU=g`1bi<FTBVK{L&-RAoM~#b4xD<y8DPfbpm^};CDi;6?sKNx zd=Hif8DC|=e`C)C@IDeq{2rZcsreSN2n3Y+D{a+NOZl1MJLK%odER^@2U#==S~h+D z!IBVh<T0Q<U6YOW%>?@&)T&WXUwRKR>9k=rXyg2sC(5A9rop3Z;0yp7!2~UWh3*sT zwzkv+ZN+z3Ap=?^B_n&1d7U3hv)fKRwOAFLe?YBbH9xPf&>JAuEep8Wv$%H7l#iY6 z5C?+VHFJ)7u6z!PKuELWSE%2qH;`>Op!4qjPn>*7X4h=wZD!L8f^KaGw;Dn1;`I-f ztjU91Jqbxbwf5?%pW%y`a=*)=T?W3ZWwH@uhzr!LoP66t^DD~1xBPWt{1%|C{?H?U zV*I>57a}$5#qU2_vITMo4rmPMsqmaBSLY>XAoeI;pEKp`QE&nVO)JKo^;`*>;YRcu z4AfKiJdOYlB7vI4`#L7q2J8pzd#y0uJtcNUMq2cYx+$Tx2HDWXlNr;@?EYJ>{<Ywz zbU0{61U#Z~JyvFKyPQMSf?Ck3P}o6h`bnYkYt}siPdb1{QTk`azL_1VYP+Fw0=zEt zy?=g{-HLhjGP+UhjpmzI-){x2%(yr^;wt-NerSUP)XjhL8Z<Yd2W~_>gI?epAE9b% zVEyRko@0&zXGKgw74V;@pqdr5zLeoCWT|W7mVEj7X;Z&A{H}#eh%C!VyYDZT5Guds z8>kVHpLRcC7kCQ8?qfyOM7tYvjzXF)pjOpwkWYU}A$;n8;zjzJNpceZK{Hj*wKi() zZ=afebNCwsb{wRI1G=iXe1lh2!Z%NZty}k<US*eY4bs%uz7gE2())LGm0gCvMWfi- zX3zo|6YurgY$k`+ZrIfVZl!_e!qii0c~>uCH`@+f`U~>uH^H#4j-@AxD|(xeyxJ-p z=E>i4{}jXvQ_jAreykpGmHq4Xolc<Oecua;(%EzVb%oX%{ANeAs?PRHU+(_m_*n|< z2WS8vt&UW+y-?Q(i7e0p+iBZ3t>O;@#S0U3pHzFG$=~y{|GcixzA1Pd)JlP@LRtU( zg`1~kL`336)AFmn*N>g;4=digcG<*0XEn_TpGmE*W~!<)uCQ`<^Bg;&VJIo8ty6SD z!FlmSJA;E#-90=-8kz?W3F_z=S-rnqd#+MmFP!D!^UAv8#rG1=|2_Qmpu23)&G^aQ z<xA^Bj(dczwJw|UcXo5n$!9;n$J)C_g?=kneYC=I$EvcFlz?sTA1nz82le&9qbQPh zPkF9{RLCM)#wUMh`gs)}S^Zh8#(@D`?WIgQIdjgGmynBBK!xIOCiSI%<v@knFVL3c z*)GrbKVPD=YBBh9*?^Tnk#eU!Blm)K*Fk1&UiTLVnVEyj1W=vyO?}RkSBS&L?W}6l z*Sfu`h2=>}e@o4?rJy1QQfDlh{Jtn?*?wr97m^0rhvWW!5om8FxF<Phf9vE;KQzEa z8fa(c{!^Zjf59`=;2LTD|3jWP_k555=V)+)BgN0FloRB7NLTO0izq*@T6M7gpruB2 zGbUfMISs!b@8s&frB_dUi2>KipaQXW#^g(N;Asc2@#o(^U83_3yh#9D;eEa=|0uN8 z{#2?dXlCc8hC{$oc9Gcq-IF){6oFWgTK<90&+DrIXqf5lK}e~aZlU>g;%>-2XrRq1 z`~^X?PJ=pI)0m1v@BL0W=Q;CV1E^8}6^fhfi-K-B#~lU@48vL-oO7leWd(;IsMWFB z5_}~fqFG>n!1JaJc<CyrFMM0MZ~0Y^tw`HH^&T&|avdB&prMEwbM>W_Q$P(?nDLJ4 z9~v%0&Ts|Qdf=5ikdsg#rTV{8zf+GO)dFa&qh`|NO;$DhNNv8_Ia4659*_razqZi4 z8jFbGGIsfgORk&;kHvs0jq-UOt0z9IK`PbN<sUEc*$<xB19@PxsQS{s+Mp;5a#(k& zdj9?wTWuaZg0z`IgB|)0m#hJueF87lE7a9fKO4ZqKhn=Dv>!b20gHgo7Mfp0!QlsL z*6f=&`O+Pvt840?E%Avj5CX5e1_e-=5adokhg4VqEw|L{Mx3lC|7gjYd5Pe)!I1E& zeG(Vdo5Kel3Iu0?B0sNEX1E9B?;iGCDWAN60Wwxlar||~fi!Td0g^=T9xN{kS_WGy z^@i`>G0)6;(4|(X<&b7U&1uibJzrA5qXD1*It{v(5UJNtYpb4G4Bk8qnws0zUlgQe z*@|3i-<>n13fyV~wTeLlOLq=|m%2cVf090D%1gvCrr#a~XPRD`huEv~9Fz&{;0sfq z#?G07dR+bd$4hd`<{=K&dtMy0N*gk_2yVB^+&$vC@;$iO397X}f^Ov9a2>Rq3o<u4 zIoMM3aydMKev|a``kjm1EZ+ZYiOxT80tb0u&G(C0DW!5qIqbEzh30H&aI+26EUT7R zUs{DYt)!pNb}svx@9?RW8bkG^nV{?kAM2Pr+2}8#)g(V>$~V#U3!n=|p~Ioi(~E<| zK<lp{OOK!O&Y5!67L>vv&8EK+>ZzL_^TJn4y_NIxDpdr<KP-YlR|7(pUV}0)J7~2S z(qNWfT$$Qhw`J+zECNbEZ$K9|bR#VISL^4+uk-H!q?!XQNAbRSUi(Gk)jV+h1s_eB z3#x*PMIrTEu-WG9YVOs)7W}dVAJ|dWzZ+EVTmG^J9i4G95_I|rY-B?c)Qo^s=-|q5 z|AdpzBUNn;Vv&Xz-juI-)%<NgcuWA&)=1v+zA2V>Z^xGdk3g#^K=t{}Gy7!LrG(0_ z$pbZn?w#4E3u$cZn;%-cp%OYK(3$q~>bCa16R+Q3zM2U(4?4!M_&a!$jt;~Fpkbq) z{fAfCWz>NNNuX;_!N;MPgN{RSmtElcS^;vZ>-q)en^*DQ0<BO1t<vy>)cf_Vp|u-+ zK-ZaoMpJa<(?jLg@Y&A3ZovVaJrUn@@3hv-#<NEui&j8$Hk)!m!$D{Vzhv)En)=1z zuRpwf6JN64raf*6|18i_oJRA_`TM{v96!4wtL$dfK^sgUucq0jgvxJ`21gY%bbo%n z2U^8*v=hnFJrh99pf+$b2)5eiZiO|dA;b@j2GEtoHM2r%1NQ!jiP{2Lj{^3oj47zO z$P6*f*Tj4Mw151af3E*$c(GGP9n?&z=SWEvZCn3*&7z5ho?L3r61IAZ`DRR+Q84*Z zSg~w)vHA5c+y33zG($<X8+=1CBX@Vtu@f4alHA%RMHe)b4-0zxY&oW+yjaG|>%xZ5 zwfDZydH=LX+_A#ueet(B`48^c%fyyn+BfyD<on9fU*TIrS7lw<wD`^bH_1M)ZpUjd z1vJD)g?{s2`pER_v{kqCL8qa}KV4!{RrKz;RzrX$=<xB$*NcLdfes8=0X~84y^7Uu z3H8)}yx_WdsaNVp(4iFaplkzf7{u&-Gk1$rr2KgY@S*sb(X(w~I~E{)$sN6ujcUM~ zk3qH4;^&r{U$22yu0V_RfRY+1^`$={EsLO~Mn9$0Q>zdw#M0{@FFC`$9DEDK0#L)_ z-WktG(CMPkqgKK}*N}s@bV4>GodvDdk3^JN7Y|Ncekm(u2V}l?Wssz=1^8+}*f7xl zp2?T~fOm6%JHq;P;`|o2;02tJcE`DgOU~GX_a1}V9Y@P8G*5#UH$sXm*YN)jm#pEp z?^O$H2(Z)&)tbG%IB3;r#5$cF^ClbZ0S^R#jCboV4tn($=^Wh0<^@5ZOOPS`NTZ)B z>Z!kU5su&8y#LvfGymZQuFaQ{s9v3HNR6EfnjZ?EUJ!H*F}?Dv%+Kqq1gO9R*Vyto zlAjw6F9w&#pcdt=gJ|b*SUg{10_nwpI${4NPd2js!2?;Hx?wG-Bm8FVoGCvcEgn#d zW5=|~oAw~huE;%GvSvQGJ^?lP(q8jL^@iL=F45!8c}jxrIfiZ)YM&fre-cvTfsOyM zH2qV<S;%4mP=!%sr=AL0cm$i>`faD4`Y#%s@IVcYc~6(<^xJeJhT(3>-Z|zO3Atei z)M7F(2wDYRCH01H8)))I#^#`>q}-1@aH|wl<z3u3X9_5BK{ubv*SAf+R5Kmc<eMz2 zp86{uIsDgK%nnoj4B1|*6<Wrw|9FYcddT+e8{h-RO4-#@|5|{XJfQQxzTH2`9HtC1 z0h0D_ob;50Y<&kMpNnVbO!;L8l7)?v{eQN^ME|}kcybfuf&9lyeDt9MWnlBax%!>@ z1HTY_EBHW;PjaB4BuJH4YNDRH7o5OAnQOMTrRG&%P<;hy)R(cpf4YPRw4EKY7DcXz zZ>`%aVNm*ng;4wn&rCab-kmI85VQ=moD(|Gu{q0}-=dxu<Udf8M(nnw=4o(?8Iu0r z^4&Y(8F?RkAPA^MP%~+AkZg&b?;6m>(U1TFU7>UX-XeP;<>yt4Xj01m?}Ka~gJj;5 z+V{OzPkgC~>;d~To-^wq8Fb|)>k823EEY(M1H8U@HS_t$jMovXrP9pRm+qPcYE*;s zFMr;{B|MN$7bu0kko5DaJ@ONL1R|_W={aZ0Gspxms7at-7_<zunk)RKYz}z4+;3s^ z)H(<F1ngFc!k}s3+cF`2hF4mCr+%fuQfSV*$4f%|5wpj)WNqHmZjq9l2fyV9w1GSw zRCboJL$+_%pYhCujFf^}l#^?}e`vUT9W+!0S}c_^>E_HiQ+`6ORtAkU?dY7mX%F~- z0ni|Kz54EE|H7ba?J*AU6r^6TiF;KybT!IN&=S<vgW?faSr3EGKY=Wf%&qytv3l2n zd(WNjMd?E)P_E0$gKiOiR)Sc&Ff-=hD!mzXa}lR!)Mb@ztOqTu_z7AG0$R_yY3AK8 z&3cQ(Up750gq-erHQ(9Q`1X@kiJ{_a)<ajCfMznzu3hu0>6IelK$QIx4*mxn!cuef zC}<@MbZyARm1|x#{Q}*j2OC{T-m<=b{U$G~1(8LN)fcJ8zwLf%uilmLYm#?G@7@Em zZ;Ja!gQlm_^OHiw&w!6VfwrfPUIiU&uM0l^9@?~7%^smDyP>WPay$%ZaKX$y#!LSa z>(!HBWv~{N{!0FhURDV|QXv5X8oi0KPYo5nQV+WK_uiR($8LdJadj8t;*!}-K)aM! zp|+mX3oAYNB^YcR)Yi@Uo4u?O{(+AFhh1@Gb9R+pgxt3}q_cDTFYe>=PnlYBph_RU z^yd9B$<@0S{NfA;A7gtLvZ(l=eB@Qu!+-4#AQr*&#+_fKx8gixr3v`zA8yI|rqD}_ zXU&lgMERs0R(i1HF!<gBu{O}X2X2AC<-f%J_+0;7G|v{)LV*pW<fuhhOq}R?Ol4<E z5TBTsWGU!qd(U5+PAuy+x>WLMacTC})jOZfT9+Ku)C#&65Oh)Tq>UR6D7A}BHj;YD z+}*SB#0d@S_piYNDdmDK^WnRB#LwHk)|~ox<~u8^zpHO)hHiavqx;Q%nG~N}*KHzM z7BIZOv}#kmmZ1CBzExHh%FUbpD5$5Fih^=IcsI}2?UtIe<BbDA$CXT-nNoeh{quzx z?yKMzq8pveIOQq%9=t0Al<S|k`FYiXuPp-)q|A3&UjKB-nR%6F;7to5t3sZwf3(B| z)Gt~GIac!#m!H>fU2vubuOL0|8Tl8S>A+>m`uGaIwQgphD{mnk$!{^RZYHFg@#2M_ z->DyoVEv$}l^b)|*MKfjTNlKr6=L@I%icLtZbFVA1NDOcv#Brr2|h6aTxZAsnLYVZ zpFN}#2g>p_pxe*QKy^CQ^k1LnOgYOBpVxYCsd;tb^G^*7P{+Th@H_PbviuP=kYX}t z3aEU7)u?}2)l<JgHspZz-_9?WTkAFp=}guxJ-b7mnd_m$KyUc!`X_JtB?}6AXdnJ{ z^ZDnD=AcW7Abqg6w=FcUf=_UR)Ofo(CmTVQOMoh*$?le#Uj@Z4fMyn8b>8}?OU~GV zhjKtw#vah!jP1xn`}r1{Yis7Pvv5ph0<{S$dDK%)LF-!~ebm>WS-oEH7y@Wy`QA~_ zm2xPnYeCCrdmsncff_aM9xb`@8>tUGN6%7ob3D9<_osf&lxHuv!AFKb!hcUI^6B;9 zF6Lb13Q7Lik{rI<kO~1*V+dPlUVRBE(ZMSs`o0wg9or7C@t(x_d4U=T&=$x1eGi1U zNX^{a32SqFF1FA-D-N0(fmNyhCQQEc4>ENEs_|Z^S01?f5y^se{*RaBNP$xqXhp%j zGmst9&>DO3aSP4I%HJ=6S5$yzxBMP0xsncA(F0pB@<&EJ^&4UZY1`yWHeZz>`+LD2 z&;uPA137~XR3)9>J7)@{O#x|f)S9cOeg?PJK`nx9?lC^AC$2(_!fmmxU{g=60S}{r zwmelxt1qoXp1N6C*Tp_(FStJdY7;-pf4am(9OOPwg}3MX(dm|&Zy_x@aO!WFyvb@0 z`2Jg1lg9talAJPd1cF**-;0A*LGS#7jB(f=@C08)4!LM)^4#ecvX<B(4rup3|9r`r zec<{S)Fk`aZ=rb>=}_gje0_RP8E=P!;}2xM|MMklz9B6b*|D#6@+Qz3Kac}^z)QLo z+xa5x7)tRwRRKQ14P^ewuXCpS;zMro)jwHsW?q>jc$5odLHse#Owj2@F|bjlM?!vH zzfEEB8+8wKN98g2g0<`J?-pM@@k|9Q51!k4vLpoDV1UK%p1G5a>cFR4g2VsBQ=5cZ z8KfM1xx64KO&lD6pfQd=+H<BnO9!QJNc?^*_w)Ka=REvST%BycQ?F1aN7*ejXWxdF zKf9axi-K0khJR=PH~GLzvPyK+Q){$9ZAQ@CneVrPpjXr2Ei(W5Q=TsyZ$fwBfLDk7 zTl06z9=nV<&<2wWXW-ZI$R|ww;!q8p*#QrtXs`a2@Rb315M^gwQ)ummo0Y1N13+eh zHuY3<t^T!OR|B~J4xYx)Px+z>nx3dXgBZ7&ynn+g{#WTW)4&4|(5mpaUWMxFCG2VM zL1(i-j#_<M0Gf*VsRp+6Tkb{hG||yo&<Kn@qL;tT=9N~}M7xN&ARFN08S^%KRV5Td zcd&p4QF7iVgvy81gGOW!yK5fX{LP9>=I@C=2XWn$vv0It*n)-;K;u9U&c3l;30|jh zdHv>9{At;cVGq#mn%V!mLu)VC{AbXOVrQH+Q{42P&VMJdd~m3VAx3U=)+d98fk4N| zAS_*PdjvGrqIey;ln1=2#{#s7#1DKp$(z~h!Im!Wj=akLcs+F313V%29ON{4<k6zb z&-GroE@4kw4-GcZ!s4IpkyqKznu3+VnpE$Py$79m`&Sp@IPjhx+3TOAsuM~<BTXOD zzTLe64&SdU*Su;zD~Isv=KTx4KbilZdEwln0f;ur`+1YKC7-4wHnj?8u3cd0$tm_M zA#q|Lvy_%<%u%7<93!t2E4<|+QVeHhuX|~>>*ceo*H2bOr<hD?@;Vt2kuuTQt&6ko zOQWmX^V+?TH7QLWKHKbn47n*Vt?noz=t7?AkYC|n-BzyhJ-zK_{mmqwRqSy(OaTqX zTUWiA?{zf$nEuLDYc*4RQot9ZznHpn{%QuNr4FFgTz*fM^qdEsRt>)V@Bic%PoyD* z0chpBSL&~7Kd<20*`S+4z#9Y7^B*laV-H?50V;R9&ldzu17~bV!FW~QLi6=<SYLiq z<<rnDQY-yH*WN&<KV+}Wneq_QuJD?ADnlRhV)XnQe&Burs9ODH=jZiV6I3uk>h0a2 zW7)tfGC;+;SpCx_I`MU;poN-{di&zlXiLr4{NPp>XrM#CFld!6s8#|G0?F7M@{~k$ zBNr?0b6!31Be)|6DOEmk`gzsDPkCEr|8$8-J)+VOnY;Iklw5%w^qlh$vGjtVX`nqC z&^FC0HNR88rh_Ye&@jYLYxSj8HAp8aG*7nFJj@L)l0f4evkHTbA&t_!<@?t;IY_=h z7hdM}KU`w698#!5dwDYIsddb-B1>29!4e(NnPkv9b+z+;@6{8(c7h!MYIV#x?zs}Q zrV~E%v7>*oQ4M5;G-yxHQ!BXw&^QTbJqmai>q&M?&98_}4OO=4OLz9e%f$2lj(N_M zFR}%<M?o#tM=XA)HX(v%i#6y@wY}hR3Q(E;LqmOORU@R$1UCNQMC(jb=mmCxD=uww z6E6-rW({goKzgYuCp}j}<~2a3e-XX^m~r-YP$v^sXZ+z%PyLq)u2Vp(80Gv<eG)_- zW;$OSbj|$?eC90I@8J^2f(l65JXq#;>H}nrH7McFd$6R3e^(>&%*XbEpjXD=#0whc zh<~!g1axsFqL(eIp8Ag;Y(J>QEmNnw)@_<Ps3Q%r;415!DPO_i3u;x){yS&NE2Jgh zyPKoSKQ<hO9AgJEe|d4xvFVU=O(F5SbK>M6$dSpQtaX`JeQD*{?}$d<{JoPW8~ukj zYQ&}&2Tl783O-ny%<h<HWd6=haHAAt0jSa2f*b*=bEZ6m91FbA>$cS0^PZCShv9X$ z`ubfn!<4^5E+GIVP}}y&mukS{Xs}U;w{xca%!h}MenHSQ_jk)cldsTmf^~jRm#l$Y z#0?t9I?LzhRf;$TK;Gu4r=;8;X7D%{D2l<IfE-ZnhUDJe&G8SHT-gqudI2>$>d$&+ z{yU2_5|>lKz1Hm+;^5$;u5+e51+Nza7Z3Y(wNKszx_r3h6gUE!zkO)9S_o=1z*=SJ zA1&dL21g($0p&qDK%hh3z~hwa|DP<mvVJdQ9X6=d@g;W7lxN^wQ(*J$b~jBn`fmuz zfZ*b%zc^@GbpB(|F?O&OK@onZewDy2SUP7)mN=wQ10DxzZnw}p3|Z_4D&0zM@MoGD z*>%FRn7`dI&z1YZ>y$ywvX^t`OnHWMp;;Mwsy@GkJ>+2Em7A<B9;S%v=!1qY?w!#~ z1&uC<g;_^lWqth{(wUx>G0kjWWm{-$z}{bFQH#Gp7r)lsJ!lOY)35<ut_xkJ;TE4B zD&8Xw9u0uhgrJ*@LAUK*`48O`b1JRua(~o??h?n(b&$<2ueO6%p?=E@D?Rup3Ni!% zs`I1ofRCRAZS#RQGpv5N?>WX7ArIXm1e%qa4Z36bYB>1HJjm_I$1~#Pw{HXuXh6px zKm!`;{}V&Sd*bWab)%{q%{S}M0G~1EckeXl(nHW*CD;YZt3ms4&Vw&whPE@(>OuGN z{7L;?(Yse+mSz^H&OcfR8f}S0@@euG{grK@ml$8)g>1y}y?JKeRyoivr~jaV3dAT% z#of7Ilwu8PKzHIm4xCxtYj<vyo<uyz88ELJ{p4J|g!z>zWN-x3qVnP2(|!F0<JsA$ zUTu{J9ozfoGs0Hs@{Oywr}2ZX7Rpb%-wQd{&i42!y$Ja-bKR(F&|ns*@mLJHXW42# zc+3mhc>JIXx^?<J$ic8dD$wvt^4;^`YnH)FjN})u_{+Li{Qn<$D=*%9L=$EG^A!;( zJ%Mg+mbJN)T7@MgQ|~Nc;m+P<aB@Yrq^hb<;zU<7N!8RV7udxlW0jQ`r)XtmZ!Il4 zdo`xm>~7TA6dfI-Q;Lh1u)u~<!dad^hhEF`_wdWlWpZIR<1ZJ_oBS{Et-$Z^eX{BQ zp9&;j+Vk+D5(BG+_v%ys*15=BZ4bNpP*QTr&2|gT&-tK5df@dak#|mbX8s3vR5U`; zCOs9dpVfZMW>b|UXs98`VV##+<ov^)H*3Io0+i`*tEw-x0`GhP9r0#A`Na!Czf%>* z&qFtVEH$#6J2@yGu?Y6%bqme2U%_=IXq@A>wtA}Ft)rmj6yRYL{kTJ(nfJkq4L~{a zsk)`+>i38`scT*S^Cda|z-xR#$G*-l<X!8w>N05P8oaN^0#wN(j&)2iS6{jhQKS0H zm+SK1*aPkbf!w}%dqL1MdBh4mk=UB{$(QbdZ3pd8{(sgp()R6h#F4nK!wZAV5XIqJ zaSP3>dZ6+YQe5qtKH11F$sF9E04-H0Vp3mfHyKpgfD811l3#&-UY{XDNTBjicg~cb z_DG`;F*Z-FqI$QOf(krPCrS7IQP0TQK9B+6z*%2^#`7lRiak)^tX)@e;8g|4dRTFu zUJ!H)aq~~KpBJcg0BUZ4m%qLQ9XmU@&Jo_)`&Q(4>JRuNb4YXSBWP-$8C;rzCRX^1 zg2GUCHQXt*UF&AH9NO9|W7mJMBxE{hDHCkl#I3`glJ^nQ28+LaX!z<0st+LM|0;vD zE(NsQLBmY@&U?<he-Kn3!b<b{Ia9vPG>3FDK>?IGXUf$cNQDaa!PnF|Q_eybV1oxa zj(e```=bhKjzS{9YT{%gJMg)*py-|4ZK?S+6l6WDI=Vh*$}`9UTF@BH^7|gEC;nWG z907Z#Prg(K-dF=_FiqQSp}89A+=*Wudu<*(gIvT7>tv%YAe}VX=pJ|}251ngX2Rqk z`C`y1sE8%JUVdJm;U1V(J8!blfADfB$SBjF#>toDs*K?YsM1C~wUiB%^&q2scMoz~ zYR-o5yV)^)a*!=3YrzHyUc89En3Ymv1WQ1^=bkRP0&4Pr*OKk|{*vEP^Xy!3<^!!D z4c-2s;q!CQC=0B`2ij)$7jf=Kk>9B-=(#T7wNkHtTWZc;{0+WB_13&2o-3t5DG(C= z;02`cqG2-goGHIhcHy4iH8o86EaYTlP=o3H<0WT63ziY199Di_zth1f5Y*z6`<X3Q zP^tt907wAMKkIoj27U|X+t4{vvg|>!u;6L8(0rVnzZkqk92CXDmYQFGg8C!SjY)o> zHOrveupq;fH{~rgKObLH30-Wr=lju?$(JB2fI$i9bhm}(YHj41%Xg2L<j8?HE`df7 z<u=a`Q@*N+WPabd$4h#|!2t{^-EN-rTnQ>Jz&(bODwhw}9cDIztndc4$KLeLner2S z2;Mb$j#XdMH(6J(sxO6%0)kug4C<++U*3YsANUgXlXIq=-3hZ`>)t7ogZ_d~sRS1g z{qG(v`EuaL1nY`N@I|OkKpptMRqMbTUSJ!0{wl0q!ai#~bV(~{V~;uf_*wYI9&vvQ z2mMRzZ@uBGZR2upZdk=1HXU@@2ISEEf30iQr%nBG;2CHD2D;WZM;>&*$N7J{;2{WD zOXEK4`qZgk4*d8F9UuX%McH?1mEDT)n1#&;X5ZZI3f}Fqygo5h-lYG(?0WG1H=xxL zX4QtE+jPRfUVtvqII122S`7-?JOph~P5T{rm3=knj9SK7a62uI%PkR~D0gEHVhyVH ziyF{~Mk-`P13HYdI2$ygVGmu=3LeqeSpG?>dO@9HIDBDo{E06*VV?XZ@<<~ZH@8P# zW&a!vzQG4>YxaN8VwB%kc4u4!pHKrHM-c%Xj$#9`6*`XcnsxQBgw4<+V?k?Cwte5Q zihtGN`t#W`u*2=RCHFRf&PFkZx(&42_K7lRv}HEhFbZff^MxE}T!D_2wfmV@HPLRy ze$cv}3gh2-Z@{PKKbi{~rrHdR1rzV}Y5$w=Ew2A7Z+0$W8UqvvK<Ns`w-*fg4k&Q2 z1}s|k^`H8uj8_gx?$-8VhuEiye_UJE^S8I+T1?s1m>%V|s+VWq{&SI2K*6Dbfsu)Y zgAk*!o=KSTGUMM0#<#QlPfQ6FX^%gz*~}%gq1Evahp3oDaHFH1jEBdHjHV`@o|CQJ zEmB$rD~__T?bJ|PxQIzQD{;aF2Ubqe-~?`QGZmF7PiI8UUuqh~#`)LsyV>(Q-;Y0h z6J4X!(SN>L&i1?ByF(YN-SyTzaZf)x_2u+ecP6cpI(swgr|{2QSH7P8|K4kxTCjXC z4n29ktMf``d`PJG<%mR=$*T9C?QiJ&l(0*Rm(Q_t=HiWa7TvsSajH4XT1tkg_vC&@ z+1{n~;)TiPeM;=JeqVebFlC12SBc=)CVih4?EPaMVbXpe$>-ITq)jK!t+hDS{LVR} zfWzy|93k)J*Q)v4D=*ZG^YS$wOIc?ncG^7UgJ_=;dt3d&gNho4#U|6DO?Lg#nA5@j z*!sc)feat9WqrpkrK-%cX<K}v@#SiWK~+7OX2$~MLbx*uICPFJ@zYhCouf1Rk(6x4 zI@f0BfTU+rBCNJvOOtu(Sj`T0aZIVsw0w`}vNEoGCHq~#N-}h|ulTOs_i4d>8@BFe z2%F^Y^esNo_)r;c(-)z>PYHhnrDT}84Oa$tpHx#nUO0vQviJVurfmz3M9dZPUT(Hq z(7iGs*Qwcgf#J;6eMdj>W_~FYvAl4nnXP@nkuw(~@&aEg^nF@TD+l&>;;RguShq*# zLXSUmInnqo7iQJU?*@IJ7W`L2Sj8^?NQ1x49TLK-)BJL`d|_F4vGJpni3N+)<eje~ zbnZ_$x!0mgW`<oW%&LoTd}W?GmNFn&RcSu&Xy6lv;-j7sCLjl`JpSbF4mtPA3-`L% z+7Bds%9~Rk(tT3R`ut-J{$1}EgJZ%=XKvJcX_=>v^Xm?nwmBplh0At7l{VVJ=RT47 zFrSo6laR4{@=n*qZ!JzWf6N2Br*-AxjU|##@9vOypU8aqGSpi>_j*s)w+Me+5Y>)s znRIl)6!x=oA&NjLL+YUh|E>0mph$nVDtTj#vsL|LjiLqBXPI<nf#MVtVdbC*`)>h` z(?eG-M(pbOlvl$Ga*;nIjK0p;BQ0Jqh5d2r@`7H)4KwygKbOCnwCUu@D4C}RON_zJ zUVh}#%Clt;H27ET%_!h7Q7gW>F=C%$ud_v$Oh%joJaKG&rEVd}e@hzdB1kfvQvP_c zMVHKreLdj71_k2}!@f@o>X~@?8jn7?Yw>@D*koTmz2B18UmW<LW@5pjn&#KJG*~+K zP@r7TZzS6$+kzrZ4xSV<%H-TD1J>H`A|=Hs<&W89T=`74L$byz!%FjahXUocd|!AF z$!F(8+$%5aeZsTOkVW;_D^S9}$7^w_`Cl!PZOzLIMJx?!xZ%0^BBRXHgFkdo!ZGF} z_Z$vOgV=t!-*$+)R|f2DK#4W^2O9jd+%JH$yy`T+wMX}ZvR!;XTRSX?T-wR*K9TvZ zIwV4*PV2tf+ql@~MSUMg$tHtRlWBU3_eh_Apiz`iag;~wEGXN9{I>3Jpj^-P1;~Co z=?99n7O;afH<n~=K5TKS`IS64u|X35v90N#JoGFUoQ`CApNegrcOX!1OT0TMFGkL= zlJcG&c&~T<Lr_ZM0{cI>|K#qCg?!gvIQ$ngv0xFKT>kja;wT?8%L)PaN`o3Ph@zyI zSKO)#r?5W;m0hqjGMO6`YwLdTLR<|htZsKKwpmdH4r}gU_vDvGr*?l71;v`ZBRC~p zN&b{))4A9tBmSTDIzxnQH+M?9S6--PfZJAe{(%Pnul&Ua6)(&<6M8)9XB*GH1A$Kt zeBcKsqrPKB-EP|F4|i4=+-pDy$?Xp{iV}7~;uhq$LixT=3#{6ZW9{kwJv#p)?i_f; QCT9NO|IGvY_2Z5F0mk!8`2YX_ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.log b/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..3a14ee6 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1 @@ +Time resolution is 1 ps diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.sh b/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..585a6d4 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 12 11:39:13 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log" +xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log + diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xelab.pb b/LOTO/LOTO.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..e96f1a9e120e79894d59ae04c782374cc444d5fb GIT binary patch literal 3218 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJFl0CizlcwFE2AM-3sPuV`F1v$KGJ%y2_}ZUr?f-nVYT; z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|( zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEKtzZ zEzV0UD9*?)QOL<J$&W8dicc(xPfkmZPfE>5EJM?(hpI+FHzz+`0pynaqQsI^JrEBP zVJ0S)$RXmyB_3LwnU}7hTC7l<lUSCjP?AxUnwSDf5XR=_$YI0G#b^OF#nKqn6q(?X z#G(?ALB%DBC7H=^yEF6iz-i0a#0beKs41ov$fh`R$vWrf7UZOsq^2m~FviFNIf+7y zF}5^AHpZ7r9pM;A!p+RjQ%FfHNmNM7$uCz(%uCFvEY5^_(ZmpoyHFCfAD0G_y9k<S zWNwLJqOqkZvJ->2R6{azQ$a~RKc}<=<h-=}A_ZvH193CqnbO$A1j#rfRxW14f?|lr zP0S3CP4(vD1-TQHtw8Y!33dx)#~6umi4-IzXD6noDioKb=oObF=A|SSr9g}`H9&Es zCt>46xP*|6D@m;=$;`)USP)^uWVxhahGnLvrs@@!q{Qdsr)MU|8ycFKU^UgBu&Gj9 z5-6tTmFA`vWhTcLmtgmGGb3R${kVJ*i;^=kOHz|dN{dnz65|s~OY(CQOHvi`(-czk zN-|3-(eo!<MKp{B%lNTaz1BvAI|8}<(cDp#nx0u)Qj}VZ+aU-g(Qqbyr%WZnDG6M0 zXiiDa&n+lPEiH;KOU%hk!R;P2b<wDN{4VPz!ewDxAt)|`o1aM7O@{F%mI`nefq3}c zQ%yMSxN*6lI0wYR?U0<*l=y=3T!m;T1HbjPgsu1Bas%0jlyplni$KKz&V&n<kA~3r z9WaTA_=x3-MsY!IeoARhzF9mG(Gd?-i-;1K2!3bHCc;^1Tq!8d0#~w``9+C2xML?J zvn;b1l*H4DQVSKLV^H`SdGTq9#U-`|I(hNMIr-(b#(H{s*z-DB@s?X!VHR(Q+i_6& zXb6ozfTj}>KuKH)C;?Pbl$ckXoSzb(nOB0_6);t)rA6k&@hS183egxs_}$h(gxkEi zJW<>RNhc=w(iBvQLNt_t-w{njIKr398^sYI4(@;fwbvA)V?Y#UO3{qLo=^%2XK))X zE0D#A3|@ra4e=#O3eg}}#Fr#tw=|kd)~Pfzrv$lq0&kjv8~aksM&=gCjTA915vY+U eZB|G{XKZPL+-l+D;&RPPQ3y&+&M!(4U<3fGspaed literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..5ae6a8b --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..ed748663423f2faf9d2b4e00dcdcfb01700a6ab3 GIT binary patch literal 9760 zcmb<-^>JfjWMqH=Mg}_u1P><4z_36W!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_6QmdzCO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna zk2yIp@NaW+@aSbZz`xDQ(Sz}4R}14qDF%iPC#S;`CSaF0lEyFJj3n;}HovRI;tE*M z@i4?=P%;!A-~k?;m%FnPTn*p0zIEjHc-#3N>_^AWV;)eZXXkOlZyue;Jvv{3rMj~d zTHiYE0f#xi=hOdZeLA0mB|JKv3p_fH`*i;E>3jqh>CCP;?py;_*}1rZfq~(8a|;6l z!~g&P{~v4a0Eu@yC-i#!Z$03`@A;y$y9caf0!V^^fx)G7^%Stc45%KDZs!V*&gKOS z3=AIK%^>qUI-6H8Ffeq3#X6hkfY{w@7#JA9k=wn5fq}vD1b_ceaB{E(nF|R3kM8V% z?%g2kx;KNY>z)j<qq`eq0NCXaX6Fg*W{@KO_01r8{%tO-3@ra_Sj+SIw;k|IW@-0f z{PF*RM|XBXcXdT~aZUGRkoO=4fE}alT*1E{?B`=HtPD)A-67UbAX*FaYY~tZNN6#F zm|)xuHoY6_!4jG7$skWNUVsDvEYb1ziTwZn-={abqG2~UwE0`+F)%QAcDpiocKdR8 zbT)4R1!ZS9C^EajoX+MA;4nM@55pY{3=FT8!L}lZ?#UnpAp1bdVfNKKqx-A78zc`6 z5D*g)ApG0BGdg@&8M>i1g6y$8QM%NpySf13LT3k$PHzv7<k^tez7ICaqw^>voq2TL z0t>iweuvVql=#A<`3MI*zr~`aeo+2$WCZ2Et`>{CIP*uaN8=k%w0m@3_U!!U(_7_W z_}1{-E>OAV)A=84p$%hcut#T%N`ObVb3nIuL>CK3M~ez5MS1jws08>x-DSgEss&QU zVfYQEvx}j_Ma2W8iUY2S$$@{$(br6dZ#!RjG#&w29StfiVh>M1<X4ZzHx-Nw3=lVU zXC?Hy{_ku8r8-0oZUV*i@g`8h1*Q1TRUr2qZvy2dP|oXY0-1cg36$0$@}LZLya|+9 z!19n1pwmT#1yKYXK`8=Ux_5)DbnJC!bZz~=6J!d%=LyH=|NmV0{a<wQsCXp5gz1H5 zQE-GkgOwp3z2Jo5(zzRyD2{`Z9>^4z&efoFaJ(6mB0-Mn0%!A%W>9iF-h2Y229!R* zS*o-747hl>!oUEoY?^=k^XT+Z;o$Fk%EZ9X>%rLBeF3cC2vl3^0sfAAOrV+}MMc1; zH%BD`mZCwC69FOw__u-W>Tm(YDX5SD1!nhVP+)dX1_fbvH^?|}>~}YVnA+fyjDLMI zNETc~bhxMl`gDGWm4Y2DDxeVe=+4gQ-VI`PZw7^Z_he9rV=)e-gnxZANETvTi^@z; z0R}P=QUp789{1>84KDe>0oc8H3n)}3gF+39fuJzvUk?sbmyQ}%29QS~zV+ywqhi3w zzyR}gi%J0~ae+%may;ImQpm`_;M4itqjw6}U~o8r1G96E3W$TSNdsaN*<qrIunA-^ z2!rwzwvg|fqXJ6fkWwF?zrb+|D)m8%P@=R&<pBez+QAlwV5?z?0ZZ&Xgv1^wOhC>6 zEAPOFR7fI+7}MPiO4^nuN{qTEgOm4#PH<X-m9+eQUVs1pe=Tyn8I;07$@@519>ntL z-V90^9^F1F93I__9^IZC9-Z)V6qLO@I#J8fZ?JN-yGDft?7D6naAYxffJ-7!frDC> zdURJgcyt#<bUOufdu2H80F{ZIhhBoL2WKfnc@^N=dEBw{oJZ$pkIui2oo9V}m)J6J zfzrrxm(D|A%UTbVq<i%46%b=!0P{V1_x=z<uoE~z#hs0cN9R44&QC6#KOu@b4}13R z(Ukxj^~|U97eurhU7b(obC1q@aQVig5aZs%O+&3Hpk9EuKLk_*B!H4>=V#B(f1dj# z85kHi85kH4#kfbeb4Is!L4yqkb7`>W|KkW5$F8;)psJ<$5F-PFBmefcXVMG|X`Rgn zK<4%C{sBr&jfYn-GBE672C+Nuc{CmYSq2K}ILG){uv0vH>zNF{LHyQRtzr1h@RH$4 zFt_CZf6FpP1_saGdS;jkNIR&z8x;QyHXKa+tt}80EKn7`y~`d5fZ9tOAXhLqgUU^h z&K4C=!Rm3mMFo_am_V-C3vv^v0QTryqjCe(Xy|QwDGiDUXhr~~BL=Y2&K7W5@z?>< z0!j!V13!Qa>7Aki%17X$@EO$6C0?G*$5~N==^aWiy&)7#V1r(+2Dz#EI6K0m?q(EE zxu|4#9CuMEfVeS7rQ&#t3aAkQc42RbiUg9gJUfrMbl0dPc=VR2M1XX0z;p?C^wy|w zfa`p)y^j3b*`Sf=*yYC50ghb{tkDTp?*eTopv5hEdn6c?KlZD^lNVU8M>jZry1POC z;@>X9k=8jmgNcEm%Y}ofqdAL-fx)A9Hz>wD8V?6BA<|JaIOTxzA&hVg3-)M!<KY2L z#~%B27#P5D2Tc_o-Qb$F8(dw2nlLUZ4j!G4VC|BQ<{6-(ws$kA68303+`!1dV0dXK zC?FkoL`Z}3@^_EUdtf*30`Yf(2v8-^dC#Nyh)1+z9J-4@-4BSLby1yitl5Eyfq}`V zw^+lccee%;+$LAU1K<ez2et;Hj2Z5VA6j5n_;eymGr^@j3b9BdbXPoJ1l3u%bX(Xl zL8ZaXF?7Fx!WiKnJ#_!PfchuFr+4=S-2MS6L%8Tg1Db<D(g^>|FhO%LNE)Hr!h#v< zU}#{0q!GF+uE3-b{sEQTc(4dmj<G6;fw4k>QJROHV*(=sg9QTvgA7y-l;s(m_ynBz zB%JsZocJ^x`3#!bdRTi|`k4EfnC|gufQ2Q%N;nu8JQx@lBA|Ldg7TXql3pi114lj! zM?QyU_8zug);^Yg<~Ang+e{!a11BV-9QimHAnpLQmq5mW`u+@XvmE&}-1!bLHbaeI zss_1B0qjNrh+dF8Ky^DvZ!Zf2141v@9*{fS`8F`4IHZsTyF&yR7#MUw8H0g=K^kNr zs2j_`z~BHC+XoVZyA5tWnm?JAU^5*QRvl1t64ArUk<Y-LZvrzYydh!5lnV+$M36i3 zak%nLU}iqgh#}6vz#zfE!0-fWb}-b9%}h+bpuklD@j>=6Ffg=&*iL)`j(id>d<o6W zeN0Scd=g*}acD3wFn}^FNXbDE0gh-1M?QsSND9~tN*Dr8ATvP)PzcmaLTW)q;lgZ; z3=Av`AOB;MX9gE>m@>=^ph!j)=VxYM5Mp3rn7{=Q2Zt{M1H%I*h&Tf;L>wG83=9nW zq2dWpad5aWFfh!6ihqELgZ;+9z)%YnKfnhu2PPf}6<1(|h=bD~0|SFKRD1)dwSknD zDxvO#sAgaQ=Q#!j1_P)#j4uaOAO#f{fW|FM{3q0WP}?7*6efNODjon;FAS1oU|?7Y z6$kYWKtkaB%D}+T0TYJ?DNKDjRD1$d9GoW^7#RLAFff4f2*?a%d>V)NJVxy5`EZDP z;1K_TLp%eAy@zm!Pr+dhD7dis>j)0@+Bn2P%|UGDfcgN~#A|SvQ;Wm>{y4<v;t+p~ z!+bYp?C}DMe{Amjio<*!9O9rd8Jjt;q3P-ZG+%(rQw9bGduU<;B{v07Is-Lb|NsBL z5{Gy%D8d*(Wd{QT$jyJC>Ol=1kQR_Rpn44^4vH6;xE7N62a(JHmG?09myyIZk<_O_ z%RCSTYA(an_kskV?m>3{IUM4QAkA3R-^U@Y1@aJ7y(p4<tdYc#-RX=ZE`_At8;AH7 zBylAq^|z741(C$xA&G<P7g#tjLd!vrG_v}BByr^UnvEom9AB%E#F69cI+8eYe7!*u zM-GQgY@k?&q!Z+LxrrnWD$?QZXUDEy8%Z2leGrm3viYlVh~GjI2jv}@y`rE-5Hx;~ z&2d2zM^+z<Bo3;oVCFO<i6fh{ABXrmBynW(!M$Xtdyvg9g63OL{355rW+ZXs@b5tq zM^1-RaEKpA5(m|3Fn|3-5(l+YVB(z6`X1ySQ0E>dE{a234@q1RDLuO&i6gr|3`txJ zNqq{EII{XKBynW(w<3wlBAIg@hqx#=A{~Nyl`wxPAc-TJqmLx6ie$bMk~p&Z6ddAD zki^B2%=v~Sj%<!DDA7RE39>sKki?PIS0jmo+N>~tEkzQSN3!=Sk~p&Ycag+FeH)lL zf}q3-bq}(={z&4;=2RhxBdec@B+ifIo})<O>PX@bk;Fk$q%ilef(i>P?$JRKM>fYB zhj<na@lG7#YjKEQMG{B$?;j*_WcNseJP&n0vbYrv@kkuvwK&8fsT?wx0jetZf(WR6 zAUO~hguj3Y1_lObaRu%ffP^%l^(8bpz{Pc-;;=RdNG%AT1rZDk44^cFY(5KAJ*dn? z76-9GI0i&OM+QJfz}nHEfjW>BNDPEw?d2yRK_vCC{sFAL4pIxku>OGyw7mfm17TSE zs0U3P*1vgyCJyW0uz)lp*$eC6=%9(i`Zp11;;{Y=LuPhnd~rc(aYhwGZfb6FK_ytE zEF&c+zBoA}HKjBsHNK=MF|Rl=xg;|`FTOZ4JufjQzBnhpoI$TBH78N8B(<Uhq_;S= z1fe%GFSV#7GchN=C^aiJ86p8Uub?PDIkmVLp*poJHLnC_bU|WKVs2_lY7s&{C$YFB zzAQ1PG!?s|;)47Fu<8^T1MGq1jMU`p_@czT^wjwLwD`=tl+=p&`~r|;lXD>&5_2-s z^B79<3vzPGav?@k<|XE4CZl*7WExl!#E4HUDoU)3PtMORNGwW?Pc1A>%mGVgrlzLG z=auHB7G);K7nh{Om*#;&D>VhGMlUbFBvsGdFI2a<Br!P~tOsl$#QVvKIXOu%ad4D? zRiuC-2h0MiMYX&*vnmxVS6q@?5}%)!lbM$ajh57m_%u*Jf~CP)i!zHd^U~u}Q_>-N zaJx4zu_Qh*C51t+xTL5gF^NI1xH1<^gCo2sKP9mwkpZl|Al@X=AT2F5*)qPkG%4N^ ztEdG`)H2aLHO(+N39QzfA+;hkxwIrT-pCxQ0yBgHGpq_s5eiJPDlkDPFkwJW#l~2b z8zYosS73xyn;{~I;Yl3XGEgE&%!y9}CF0`L5>Ol)U^U19T9{Bk$uTi7K#MpOQv{#| zUO$>7w0ME5b%IXOLYvKSamX4V28Kpx`3{qSmAf!LXz2#1jRxbx=mt=uih+UQ2ee%b zYUY88Bv?5QY9oNu8Z$wrh(U57J`5j$+6z+$6Gyj8j|tKTfXTz?3Q!}Hfq?-u!wwPy z*$>i-ZhsCV#D0((WPAr|Ke8BzjUIkCA^O3S86bHWegM_qfaWon{V;V`z~f{v9)jio pHI_j2BGf{V`$0ho(+>+5HP9e7LK~cwAOvA5fIJP2V-OQvKLAYy0<{1D literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..ffee61c --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,113 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_25(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[7] = {(funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_23, (funcp)execute_24, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3}; +const int NumRelocateId= 7; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 7); + iki_vhdl_file_variable_register(dp + 3016); + iki_vhdl_file_variable_register(dp + 3072); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..5b05482f2aa378ffba9085ddeca40bd34a4d53d7 GIT binary patch literal 4496 zcmb<-^>JfjWMqH=Mg}_u1P><4z#zbjU^{@B4h%vJf()Pe<$eWwbpGDS&cMLn(Jcy+ z^5``MQ7=G*NAK<v+zbpbHUkfo9eo(2)1&zf2a4+EBOF*Ic7V)+7y?rg8Vu6i`P-xO z>rMs+hK5}rB~ZgWdTl{Gh=$I0o%bOuWKkqG!tl`GEQSC_kZ}x-U{Q~5u)kp*>jrxa z#q{PQ3j0$SpuPg@f!Ni2gd;lkFhfOgX0BdJW|4k!er`cYYH3k?ZhlH>PQF=uNm6`b zQF2Cna$0(PQffwGnLb!;QEE<pG6U)QipxqW3sOnfmt0g<oKl)w017V#GVIMw&1J|e z&dkltWymdNU;rfps8N(r?#|9u3L2qFrFkW#3Wmmd#(D<2W~E@RQJs;Ao`H!bR2Xaz z3j+g#00RR9D+2?AyI&{+69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{z zS%GL*K7nSY5<U(Fh#m`&7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4z zfng<xcI6XjV{+t^Xl8cdQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWA`8RE z|JY=ivE)=PutHEMfEjRtAIxF{lgI=lT-Y$;4eVbAR<NIt)ysi7tPHU90_MWPfq|7_ z155x)doeID2qC!>9L5X`3>wh*f+~Ykb_@&*f()S0hX{ef6vT4Fp*|RgcsbNwn0*lc zFfbJ3P~Qku4>AvAA2?na7#O;6s9%Re{0P*XGLRv#bilyCa1w|5yEw#|7$NByY7CrG z#3Am4Lp%zHcn%KnW*p+v8A0)a$laj41#;9<9O^gV5Z{eM{1^`Li#WvZ;1K_bLmXT% z#vAG-GwAs^8-NHy5Mcx&j6sA6h%jY{clYska`cJ!cXJDN4T%qNbn<bHXGkl}OAbj) zV#v(Sj0YFci6yCEPFY4uPJCKsPHKEvVo_#dQch}oQEGZ-aY<?sSiU$luQ;<LvnrLL zI5W322d1q!IU_ZtG$%E_peR2%wYWI7I6ko?z9cg@HNGmfC?BjfwIVgSv;;{BLvCVb z9#|?PHL)N*GcU6wGcgBbHpp4UsU`8C3M3`7C_X1YIk6-&KQBHrExsr<H?g1~H3h5@ zq^P(o9#m66TwRb@k`bSmm<#a@NE%ch#DgjX3<brRxlToyDe0;4>8T~*DM{f)nJ{lA z7o{eaq{gSD7H6hIoCq?iC^^2QC^Io9J~_WMuLR-%Xehvx`jzGefhxSjl2p$W2AG$P zOc6{o1k)VBG)6E@7{HNGQk0li42q}t)UwpPlH|mkoTS9$YzDA+Vlp^5j1hTCi-CcG zn}LDh&wmII2PI|(22j1h0ID-!;;^~_l-_xe)Pu?|kQR_QsEGhmZvqlzU|>KOcZG^G zF)*N*4=Nu)QXuu9G8$%197qt0dy=8zAoYSs?#V?G7eW%B1QiF_3(^BKe<qSRsJ4KK z&x4AC)Pm{;nD`1LaZn=<CcYjj4l*B9yTHV^K*d4kh#|RWH&h&?9#opZ)E|I~gVclK z0w#V6NgPyH!^9s!#X<21s`p{yFOkIgknH_{B+iK>{v9d~au2B1ftm9MDh_fFsP2G? zbAc*kX#7ecnInQEE{!A(H3nP*%ECAd4DcEbqzzK1LL@<c0kJ^h@*oBjgZvI+g2WX- z3@C=NLE?%~F%ShypCEB1kN^}LKxvRTtd0W-!OQ`L0|<k}K-dOEK+_>e99Ex(pozok z%^WmwSUt#~S6rD}l9<GxS6osAp)+8tqSTy3y^_?55(d4L#F9h?y`<t|2EC$u5C^2( zP|pIDYY5{q=z;Zu6&9uDFz6-c=jNv7l`!b#<(H)Dx%-9c7MFlZ0SG@muT-y~D8C@J zsH76AH8mqXtq4^9LETL$1qw${*n;u`vRRNm69a=hND@f`jmrQ^JD@ZNQx8-60hBly z7#Ipbk-)&f04gg$dZEJLb}UG(8Uq73t%1rg5Fdu)L5g7<BpO{<2bwm?)o%#3-w4SL z5HkU4(Nhoy3B%kEm1Y3>8^lJ}?+W!dq<xDp0HhYggVFv_`=QD~QeYea6##`TSO7|( z^JAd;(fywQ)emcrLbWr1;v2>W(c#dt0pt%5ABGb^l??*}1FRec#UIG;APiCi%in2G z`(gPMBnQGXp!S2}2gHYAn7?6kK2$$U9!4L5>WA@RG)y0iU(W#P!=Wob0Tn>^FU<Wg z{nMfLLzTmX8D2mI7QjUy40QdV`U(^$=;6l!s(cw37!n}b!08`U@51!M!Vlyhs8vvv z5UK+jp$SkLRL+9x0d)N!F{l!VMkx6LnxWaz3<uc<RRX3!buu>n8Bq5x1gk<2==uRb C6Tt@n literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..e884e264b83902646086d892b643149cc56f0887 GIT binary patch literal 3464 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk>h$T$BFg|Ns9x7#J9CFfuSq;AUX>!NkDO!NS0B z0Sk6uWnh@W%D}LJ3xXNA85jb%85kO%n1g|V0fadj7#Kj9nE?}IF)%O$GcYg+vqI#! z7#J8pm>a@nU}RumU}S_~1~89-;s5{t|3T7VIR*qDBnHAzqd-gs76t|eCNK*^OM=W} zU|^7g(jY02JR1W8T&*fpk1diKkUEgPAPiEk!@$4*@{b!HHM&qU{qd;LV_;waxi<o8 zC&(TU2H69`pl}msU|;~bFA<L#H3kL-c4%0D^a+CuVPIg`@b*v`lnJLm_JP6yWHu;# z_@U;?KxvSi5(5K+F*M#l>L0v06b4c+2o(dl2V@Tj^FmE7czY-e7B(PpkT8e_VVD{N zs2Wh%fW+~s0fh}dH6ZtZ!iJC<P}soKfb0WdkUNpn07wj^h7TGa3^7hlpzs8VgVf4n zi9=+y0w9IZ@Kb=M4N&?3rBRR+T<z=_Cy-enF_5{61k44w9i$fIS5RJpnFkVsxfd)J z<KzTNlOS=BS`Y?{g9M=I0^|m;Sd5dC5mX$c4wT=(;!rVkbFHA_Ahn=;3Gx>RgY<wf z$Xt+L7@A|8Jdo6a(jZ73D2;*Cfz*K1g3=R1V~i6>DM$=N!!XEhkRDRS<4f`j7?SgI z3rbQ;i{f+hQ%ZC4&EiXv7!r$;GZ<V#Log&6V2TnMax(K$<Ks<CU<^|j!wkwWGKMmY zEuan!c8)J9E@23Ej!(+TPtFE0obyZbN>Yn_^Ha<if`j6nbFvvc<CDQ8NU0}OrGGrS zLWn+y!TK5bxv9naiAKgLsX2+I`o69%&i;P-B?Y=U`6c<fsU@W;nTdHNx_L&%N%^J8 z8T!RV$@*CQtyh+j!l0jDP@<oio30<0S(ccRZ)9L(qGt%@=tFrai6x2pWf>_sV3UeV zQsRva3@r4EOA_-^5{ptG<`fj=XX#fYm1gFo6zf}BT3YHE#C!UM#2c6xn8q7em>B41 z<`tJD=HwLXC+6hD7vv<Cq~#ao7Q^g<XwfIiF7n-6l3G!cnNJTFBilr>doxp0Q(=Le z5}%Wwo|znPXlP~va(E7dK25@!fSpwHgKkoOO65R!1leK=e3Mt2n_85a9A8`lPWiNt z4onNF<9g)qpmB;q@&dB0)F{Y6DG5?8g6aii3=w5un99Jw;LE_k;0|pgGBPnRY-D6$ zIK{-kP|C!>FoBtY!I_zXA((}MfsuuQ;UpA;%mSGKqd{y?y#wPDOM~=)>Sa*f3u@DV z+AN^<lN193gERvJ1E@_U3vF+L+6A!s9AqCd=72Uf1fVpizC@NsX4e!Wcmg2H85kHO zpftKZWInP!2~cIuz`&pYrIFQvOh>MNk@bNa=b&~ysL_Ddb^+-Fg$IZRVSM_K%?GIk zwGlux2qWu54;OTO;I;%v69WSSvOe^1LDvUrze2U4=tB<|bbZk10c%CJ&j8dgVqjpf NfYRvtpwWY&4*>4E1y29~ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..4305e69ac72988a32fb9da57e4a98df3bf6e76b7 GIT binary patch literal 2748 zcmZQT12y6hffy(~K?=ejB}YSGGz3ONU^E1169RMo|NjrdFw;3;3@9z2&cMLV0Ks4W z|Njpa9`w`~X^0bjSRix-<JIu9`IjRE>dR(Lk+INO<KUt2lj%~I@112!AF*|aH6CHQ zw2k{w($0;ZI+le`ELw{gIXi{J`8;F<%#XAxyPx~bzUGIp#i4guM{~>T&gVZ8S5KV$ z#W{7=$1{7(jq}s)xm!7%Vf&xOz%MYfslMKY!?`@St1@=Z6m#{^IYImz8M}jTJ-s?n zoB7!RW7hwBuPmJ6pW`LD)2QH|k71sy=%NL`P1yU}?Y4^lSr}V%%ChOuQon=!H{Lg9 z8)Ql_Z@tu0A(Jg=^JrzrnH0JCQ$PKkG5c!crr<))CPf3EgY{NsC3@dpom$<&c5+AY z$4N=qTh{*OU^9(ZblG!hS90Z~OYBvS)*gJq{ZX+BZ(g&mZ8*Yc;Tg1V*^000U#~v5 zReHPGHTEsW4@1uNeP=H-H^0`-W4TW1=EL6IP8L>r&W#2>hgA$(5=9t}%xK-2lo@B_ z%5-u{*U~97^~DU2KHJjJWEA-KLb}l^&LvBE6s}EfSn4q)ds(pX%N4AhDcMVsXZ5^Q zUb5yy@vNyaUTU5FW~PnjT(Y%PL!~3lr^Yp2Qakg?tn(a8_Mw#}HfyxQryZ5GH8)Sw zyFDdwcds>fcX@vN{-~47+P*o=mO6il)#PX3>CA`nY;Vgu{bK(;d&0vvK|`Kv7t0Rz zr<o4|cIJq=NuRk~(7D`YXU3H05mpyOZZEq2Sd>lV!Ca>9a^c^59dFbx@7OO>y6y3T zi#}`2!*UZJ?kUa9&0U)n%jN9NSHAYf`(GcYy$?-Ydwvq{g!}T#-Wcmo&RWK!t#RRP z$YQqFrv+a$oqYX4^qqG7XWL0}KWe8j)yAYbyexnGnExfi_2(>|6_)P>vraGZVt>$m zgJH+1m~2afx9z{!Wb4!z_sI(#`Y!kM+{4RUk}uYuZLgm&_1E8aFFn6K%>|!Y1j>F( zF28rU=I`g*g<+v{&UYNjvwwW$UQyxm^%n~t?K$2X_j*g$=bejOT6Vn8^Zq4U|6{@5 z`?e=v*ZwOsc==f6QT-h8mKCq#-YfsHS96Jxk>2>|?)~Q9Gx|UCyi_=My`|#*cVoXR zGai1Pu5)g?$&aZYlvqDc`M`f@^OP&hhweM>c3l06bz^LQ)Z8QQkNRnUs!H7QNM&}P z^!3?(f8!3{{4)F9G~Lq4Z~OFjb>9AV=Z0|Se+5pZNw(@eQjT{cV`3u3-o~Bo_gs+p zP$kg4r$Fz}g16S^J{cK5pAjjz`ij(&%Ue~C>dX}{GtT-MdwZ>JW!;N!Z{n|o>EGXz zs6N+ALN)NHe^uOrZIiurZ`&gI^_A~#>Fe%GL+@r)9qO~{l-(lrE&t%O*RESzZxxjW za&DVsV_ujW#J5!aNk@F@?8*06&fijIT*X;)|9QceTZdyUoXZ~n2{lvBuYT^gRe0m- zb6-A`J+3%$_d&Ibc?6@B$(Ov0)D&&i-E%a5x$esRq<M31nt=E`fmpc>_xHcqa`|%5 kGrg(1*XC$xpRSNiy_$bwMGfmOW8aU$t^ed31?@fn0EnJBvj6}9 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..a6252acea219c274f4b536412d808147239c0c98 GIT binary patch literal 279 zcmd;QWB`K-#=VoZOAa{*xE}t0nTt<XzO$#tB}{3ehl)_Ai&D6of|4=_Oq@FPgon!H zbFv4MERUSoZ9V5q_2z|tIR4G=lB&^a4?BKp_quBw@4ipj{z2~E!NvQ&CI4Vh|4_5w zFVnq4sxmxV<?AweE%sN`{HS|#Uj5X!eXKd}qYqC@XO5mHoKq>2Q^|GX)07QQcOK&V zFDkR~{`{4{R)47dYLRxn@3XMXB>Tkk*UInN9=Ug~|K2?A8r}3)%FSoZZ`Wv9+6BE7 zIkTqp<esSXGpkB_&biJ%+x&v1R`BofH^08WuT%Qnm-0b>m*TGzdre%|?`WR(g6po> k+(|o?Z%u6fdg5gE{5d<7UvbrQ&ddK+pZG>riqrTe0R9(@Q2+n{ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000..e95bdd7 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 1617504403332869057 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..376739d39c499ce14db51e41cbd62d9287fab817 GIT binary patch literal 383 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG==$c$!xF(&Ifq@~Mk%7UBfq{XUfnh;# zh)cYWzq_Y10}}%S7&9|4FmN(3FsO&BGpI+XGpHM=GpHM?GpI+YGpL8FGpPHhGpKv0 zGpOsTGcYnRFnA-G6iS&<3JeSktPBhb{2W6<gB*Rp&S79+VEF(4e?7=5M+OE40c1zV zhq*e3_y>U%GBPXxy9cBY<QEVP(hS1?{{R0!_y7O@mQVvi{enH+{ajs$)~Eq8g@J*g P2ukmT(s!Y>9Mm=d&5J)? literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..59528b4e45f7e6d8c1919d8f335d86acf8f4f8e7 GIT binary patch literal 6824 zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7 z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq} zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4 z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6 zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN; z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp> zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_` zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~ zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_| zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$ zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3 z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf& zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+ zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$ z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8 zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E zbqow2oI(2k)H5)o6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9 z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6 z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{ zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv= zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9# zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD& z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us< zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l` zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0JKDaOaK4? literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..b8dcc8d8ace3b23c3c5a7b4e5e2f533623890582 GIT binary patch literal 464 zcma#Z%*o8FP>2Z5%vFeRadKBMFk~n%T$Ap=z`(G9fq~%yBLZ_WFff2H0}^0_vA-M& z1F=Dv;me^gW(EcZCXgIdoD~NfBn(my(g(sIHnLt2n+>Gs%b_q3#mE4mL2MQf0mTj? z5C*b5$j%e54u$=xXJB{%mv?o7sAOPZhcOr!7$U?W97YCLCy+Zp7?(WAUm%QK9^pQa m+hO+45QdpA0=FOJuM2@$VEzU%ggnS@5XL1BvKxf4$pZj+tT&ec literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..ada0faf --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=188 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=196 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=176 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..962a5860a43318c3ff6be1fe0de9128a3a5c87cc GIT binary patch literal 26808 zcmb<-^>JfjWMqH=W(GS35O0DKM8p9?F<2x*84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?=3qeI;v;c$;(#Hy7 zDu4(E1_m@*R|FysqZyF&f$f8N2#uBi8_K`{qmlK2!Y0E9Vs1tZD*XZK?;lVarVkWc zAbk~3eHBoBFj@hm0OWiq4GT|@8$s9tY92a`=3NGKeGyQ7=(G#O*$glmWCutn_-RQB zh>dO!jE~E{0H{70N02ED4CwS6h%f_;2H61;3Vd3U0ty!pn-~m@K87HueYoOb2Grj$ z8k9~z=IiHVCYhP&=cMT7WagDt=vG*m>6)4773b?2fuzA{9%Kh7`rZ9P8JHRv4uISW z(+^^Uk`%~zki4R4XoKyFtH&2ljWC_E#OrIswKaYqH6SxUdO&JG27}Z?qZY(v0I{_h z7{GZF;{QglI7ke{xR`n_7ewR2TNxP`U|9iK&2?t%;?r@68{!a`1*Jt~gQ09u9O}Cm zu-n_tgk8K3hdI-5_zM)4u(Stt3Ya>IL;WTk_I|+OPEH)==->#4O*qV{#NjVD9Ohrf zVa|UX>T__2yWub=8;5&(aF}xkhqx!M@Wdg$6o<Gl4)fh`xbr*?bJB45R|bdr3pmU# z#-V;T4)NzW+`k@&`JnO+TRy#qL%k{vdk-=*FhHwraJq*R@tz^R@hPcAsp*-;C8<Rr zzRo%Md8r|ZNja$u@$u=o`FZihC5c5P@$n2`rC1eaW@pBiWu)Z9r)B1(#+M})WrFm_ z!_+c><TF!KQ{(eWb5n~lljDm^QsPVViZj#mQd8nn3riDoz-p3<QWHy3<5N;WGGLL^ ziqz!NlGONuqWt94;^Nd|uqfEB<c!qh?D(R@y!6!g{IvMYyp+_6`1}Hdg2bYt#LD>O z{M>@XqEsYXz`8T@Qj1D56LaE=QnON%OTfAkb28KOz$~zm;^d6fl+v8k_>!W;yyC>< zl1xxwWTxjO=ESEZ7MH{qr<NooCTAOfwcz$&USdgnVoC~F71-d^vedkic#t0xbK(mU zixP8FOHzx#^2G(E#TgJ*YDs)?S$s)lK`JCz3ld8*;`0)7Qz6nNxh3)Wc{!PRP=0Dj zd{J_INfF3T$@!&u5HEqvs{-plvN}02CkNzmuy{&kUSe)0NGrmZAhlr0;sR)h!UF>y z6Y+^9@g<qLsqs~*MfqUGAYJirg;+wZI48dxtg<*Ww=@SWsxwj(3*s~LGC{GDSq1S` z3OqQ9QgiZ?6H8JVz?Oi`PAknzjt7S&ihqhzOX8D@%8KJtN^=Xa1s&Lg98d(7CFYc- zVvh*0BGeRA409D&t|+rOGcP?pH6<P5RFJh5#hJM&nMLtnr-D*TW?FnvYHngdK`JEr zi&OK8GfQCRz=H}Jrr@AS0f%^TX0B6FW=eW$e0pk0cuG=uQ6?yf#K-4kCV{dKC`aZd zX67-($0t`L#;0ZGCFX#P%}vcs&MjcbP0cM%Edf!<1(ggrnMp+{neos-&o7G4PA$qy z&Cx5)N0Lf{Scf8&3~JbzF}VA9IyuK1=^2{9nZ^jFk)A1oettoTer9gEepqH%VoJV| zfsu)xA(W#J<>h21>F4BCn3?G1>+9)(P)R{CL_|M5Ia$|I&sfhuKPfX0Bn56}f!jAs z42%>)m<h}bj0`LcjNrBtBLg#oGz$Z)dCf3o;xsl;k3|(Kwgt>*W-x&AOQkY785o!t ztU--41_lOLyB*eEE>MP)s0Gk=IZWIDD$bDrk%x)H_zGy^4-6pcG|<Eg3?bqMXyOi# zW+#IMnz(=-M7;x=cmve@05tIcU5NS{@emr+rUbQNAv^|#2T0=FFhK?eh8IZUpf)Q^ z>I0HEtW64%`++2`4ibQ352$-U?KEDf7>L>s2O=35*uiN5!UmB$ki<c4VUQRIA3zcZ zwV6R;AbbKz92$inVTKDx;vheR1sNC^ZXk(+?1qUyKoW<=B}ncCk~k+w0E#~#iE}~4 zK-3Q;aUPHW3`4^QWG5d?jDdlH14*18Nn8L)To6fI0!bXX{h)v(E{vpJ14$gzKY>XZ zAc>2@1Q{3@ERe*-ki;F3#Kn=sJ&?pDki-L!#3hl$Bap<Uki-*^#HEqMGmyk#V*?=R z0wi%zp9CZZ!WBs3av%XHZa@;3hl+uy4kU5pe#8VMaYc|qD4u~Nj@<5AfFuqr8o)YM zAc?DhMIgimBym-U5SZM7B(4S)fe;6f#5Ev7VDbc#xF%QxLR>%+*MbO*lA|Fo8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd70QC<H$9%l-0be#7C>&3e+Ffx)BoKnc_T3m(l! zI1YnD;lF8>9RtIE)fzhn27Y-5hX1M{eg;VH<%9qK|NmDlvSVP#01fB7ya48Bf%u@I znwJN_{3H+`G(_`q1DGEL;)8}}UM>LhgFt-H5Y)>FV7?cK4;rF**#PD{f%u?dl9vTw zz7>cM8j^XL0OlKk_@JSfmjPhD7KjfTf_dox<|~2tprNdn24KDvhz}Zyd8q*A3xW8c zp{SPvU_KX!4;qqr$pGdvf%u@In3o^^f&BZ+mVqGyGz9kY0hs>@#0L$@yu1MBzXI_= zLoqK8fccL=e9#cg%MD=uEf60x^zw26n12bx2MxKroB-yZ0`WmZEiW6u{6io<Xo%%y z0hqrF#0L$nyi5S|H-Y$|A(fW_VE!r)A2gKm(gDn01mc5+P+l5<`LjTL(9p?C1u%aS zhz}Yvc_{$qcY*kzp^}#jV15&b4;mtQ`Qb0f|5YG9XlUf+12De`#0L$Dyu1MBXMy;j zp^%pc!2Bc-A2bB=as!wj1>%E-K3*;W^Fiv<CV&zY2!qn2;aktni*X*Ek35>6d<Y0} zH9TN=(xcb*ga8A>e^F;!28J(bdi?S&Amt1my|xo=85lfzSwZghu>4UX>e0>01`=vL zP{R5D0l$0$Na0~9?a^zS2$JkI1-ZjR^PflO2akjA>^%<tVD?}<=5g^~iHJux>scE} zUf}ruAdO$%g@FO4ALPCUTUlEM28L4YP>*KYRW=L^3?7|NOL#oGZMWGlFuc(G|NsAs zl>h(#A7lM$!@$58dzfDyMgPn4|Ns9(-QyVU80Hx27!vH!dHI-A1WR*O0As10Pj|IL zceO`%u}^n!Nb|4%oj>?pjy3;cbnN`*!te6k^1BPa-v^h@k1urp{{QcyUF_k)zrHxc zm+{}reg*~xpWfmKk6vdFk8Tc+ZU+vJPVWSdPUnnn=Y&q@m~QVJ{`Cj>*L$Z}o~Tdp z?ELT9czl8s1H%Le;NRvP;nS;fz^6MK;eu}Om=}lt{Qut#(w@?JNITere|>O>BmcG# zQ3hYeKTiDHM3g#$BP>sp2z3YNFka|%j^ST_h=2Vl%M*2L9lO|~9b+709pfD14<B=K zV&LEA<lxcEa)5uEm!k*c&#o56hf)j-9ZpV%CrrRDZzPRhz8Oj05o~@}i^UbNpyOeP z$Dm{=Jir4yIxlx;CAb>CZGG#=@A0<t{fqOz|NnRFJm%5){zb~4|NlKZj~jmT=sfPx z`Rawp@Bjb1vlCk1I_?1_Cl`Ltr~l9TbUuHf{pbIGk51<TkIv&ho&S6~AHCrG`~QDu zcExe$8nDXF#h?Uuycv{UK?TaO<_?f}w{t?T$N$y?F8rP^I=g!q7#NN>!*YR3=jth7 zff)=8;6liw+quG{vv~n1sdYDl%=74MUctb?&<z&rYz9pqcXzL0U|;}8Zub%f1_sL$ z{QW<{$-!0%9>E^n*#X_VLDqF|23glV8DvLyH^_h&_kaEW4`Ftm&~64P;$PnklIP#% z!pgw%&xW-;pMTo{&t#T%AI2a5A9!?U7j#!wbQjljPX>AK#XOJ!V8>`XSMaX~`}vp) zD+AMOcWC%yXvxN*h55AzNDCyi7(q-h?gpFQ4fSA&O!s7vrx`Cmf&iB2`1?fu|NrmP zn_bbc8ywpFE%O){7(BaO89cjvIXpU>w}67Ovl|qd-C#~<^9E3Ob{~L;;SL4{hS$nq zTM<O}WRL=oeIVs9`|6$1{ngzKl7G?r^Z);Du*rx3;os(+(c#0&01@x*2H9hIqI9WG zcXa{6h0YEho!%ZE$+ID`eg8$%FHk}|3Q1=kowr^r{Pq98OXv3&mSFn%3q!Di7ofTu zp5J0oQ$HwwIWmIsUssF8U7YzN*rV|cDB3+bFMD?W^XaW}FnnwHZ5ODL_v!rqg5&4^ z|2B-J!5*D4Dghqd&H>%t5nU`C9W5%L6y?zyq7vZK`TfPj|NsBnFqdk9lyMk-1L@TJ z`Tu_xLx+os2S^nMNL4mS6_W%1l%ubi4BvLX@Mt^&vN{@6TErfnfXJ^Njc+O#K}9Xd zP2E`uy{`W|n?R`!k%OB+aecfAlyE^=taBB}J;$3sc?p#BI-5WyA8!Jsb%;DDLmh7d zWfrjf`xj@w|Nr0VqQU|y0uq0Kihv{VB0%u_|Nk!CyFpeu_PR5=w*KD<GKJssgk$sn ze=hv~FFJWtJd$66^osrfMHV=Vf+Or1s0{f466^&h442N`p!9JZob*7ZxOA=trGw+m zpcDymOcywtcQk{N+wtZTAT^-$3C>cT&1b;H!xiXcS@Vy79-Tfa9Q=JxnHU&)Js3N? zFMt&sfof|#z~6C?2~;zrs0jG<=BPx#QZy)XB0z)y|2D8)9WJ0a1r-vY!0g@(3e4`w zpdjq-1{wEa*Edj-1~Ik4B^m$vW{@nni0E)p3H0gw4k`tMfBpa8(V_whagXlojPBha zR`+I5*mq9`g*eo>Y$W4AO8D0|gJdDbwW!Pl6<{C}U%g=b1uC77dvvb`mwey=?B2Wu z6e^QJp$0Wj3dulF81t_Ohp9_P4J!l4qY&SEbk0#RU}Ru``MO1=0F=1Er6UfHAN-2P z<1H$Mj0_Auo!>orr+^K9A@uYAe{f)S&QW;*Dpa81+oGZYu?csWgd^F6B}_CCHh~O& zvEm2VCRoVt1aYAu-#JGGl*S>YJ`R7q`~oWVK@kcn^+AeIqO?Wj0RsaA_Bed87NoZs zq*ohKQJ}`&LrCm_!UW`u7yrJ469rPF@_-x*PI%p5W4gORN!#*7iBb1taPq#;2^NQy zwETTufB*k~EpogWl)^#D`#4x0#PaFh3`!Xu-99QD9^H%{-JTpCo$zuLl)XGU@4vA6 z3d)+0a`YRh9E}8pc8v<liw_{r*r>dC@dcy;R1$#-9MrPZqr1w%qq``g+bN*iE5mUI zs7&lU^z!QG|Np^R3Q=AKxON_Q>^$eu`PrlMuVd#~-`*v*3|ycz^4z8K(2L1m{{L@1 zP?GM^yH`Mrf#F5*=l}mbdiVYiVqkcY4q+#7f{HsE6_3t)E}frTI)A?C1H0_7XYU?e z39wPmd^&%<m;n;)MphU0`Tu{P&gUMT_dxO$Ao<3l5aZs%O+&3HUK)J?c>&`75Ks+} z07|BvpFKPOdF}`GFF<`PNHPBX<NyC2-Od@^-USUd9L%M`p8t<SWbS_a|KG8z?FFc6 zX+Ffrz~IQgz3rJa14CM8^8t`~y}N&aQd8sM6^slFyFlGSkIs7@jYmM1fkHaYF+TRC z`se@uJ$vh!48OhT0a?{stzr1h@RH%l7i&NM|KD<ezhxOC1A}L8J+tAr7g-<`kakda zHz@uaY&e+sTU#J1SPZ|t@c8)uzi;od2Lhn>5(mf?%*~($wH}=<DxiYZ<9Le-C^dmP ztsZ+pZUPm+9-V7cZh#sMy=^b0K@kDX2%vNXURnau4$6NXJ3v}M2>~PmS~v<y@1T4H zE()K$==cP3bcvT|^Kn*4Fm3w)4yJb~!SseuFumaa^#A|M)gU)DA7_V{l=A^pD4}@D zMJ2=IxQj{w*gKs$Diz0DR6vagunT)bR3xCz68s3N-;TL-*Qg|T^p>bZfOK)dbP0I$ z)~IlR>--n)Am{RLXM;wfW0xCK2RL>;utw*L^pBvH$^RGjAO8PGi(B;eNH8dW><7(k zLz35v+3!JV3miY)-5`JQZx`W6>ztgy#K6$y!ok$hoW%s{@9hS~m`CH`0478_ihlX_ z11J%Lb0UOr3=8&Xe&gW*PRAbmLCbVOJ~aIH!U$p!C;@bXt4mN5#zn=!qw^7{U9$P} z|NkA$GeAXc?`BXX?9q6*fsuj1@X}6DKsxS-kOt-D?;f4^z~QqC#NP=bK$Sq}J&)!i z9?_0*FSFmHxCqq!fcP1-5C`g%<?sIgKi2HP#K6Gh(_5_J)4N-P32u|C;Q?@j{d@7? zJxm!h+!a5xz^?G={P*G@T$%|k?NJDqUIdp$=&pFc2&%I{x|`wB2;CNTOi*cXbL?e2 zs$W1MjPMU=ArjO-*WZF1`U2{o1fSmB7qIz90Bj{l8Nx*`8sHB82o4pHG{QwQOyCZ_ z1eZqWwy<D^I{3wAxHLj{#TA$|#6SJ-{{IJc=z~2vfA0hhF?sZga_fRpmnjP`1H%iD zyhrcu6Wk08FO=Vb%IDn-JPZsk#NYk@AAK046FhX*YkCbNDhN^m8aP9e*b9>2c>n)D zxYT%Y4_QelC}nbV{`Tnn3Tj9;>;lzc9=)bzydW3Yf~Xg7-+-#3cb)g4_S>!j8TcI} z+G~3Q#Fl;sGraN5|No)ESquS=AmbPu!B%?o^5*^rxm?s2Oque4Dcd_Bz4ah-nvW># zPXVp)+V}=$5<AqH(Xj|mf;<Lt;3ysqfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cvLOK4 zC1d&Q|Noq4|NqZ{Vi+IfDbP+Qy_C!%ebDy0lGM_o_}u)I(wuy=_>!df#G>Sk_~f+o z_@vZ~#4>%bTJZiYkWL5&i;<$gxD2!tj)7!-pq*f#jbIRKN!Op7n#+(`oSB=O%aB_P z-G?I0Yz-QI1x@C&-2eX{G%}g;@c;iW3=9k<5C8uM4Lzqk`v0GYk%8gL<NyCX7#SG8 zJp2ED3L^tU%k%&LFEBDNh`jm#AGFuh<jw#8222bLU*7!xU%|w{kn;Zj|0_%k3`gGo z|NnuBfg$9>|No#f5L7@zC(H~CPe1<uU&745AphzA{|U?t3>KgM|6jq(z!3fE|NjHb z3=E(J1R$?6Rs}IIRtPXk^RRPF0NKaDzyO-u-|^u8f6%B3g9|%otpWpR!rg>{fx+P3 z|NjY~ZaSZU8=r(1KX*At1B1PkwU)68XwDvNE@*30#)JR=L5nOvc7U)2$j=N63;_@S z{|60mF*xxFIPpn1@hLd*X*lv3G_&=v_OkRb_cJlw<I?~OOMsPdFfe#9Fff2d;#(g5 z|NjwWw<D5XCq4s5J_|=ahi3L3wqDjgmVV|oCg$5rATa|cB%>VpK<)v#;|~J^L&Ven z|3P!z3~;j?`83@54lp)DjbN$<xk~};MgfRkkUK0G85q_){r|rgv;qaL7i<s69qxP^ zm{A;3$b#J=pg;u;F@AXY|37GP1t^R_XC#0IjQ+g*|9>Ay0MmRle=;q>W_knz1H%JG z28NPX|NkeVhnFLtfji#>W>9!T!ip&u6oQB#cjV)6<(t6Fe4Y_Q9CXeG0|SE%69YrX zoB#iVp>AwuV)6wAE+~yeFflNkdGr5&D@e|XPr#8+!i6uPnYoXNsf<qo>>&=&ev<|! z1_qP2|NkF^s&fKKDl|h<z-Caw5O4yS2^w%)!^FUFg9x=Cqd*H=?l3VhWWM|V-wGt) z$|umwR01*zoHl<jF)*~h`~M#_AkE;xClJ8L0TvfvW?<-e_y0d=p$SMlijRW<l-@O% z85kzN`~QC>)T}lpM?Q&WW*0sMCVx-@F>nIKfI}glLIlVM(3ApFJc>s{U^E0qLtr!n zMnhmU1Skyw*uHt#K6%g_8pzD2pt)1%G8PaYe6SJ{AGCKLBo11D3!>dY?KTDm&@>E) z3qQvTw15{Rpa81$85kJA?E|F!`>-||s0|5H2U;KtqG9{^L2YIbA143x-~W6Ne*x5e zpasex{tM7F5d#DG+%pjW1XLch;26Z82X!B4!7+#rYR`aZ&;nl&4Z~1v3^Snn!$HkF zkX!{+0@l8VTE_rd01T4v0If`Bfb>s5d<Ce-VeSU6#AaY%0L`X?<YD&1Xpk5P|Nam0 zA0q?gD6oG}{sYj;XvnHVu>WE4^Z_d029^H_<-_a&nMq9yJMRqLOf%5RV+IBWHz*wj zrPH8v8I*2=($k>yGAO+bN*{yL*P!$>DE$pevw>DLGcYiSL1{H8Z3d;?pmZ3NPJ_~A zP`V9DPlM9Sp!7B<eGE!pgVN8S^fxHY2JVe9Fo;2EH7IQcrQM)(7?e(f(q&K@J=_TK zVdqq!tAm|W0Xvrhb`AyX+zHq@6EJ<S^CjG!ovjo!LX%4KN=g+BjX_6#=$e&+xkhzH zCVB=YnowbwPV6)&{jo57{EsdRN_U`BK5C%(1sZJ(poR9>)PokZGcoWp96$>nkUo(3 z2Q+bG2GA)W{0y-23TD42xNMSSh)95lfZPXSg@VOJ8DQlJNDPEQYk)!K!^%I97zl%w zhcGgTz|R)}iGlEP@VSVX{#}nl9G8DVlfR4%!VDs=AX8B>w(vOyRw4vHj}OdcU|_fj z_Ln%koPvqJ2aAg_py$)eOrRoJf`I`VJ}~v5RX?C{6c`^ygI0J5GDtAM&PM_D??G|` z;PbQi85V##n+yyL;Ql%T1A`h^9HasjUk9Iu#m@jcw-P+|0a^qNR?p7>OPAn&IRgWO zJ6Igm3=l6Khj<Ai$X_58sJI!do}U4hAHe-}1_p*cs5mUYfcwb|3=A_t;!FYzuzCt+ z+I)~W13$wAP$!&$fdQ0WL2{7u`}i3?Ko3y?#WzU&G*~@|g^F>VJN6TYdR}n2p_&2W ziQy181;;N)1u722p&qns2V1<iGBGd+G6;eW69pRttu`5^;4o(`4)N_c+;fo$bmk9c zIs~nP6=L9L2mxhq1_lQ3cmV?g!*j6t{0y-23_Lc%z`*c{3Hy0!yx?=$_!&Mx;|n~l z1u_pT4)ziX;etav5-iToPyjUt7Vr6BaTFuKoT)g(H{lRJ&&<Fe$Rq(TufT>dFfiQ4 zq5d}xaZo!9WDa^gp}~Uv+(t7T;tn{(y>W<_g2nk6VD%7qT#A8#p#gke6QUf3`Kt%4 z9>zn^8^PlI3>To`49iEyq2dkDga97fWME*p3l>M{hp}Ga5SL}e9u7`maef8^&~h&Z z1_oF=Gy*IRGZjHs;t-#UL;NAwJ^T!e(DV!*Gh<+2ScXIWPF7I9kYq?eE1&n_P=5)B z_#IYA{*{29;|j~aI#BmmL)`;whrhyM4ig*5J(%f}lMNJ}{0y*m2dw-Q0gEHT3&t`8 zi}N!GK<f+e*f6M^hl+22#yc#3#e&6Qx)F3S4)Ip7I6p%<)L-E7L<R<iE|54A4@TuM z0VIwv7QqTmE-Eq9%V&s>PfW^;FG);iNG>WVE-6h*(@SPRoX;3vk{h24I-#+cAwE7O zKR!JtKPfRMKBXkTs5m~cw1NRNACr?>lA5AtfL#UXthmg0$jNr8c_l@a3~8VP2tlXi z<yJDp$0PB;r}3dEEy*v)$tlYPPou;e>LoM8$GZhN`ntxu`niBkh>Uml3ypX6fC_rJ z1Tn<B`}jLK`o#OYxdpq1#D_RK`MAb2#JfcLIr@4!gHOCGMmow6bf#ZOViE)RG{u5= zlSG5GwA5tF_~O!}cni>ZcEzbB4zTm+Kqt?EPXdIV&1ejsslj$`UMB2-O5{`f(2lo+ z9W`lW%m8PaGk}8&<{ZmJ^VBrM<Rq|jjIfHDfxQGiArKPApa=n-KM6lQ5c7~r>?a?> z4qn844kY+MPDI*3IlB|&W6+U=XlFu#9R?0FP)MVlo*3`xA75OOl9?A@S`0m~5Ud{e zS(#uLz>fJuu|GaOC9xzCa&{;K^yI-*M8KM$9bAYKr_jhp32jqExZ*qb6Z1$#(6OTh z@hD~)g3SWkg7rXBi~~DSV-j&*DbAxa<KshoouQcm6cgZJ1Rqt2=d?*2ho{EJrxfSM zXC&sO<iJjvL^(tfenckvS)cH*0LM2pk3dfy1)szTPv)i!@$pH;#n9M-I~ea7nOMTr z1Z$3f9FGc~{{@}j2{#>|^DvKB1*K)s<ZlYj<24};LMbsU!4VD83YrE66>(S(1jU^a z5K#?22o-X=CQ4e3PtAiK?TO_`Q^@h9ex<oV(9=sjA!?D5Lo)0r*7#IVhC$>IGX{*q zKH(M{V-IO_tl@7A4g^?SLysCwEd~{)$pw{gGYw4`AP0(qj$j3AfkzNrPdv(zuMB#{ zmANH}Nep_$B}EWA1IEhCD@iRXV9?9UFG<x)&nwj{D9SHLEh?!*5=tt~%t_JBOo0kH zI(h1X>OHXXjKty$2ECNZyyD7S2whUd0G26BEh^5;&qLwF7cuA+rRF4pG(cGeIVB8w zV6W&E<do>8=a(?(m84dbFzBUa=4CSI73G72TQ4;OTH|G;6fwYg5Ur4^526FchGuyN zJ&5+C;$jB9<ow*+)VvZzWllZ|)D8rV9l*x@Ky&{f(_l1gJQ5@Z!XULE8iqm5RnXWV zOh4@0eHaZI*MO-7(XjDB5F3Qepks(I{pjcZLz_z=rC<ze-+?)x9Vlq}VdF3`8r^<$ z{oxD@4B!6$&xhF$8)t>lF#ACVL4fQ;cR%Pl3eXrUOh0TK7Dg*TEd+%TDC}VRVdJ2g z3=H5qC}8?w<GL^!HjWFj8-zh-fM^&lgpQHI^uxwQVKitCAEXxMewaEKUB|!xI$i<p zf7rMyjD}q^f$n~gnIPN?9uESIQGs|246t!v7!4Z-hS`tq|LIWuP7v)3uyJJ=4I76B z=?9HHqw8M?9{)j%gQMT40ZQLcqrnt3I>2lY0on%&3R95zAie@L!N6$n8WoTP6ob}V zfS52EG){)BzX3Xa2cu!`1?d4{7#~K1)}tWnhm9M-Y0!xtAdOHAvlq%`*oNkR*mw?% zh7QZal*6Vq;C#@ARgnF#^uPd%a40PUl7y><4$>p>L0c|CN?`hN-J=21f*$^tpzeq1 z-{A;R38NFB<Eju*O#AOJFff3oB4Em3=On}K*?@&Jx_+2AXyY7cP6wtRHf~zr1JMCf z2jL;?hlzvMV1d#OOh0TK5_WG2?4A@*{sEZ*(+7)3&@MVq_`&qU=3!>|fmB0Jw1F_e zBuqby{tFF1n11+pX8>3^11$eTco^M724?8Q3rs(3JUIfYA7&3sKgg{xHi!m|n}Wok z$2^0Cz!;_<`7CCT1V}A94U2n_I1G0{?SBDv0H_`Ujg>&T46yPOH2w~AJ4`Q#E{Ft? l3=CU9kpp3ZNtpd$4g+ZH08;+K_%K=pIxZoIrU8x10012+yvhIo literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..cce55e9 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 38951 +Design successfully loaded +Design Loading Memory Usage: 20148 KB (Peak: 20744 KB) +Design Loading CPU Usage: 30 ms +Simulation completed +Simulation Memory Usage: 110084 KB (Peak: 159416 KB) +Simulation CPU Usage: 30 ms diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..2fb2e34 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..99e7acb22a72b95875a6bd5b65be34cc0b93ac1b GIT binary patch literal 34344 zcmb<-^>JfjWMqH=Mg}_u1P><4z_6kj!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_8FdT{6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA%lA9Rov$lhfe|6R^v3)#I0sMv`{~o8Q%9u?8&Y zco^a_C>aV5@Boj_%iUQCu7+=0-#YSpyzP7s_M>CxF%Kxyv-7y&H;>Na9-XhiQr+1J zt#2LofWw^M^XdPyKAq3O5+0q-1s<KpeLDa7bUp%$bY@o^cdh}e>|ETyz`$_4xrKp& z;s5{t|Bp3yfW*6<6M8-Vw;pie_k7XW-2+xK0VKh|z~IukdJ0%z22_tnw{wL@XY&FE z1_qDrW{`Ovoy{v47#O<2Vx7%%K<w@{3=9n5$n9Rjz`$U6g1`SKI62sY%!LGiM|XBW z_im7N-J3zybx#J_(cKL)0PJ!Iv-5;@Ge{Bt`eu+k|27v^29|#|tmXOq+YWdpv$Xp# z{`mjEqdU8xySk#gxTbqD$a@e2z>d*&uHat}_VY0pRtBcm?hxxI5UqvzwFpQHB(xYo zOfc>So8AreV2MokWRRyBFF=9-mgxBVME?K(@6(%I(XbmF+Wal^7#J8lyImPPyL~x4 zI-9qEg0iz46q(&%PG|E5a2Otdhv5ze28P$lU|SJH_hgU)kbNNKF#GDA(f!rk4U&fj z2#ARY5dLl6867^X4Bb#0LH1alC|&B)U0r~1p|gWWr?-bk@@z<K-v=Az(Rmb-&OADA zfdyPTze8zQN_^qbe1rp@-(pcyKPZ1WGJ^77SBu3~ocSZzqwx(W+C4fidv^Zw>8)}w zd~5h^7pPqG>HH72(1x)z*rPK>CBUQGIiTA+qKk#2qeTUjqC9#-R04dU?y_Mn)dDHw zF#HD7*~QS|qT&Hk#Q|5v<iJ1W=xZj!x1BFM8jpaijs}$$v4<ygdpUG^c^r3g0a?BS zL^S_os*wV-K(W$!?*D;{FPr}{mw)Yaa_RK)K=Uvnzk4*ksbFMafcU99E1}o*e`gaY z)gnrOCQw>A-ULecpd8b=3gn;TO`yC8%DJ6QAeS9)0_7EmJSamRZvtgDusoy$>2y(H zK@=fJP>K+j?%g0O9edpwU0eU}1ewC`dBU;z|34Rg{}-J+Djvx%VS1ri930`#U}ca; zFF0YjbnXTvs^j3K3o^x}b2TU(9d8DuXpm#Nz{NyIGbp(pZ$1H114`fEEZNz723)*c zVPF7PR?R>Dd35@yaPap%Wny6H^<eDmz5rHm1gfp|0Ds3lCQ!|iq9Wkao1+o|3VRR+ zMQ#L$5a8biwyVPhlqNuh3@9+WH-iGRdom~pySqWgfm2U+Gl;1TE(!V9H-lusMNEf_ zN}x~YcOPge+R>r{3UQC_?2PW+AXfKgP}p}*28B2l<3LLI*EfS?A;z_+%mfvHAQK@) zxMSyWkM7mrk`o+&-J7?7LS-^2)UX%`3S<8D;4pRRs9|LQc@*MXkIp$N28;|0FkiQ* z6o3*JxO64Q<1H$Mj0_Auo!>orr+^IxhZ8t3JLjl?I0&0GAU2U5CYlJFKn8;_C{JPw z`OY~ipfnDtHSqZh9Jioa1EdHgN?TMOFo3EpY;g#-8kQKa#NI<l?191r<P5O#4va{J zByxx`-QA$1ZF!=^sCzOvd0*%Rr!`nf&EMzs_y7ObBFCFSDIAo%kAvkwET8Vppp@a! z?W4ls(aq@5?aATM2``61*~_C7wH*EiD~G#lR9L{S>$U+$7J~=4Bm)&VsAaK7ca?)j zcTq&QQ$V*@h9kHf>pb)lWIZT5O+b`a0j{0L9Xro?bbj{e{Oj0x*0*<w?GFx68hP&0 zc?fJ->w%JVkKVlw84L_yzDMs~13Lsef&*0C*{FDQ-gD{v<kI;QqNww*XYU?e0Zx!n z&wM(6K}5UJ)%kQj_vpL_mv1}@G44IwG}MX)>IMAnU%?Nu6xsdJ9=&^aq$1qR!H>ty z2f*4%aWlj?0&WHeJe0ui{v85n?&oir3rgI*do#iiepnERU<bq?*ctH%c0&?^El>ny z`*iB4`1G!o0Na9aG$evL4|{a8sCe}5(YOGXhX;dCCz?`>m;)K;fuss+%s~RcF)TR1 zqw}-pJ~ajg25trh22jNfX=8YFJ7;uz7c|&#F!Q%g2Q{a9>lh8cfn9g3*?^gWf!U*X zw*nIbgGcXX31+CDJbUYy;EDp685o#6dUwA7m0-P_Eszv3!xa@k6@fC3NAKncxT5A` zEC|;eYn}jAw*h2W<KYTs28NgBYzz#Zy>+Zm!#sMcH4MKQUNSrhc4f-}{uUL83O1OE z?&bt028QnL3?@)v&cfl*{DY0Z-JTib3tmvR^hjoD^k6*k|AA+3ojOz(#JeD$VDYX7 zToK5-pfJJWT}`+moZjuN(}F8P@oVp9kYB+*^6ahChAQ&tZoUDk%eq0uEhLgGSQ!{R zn~&+d+y#j(4yaOy^Fc1d;(SiHB9QYze#GK@F1R8*&gX_J!sC1%s3I)RuVeu`pBFjO zH-IAjr4vY|w@wJE7vdj~Q?d9*7_JD9e?;JlK>h)R3`XkftrLYS!s8z?s3LU#F!8sp zWCr_39Nj<6FN;7jy>&8By?Fg23s;24KXPzIApd|u28(~>;fnD1M**tHqq|vv5tLCR z7#SEEY&e+sTZ@>${!v8t56jC%Aer7eSEycye?Xy%C4SuCitzZy9j*wEe>~ud@c73Q zs>lP<b?)v4RrwJAaDrUje9Y_RB}Q;5VhvRaaXu&zu{hrbt_b9OkRP#R7hAX@JkGa+ zE5hS^d#ECG=QH!SdVyTse9QqoI#^!{gJgQ^44``P`o|Ei2;?7-r?L3Q2(Ac^e~jUZ zK>h)R47j95Exk;jiaffTJ;2E;0Ghl?^*o!8nWFoJ@wJy{^D$*~VTRYnp1pNuILu-$ zHS%meW{$3j&7*gB1gN%ZJUjzb+;`rC)QfT8rVNyg)}5RH8a@gJRgj(kJ@?5nFfj1o zt|MC^!49h<9r?GnAF5|yNb79g!o<Mf*xkObo`IpWc>~A|y}K1a)mZQ58B7ceyVw{o zYfq5&6A<lJAli?BwO@c}2Zf$T@8%uo+F|u7Nc%a6_Ae0ar@-1jK(vE<FTI;@plfG= zhABw<6^M2bX0YQgfVB&NLaulB42a`@plgR!#31drAlgkJ+OL7NgIWz9y}J)Uw1XNA zNPcfV#)gP9kO>bUCWJstxC1r;luBVOipImB76sS@kIsAGAp~$-diK__L;ZoJMIaA} zCRpW&C1?GGRG)~VeKVq_b>!bZ{SnAr#v*)<UF}cm85oRQ_?Y;&9Z2K6z~2Juc!Qk& z6s&~B(6MX!bC8k{Lni)hm(n;7@wb2~a*&c22qmx3lyERIFo2Z2MkslUrUcX}1Sxrk zQ1Ssy2`Gtxlzc=e`3zTbDvk3Xe@g}^ESitWg2NpVfMC{P&*o!Db(cqXGidOjyBp-V zZV`^o$)JSHzukp{1)9P^twB)4HXa5g>X#}1{{Q!EJ|+P+8{}!wSSFegpu`L_0+h-< zdUu0d?a_D`l(1haLX42aX9Org!;Ao>bdTQM;Kbc{7?ikQ-unx7g%my`K#3Y=1Sqw8 zKofZ5VNe2pIU8bxG(ICh2^(evD8<7PdE;SFB7YeHF+v8P5ulU}GXj+4UyA<y{~z8y z7iVB#0JTq%O@O!0OD#SBA4A9-Z{}bEHDy6<+TEa03y;Rb9~c=JcCmmuFR0}nQhOaE zuavlY_SV4)6pVaQs_xla2P-c;x|=P)<+%eR14FY72WzRIXY(=Em+Ali|A!T=p3TSD z5spOB(apl~|3D|$*)Ki*|Njr^oJ^Q7A=EJpG&%_y4FH$I|2+1qz(WF-s1P=Hvv72} zsAL>>QORKj`L#DhMZ&Xpn}ao|9|!5ycy=D^&QVG5=q*u+@Hp<G0uCyV9U$ePaWs!k z7Zm}I-WnAS@c1X#3P=9!Y-x~!+p)`ysiS!lq!0xSDR@9q`!0}vkIs8w_0ahQkH#Y) zcSk$MA%<l^b&1D*RXkn*2Oj6KW>7zf`87L)0jigOfIQTA*a1`mcixKzmvl(u2Of=Y zK%)zuo!>n>|9R||WME+61J_j^&_N8(ZubnwZhvTpZZ*iX|A#@Nm5?zGXfSzz3}FCO zNFJRnDjz_qdZ&N~g<zw%9-W7~*MLVoJbJgNfO;RGU{qiQDcu3m2n$9HP%tVWg0Y*~ zv9ldi^z(0bW=V7GY(D}jeR}tUJm%SWd<7_lg7o`z{zDGNmt3GirMDW^t@P}zNAKi; zlza46!;-3JcRMKH9lQIXjXh@m)}tWj^;W~ut8eczP@-_`ZU+@-p3Lo_f(hhfP>JYq z95TEI@{;FXkdr`O^66Zo0%}zsZ&7JrWMD7^8#qM;R1ky5FrR^3!O(J`B;TX?Fe@Tp z@rI~RCqx_eKotPFz^5}uMFJF*0xviG`~Tmg@dzl2kcOE&nh&!hti$vYct+uPiwbC{ z4r1UM@W}n~7VvN@XpkK=Ja2&H3y^biR4PE3q`>1iiwZ<rjtYlI=ku3Z{}34zlAb|> z2av=D4g<`p0^Hk%RuwrYnI{0&gaFmxkTea?{NVf#nlKFkl>uOHbbba+VeXR#&Dwxd zH#}7$k5+(YMLiLt6^>o)KR}Zo%^<5CyW78jTM{5QdGziEMX5*cX0SUz-UR!=v-ubk zqNsB0YX1w?-ven${RHdpfcRqpD0Ma-E&%xhq~D|So=4*mkYhnn6z3Qp3-%RqNs2j2 z@d?u6fThmv<_?gl%*~*L1}c#fKv^sURD65x0a*e`k0~kz$6df_k^vNf0-n9wL5UhR z^WxcgygNrF0u+e>phyI1_vuUlM<OCuI(D;nHiN>#vCEyM0~|!SLIql`feLm+;D9D5 z!6iS)$>4SYC}6r=I9NKGLE+`myBkXofkPQBoIq`FNO2EpZXp-<{NSjD=t1tsfo7px zR2)#801<Qa=zIiA8Xb_N@6p{1Dq_03LERFNsumS+0p2?WoEwl-cY?Eah>8bXtQl0l zd-U!G6&4<lIvo<vAeTlvLb?#3bc^YJP+5=aevqDS@URWY74&ic4N$GoyBkzHdo&(C z0OEnuDab9z?uX=O&^!niBFwutgQ~~w-48(8n0JHCV%`iIngO@HcY;bt$j~-8ifkD9 zTR<TT)5gKVz~I5Wn*mJW*9MxtfoU@UtKY2wrtoPiNrP#L0IS^{0H*M2F@|Yr0IS_y z0j6+kX*p0LcdS`~4KnBnYA|{<9_C;J4PHa5Vu&o$>j$7@0LPH<+|IzjfE1pfu_9*B z*a|2>!CB@68v_HZ?RN%bDm<xeV1xD#v6qh!^FebJsOEzLySp0{@?i4|*b(NJup_q% zBiM;BA2e}^YCb4dy1PM91U6rS17W@lXd0q-cLb;eYdp-rL4^6B@k&(lK~dP<4T?{& z`3I29KLRlyTr@TwUO|lcyD`Ea6yM$5pvVWCpTUW6e-0-&d_ZM|N8{lDP9oe7nodM@ z{{j|J{H_3zpstbt7s7lIF0lC*AmQ@^zxj~)32^<F0MY=e|NeRI2es}5z!?-)*dvYB zmj-+OKMpFOAyfB`U2O~v3=AF3hakPmwtt}hU}rO^CFaq)8`S#pXgmz^F02vj(Rc)8 z8Cv~S4{Ly7^b`17mVsMx^{@sQdS8KwzqJLT0#?I8+h5(y;1ZP?+_eNXzd-$GQ1c5^ zet`Ng9(zFzYfyFP(YZziR9boVwlOw<@*Q-d5tQ~Bz)Cw?AgxkRVFhZ=F@Ow&wO~Pw zAEX9O%YhOv&*tN-D8a;r5=<-&;ISLr!2~YsUV<9Zp3TR>JsU`Xqj}0jB?DA@gBl17 z9-TQV6`%$rbS55DZA&0I%d_*COLq-M@1!#aSDysrI!K=c6ua1?6YNeG=t2&(xCPa@ z@WN`sgb9%H1k|2`BrmXDl=d9|b`g%W&dHz_LzfE&Q%7?aq#Xo`F;IR1wSz!$hP}K3 zr)N-W4iq1dD&BLy6axc;AkNfWjh>nn!O`>ynwsSy(FE$>dUm&ogOf%xXbjV{yN$g8 zv<Rq=A1v7Y0GxrEZ-6Lx`3efaU7+S9q&^2Z3q1M(YqjA^^*2GSw&vr^h(y%g3>q%? zU<Qw!gBos-s0Vq_b3e!yP)hdctienK(C!zk#o^I;)TO%wIU#_|0O>^UoO*P-GI&51 zIe~rF3GrvQtAIx*I2`!5yGo>WHiH7F%T=nQ85D4crVO(G!I2GHV&u_y1Q8hUWCBSa zitq>nYeAVS=yKtZ>SzXy0D*>4KrN}p!wsM$0`Ie8rZ*-0=81PSgL}QbyP=6p1Jn?~ zY9463)-fbF0Nf4!<GBx1Pzphl7PSB0-3}Uhb?oj3_1ql!w@*<~0wp115e~<$IVvg* z3=Bpw98CP%KBsYB<8Rr@03M2)qM`~>#0XNP4pzhnR|M*2f=$vuQly2ZXg16wZ6rmy zXo^5fW56cqAt^F|D>@7wWb6f}yJLc&bO-kos2q3f?gtfoFF_H9+J*7#b~kYB_P2Ol zfZBTZ>~@cE?DkK19pTx047_R!ZXl=&<JjE~GV8UKXY(;`6zjlV?(PS*6kp4DHXq|d zkp;z*V|PEOyzUm^aO|888fAera2z|^c|a2{z579pQP0NXpo9r-NBVUBgA5hLfEI&+ zdrcD{?P-u@kix=azXt;YgD^No!D+AC*}{X_*#MN+JV3>40H}N9fieye0V-uV&@vb# zZ+P_X2F-GLG#&<3$FT7+u)!XUM?ls_JI28iKfHYOM0JA)B-?j)gA!J^4aYuETL5fG zXY&M5((m038jtX3JX`@P9YOst?4={D{Pn_PzDF_(hezgt^t7}zkIsW02VdHI9Q>ig zc%rizG#KvDyBief9*u_;m=Obh(7~b!6TtHs;PuCzonJjW|9dp=%K#PDB^-{&T2Fvj zo_l<umizXWsQgEc)mBh=ICl4f;=Egi!=w2(JAeCHaA^t(bOw)Po*5pD2me0=X@aaz zbnI$3Z(v|>>}Y)l8pP+{-fjv?*N&a7Z$SL+cEbh+hL@mmAQU}zsCsNr^;m%POkjkp zRe;;$imJyMRgXPLPYk*qA5=YFsCwK$dO(E)ira!w^#r2o@dfGm0#2T=)xwTl?NO+D zB2e{&fb@XY?V;F{h^i+ZRZlcX4`{6&ik=KqJ!z<Vk{TEoUPmJ|H2>x(Rd?)a&qvjj zi>fOVq)XMYtGx_WSqZAL0+4KH>kIJAc5Q%X@74>T1jr7X*#cP$DO#W@jnSi*wH-7p z-q{LDf}qAGWXU*k^#C2;1TB{b4g7dC?~?%Ko)Y$B&7c-MgXf+|?5WkGyBRbx+1(Aw zhTS^glI?lx+Y;;Mzl<db&3~ErTN`0cbL?vG1~)0@v4G3^_D+!3I-BQ!xZUloprBrc zq_`GEaW#tKa!_d1fGlNj>}qd8QQ3r|vJRv&2&}UCFLNm<geRdWpMavg2c%rtv8#P1 zilXT#iY7OJCKzXc`m(*%6&}5tK^>f3SmFQ@e4r@U3>s4GYz74@C<;L1RmkNXxV;A2 zuF&`f)Fprv!k+sj7#J8tps5_v=tb^-xS_V#__w#sf;ba2@&cN?gR}}jlZd+@EqBn6 z8OVH?6TpSPXD`0SS1zPO0qb(WI~1UL(1W=d-0tseQ9<rdfJZv_f@}me!;m@@bHEKm z<PHT`DZE1g(gG6!8{9huJerEsa&I|MV(Zy_9K2!)5+aWL+uP<5@;5mCUoHe!=*Pj+ zpAgO6%_#nW^&udRAhizx(}mu2gw1P!i}2kbr+{WNK*R7L55s0N@b(-a?LlRDasU|u zn&$(BQg=7V5B%F%IMO<s!87l@;8ndIjfWjT^&r#|MCF8BKY>%)U(Y@2aHC=6>9J-8 z(AqPf-rb-w)2Day3y@);x@#xMqppSrd^-R8bV3%YdG^+*z$WCO8o@~phf-J<7p4?s zAP%Llga=h>cnOC(SdZ7E8=NK~f#S%&ed+>G+OQVkC@}@`7D0H7CC(t;5*RPgv-t?5 z@B)V{#4;wsORs}Gn~#8duaF85Dgo}nzc%x1J_1flsB)n2el6wMd<5KAMU}(!C@3p} z3uJI!gcZt2<pH=!0~(J2wIT{&#qtFZ!*dU)SIo)4z<}O}fQ(RgL)t3LkYUwM&=BZx z7ZneX15sz<0>Hz#kSx)8wD|`Me>*2514HKvk6zGZC<A=49;kYO_{g!VeGRyX{01t% z`M0;P0)=H~^A`}eyL}m`G?zhd2y8~tu@ObbT9A%c(83OG$1W5dJ5Y3N0qFpZOQ5*w zAc~ItC^~k7bhM${aRNohF%%t#K=na5!h+_%tl&E2Jc^#PD0)tU^nmJ+Ybc7YpeVWk zu0uY6>yX_aKpm~#%{N#W7_e6(py&owBg~L$1e6Tm)1KgFJYwn-oP;3d#byQu22kpN zF48#GJcAW7unL{5ZeV3#04HT|o<eRbL-d0d@uTQ>fDX(;^=q&(Fd(uyrhZVT4n_Y9 zRQ(q~`r%muQ~x$}_fKF)aeoCn0|T@`K-LT@-=OPlJO6p?2c-}sS2%XH-37;s9S67> z(smmXFE$`<ciVMvyjVa6KS51(P#d2E8ZRE5D2tiEK@BG0`4yB=|A3nN91IK$p!U-V z5W{mnXlNAV2;bgvq{hBSce4U$?6Mm)&I|63-UB%XR9absxep**#u8Uo{_RUt9yNf* zH+2|6=@F7!(;O||lsI~HH~#>Sbc4pDU)v+3K~pwhi4JB4hSzE+5-Y$Gpy`FzydK@n zpmt<;H)zrUJXHGvJQN%PT6Hq{4F>~5mkS45NAo*KxPV6!dpBQzg$uaQ1Q`x#dLC;A z`5Kg7|3K1f1_uKJsGx&4dqDlo<~JVT+UK9gekTS7@Yo_Wp`wgy!X|H!CYqW-gM2={ zn?YL}JQ@#!>O5#NaNHrF4qk%*t5tV__&Y%aXcGuH`aF;)V!*Kn>kqo1I^$R~Xi*81 zM{jk4N8@2oZ3s_gpz#k#9&tt21Df<<^6A|S>YMxY?goW2)N$Y{^E+(X{a7<dB{Njc zamNLAu)|TMnc&hJ%wW>saWj<K0@B}vxW^scJ)r4KCZFD74WC}{j1AO8bjN^{Aso{& z0cIOGYL7L8q!EtM5N85yszJCFB#qF0;2aidgzg=mnepmA#DXb}(A_YR9a9=%w}Ko8 zrZhtLgIHcnX@u?w7d}jBgl>sB{Fu@R-3@1iFr^W?Bf7;gr4hOdswFX{5xRdcyJAWs zbPHIeVoD=)Gssn7N+WbD_|;-cBXn<2X@E*25+7vc6P-v<CkSK}V^t6XV}$^tG!Hum zXlTNMfq_8=B*DPI0BQ>}IPnQM@ku!GDLC<IIPw`Zv-Pm{vh*?cGcn!c(*O%gfR%vC zF$M;P2&mqVpf-^sl3pi114lj!M?QyU_8zug);^Yg<~Ang+e{!a11BV-9Qi;6JjflO z{c#}UK&v7c;AT1UX}I$pU~GmO!Bh=$mjc+00ua5Rkv32#8l<-u)U1Q+1=|C1hdbW} zW)z1MvS4=zXf{CyRHHI5Fo4FvL1uzVHP9F!h`kR)V49ESPo^c<Ob3|*T6_R9ViSlU zY|ac^=75F)K}LXjE{L##`xo6k_pq5`!oa{F0g5-!s5Yqc?ZhYG$S2{*r_jvY$He@X z4a633;^T1TQ*eQ?LEFF?7#KjyP(b#BI_}Uk1JVahDa^|mK`98Tli329=LnN=<Wm6I z4;p_5ne!b)pxDn83bWFcPk|{N#&P6RFkoO{04>M_X#sVcq5gN|QvfFvmOkb-CZ-*H z3J|*>X#_M7zyj)fF)%RrgETtw2{bb?d4dw34+8@OXcQ783>x@^ng>qo8jy7C&i4T| z{k&kr7NU-PpoS>OF3|dOka@u%0%Dgh$SzQR>4CcAEhwEKrvXPk19!d+jG#OR$uCT6 zLAeN#?HoaIv4N3Unh`^sfq_8-H1-2E8#L1kiWf&d33t8^jGzc*S_KP3s9F(FUSVKh zAfy&lJ~%+tIz!#u%*1TM2y%@80|Usv2~c@-|AORd@W_G2fIvZnP2Uzg`atb@T>9SN z&<6^84)6#t14AMx-D8CP1ZJeL&&3F1SH20%%;&L&J;<LaP_xnf0!m+?o&w0dpe1pL z^aU>4HXzEj>8NF!E8hl2W+p~dK}dLCfZF8@G8CM?YC*{h92akJ$brgO&{7bPmh+%^ z1Q+C>vfdG7g+ViG4<!HYMz+!kY%VBmxq#NsFfcH*f((G<Rd9x5?qgyqM@n0uuqc44 z4FstLyN}5mWDrQM2PzkXkP8FJf!wzODz_LEKXCV<*G0?|nUHD_kPAV2pFs7Ng8YUS zw}^OUPGv;W3o0Ez=|TZi{(;JTkiBSn-T6?`MFRG8F%4(BsDYXdnvDU)eKQl2Gt9p; zpmLxwMo@kQ*J~JcFLM*Jn?e2soz-#$s@E8+n=c@hBh1oF7><UdBhZ2vP&9y#;sD1} zIml_?bYudmm>C!tu*n7Bk;{R~S%C}&n^^)j6SN?@11gsWl7plnP`v?4LQ$|J1d7WI zP<7zC7%e`K;!*}VTtIONvIErH0vV5#PF?sCz(rsg%nndG6an=o85kHq^$8?ivcT>H zrF8?S9B8Zq8ZRJsg7XJc1*{TdV9;S;V2FXLEe6E{B+bIrGN&>j6oVXv14lA4Ft9Lu z{EtnV8QitQlwk&SR?&p>m>C#^7?>C)fcF2u>U9PN21z!E_y*9FBm)BjxZY-9V31>l zh#P<cgn@wpTn{raFq~t7ii0Mm85kJA^(+GeLnBn20W{4It4kRe82&=-4S<S+>rn;< zhTTx{3!qAbfq?;BHiGPhiW`9TDlsrHfa^lgQgEm{733iv1J_Lq3=A`&?tFkIE({f4 zpa4-1uAdkf7!E_-`9TpP4zsrmDjoou%13gKJyd)Hn)oNEI|V>P$4Kfyy?#(Q7odqJ zL)BkE6K96{%K(%>8KCtK0|P@J%>AIHEJ)_>fVzhPG_K6RzyPi{7#J9`q2dQXiIjnX z0bKqwFff=v#RW7W{)LGzg}NsJDh@99K~qssaRY6LdT?H0U|{$Gb<Y8)IJo>~U|?v5 ziYtH;JSfdWv@w9{Q&2cGK*ho3HUk60TBv&r^dSntWikT;gC<mb131$$Fi3;)3<Cqh zbEtbR7(vv7%Sr|YhFMT?1!IUfxSRy_JD}nRK#fQS1_ooOIUG=N26KpdaM=Y)Ur_fu zpov#N#T(GX|3ck)04feH%NQ6K7D2@sK!p?o0|U4mV_;wawSPe2PyiJNms_B84pqOv z65<|MINyP~(*SBPG&mUgq2dWpad7zq8lQ%We}IaE%Nhm-2GF)~ki8$EWe}{-Vh&Xg z-tNG_zyJ+ahEGh8e4PMoBY^u$3=9m{q2T~=CA2Tdz`&3M6$keXLH>a%cn<X!XjKA8 zNDf3WFfjB$#X$p{AR(Bz7gQXyjS?gdEru9CD?dT*2W^D{iG%x*3=9m%pza3^)Plre z>MNn*6QFH8a9<Hr4lyz?fckDAwa8cwhqxLOcJ+BU)HmQz59)_uvv(m5_1!qkf5U*? zJ(F;Vi{dax28X?%CJ{Dw`ruH%8Hf1>IMl1-P|t!x{c;@ss>C6F9EW%!4tuk4*b5pf zz!nZmSh0t{CJu2>dc<Z9XjdRMaZq)PO}q$)zivYF3ur+uC_RJ69YEzLH2q%yE!|;Y zU;vLJFfcGYf#&N8ptCVR<v2(a0|SFSlm=xNP?`D%G^70g|NoUZ#Ct&rg#pwSVPF6i zSupjmau;L{Xv7vK4qA>2G72Of#=yV;6F-P#4rts1CVm-7JQPWN8npZdQJ^j?Onom% z0O}rO_n*Td&Ir<sMg4so;#wewL)BX&xyKqw9NC@DNaCP67UoWG9OAG(EJ)fL$^6?O z0jRy8Dh6iGJ0x+?0w<U_Bec8*Nh7O2k0g#9U$>FOk>l$%k~ngFi9`ENAoG#qO9x3D zIUGJAi6h60B(zTgG6z&O!`$zPB#x{;8%Z2l{UjuDWc9Cch)Y5H3m|(zbsfy!P$Y3= zb6SwZk=0K|5(hOoVCEb~5=S=YKMrv{Xnl<C9tR|GWb-#6i6f`O!${)D;eQ569623c z!6D8LEiXXs0nKp0{AGzG9)grUJ(0vglS?r5p*Y0zki<c&0%7V~ki?PQKMhIT2Fczf zNaD!qPa%mToBtC@9NGPx&~gyuUu5?yA&DcKV}T@&Y_AuRII=q<ki?PI*CB}`o4*rD z9NC>`k;IYRc@u~DQyk(SaftuLA<hY^kf7xcvc0NE;>hl|M-oT&Zvc`wa`?m{i6g6T zK@vwce>sx48&Y~Wj6?h`l6Vl3`WHyz$mTGCmtjEaHDvX?NaD!q)se)J)te%TBdd?X zA)bjO4jOlc<%=35ab$DmLB&DU8>q^H&D(5(ii6TKvidVf;>hm2jwFt({v(n&vid(r z;>ha7K-*=Z=>%E5B9b_=dTS(cWc6-H;>hX?afo*#i6fgc4M`l?oDE3g$m(|^i6g7O ziX@J#{sEFWvie_0;>hY*L0cxF;e)JR3x~KP4)JItab)w;ki?PAZ$J`9R^N>zj;wwu zk~p&Z4M^h1>Q5nwBdfoPB#x~97Y=bjXrmWB-D)F=Bb#rAB#vx;0FpSe`e-C^Wc8&; z;>hY7ki?PI&q5MMR=*TU99jJ-9O4ggi2p_sM>d}gw4Vq|`cXg<M^>+mB#!JJS0r&{ z^#MrY$m+9@#F5pPB8elbUw}h=7m_%#IY*Jik<Gb}B#x~96_PlzdKS>87-;w)tLH}& zM^>+iB#x}!1W6oOeIyR?0vzI9NaD!mPel?(Hh(>mII{X(NaD!quONvdtG|yVj;#JC zk~p$@7ElKj8g9tyRdI;h;1CbMAzp|>d@7PSvU^S=i6gt`ISz4YXvGIAH$Y>MuzFJs zNgUanC?s)Y^{GhW$m(Yzi6g6Df+UWt{sxjbviiqJ;>ha7MM1q{NP7cWy(*G8vid|M zab)#5NaD!qtC7T!+ZBCC;>hYZ;1FLVhHxjc`fWJGf8r3g0iFAUCB4<-5I=-NoE16` z0g88I_qgK_PsAbKizJR5o->fdk<DL@B#s=O+mOVO)nCCO&IX+?L3h6&4)Ho9anM*b zES+ye5(kZ0!NeaTi6i^#1(G;uh#00`5IP@&?jCO>apZhjjwFuk-)T6+_aliTyZ;B0 zII{aSpaaw(_aM7pA4we9{q{)W$nNn&5=V}&L?m%!^({EW?;?qV=2T(f_8v(b*&Gq* zJQm3P$o`E(5=U0Q7)cy776&u`A(A+<dU@!47|49&@Cii{M^;~mLwqrkII=k#k;IYB z`HCctteycnfDdvHvU+|bapdq<LJ~(-pMfNf91eX*;>hV_Cz3d_dk!FpBd3#dNaD!$ zazf_|LGDL3#}-K(S$zSLIP$pAJS1^br2gh6BynW*H;}}U^VeS_ab$Z9p!1g?cOsh; zha`?{ZxNC>viccF;>h-HL=s1~_W_bP^0<&Vblw!?9%OMp9O8{Q#JAuOzlJ1^>`q4L z{42;_WOv#li6h5jB9b_=`uRxW$m$Owi6g83k0g$)UJ*Kvi|(FyBynW*jY#6i=I=)m zM^^t3NgP?dJanEIWG}M%P$Y3=_4zo&w<3unn{xn39NC=nNaD!(`Vo>ivU(QKu|Zhs z{T3u~JEZ(F4M`l?oU=&c$nJcAB#x|J8aiJN3LoV1#1%;#xjZRF5=S<_7D*hrJlTjO zj%@FHBynVOl%ezVAon1vx5Xj88c7`4oNY+r$mSeH5=V}g+eqTb>Q$iY13>OU4j(@x zapdrsha`^do@Gel$l-GlNgUbU|48D<`C1XW{s812WcB_?;>hajki?PI2Ww$(ua@8t z@4+Fy1c&$`9O4gfh-*XFNr3!?9B#fy;>hlAMG|*J$`{L!#F5Rph$N0|&TAxbKO}Q_ zq3bZv-D8L(j%-c^k~p%x+mOVO)!%@M!^S6J>lR)^#X<HWtC!cs9$&#Y#M^O*@5Uki z9*4NH9(H@fafo-|5dVrKjvPM!k;IYxn*v?$f*uYNaER~4A^sePxF9sZ(ao<!5=VAt zGm<#6JFnspe~&|419Xfew46sa-xx_8*?cD?apdwU6iFOe{Z%A!<oe<{k~p$@K~SRy zi+fa%#F5p<BZ(uIhn+~`$mQXBBynW_ZbuSFE)O3fi6h%93Yz4@;!b-cab)#5NaCP1 zYq0)81(G<j`sqmG$m$m(i6g5&gd~ov{v47xvies@;>ha1A&Dcaw+2n(Lj8*@9)d%> z0Ec)F4)HBW;>hm#jU<k2uQ{mE40R83dFu@ohm~Uikm7<N4l0hGzb4=iKZHa43l4EL zGl)g#=7-`CKY%2ToDNSRi6i@05VSY|>R)7WOC)iSUt#Gg5J?<aeI=4OvigZg;>hZE zB8elbzlbD`to|F4II?<i==wSI@JT`vM-GR@NaD!mKgA)=0$L=2C46LYh!-G<Bimbr zB#vzFE*#=Fk;Fks0~Y?Qph5)d9^~|_h$If$KLAtjh$N0|Zw!(+ayzONNgP@Id?ayX zd-vfGe}qGv6|`6Z>V9PNb&$l7&G*J3o`pla6NmT;9OB1uh(E(2&I+2ehPofwohmrQ zopFdK;Sg`cA-({I_<kJX_i%{+#UU;O8l1x7FKZm)@i@dGr4?jzF{rErpGpEX7bFJ~ z17XmK_8>lVIShEkI!GLJ0vbphIxP<u*8xp#BdvV{sRiM)AOd=n21p->3&OCyThL)w zxH+q#^VZPeG`M&kbiNikya5+?g3ePyyR&d{G3fjpwEF@VuY}I8K%2L4@pn-5(B=|c zd?R!o3tAn)#h*eAfEG(|aam~l6&j6j@ldEZXiO409D1SRpfy^^;zyz4pf(J$_+O|v zs0=|CH-)xeL1izpcrH{Nv_=J4JOWf`f!1Av1fe^mLGjWD6$kI-K@vX#6;D7@{|hRf zf+lVNt<TWo3#yC(-F(=3VbD=uAT=PjfG})b@Dq?Al6zq5onh;eL25x5wr(1Hk0+9P z*t*Le=z2wvS`db<yS#!X4qJB#T7L_&8l)D4Vf!#bKpZ6VVf!%VpozowVSp}~KsLt! zI=&BD-;OK}+lQe7%}*fXKp3_UqXbPHwhsez^$oH)uzeVy<Ia%9Vf!#Z=Pn_O!}ejg zfGTPvf5G-)q@anz_F=&Goq*g3!mxc9pfjwH&4=y70PTZ77KiP_xPoRrY##>bJP>5{ zuzeUj&~=X>J3$z>4<iFjd;xTPrv*(Mwh!Y9nmBA9#s@TU*ggy%=sHP|ogfU`hv9)H z4%>%Of+h~zhcN+79JUW*4VpM?9|q{45ae)x?ZZ%kuAc<C1%zSyFdERrVf!%Vpozow zVcb9yhwZ}v9jc6MFKizM=sXZ)ao9eL5NL$~atjE<_F)vDiNp3`^q`5u_F-&66Nl}? z_<$x3+lL_mT_*{$6NF*=Fig<IVf!!=(8OW;Fc>nkGvkX3N{ch97;;l{lM5=rB4rsV zIq}8G8L26yIjQj_MTvREiOD6I`FZihndy0nIq}6g`Q;3HMX5Q7dL^k9CB$h;ODrw{ z*;1T}q#-jewWuUBF(<w#H7hk4A_2F#peR2%wYV5zd}>*0UJ1+*1&Kw8xv3?oMF{zv z#Nv|pvc#OyRP2f}Q&Usp^Gb75i!zhri%U}COY=ZJN==C`$&b&>D@jd<npRwpUjQ~X z1;zk}NpePNa&~-CVqSV`e12MdW?o8aMSOk%$XCg^5Dkerndx~9CHVz8Ic2$EBfvU~ zQX!m_%Dlwf%w&{61t|cl#O=Ji#FF^b!qUVXusV>2_{5^3#LD>O{M>@XqEsYF+=f65 z(96p&N!4@r3)L+yNlea07zhd6<iwnuB$zljX@FIvfD#Fqg~jsX%&JtdTyaTmNql}@ zPG(*zG<l?E#HWFx2`mlPT9jFwnU@})nvxFDgW=wie0a2gbzvxgM;a(p5>rwb^omQ0 zN)nS8^olEU!8AB!7UicTmLxJDCtphjuwe!9CW!`VX{pJU@x`S{@s<p!6{*RkC8_ad z2&O55X>5sNhy_+dEZ~NiBba6grU`;+1UJDl(L6QHFgXeA40D7D2&Nf=X^LQ)AehGH zFz28cW`-~f!8AiKO%P0DGpr_<B1}Lq%@9me1k=P6s|h9u6A(;O1k(h;G&aF%f-%Ab z1k((`G(|8?5KLoZl=w2jYP1o;Xav&?!8AcIjg7$R5S)79WfO9;0+k_&Iq{$ZF1|Rm z1eARY;z60h5UbUO2&)lHGX&EV!89=>!)mOS8Xzo1FwGE5Qv}ll!8A628HSQ$3}GDy zP^Cx#KF-7dI#&t9gp68<kTtY@0O3KdRfn-bG-&NNs40u4i~-i~NQU+cL25x5+U)@` z!5AbBZHj^UFoFZxv2cL4r$E&wsGfyZ$Kd_tAhmaxAZ`N5f%q`I1Y|G+149N(07|3V zb)5;a#tJG8r##9aOwd7BAQs4ekY05Azc51V2dP2EJfJ~nWC17}6jo3sm;#+6!N|Y> zvj!{%A_SoNJ3tnL1fUpZKa|T5gTwthDj+I0fE*1y#SPTtg6W6#gU>TT`sNVT2yzB! S(w>2V0oINJxf^5@x_$spPSrO6 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..0f21118 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c @@ -0,0 +1,152 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_75(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_78(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_60(char*, char *); +IKI_DLLESPEC extern void execute_61(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_66(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[46] = {(funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_28, (funcp)execute_30, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_36, (funcp)execute_37, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_50, (funcp)execute_51, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_57, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9}; +const int NumRelocateId= 46; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc", (void **)funcTab, 46); + iki_vhdl_file_variable_register(dp + 13864); + iki_vhdl_file_variable_register(dp + 13920); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..0a03bc1b006d514728558952df39beeca889fd80 GIT binary patch literal 7088 zcmb<-^>JfjWMqH=Mg}_u1P><4z+fPbU^{@B4h%vJf()Pe<$eWwbpGC{$H2hg(Jcy+ z^5``MQ7=G*NAGS8GX@42I|0UyJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`wnUy>hRk`$j<6rY@y9-ow&kyxe= zmMu!n$xjB^0>WSs{OXI#N-7IdL5dj|h%rC8sH`}pG`9e(g9!6;Q*#+Ii!*a`a~X1r zL7G5O3!+EyAP50>XJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&40bFF0|SEq z0|Nsq0|SG*Unm0;1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAg zfo7%>J`M(m9t)5d0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m z<r8RQa^#a}W_ICIVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fQg!7KV@ivB@%H zDZ#kF3PGU&X21!4FpCXLA`_5sVPjxN7KHhiftA4kO<WGlXJtqL(+C0_4h*ae6A(gR zmKOs9gAkHC!C}n6z@Pz*FR&sc!j6G~L689y`VheaPyjG6Fu37RAB;o19BMDjK5+ao zFff2JIw+k$Oh=H7Q1u}5K%x-;FferCFn=8m@gq=k%0Py|(g6bl!$}<K@8S?=VuYk; zs4;L#5r?=F4)G`);yF0Pn{kLwX9UFyB9(yh7RXUcaj4&bLwq+5@nbl|FX9ltgG2l| z4)ISo#Q)+D=U~Df{=zuK<#32=;t)5%A#RUD+zW?zC=T%i9OBtH#LIAqH{uZQ!67~! zhxj5K;%jk;@4z8`7>D>d9O5@|h(Ez0{vL<;FC5~m%-GY301k0!9O7y?#0_zX+u#s) z#~~hsLp&CTcm@vfVjSWVaEQ;tA-)EOIJlt~Z>X2dpy%Uk03r-Qgb|1^1`#G8!jvK2 z-N)a_(I?*D%`Mn9BtFE^$;UOGA+0noIV3TOAu~HO9^BkaEJ+1($}&=N;?pv7Qsc`K zi!u|Fa#G`qQqwbwOHzx#^2Mon#hE3URjCZcnYpDoFm1)j8L26yIjQjlMfu68#l@+` z@rfnzC7HRY@l~ls`CzT76{*RkB}hsbauYN2z)~5hi3RbQd6^}di8&y%LCz{pEr|y; zPE#_A;&bwo6H7Al^Wrnp;)_yq6AKDbQ@|QQii*qPLG53Ns|ylKGUD?Rb0NL~NrPIu z@u21|hJxbET&JSUl=Rg2^wg5@l%(*YOqe&5i&7IyQsYxni!;+9P6QcMlpJ4Dl$n?l zpPXNsR|0VWG!$S;{YrC#K+X5Wl2p$W2AG%4O%Y5p1k)VBw16|skeHTmQF8+X(-6Tl zLNJXHOcOZM1fjqLp}+)50m5Y_2$z{4TxNoBjuC=sjBt)I!U|)A6~+iFj1lG<Bg{2M z_{{`im<hsfrU+3}BvFJFrU)xc5xy`*SYe8=!VF=U8Nx6#gwxFs=9(c4GebDt%$xz7 zH%f{U^NK;)CqA_-HLoN&F()S}F*%z7ES{JQPNhaDJPQ<_C8#lg)Rxg=U|`^8U|{(3 z9|B<AY9<B-22h(ECJt+RgW3~3Na{iDLy(amaZuM3rrrc3$iTpWF765yM>ikT{sc*Z z)Pvf<FmvKSf(#6xegcYnU>#JDdO;-j<U-Yh#D$Q=CqczQ_JZVK=FdbD2ermw;`5;5 zAhn>jH%xp5k~nB^0Vcj4Dh@Iq)Ov@BZ-I(~%n?I!&u*wVNIj^v22+0kDh^T)iVK+d zDI{@FUkE1t2r3SWM^JkiCjJshoDa#~4@lyiNaEk2;vn~cTJ<n<{y@b+?g6#UVd7lS z_C82l3dtN1BynjZai}ri9)>K8!@vOVL4dSD`YaGhkY7M7khnaE0mUG{gP0(31rP&@ zVQi4NB2)}S!O|y4TnQuq#jySoNF3IO07-%Rh9DXwt^#5}F=UL10qlNNs2GUy01*rj zaWyC#M8W!<Aam3~0#F<Qr9t8_w}FIU{WOrcCP)B^Vf|E)I4F*x!VHkn6NtUqFhS7x z3zQ8~uLEU+s0I)Lb*C<r4Wc?g1XLV(Tm{xY1ev1`RRf}CfC#91SQvtY7Jvw-xFM7c zqG0(2WR4L?0E#z2X^^-vlntW5BUVszU}XqM9F{LY>cN9vAVCHOh7(X4ByI*}gQyE2 z0&0#qlntV8fC#8KEUrO94?qM|99BkxgusJmP;pov6(kPJM<9ExK>|?x14@I$ZJ=y0 z1rmUo10D+m3o<ZpAc=$e)KFmt0VHvIm>>fKg9MT|EKP!>6_CUoK>|>$fh6t(6$4QQ zNaD^Q0VuXW5_f@$fhY$gaab7+68At72lf3yVjvuVB<>CpfZ_-waai5~NhKhOdx8X@ zI0H!>R!4xOVEG+XUV$)341{4}4iW=lSe%7`B%$>PNE|kPkb@=;8xLU6E3V8fNlaqU zD=sO5&>1jRQEE=2UP)?234>lrVo4%{UQ%%}gI-ZShyzk?sAqx7HH2{)^uT(-3X4*6 z81$0!b8}PkN*MI=@=H?n-2Fmzi%US&IfS2{SE^S~lwXiqR8k4mnwk-xRs?EaLfuU% z1qw${5QFM0WV2vtOCBVNB!R|d0G08eIsm2~rZfXoi8C-T6o3Mofq?<U1?dIVEg&_p zavW4<g2X{>4Ujkt$Abi693&cD7*xiA%p_MosH_5+iCi{;tb?V;?H~>k2H6GTg7koB zkiS7}bp7H`e?!LkKq?^^q!z@2(f-gf0jeA%1;#2+0Z`b21)u~vKL)BF-Tw|y{jhO4 zsCEWWe8bovIvm<Y1Nj5Qhv6HbMim1CLjcr5Q2c@X4#FTcu=q=Z+K*fpfaEto9R!LW z5FdtN{)W-{Q2j7@7<~t-AI68#Fnut7Jp%)HOcd%}m@vZwsD5<+!rTv2I2~#~x_)rO zm4Sf)R<FU-qw5E?D?veo9)232#vTI$eEby@exUX-Og}9AK>oq78)PrYP*D2_)HsB; y*Fo(ybp0SPs8?Z51k)=(jd}(KhFxfqAp5`ypadwI(UgE?I-u@f2<9OObo~Hj;BDLh literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..118a9db509f69ad44028dfe5ada9b423c78ad2e7 GIT binary patch literal 23928 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk=X;T9f|e|Ns9x7#J94a4<0JuxDVX5MW@qq0Yc? zKo=8t7%(t=FlJzQU=6_?HVg~~whRm_>>=2Kfq?;pI~W)kK-iuE6C7b;V8~!*V0gn0 zkrQQLU;yEH5H15F0|NsiBLp*mc?=By|Ns9Fk_O8$Aow6L5M~7tPz+)-LD?W$8e|>= z1A_pR21)TUFff3u=VD-B0I4y8(sD>@K<YsDf-p#p69WSSGXn#IIvzDH3=9k`3=9kg zc+|KuFff4JXo*LSI|Bm)8v_Fa$WD;mAh+-^FffQPFfb@HFfed3Ffe38{SXaxJ4g*k ztpWoBg9B7eH3I_!2Ll5`1Ckn$ACwpv7(ng-nbQnayAnwaD4bOp7#Mt@a(xU844|+& zgro-K2Q>x;h7hQlSquye+zbp1Z;;f0?9ygnV2FaMS;)Y^0P+V1BP85GZU$jc7=tj# zJ*yZP7(nqOgh$P01_lN`1_lNhJZiQuFfi~lFfgd$QL`1AE)4Lf*~Y-YAjrVLV1-8w zDE|mCFfh2_QL~GIfkBvofx(XvlJ-FU28FX80|P@mG<=Jpah!{$MxTL!Aq%Po6knkH z(vPGD6waVDR|-`#nSp^pjDdmS0FoL|+AwBdU;w2FP+ZPsU|<kuU|@KRqy}V;DKx!+ z)GT9QV31&7VEBrp2IOu~T!Ao1%?1Vr21!t!#G__A0|SE;Gz>xc4rB%hgUkS7kU5|* z1m#suCPet4tJw*Pb7(l@G6xjSvY@cWW6o{{1_n@Gm&K!I4+8^(JSe|2LGlpDEg%eX zF9?Im6Hxep@|yu3HK07A$iTp0$pp!_ATvN1WCjR>(iSL;L3z>%j~b8}puF#mM-9jf z6{wp*VG6PbghBRzFer_J+ziUwAxx0`3X%t5kUR*3(x?Y0-!L#R#Ntuo39^TQfguf# z8ZQP01`P%VhI~9~KxK?30|P?^9yJjR3=CQf3=EA-kn#iMUJwSk7lc9OA}GCs%9<`b zYCz$q!@$5W8IKxJ*yu7aFw9|sl(!&zKp12X2!qNvP#A;q?NTO4-35{dVURosgUUEi zS_b9Ybxe@F2$Bb3kUR*3$~aIy2IX6jT_E>^<UtrD55gcdAiE5qZpNhs<YrJEww(!* zr$J_bFvtuL29=c{y`cOLN~@qW0Fnn`kUR*3)PT~e2?GPeZYD^+2g!plNFIbi<tZqy zgUSeyn?dOoBoD$Mc@PGv0lC=>>SkPOKyEe%l^Y;U|Ns97r8|&03+y|Qod*&E*O#EM z0M!qmbPcitRGxwI1c(NOH7E^$+y+tuqA%EWii6moFb2_}`U+~*e~?)qgA?pK#X<T( z^(;sZBnF~E7^X%6ss>cIgT(Qv0oAF5)PU-Cm>O>e28JML-3ThP9yoQ1gZu|_KZpjk z0a6(l7|Nh(Kz;!E3sm-i$_)?=QZs>pfdSNh0{IhEE`rp6<U#ozM1%BgVqjnZm7gFr zpf&+W4M-joZXg=eZaK@qz;GXG7sy<Y8c@7|+7loeWX?AR28Mr7H6T7n4af|TTR=2O zAIN_o3=2b0e1Yr*)$Jg0Tww@O1FG8zsR7mPgw%lQc0y`Ebvq$7pn8dr8c>}BQxnO^ zz>vfUDeFOH1t?BIG$<W{Xi)f6GBPkUBdGy}A*jp%C43MK3fBdU3=Hd`YCw8GYCz!$ z3QG_TQgfP-f#C*J4Tuj?1IovsxB$^0eQy~d^)o1JVet+!0~F^V8l(^8b`S=c3yK?% zI4J#r>UNMgNDM@SFiZ_7Ux3tr>UNMgJ~f2G5M&N0Z4xpEIh;XiK^WaVpt>C-j?X=y zx*eYyQ22r3f{+?ed5KRADDQ#FKSF9i<rpC~pt>EO8c=)^ax*9ogX(sC=77|I>UKhE zKy^DIHK4kkkQz|kj!zA!Oaawhgw%lQc0y`Ebq+o?p!^A{yYQ(2<xfz3gHH`8O@i_} zAvJ{T0+~a|&7gcvD11O_2&Gj}o(I)C_}mOqL&(h_HOOuT<wFn#)w7_sAgIp+;)5{A zE|A+mWe~&E7z0q<1rjGlEl3}+YC-veShb+Ig{cL#OF?b|^^0Kc2H6XC@2xEcpnMBc z3u>Rj)PTg${RSF00EvVAMo2BFjS5o>YSV(sZI~NCcEH_Gy3GL8#)PQ_#SN$)2iXA< z1Gxc|pTX{BU|;~X$w1;Dc~BhTQ)>%S2(9Nq<uk}$s1SHO2IK~?*wh#UH>d=tjsfK% zusBo<<OXE5eo%3cT2NlXr#2EvEhsPGQw!=tgOr2J1=)ujZy^7I#6UDd={AExkV2^6 zK<O1Zd_mz1S9@!VK@*Z%P`!Z74PbMp#u$Lcyg<r9ZUB}2_|$^hY%sMTe_~S$j<=~X z2B5YbOf6_E1)tibAcauBfyRbFZ2*v;Kzcy&4e}e<+|q3ZYmwB(K*yY5YC&q?YHw~a z0JWz;%0YgMMN<p11Fp7oo55a?LZ}<!(A0wB1+Mny7K5WmYC&-bG7IJgP`tp^mTofu zwNF9HL2gJuGZ!QVS9^1d!Bvn#s2dWYV|OrfLFpN;wsf1pb0oD%Xy$^_GhFS>Ee4?W zFGxAazGUba9L!u$dWNeN-(~=6C&SdHps59=XSmv%TMSr15d?KFsLc-wCz!b)bs!9i z69(~Z27E|r)6mQXrDwR>n_CRzkkqC_$L3(>g3>cwtvI4A0r?GNKPbI`(>Ao925Mt~ z-GEe<fYgHG37=Yhs2f1x4pI;0f`SI4ECJ;OkOW9A2;)<03Y7$@1H}`R3(}5ZF04EQ zsRhLoKDEwJNswAlJRzq^P`(C*88}>SZZYseQVSACRtpL*kQi8P**1efB(<P;LRJeZ zr{QXEZZU{JQVWVFWVN6+5nOHAHiLL1wIKH)s|B?~;A(GfF-SvF3vwT_T2MOzuC{EO z0cac&6qulJ0J#sU6(j@?U$Eb%#u$`BB|&OI?t^l%s;!4gg4BZYJd}%~7M#vNWhzt> zq!yItp<JwLr$8k^YC(A(%EhX78B`La7L@0qT&!w0K_x+IL3tj^#j18ER1%~Xl;=Tt z4TM3$pmqo-e8GMz+hzb7p9L8LQVYuS$Z-McH^9|yIcabSWB_!07nJ9b)q>h>aJ5~B z3_yKCn7N=lkE|9n4hvU1Wxv5aBy%&+%05tA2(Gs4kiioqwV7yYWuRm7V82b-Z}199 zEokf-*$tqv4rFsbAgKk-Yapuyjnl%_PT6np4M}YdmN^u-+Nm)Hf05MY5>U$mPRNjX zjy&ib4X8{5837sxhMU`U$Up!|Z9bY>(0DLh?Uelnu(A)7he72e$UIQofG~R72v+ui z)DkKuVQnsuT2T7IXRZR&y&$!qauSptK^UY5)P@DQ7p!E;egi!)12SI*%JU#~uy6qN zK|yN4as224+;5;V2V^eDJdnRY7?<BbY6+FUps{k086dTw@)w)AU}sK^F>nAgAagVz zx8YOkj-(b;MuE~d%x|FnBS=5kZ=iSsIRHBMLu_3LaxW;3L1sd&0+*AZau#eZXuJ<9 z0TKhHa}WlFG05GZ_B+T8AU4CJ3kG3GYCRtsvs!_`jNZxDr~7UTwGwV=KqT<xO^ z260GgLFo)xEvTOYS370DK@yT$P&z|a3+m6o)jqml0GbN`1slk3p!9&O7Sxx5tDUmn zAPdP{P<jA`0SJTq4(cDl)jqmlP=KTslpc`H1@(_WYQajT>^CR}Gaz$q=wSxxSAo=m z>|mI(-=G0WEhx-D>S1mG%>jVaf|b-BFlYxeAm)O?3|TE`E}%6@}hB(<QiWl(y6 z#Xl@xfcaBn3}zy!b%xF#gXN%YE|9rk{?r(QB}i&P<I(ucg_S#?bPn<x*w3KIK{6LC zHZ{foR_=h*g8T*+$DwvT)D0lDp!5LZ!om#H7X`T&B*svCz+fAg0hvbur3a9EVQNA1 z9&oit<qpVPQ2GIB1*r$Q1vLKwQVR};+5_-%2V^F(egml`<TqG*5~LR7H;~=XU;yW1 zkQ=~aQ)58O9>7u{wIB=@2a7<~Cm^fc2l5PbejJq6@u@wDq!yIc@u@wJq!w-;nj64M zrp6fD0y7|UexSA|HgmyBrpCbAeIS2<>I{5pVeLMUT2T3iPwgYH1rRrY>Pe7VP+kT( z89iUX(l02yh>c^AT0(LB8tMj+T0(LB0ZA>$f1tDr!XS5p=G)+54r-SmsRfx0@)t}k zXx<I3w)TJltbYMg2a024wV?SokXo>DQ})CA7a+ADab&fic{q?5SZ(bAc>e;V78J+G zYC&T^aJ5tR!}}K?wV*ggRtuV!gR8AQ0PkOb)Pmv|SuJS24_xh({qX(;NG&KIBdZ0i zd4a1%%EzEE0F|epa0R6W5Qe1(uozMq1yV~WJ^Td+2xJ`zq4dB3iX`Zo6Hs~paX}bl zuNAaS3pQ@wMFT#N1XL|3J%H4J+zP@l_kz_<je)nLK<)*F8K^7+mtRQbFPJ|y240?l z!iJFFU}ZH(Eg`?b!U3cf<Tq^Y1&d9MF%W_J4Wt(2H{^J;Mh*v)g9frlYGH8<@*l`7 z8>m{a-}YUE`wf&HiS-*uEg`>YK-~aROUQ5fNNPcT1Gy6vXCMrVH?Y{$7y~mTwV*T% z76&OriZ@ssJ0htC#WOy&K1gaobsQ*sU||Ltn*fD7NQ}Ycpg{zZT2TKTBo0$+hZeq| zc!D|)Oo99Z%1a;&7Jw2UaZvb^svcxF)Ce#IG6!VFK#7CH9_$hb0dfy0xNx}#<W`7E z2nkXT3L9#PBgZ+&29Wt6H{x>7K#7Cg1acn?gWQQP+y=^>xa<X`f0)}rG|2rRwYbD_ z`4^WsXj}wjE)0Xr2lWp@cEH3yG)Nqjb_Plum-|U|56BN7|G+TFU-<F`u5=DcLohQy zG{_uKoZwPVE%%e^UuvnRmis|r1PU)028A2Qjkv_)OY#dCa`H>^<4ckl5{npILPNk@ zhQy-e42GP{ywv!3Gcy>&0>-d}GRzGbN-~QQ(^KPN42HybhzLV&eoARhzFE8>Lvns@ zK}l+9Q9M)#E|tiTl3A8n43bJKN-c~xMCCI$rDi0SW#$(p<}l=zR+z;b#v4Kz42kg& z5r&eY#Ju9<{1lK2pv=_LBJ<+-l=xDFWM*Co)K-&tBNz)t$0tI)V`y#wXTiK^XaN_q zFoelk7{OU4aF!WEVrfZ!Zej^2G+<1I#CSNfC^bE^xTGkxINpc>&SprAN3h``RhF2O z3Gx!E07GItngE=O2xY@~6H7#pCo<%uro<PN=f)etSTF~h!{XJ@!WbrIVG3uN!&$Is zH-afMhKs;_Zw!}$iI~HJ$Q&kR4wr&iYyme1rq~!}zcI{uW0+zin23=fLvle0$T!6x zkAahVQhsSkejY<oPJUr&DnoKkcD$j1K`uj4aS20ld}3M}Ls5QddMZO%YEcP8Vje?Y zd|G002}53daZY|YgJ*nFX$eTXXM9plesVU0XFS{l&v=j-{_*C;sp+|?c_l8H#RWNu zl?(`x;MAPd<Prw|_@dMl2LJf<qSVwphG1uq5irFN9!Rh#HQfM88$xL#C~XX-O`x<X zlnzQwOmR#}DM~Fa28k4dtxHV_N=*lGOY<^IKy(Sj(%j6vQn0eT(%cYOb^ytzK->*= zEZBokZ$f3j9!NKUP=*l72tpY{C=&=}%HSWL1NCeU!n;MO$@#e;o4`pjF+CN;PR)a* zZBW>OL^AWhNgFJimI)51#FQeCOkQbjYEgcCa(-!1VjkE}MUbF^1QaBgAb|u4B5(lZ z#D{@2=fnqrsN{l@_?*-fa0tNIpzOuqAMc!Bnpcuq<eQ&j#t<9?<1+X|Qi4AuB?Je7 z><6i1@QgQL@QgQP@QgQN@QgQR@QgQM@QgQQ@Qg1`&0+8d7e5T1@p+}WNvTB){_)_5 z@Q;Va1Be$7Ds+OK<5M!LV9dPITrkr=-Zwu56rL%m$@wV^p7HQt@r+N+10|zmkaIKh z(i!~Yi%as0QbBGG4si@|W$=tIFJkbF2Zfqvd`e<TA}Ag~B`he+{lVh?@t~mfk1x(I zD#-+E1qDV~Vh)2RD0qS(R2V2CQ&WQC1HyeF%rGz$6bSHe42lP71%)X%9Q8Bub5o1; z6OD{hQgaeZ^?hAkoc;auOA2&Bm56R?Noh)EVqS@Eo{@1<era-sesNK<K1eFQBuTF< zBZWafzo0}vGdEp7EVC>zCEv)v$VAT&%F&1NK%UYs%Sg!q>n<)yi8nGZu+T3qNz6-0 zEJ}fxQ&5zjrC*U$nwgVQtZ!*)X{l!r@97s3Z(w3z8gF1>VxXUyS6q^qlT)mpn3EG< zkds)FmS2=x46_TOMV~0U$aix|YDGzAK0REFY!k`u%}h;Ag#~g-d`^CPW^%ltp_vKD z;W-TYGzn`0c2dm`x=Hydl>^}sWQ!^A4Y*v)OpY%u0jGT0M+c^b)Nws>c+faSA$bAW zR&on3Q0ieItLTHYg~`_sYdex}0;~cqCf^8fjSgz0gR5@J%>lJg$u|Yso+aM^xObr4 z5%Nt!>AjF|45TMRzJ6F22;T1@-zZ3sfXjVwyBgGgM8;r21_p*s1_lO41_lOeMh1qj z(7k+185tNrb5fa13=A#I3=HPX3=Hlp3=ChH85s7mK=5xC1_lteW@TUi;aXM(1`s~L z%D@1^9Bd2>AneD+zyQMiYzzz_e3OlV0fZIU85lq~ft`T?gjcgOFn}<~jUczdXb>CJ z=Y{c!r9pZ?W5l3wSJ1cxXiOC}cLG}94jOL<jgy1qL2G+J<DQ^3C?L8Bx+k^@x_$+; zHx{J79lDMVwAU3h9|D@U1<kR7_Vj@E?11*JtY%<f0PRl!?LPsnhXm~(0qt!7?Mndd zI{@vM0PTkWiGlVrz{ElO4M217pt*I>oH=MN95lxbn!5(gNrUE(L35{|IZ@DDCunZT z7`m4lG-m>uhX9SigT~fDW5po1f#!-~?gOm_0l5*hZr>NQ$CQDA!JmPFA%KB_ArQ3Y zfPsM_jDdk6oPmJ>wB8;RHlTH+p!K0KpnZM}3=E+4QlPK`t#1OYTLP^|0<ALwt;Yea zvjMH20j-Mxt#>J4U|;~P;Q_790j-Sz&E<jCk$~2RfYwof)-izACxH9_iWAU06=?nm zG_M4jFM|0Av>pny&Iz=B2{isD4c*@fG8bkBx*W`2ke#3~h3SEb!`wrxeq?(=X2ARb zvKM4F$Soi-ka?i^1H~ICje)`%v<CvT#{m@PuyDoYA5ge}^ka*E&{_jn8UW=7WXu6d zoS=QmP#S$)4OuNRTL6^ULHl>1G`c=?K1}ZqsCw`wbF?*6AT{XYUm!6M)&NbyfcCya zX>{|^#|c4tK-d5@Ap=V5P#RqyD4&DWp<@Zq<O~A?g94OB*9RI)L)Qc5g9;2#833ix z^`Vc8g7q*kFuZ`O{{W@Y^+BTtrW{UdfF^9<JlKf_5CKqF!h#zjf*^GW=mV8)2-OG{ zdU^rbfslo)Z$sw8*y!m6T^}@hV9Mb%dU`?E2aO)MdKd#ey`bxZ1~W`KoJLPC==wnO zXmG_y4D|Rz*9V$ML{biCqNg)-eW1Dmt{9mC^#BKyM%M?bqmY%u*ejq8*#M={^?~MF zVQP`-9Z-iHfYRvtKx_Gs)x+2)pbohJrP1|)>Q$IpWO@VCAv>Tnx<1gnC9--Ldj`}Y z3!pT*KF}Ium|A4I0qT$rD2=WURF@#Dhp{uD4k>`r==wnAHB2os9RPJm1e8YC2dW>C z)x+2pP=`1`X>@&{`Ua*JnO1;0L<35r>jTwA$m(Hi4yZ!}pftKZ(7IfhT4eeIRQ(Sq zjjj(=7b2^Nv2Q><@Bm7q>jTx7Fty0^0jLK~KxuS+pn4lwJ&e5q>VXYV8eJc#9RO2{ zObdV-Zb$;i`ao<@osJ|8XNnNe2WsQM6(cdw^B;PB0IL6yl*5_m<siB~P`d-J7>R*i zPN3@pwZD**!<p#y1G+v?n+mQNiGf~Dpz8y*ZIG11nds#Nx;{{Q2(B23fnH9a>jSl+ zk(9%k=;Z{uK2ZA&t{91dUQVFv1GUwWl*5_m<pjDuQ2QRP7>R*iub}G#wF!}wBbd<o z1Xi!0>jSkT5sDG43D68Z14^Uo1NA=;su3&?Xoe1e(&+j?eHMgj1j_)Lp)H^^x;{`p z2ca6ll7MDt1t^WK57ak8s77KzGc*U3M%M@Giy*0nGhaZ}e}K~H`at~`xMC#61!zHV z14^Uo1NC{3l*5@jpasDJD2=WU)K7vdMq(_076dDxG`c=e-wH`NoY?^_2qr*jbbX-y z7+f(DqX1eER6uETeV{%Zl5#jR0$LCxKxuS+puQ|zF%rW8S`c_ZX>@&{{x6bpI8y^! z5EwvdbbX*cGh8teLjYP3NI+?HeV~3el5#ln2UI-+v_L@Dht_O|>)QZTzXM96>%(?d z8b~vGIgj370G-VNQiqPw>lJi;pfMqIJs>`MKLA}HXzT%`4jrSnd(icP#w*bEfcPJv z1;`I5jjj(g#sN}?j?w!a==~wIY8<W|JzUWBfyPqcijf%T^*g#g(D)0IaySz`{?PS- z#uDI)kr+3i1>_GXjjj(g{(z($&a?n+;)3%a=a9g-pmq;v%mT(orfmr51D#catRBWj zZx=wj|1fFr8FFwA5(B+mfUXZTrh=p#&O~n)pzDL}FNbS@GSJ%v==wn8Wl*Ik6neV= zT_0$S4MhW#gWfJc*9RJxgDOR#(DM<xKG1nVC>o#~^!@|7zF??2bP8P`dOsg@z8|_C z5FfqYfvztUqzDT}?{}c<1C3K+(Z+BCTJb-C(&+j?W1HCY9e`H+C!jRCKG3)wHhn9g z75@e(jjj(ghKfxedO3kU{s9_y#ikFvTte3e8mq;o550ect`9W6i%lQ;cnC-z@>m;a zk3Tkj6QB*78BiLe4_O^(zdte`#zqepXg3}v4L$=A&Ou_JhYPws(4Jr<<!~l?xr?q3 zG~NwYjKo0iAEE05jgccMhcnUJt?2qd<LYq5$P8$^6V`4;*9RJlM^+AFqqob^^?}Ck zVQP_S^maMAKG2vyvU(UBy}g94546u1rWTn-Z=a#-L#uY+S~H*>rvfOAt`D^T7_JzJ zfu7Ew!#;2opm`uT2Z@26&d~LN=0T8@!<p#eiLMVccLG<8#6a(Npz8z8w;(BpGtv7U z==wl&G;qa84D@~nx<1gn4w7;>6TRPot`9U91Xqm2K<{^;>w}%af}{n^MDKT?>jTZ- zffb?===~0KeW0^d(X@cM==~0KeI;O3m;`z{gANnI6@t!Ug>#S?=;;hyALy(<B;{}> zdOAbbR}NQ+%0N$N==v&9l_Pj)<r2ESN`y)jRseM1A_7XI>jTZZqG*6}(8Citd<vC? zoLdX$ATiLx6J1{ol3HXYdija2uNGM`5*t1Lq3f$dQj5&AfDX(!KxuS+^~j2m*y!N` z9Ug|O0L@>+IY<oja6#ACh@=*oiC)g5>w}$#gsca|Mla{l^?}YU2B|~G=;L7M`dZL6 t!1!q6VCec<Vd~Im1?WJR29!qE*M_DZ#^r$aPX(Yfx<1hQ0hn538USd8FN^>H literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..f52e61c0f3db4ca666ee1c8bd2dacb2aa2b995bd GIT binary patch literal 4890 zcmZQT11#kbfgNQKI-?FkkCLMyFd71*Aut*Ov<ZPZ|Ns97VVLQ{Fb0$sP-kFZXMo@@ z|NsAo3J-efMFYf%t9T%E1>@V8=$>eINxRo)^ESm?-r}I3YY`KCD|Y?cv+KGiaHwcc z(%Ld{(uEaH6?3=l&fai+-P^k=t6ajH+Pl~}zOaV6YCW3fB(LPC7;|Lng@V;yf&rcT z6g~ztI#e<~U0ZYi<In!|W5@3$zkm1U*f;&Z|DK=uWBmE-8T<b+=iU|0dG|uJHG)ar z)u<-5|1r->SNAXOrYmDDF6kA@W*%{~+tFt<V`{GGr1XhB{4d()`n;dA>ur1N+^{Q7 z@wYrYMMI5bF8JmCh!(jma(C5Sq4T>O_`Q$)N`4&qX!q14@y<N%51%S`#2nOMb1{;+ z5T6`(t*_;axBE;d4R3p&)(g4I4{hTL>`$D?<DOq8lj~ISQvFt9#`LnqXZy=?GpCzn zr0>3$>9;tS%W!JqM4roeTkU!+7N1?36W0?~-jTI!cAa+mc4e37Ln{h;T2`Fj;L*nX zCqMl4)u@OF@fV2~SKFJ-Q{6Z9P05|8sgJL|=6YqIFzKOV{foZ`f3Ed?(XlzwJNLna zn^NEH@}4g{^Zmd<mnR7}(O-QEY-%S7m`aG9EResQd;ZtL#H%7o=iG8xuLMQ6v47s2 zdYwhBfR8J%F>xYKah=rjUGuiycC=>pO>tJvJ$JrN(m&Vf+lznKuI*eFoV>hWL}<U} zq>s_Px3ASmZ`mAt`lVmJ!MwR&XP@!)nmJub^7!B0jq$Q`)U97X3b?iHjoAa6oBGfD z9j%=slhVDIcfVb;|3z@?jLC@;dA@)Ab7kAhxnHxMgVZJ5UoN}b%KctspQE+)YyESM ziqBFaR1WL!zgXyYMM-!6@$l7QhmWYKRJa=bb36L>jp@IAt6m1aZSCd1J<I;$b<1C_ zA68s`b@k&hb0O7RS?X^$$lo((J7az}H|LasR_iSp|FwyNcWt#Mn5kxLo_ox4hx&Kz zEw^i5c|`uJmfxCXnv*?;`A>oWyX(Jp%(dOUE{iX7_MY%Mnd<YcOJ7(fE&gxA@T~62 z+}lqxu1)>1Fs@EBzFPOto)w+VwR>v}``vr<j!v#zc)0uI<mG)!`tKG$`l9K(`(wfE ziT#x-_xCRMH%%3Z`D2~Au2{3cV4Y(BoP{R>SWKSq@bXR;=-_!TA$j*Do0~&kLP^RN zxf_;7hg44XaoH?dxN7>^-t=#3lA*j4Bj<as;p)$u`*lfxRa~n;uhpx<Q^xK5Jz)!< zRIR?V>W<qdcTcW`!mIrJPrjDket*w1-o-Z`xb1Jf$Qh{4UCNzztu(vL+T0@7y?e{C z1t!m}YtxMC{?{Jw?=zn?>zIRQmh2HG$%|$hyrFstr{+nArJtMA_O6V3XCKq#hR+FW zgm0OeiAnOE+aP>#@uVj`y*#~dCoIp^wKU7Bw>iks|6;ksABGu^|0ZsDzg)mBMk{{B z6LGQZi|UIzPo50<a^uaLH!feATb-`-u5;7gnYC`yq|<&oYHQg)O*s0$V~Ww)^c7}P zW_EMUm>c(I!i(L8S5gmLnW*_jd;3@SJk3ja-x=-IRUe$Z^7}R8#mD!i&HKy~v2xkE zEA9IqiDwuaZM(ftx}*I_?fwUgs%L*bHaT+%f1~BR;)G@Smu770QVaTDp7nLR%geXf z3o;+sG+BsEV!!<R)}fPDlM@!M4!`|)POH-AkOOz#-#FB{O6F_*hM?>(>VKsR?O2xa z-afMOpML7k>j(dO)*r6b&uy`=f9%O|Jn-Azcg6C5TfUk*e%{}|>tz0_J8L)I&&aH6 z5_}<QdrnlS_NUtx^EG>Or_TGKyDj?G>23D)9QItb+==s!$}xWK(fKKG$79mF>!(uY z`aGx$$oQo1a(jcj@cZ%w9(&?h9$#%U-E*W;J>tcLjrV3+?B{lUR3r1JYQx$(Rll!i zw*Sca{jWyq*O8yRAM!T%&JkYW|6<X`do%z3wAB!Lp8CNzVYWr`3jY_U*5CU6TvS8o zIsd`x17?MOi|ppdW%N(}(eYW*;Gf8ODZh}Xzoc$yzJJuB_t!>Z{hal7oUV^*`E;^( zJbCMWQ~Pz%jj}I~CGwA(72lg=mL)G*_NUr){k`gMb(QK4N&hb%-o?*ozwF-mzL#Dx z<?*clw11p^a5nCG#6PVW-`c{D+v(b^UoWh7?!EJ5i~oFe+&9$DnJ=_kxM}0OnHD?! z75vs6-ebL`vsnL?@UA^<=j0tGTKs2yw%c&FMP|Cw^=k)C)~WctOaGyFPR;Mu%nMKS zZ{2?0zrbV8f7bn?Il}61eO7=pIZw2BX>gBiTj%65wUV8zemBL#7JAG{W~k^+kvieX zAMvVD-2av6Ug7@rZ1<U}pZvV`Y|q5Kl7e#$&ei)op68qKetwDl(UP0Y0qK`^m?!Ld zb8tqTtwQX(T{HImK40~7)4!8@{+g6n<mWrH?`@Q<YDwW?`<-0taI`E#c}q|C_m?kY zX2&t_ll^w!x7h5@ZhQTAe38DJXF0DXTqDHnp1k4Q??O&1@0&hfKKJ*R-_J^ZaW7oW z`0|bT!tVMDpJ#u56{xXkAB$DMU32e$(xGj0!)IUJH~sx}?`7v7)&5NUV*LJY&MocN zqPsHYwEN77>0fdFYsdFov%*hxaT#AF@8<c=dEcZ|D93()Wr^>cJ@dtPZ97)?`E<aO zKUTaAuPXgN-CuF(%lWmp5?{&w-LcMd{^7#;&i_>ubNe3Wv7Wk9yld}Fi~DaMo?%k> zZ+Ae=Z{L0atBq}YKknsuyOyKj)y~*Y{7;^JYk1R{`F+cZu&pzz!k?A+-b=l3e$HVF zxt1-N`8E8iCXyTW?}!vqTrd4H&3ebY4YD!*%M^3BIosYpAA9Nf_Rh(#ISY$yXKw5X zwmol?_+ZNSSw(zR95;5<$FTa9&hK0yJ?qfB>ppw+Q@^Ga_b!e9uk%Zti*uu-iou;v z;epJ`75y3|S8sfuZLxEnfco{xe<zp~ev%8!__)bV->3TV&e`9-X)vsME_U*Me$czT z?+YJ3x?fp#f9rzpEZ5`K-HvzNzgPCsvmc-Sg}#_jXg9SYc-D{a&W%6jGkqv`xOGI{ zwbtor{;O}9k5cXnJ-^IwV(T%rbAOqHKApN=I_H_qFPRdH`Z}hDTR-D8oq1>dPBZo8 z{oXat;)>t<gMKwmZ+m}9cK;UtRln|~+1ti#8YO>kzkU1AP~(!^JXVLVcJ7~M8@;jk zyszhowbn=fyq1r`Vdqb5d)i;jDtjo<rpW#m)31rytImF07B6D-U+(OYN}jGGKg}6x z_?P(3`O@uF-CHdx{QPc!%DH-m2aF!GEiB_(&#h_vY$4M5`94F1^^>&6A~93?8(yx@ z$alKlQ@i`-`t$`Jd;T+D`0kX)8h2~%g+}$;zn8CfnE3CdC`0J`$*TXuykFY)IZv2> zyRpLPy0Fk;$ErM~x>={H1A<;n`W|BA-?#9PwSw#ZdAkz#=k$5&)Qg_sIOn|Z?*1#s z&l(n7QWtzKm$FCrlA7N+=Y^q%_xa43^ZLli&)+PT=+0Tw{@MS<(c@qK-Dz!^ZKt|2 zTEDhAg4t{TOV9F_-16nW_P8r--{d`y`Q+M5(GRmk`xlvTt=`VxCC^;X`^W#o?*||3 z`0F@r7?+1L*t7oQx9IbI!urAg;GguZyAQ@Q{1>dzHtTu5`sX7Tv-nO?udPA5%FO1j zT=HB#!ejnf-L$ZzysWoQmd``7XD-cIHmNjg=jW|8oab+rEZj0-zW?#ZmxIq;Dl!Z| z$h>H7$=Z;zJ&)!%)oD)m^Y`~wP;K13=YY>0%O-ubD_<WOPp<P2d8BEtCp!H~$RU2; zp9?dWPg|cNR+Jf^>-MU5`J{cf9PLdWw+H#9?F`dO%j!LIGIRN)=o8`DKb}9?5;A>8 z;WO`}MY`K#JlCgi{ah0t?xf|brB#@|FXpqB=c1Q8b9U9NJ7KdkXR71!h*NsvUYC25 zCi$7|pR|5c6T8+<c4^K_=ay_yv3x2r{k*bvN!HXeL8beyG#D#)q`TC*aec5>o%(6j z{H!hhn^gI&HXaQ!4DU@9I+?R>LS$cU%C{2_tKWBCJ@r`T&c~Qs%h~63t{$5%baM03 zr$3KIY&FtPGugOlMrqR0BHd~FdPnSL#h*;^?mT(qip!nqj!OTVKDxrqMF-FNu6|VC zas0@gYteppqju}4&OiN>r7!EP&bkY(ucM}#*KM1!cf&uYsg^qywyylJ%e3<sN6F;f zc1w4k*Z;ihw@{7#g<8Q~=OgMZKYqCqdw2SyoeSS_f0+Haa{W8+OEt0Ik6!tk@crk} z+C#6;g<QV*rRcls)!iwlbRDAa{#1~k`Jlb<$61*=r(=IxdViPB<lA?-IrP4*TFxE! ziVfut4tB<0SNwgyH}buB*%Y<Y*BhT`oA;{dKM8;JBmPk4i;A6p_#a-ew-R|&Zeb+T zxj^zqqKD$=NY9|AtUB{qv5!QLefr_B%6-?=O2IXut1s4mxO#h9(Df#-AGcUIi+6l| P^jwwa^?$~OlM3em9rm@0 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..cf92797bb421897baf519cfde986cc0714206151 GIT binary patch literal 2523 zcmcc2$p8iwjC*HT|G9ld^!QzI3xO_YCxh0e3T+#ykFVUBRr5R@GBX#eOxfih6175O zX&~p@<BQ#UrK~c(ytug7tyj*r3dA`6s$k##C;8=Xm;b7HQ@ro_9qriIxyA7h`K#mO zHI1C7P0HVCzyEkD>-YDic@LT54mI!l{r!W)yT2UPG6$b(Za-d7F~68EynxaD`|kZs z>IJ;~{=4fr(?4*%vwiUI-fcbM9sKzZdCq;gH+|2Ziozq0FD|U-U;V$zzHI+5{idt+ zhHL(vPW&3r`2OX7$u<9GAAYspbj`op2VU*J=fB6c{GR-^-|ZWInKxYp$(~L8n$P=P z{>$?-4=ndIwKIQT|B&t7{>R@w^qgkg)1qAQVZ!g^3da72wsQ`CjnubqH80@wKfkk{ zPyZq79Q!x_ME5jYFQ~frw|Ylg_4K=P_K%DjmKSiaJAYR%;9FksKk&PF0hj*+*_gv0 zzwi0O6L(lw#^%{S)jNlxcl_V+yZnQU-?|;OrbRpLAKl#D{_n|N=X<h+b7g+Hhx1o* zYsZysc%=Gc<@9j7mJOd0d9>w>BR)nPJas+lsp-ChUB^Y&RNOh(b=-DMh0MP*x0hG& zBpiLr5oV*d;gMmxXg}+kiZuyGlX<n}q$7UbIdtl|?3$W;hfh8KP@Dh1@4fuNtKaX= zJ6LSdK3V-v{AwGU=EdrF_cy73kdkA2_x}H#R5tzx_k#1}4|2{q5N*-Ec=_HM#`O=l z<m?{*lgfL*_RjY8Ke2fSr7O7eAAYjbzyH7Ww5Ux(_w&1Q2YF=<KNi1Z-<Dp$*6;M) z|DllH!w*frrFS$$SA1CXyZnR1y~EWN6=zTL#uZ5(;NH;?{WSB{(<5&MpI_(y+brFF zN2ajs^M(7r4@5q8l)qLXa9E1pZNsr<rfU@jjiz#E5_{RXr>5K7IM8)ncTI)tze~55 zSMVkr&F0dMKepl1(iZJcOPi+Z>#ljfv*oMp_YIF0eqXrV{iDD^?|tz+&-JT!O2u7% ze|A0J@7=9e?^{Rw-P?Zk{=*xuU)L%{yq|pV)P0$-f77(q*R)RE&mHme_JLFPP1pRn zd*Iald+Ybsp1N<h<_~Y;(|i`~`rwG4)@@Vw3rGC4Zk@Vcd&i>tZ2N8ByjNWF&oAL? zIoIm_%QyVm-E#Fl@0x#kiC@37h5gA(Jo^4a`MrItAB7IQ)XtlK==Ob!_Wk9Wak7OU z*}rSgSKn~2obPq5_=bDs%-Zv}ZTOV=W8(ez$Mp=_b#4W|zlAOSHC|u+u;q83(e`fh z4Y&5UUj6q_e(#=J@&~f&xwPjW-|%T?NbRxjUSCb;9iDZ6`i5uUZP)zV`+ehf_s`WV z{+0J7`1GoF8qPT^d8hx*{)X9SDnF@DfArvd`<+8l_0u;TyKlSZ->ce3U$4ds)};Su zxqs9CU{t;MhHLjl=Un<Hb?;#G)#b8Rm;d`C)%Wkwn!k}e+4a*7XVo*V{%aiZ(WqTi zKRe>@=Eke`&3ER@?|)UqvHl^`yI}oaXHIuscenYu`uEm-a}Pz`KbNpJ|M`Y%+r1-R z-xgi-^)27EUuFE+wbp;`%wPXCmm#~>x@qe^--NaK#Xrt{Z+l;pAMtyw)U~>7?&Vun z|Cznr{i$}uQ}GSo(s|eXy(x9=Uk-D2{k7kR-plWQwuyiJLkYgP^8Xxp51HQWfB28n zru}-svEt?R--{l7xTd(iuOdq3*Wr2#?KtI#kFySTeU}N_bA7|N$;J`CPl{cuJR)?h z@`%{Ik9F2NsxIF){dFnT|7+ilU9~Ut-xuoLFS6WyJ=JGk_=a;k)oQ=Lj>-Np^>f&3 zEsKPG-48`SCoKAOMmc%Maf_LUtNyX%oqQ~`u44VODfavOYx!Qs<QC5VUD_9R|NWZc z%`Y?4=T7#OJ8=GQQN;6<ht2P<@AR`NxMq3oM^CZO9@P!!3f=f+e<?>iw>Z4{-SwSk zAKXd&DYvG0_TP#1+^_GXeg2U6S9DGB?4RN7|Jdfm7XJRU=d@Hc^Xr)Oj~&0AKV`AK zj#(}j^ncZ9p=&$OeYxmd&-gk<{rQ|_%l<{w+<d*XU-p{(L96SX^7dcaH=HYFdvkf~ z`uIb)j_c1`@bC8t_1LWWcD!@8>Ytxqbj{AD`qs|9&x~5P^2c9X|0(~0&DT#SjjtS+ zJA7;T`~Fwo|G#|7em&Oh{H{AedJS9q`8C!*cQ0`M`>^;9f3^HIJA2Dj_HCP`<JK>) zwfQFdwUjSF=IZ=Ie`jx*{Y&zj>6+rEtK4yUjz7h&Svm^u+Mlr3sJ+zBVE2Q6s*n9y zU(ZSSwCH!Kp1|HGYl<6m*VLx?TC1;l{k-5nRpsYR*Y!89Pk5_5ZT*h@r((=DTzl&M zYUSSSi1*tQ?(RBZ*SvMzUHPl;|DT?}_}5q0=e$q7B3|Fqe0_-bvomA1tYp#t316L= z{Ov#g?t92+<m`6hPpJO%L&*`Z_xv&a-p=~Hd263XeEDyw{~Xt>k`C2|_k^q3?0ow5 zk$KFs8_p50dnCX8*fu@-fV4%$<+q~W7}r$Z-uwUW{X37hRjNlli@E&#@9uA0dtdte zcgwfl>lR=7>sQG4>#?8TZBKk>Tzhg)`maYN->=6myM88rS--r=>f7!wzO6a^B6qp^ zi*IXcF8nQ>e%1Hl+CS_sa+mjC44-RfdHHYY^r`1(eE0D`H|y%#i#vD!`tWdf)%!oO zwbf4XhWBsON<9<1oPI`m+4M7#%f!#{FYBMl?IUmaZuUO=h4~Wy&+bckV_qv*QhztK z>g<gCUsFEb{W53ctuIpwuYZ|gc;QRK$1`8-lBd7iE&R*s4bR=wZ__@W{9>6r`K9FJ z2``<GiCnJkkzbbY|3dp1>t=KDwF~aoi`~8IU9|qKk7{MwQ|_WuUkraH{_2P@|NFo8 zY5x7%M-L{wzW>6d`QKT;^KN<n!_+TnudBa(WcRxI<-)}!-p9Y#ZjZKlck%aJJMTMr zE2qVj-VMqvI$P?!RRP56%v%{2Q~tZ$+HlXqT}wl{?OuHOv+TBRY?}P8yqBAv<(#>+ zG{rXerI6wEh+S(g>bj=Mm)74+)tr>Ftn~bwy0gdQSKE3|)cibesmBSKOwCQdkDQL^ zx-x%PUZ&y6*zH?7?2GSSN@|uX`Sq@NdvsLJzJj}#7Nwlox}_s;=iN(5y?L2|VtMuZ zgilUi7p?W?!ePJl`Mdu%z5KRt*Xf{zTlz1@PUF%|o`3WCH^*J4zjSRp|K*0&zTG;p zLa%?k+a$udwt4sIQ!G8K%hvn9(0$x=^Z7N#yQx*8GoJlwDLnXQ>EF`nofAzr?Dn}o z|H6K=fBpZBeyv*gpY6nyx_KABSMT%fn)CVYtn+&vi}&U8TPpDxB`sErD?1Y0G)E}A z`ON#t!jp|3mH5jnKOt#2Px$*q-}T}53U(^iC#6i?Ra<@bDTn2bS98?$qCb^)=-ey) e{i*A;qLGZHzy8h`<8?0T$HYJXVYe;$cNzd+po_2o literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx new file mode 100644 index 0000000..7bd4a87 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 5292524187475659302 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..c62de5339b72c96447708d83d879d024c0cbfd7d GIT binary patch literal 555 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=aJm;*wI-dNfq~&OBLjmM0|Nsy1H*#g z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0 zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)EujX4`UQKs`?<Ohtr6xjPrndX zcUOpo3=9knFqhRp0|6A|@vb3`Az+h1&R}I=U;qVraY=l6VrEHvT4H8SY6=64TU?r) zoLXEA;wR^q=9OgTr9;@c1&Ku<R&hywQ7VX$nwJuvUX+@e2jdi_rhq-H0rDXO149v% T-V3GgLTNc@NQ6V_awrV|PjPI4 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..f8afe5bd4f635221ad276f30c838eb283b5a565a GIT binary patch literal 7016 zcmXqHU|>j)U|>*TU|`UYWMIgUW?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5 z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04 z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3` zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN( zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+ zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3 z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;! z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~; zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHhLrWa z%nS^w3=9mN%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^mx+RjC zfx(D@fgzNcfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`- zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm- zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5 zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1 zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8 zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM zihm{shD1>OGchnEf#RQufgu?b|4a-FDGUq@y-W-Ysi630Vqi!E#Xl1RLpmt_nHU%{ zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb z@z2D-P{_c*5Xr>APy~v9CI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9 zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D? z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p< zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I) zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@ z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+ zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9 zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9 zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9 zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{ z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=; z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ) zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ z!Ri<oJ~)H)|Ep(UNGoP2C}zkjW+*LY$Sr0lPEBUW&CFxS0M!v7{h&G=WERLRAU;SR z)J~9->OlkuL&d>u9S|R+7KA}<A`l-Y4{AGs_%A?)g6acMI{E+qzk?H~wFYU6f#exZ z%>sD^+-3vw1(sd`<pWTBgZT&6Uz=zKX%m9^42FSvr+64ZY-9{-3xKSG^=m<HLdH<F z82$jY0dVPqhIa$ZPsrwh+6vh8fz*QZfiQ@TtOvvfwIM+IK<NR*hhdOf5C-W3VGtW6 z2Wn&BQV)^`sRhLoOg*TLL5g}%wj@P8sLeu(dQjVj6!oCCJ1A^G;~F6U!7wb`(Bl{6 zZ%`P3Fo+Fm^Mmw*`V=s|Ai5|s9W-u{ng(hFF+lnRAax))7zXJFrGF3&%8wv%P`?Mn zho+zZpgsghAG$k0YCjth_&41)&)K!X6#@fq+y0BB$UG>`xqT+jve0w8U1aQ_IV z5lVx~TaY_I<pxLyG;RdqgUU;gn?ZdOP&kA7Fd+AV+zB!V<PT6-g8ELNFafz6gkk;x zrDKpfkRFh^Fbpyq#76fMs4WXp4>AKJkB(vPL>C981CTyq?E$rMLF%#DgX|_y`Grdz z$Pb_}0nzC00kw&7=>w?+xf7c{Q1Zd052PNKK2Vz*mp+hvAUTkJ5E~hT`fQ;11DT5~ zj;t0r%t3uSYN!XLDVTl8=7YqL`D>UU{di*Cx0Xcp>qt;fOdf+&;0z4&q2muA|G+TF zU$FcR>T83<LHP|7)}Z_b3KLL%1EncYegpX#l;1#c2g+}ta0lf#P(B0YH&EIIVUW47 z{I&pUFGw%Qd>97V0b_&I!}tpcs0X#ZKn((z`b8wF2lcr@?#1OE<h%xQAE@0)ih5AJ z3{npY2atYbjBGZj4^ND`EPlv15ia+F%tsDCT<Sq_2~rEf$m)^##U=6OiJ2wwpayJe z3ImK=T$-GmT3ig`C+C;um4I5h#U=5{`MCv&MIh1QlKi4n5Cha0O)pAK&4Y1@QbF}I z$PUn$6KGru#D-x|`T>OjC@w+u9Y`EB)&b(%LdX6=V>_Vq2dXVVVE}5kg3=$T-UR7~ zr87`E1&v2+U}0eR!Nb6i!OOsKgO`CpfscW~fscV<0v`iI06zmm2R{SD0e%LCAN&jq z8UhRq5dsVh9RdstI|LXQUI;KSNC+}87zi>jL<ll4Gzc;<>=0yNkPu>E=n!IH*dfHg z@Ii=yK|z>-!9$pVp+T5|VTUjS!v|po1`QDgh6oV`h7J)1h7%$T3_nB|7&Jr~7#c(w z7=DN{FldM|FhqzkFm#A9FzgUxV0a<Mz#t&bz+fTHz>on798ksr&5=Nwi(vPH!ea)M z5Ap|ye*ulp0m^_33=ANDgXArsd{9_`_!&?>D11Qt88AL*FcH)Th4MjR1d{&&<%7Zt z#Mb}?G^noxwbucSp8@5A!VIMT1e6bR?+j1_g#i+VV0kn?c(4*QP5~7+0Ch+}eLE;W z0?G%)0Z9J@H2w)RK6ua*$vz8EL50N6K;zFq<KKYtLGcT+j{`J#3Tos)?XiIJLGccj z7Xy(<;Sc4*+?xUAgVF&=<qRkvCVv8r{{zYgr4x{P1yI@mg%4ER1ImZRX9XG`0O-G} AP5=M^ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..104a89d78068a99e621a725f6e4748e34c428e94 GIT binary patch literal 8160 zcma#Z%*o8FP>2Z5%vFeRadKBMFk}d<T9fX;z`(G8je+5TJOXzxFfg2AKn092u3M)# zhz-IFP&N}t4$5YRve_9J7+9cekXmjeHV>4|!N9=43uS|-|NsC02bqb?2I&RyLE<1b z2*cQf>_s*oqz{`LK;ohx3!v^7gR<Ee7#PHn*s@4$WhAyH5?ddMZ3<<B!owQM2AOFG zWrM=U1&QsA#12AYM?%>kza~K0APQGl!Q2n>7szf{SRwlnq#q;>at}592(k-X*n;eV zxf7%&2_ygw=VT;y3KBaN$_BYT4ax?&GZTrOkHjuTVpk)v8<E)UNbFuH8|0qJNbD(4 zHi&|`8JP`Ij~s>|_kqF?Bo4wLHb@K>*C6&(kO5Htg5nRP2Ba1w2V*107tDNV1_p*1 zP`w}un|nZELXEfsxdrBCkeRUbiOj~8RzZH*400gUk6Vz~TannvegxSE@;fLzVCfk- zpMu1<5zq^=7Zz3^Hb^<hOb{DeS^|mVN_(()2dP0$4?94HLj8r!{UG(QGz{_+t<x$g zZUDIrmXAQ}okaKr7CxYON6u@zh|r5G46&67tPBhc2cTwx*hiskMutvsat3ihZUE6B zd;(NKF)%P7%Y*8b9X6ff|LPeSD(pe3P~}1K0h7M~PSB|GAbUaS45U*5Zho=>h!4WT zAOebactRMA49NzdumoXT@*p!o7?(WAT_B809^@|&#w8DOHwfdB2Zb95W0yySuNeaa z11x-AfD<ul_?RQfPXK2qkUS!M1Q{3@G;VDHhgX6JYWRS70!Z>Z+(9A`{h+V`@%WMC z1(4)HVFQxqLz2&M1BpQNgTe;H(?ybJC;^E;<UwHriXU9^ps)eC0hc_eoCCQ5yF4QN zR2Uc-z;zG<1A|8v%zlJCsC@tn-v!{z1ajYeaCm`KOEEAoe7JT5%<l*Qi9qB*ZU<pp z@*uZ^FfMtJ+d&wYJShA?7?(UK{6H9&JjA68450c6=0Af#h$th&e0cuAB@c2t2;-6m zxgCUY$%EVu!nou?ZU<rP^2@;C4RWmvQu@9DcmFcD`*F#G+z!IH<Uwu+VO;Vcw}UV) zd63&d7?(WA?H~+li^9Uc0o+&wr5}X*amj<+4#K$PL2d_OT=F2dgD@_6klR5RmpsVr zAS}ngz#y>Z7&tyOf<Ypv?#Cq$aytm)k_WjRgmKA(+z!IH<Uwu+VO;VMmohNOBc-1U zSlo|G9^`fq#w8DOI|$>F2e}=Damj<+4#K$PVeVH%az8^lM3j*Mk$!N=gWL|nxa1)w zF)*kinIDk@5k)p1mpsU95XL1B3L6l{B@YT45XL1B3L6l{B@b~a0|Tf}2g_d`1rSkW z_v4ZWxgCUY$%EVu!nou?ZU<pp@*uZ^FfMse{RYCg<RLy`V9-K}pC4HKhf5yhHxR}p z5Aqub<B|vY4TN#YgZu`<xa2{817Te9F#ic5`HutMenX^hT=F2lfiNz4kl#QUmpsUC zAdE{M<Tnt;B@gl&2;-86`42Q^0!yC<Tp^;!=@XYc$ZsHwOCIDm5XL1B@*4=_k_Y(> zgmKA({073f<RLy`V9;S;U@$0!)DIEG5K(0RVV6g=zx0se-y<BRAG>@87I{e|{SW*x z^&26{JH)}{^T72Ph-Zi-KO+_-0;=Eg;Oz@s@}Rf@VO;VMlNcCGkj&oz?nI-Sk4qk8 zHVEUA2gN-I<B|u(JqY8H2gN-I<C2HDA2dz|OTP|L5K%@3MEKy62e}=Damj<+4#K$P zL2d_OT=F2dgD@_6h)Wq5kmVByxF1;_<aQ9or61&W5XL1Baytm)k_WjRgmKAZbAJN? z_an=L+z!IX<|E64+z!IH<Uwu+VO;Vcw}UV)d2H@qK*0UT@*uZ^FtYi`@*uZ^FfMtJ z+d&wYJjm@Jj7uJy`wtLsKe9Z??I4V7KC(Q>?I4Ux9^`fq#w8DOI|$>F$L9VA1l*4- z4{|#QBb$#b4{|#Q<B|ut9fWbogWL|n*yXX8{~TD#f8_c@11?_&FW(gy7#QNAfe`^7 z_yd){b@1{XmpsVtAdE{MY9xaaQu+QM10u@EfG{7IJjiSi#w8C5TM))24+>il#w8C5 zTM))2531Wi7?(U~d<BGY$%Dp$K^T`jCj$cm2;-9HVqjnZVO;W{@kkKHB@ddH0%2V8 zpmqxg<B|uBTY)exdC<5Q2;-6mjhlfmE_u+n8wlf)2elhP7?(U~To8nD$%`;BFn};F zdC-_U2;-6mjZuRzE_u)ZF9_q3mtbIE0AXD6pmrGu<B|uBTY)fkc|`tKN6KFx@?iN3 zA+LcXKLa|@hdlnQh9vI+*Iy4WAGDF=Hx$9luZNcp*yR!SBbPrN@bU*C53&f>e)<pt zGapet86(-x0dF57$`4%fpt1vmamj<q4iLsA4=OuA7?(V#>;Pe0@(`aeFo5Px_d_`f z@bPJc`*F#G+z!IH<Uwu+VO;Vcw}UWtc|`nz<YD3O0CyiEesRfz+y=t9<Uwu&VO;Vc Jw}CJ=c>wsiRl)!O literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..8d10fc5 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=199 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=209 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=106 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..2a449619d62b82d115204e8a6eb0a0ff97a67f6c GIT binary patch literal 45296 zcmb<-^>JfjWMqH=W(GS35buK$M8p9?F%+zXG8h;b92hJZco`fR<QQZb*cccXSioWs zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful-XRVVh0zQG5I#sB zD~PE8A{ZDL(C9)Zh&YT!)(5t)03y$TMpy7c#9=hDK2X?L^g!g%=?Ji67#I?uG)x~T zxIp?mp!(2h1&{)e^Pw~>JV9;*VGC$@qSI*JWkA;#0o8|2yFi@J0HZ;6fP{jdmZX5# z==Q+)xa<pn=_>@8!oXmGMqdF%6axbTj0V{OQW^NPBn1>MAT}`=8tn{0F#Dk4i5?Fp zp#Fx@Q0p1=b25|6O!RY7baOKEN-K0LEX;JxO!SKL^^CyQgWLtO0~CGkexVFZ4GafB zVle$6wg>|QIGuy!---0B;(o_t8+lDHb?+;VgzvXr@_^KU%mC>DsR0=bat<_VL0kq9 z+mL|)oF^gvZv=~j#6XOTspoP*G%kFIk%2)7i{wrg?BbO;#D#H)%YxD(7V{4=V>f>p z4)N&>*ws&A!Y*Eb!##^}_!kt$ur!J6+)FsrOX6_nUmWff!(omNj_^stVa|RW;?X$# z8;rx=2RO{(!4aO7ILvXwVU8~j_sqm$&PyEPVL05=h$H+r;ZXkyhk6AZ_G;j8|9%|i zC*Ux@7)SVM;xK0u4tvdTnBRcIoE134zu@riL>%^ls&#DT1E?^=CjJA5y+%017qc)h zK${ZC*^YrB-ZR8EJ|(p%H9fPqB(*5S*EuIYFEu1FDJPX7K0ZA+KQF$xB(bO@J|3bJ ztHR9e%=og5l$`jq%$(Htvc#fHkp6g>S_Zg$QED!jlbM>D8lP91n_85a9A8|L5?`8E zoSB}Nni8K{SelpvR+C(mnplz=pOOla0gI$oq$Zb^q{bH%<tL{W7pE43MZp#)XQU=) z#}_5$rKiT{r^RRHrKDEG=NBLpBo-AVR>mji=N2Rur6Sn^)}5J`T2zvmm=j-=nw6Sd z0@jt7lbN0eW`UIyCugLll;)(ymlP%D6(=T_WP(B_Gd(XcCq6B)xFo(fwInGqIoklN z1-Jk55=-I}Q&PaHzy_z5rRJ5ygZ!A76JL;6l$e`Zl3E0oFD@u8&VaB|OX7>m;!7$E zQXyenkXVutpO=`M3Xv|!Es4+1%gM}x@>5IVi<09@ia>r!&M(b_cnNG?6<7z7)yauD zIUtvV#ZxNt5_2;_S`oeksRc_G7eGT49vJYLh)*nuFUibJjju{A$_Fb3>57Ld#1d-7 zIr-&amBpF4r8#I(ospVY5TBWs35u1>Du}OA;K5Opnv<WLSOO6yXc0>E#205)r5b|m z#fYSme0Vx!0GkSSby{g&ay&SeP(li<0H6Or)`IORPA!Q~E-EXIPbtkUz!rUAqjErr zs4Ow3G!=Vd04qXG#UQVMZNTseJUfAs8zM{LR#%cApP5&Znhs5QV9Sa!i!<}m<5N@8 zAps5YM@4aFZc1iRJUIM8Ss*hlz9=;}v7jIolBSDO^NKS|U{MASA80}WyEX-!jEXaJ zor*G3(o^HpQ%k~AlERBJLFqm|J|{B?R3?DRoZQ6BJcjuA<ch@jw9LH39FVcOskzCy z1q`{Vxy7j^AS$__k|8HEsVF5g9-5N!i{i6Wi}F%)^osM5q>>=kp-3fznv!M=?mnJQ z&hbWih9+>PF@kBNXUd?TUr?f-nVYU3mRXjVl5b>SWTIyX<>*6sIhjfNIe8UkCVKh$ zdU_yKQcw&L(N9lK*0t0#)-%vg%FF{vfg85q79|q{Bb6Y+BxVLi1{ScXpq4AB-O9}H zmxTe=y<wO#aT*(_$H>kK5!(XhGcyQ5`K3~soD2+146>kxH3I_!ti2BFuUt@uR3i@1 z_B>2{0#ux10z@7r4&y7Ji8sVT)M=oJ3&cUh4ba3LpyC#2;uoOe4rt;FA|U2?pou?- zf`|v8i7P}y#3Rte6QJS=XyOZ?;u&b-51`@&XyO4e5c4b0#2cU;y9PAz3$76L9cbbP zpavlW1H%L~@d;4z8EE1kpyCVA!~@(R=C42#-vAZgfF@pG08zgKO?-kmMEn4nxPT2r z`~<qVEkyhRn)m~#_zg6113QTN2Wa9OpaUE)(8L8CA?iP%i8DAu#DAcPAApK8OoYh8 z(yf38l#eFf-~|yEKofre6_-F0U*H2#uYe~00V=M6Chp)1QEz}IF5nLlw?GqL02OyY z6K4p3sP{k<Pk@RCpot3vL)1s0iBAZDh$o<lZwP~kXP}8QghRwD(8NFJLc|-;#5d?c z#5>T$3-lr4H~JwosP7FelE4BFki>bwA`s#QlDHs52uyxJ5{LEOK@vZZ#6f*UkQfLT zK-~lCxAK7mptzwA!e9rN;1D*5>_8HSl)NBO28Ibp;-Ikwh$sWY3?y;TI0Q_50g^b# z&oJ>7Na7&7Vd5K*#9?U{B)0=eoD(Df#RrhYxu9Yo>I9NFH%I`AFCd9ShuXk0H;}~n z!6Fdi0g^aqYy~39!0-Y|9Jycf0ZCjKrkH_&;Rlj9XdDMF1&uFI7>L3J85lT_#Kn-r z1(3v{Lz7@x2_$g|un2@uKoXaP2!TlrBylOQ2!t>|5|@Sufk_J_ao89tNWuY095i+V z5(8lmByl;A02BuxiOWO9KvV>hIP$nk0+P5QNFfwwAc-UQ2MdtIp;b6oM+K6&3Rna} zG$4tqLWIC%2a>oNSOh{$KoVDn2!Y8NNa7k`5eTsWNn8^m1SVG?iEDvHAjAeFaczhY znB0LR4lR1X;s=n#p+yf^`~;FXwCDkgUqBLvR)JvgQDQU%MnhmU1V%$(Gz3ONU^E2C z4S~=6a=$#9-*9+zv!2XnVDM-?P{Q>8f=BZaj>BLh|C@T{F);jB^~qyk;Fot`_^%4$ zXMp5hKKTFt|9@4dJO+jgP&eh}1u)+V#0PajULFARjX-=**W={|FkcJA2X#4KE&%hD zKzvZw>g5D5Ukbzrbv<4-fcZioKB&3<vH;BI0`Wmzjh6{vJ`;!!>SDYM0P}z4GB9L- zx)v`T!2C}jKB!Ca(g4hV1>%FcY%dkS{6`=@sB7_30L;Gy;)A+eFB!o6OCUa|i}CWq zKahV<f%u@V#mfg^{vi+_)YW@=0nFb8;)A*vFAsqEn?QU}*W%>{Fn<+@59(69Tma@T z0`WmziI)?={8=DAs0;D30nDES;)A*lFAKo@E)XBoWq6qY<~M=(psvEp05HD_#0PZ| zUOIsJMIb(?Yw*$l%+CVxL0y8E3SfQ`h!5%tyc7WQqd<I67vLoWm>&e<gPQ&?Kl}yx z-wVVCHThpY0P~$dd{9&W<pnU`3d9FB@n0SQ^Nm1!P}Bb91~6X>#0NF$UoHUil|Xz@ zQ~u=yFkcG92Q}eeHh}p;AU>$+{;~kf=K}FTP4<@wU_KLw4{EBv3;^?g<uEX0fSTwp z9l(5$`ZVaM3j+fvy&Jyu?7SG~(fP=u`N@ZX5Ld$kh9^CGZCBYbF#H#NoWsEIB~6cC zz6F%V89aJzGxHc2JbGD^K$PW=5>bzC)@3=6tit*K0l$0$Na0~9?a^y%2~yZ=3QG4L zn*TgHKX@E`XYX<F2eSv`F^`M?N<=)mS#zNVaQuIe#xL)}zyQ+^a$ke(SJ0e(sdlJG zv#nPS0|SFc=hG4%k8azr90rCLn*aa*f06S4|NmpGXLA`C7-J9f%cJOjS^oe3f2eyL z!yUsMLmfkcJvuKRbBbVTt_ol*we#t&cIdA5=q~o@4i0Jl^}q86zss@aUyP2O-(2`z zzFU5G;rILC()sbl+du#RyJ#1CxbUwp4)JCD_Y%|{@#!s&@aT2+@aX37=yu@n==4tT z=ycBLc24MYj_LN!;a`7{f4z5#<%#+f&(8mzjmI<UKm&#oK!AUnbA(T?$^oD5Y=jHC zy<=W9|M~yF8>Bs@^N@D12mkuu5J&!PA)*YvjDMW?w}~iq1V>n&C=u!o&SAXJ=^Vqq z{t*BAQ<f*{);e~vMLWhg#yZA1#veZB<ix<g&B?)|m*oKeHZMmH#-Cj+j63TX7&@Gs z4o{eXU7o8RzkD>3yd&8Bt`>_mU_r;jAaB8OC_KOeJUTCTXC=5AzHNQ$$nWvC^ZkqZ z-~azRb{_NSeE&k_&;S3PoyQHod2}B4=zR5J%dh|cyR#Eo-#YFAB_|ht&!_*-`gA^j z@%s1w{~n#r1s<KpeLDa7bUu3V^UwePo!J$~oom1<I~Riz;PGZqdIc3&$C^7p;@!>( zy&nHt54iAqzUb@*jg}v8hUEg6&ec;G7#NN>&tPBx7jhom&J`Y=%?m(Dt-Bdyo=0c% z3I+y-Zm?Kq^BfSndkq5v12}TKmoP9eSf1eT|H;6>;L~fn%M%vC9^Kgi-Mc~7b#De) z*F70zM|U^KfEV*Yo&+;HPiQxT6!EVIyMce33o8T5KO5HaeEw|*Jd;`4eHefIf8f!b zUC>=!(Oq2AJsIS^7jYm1z>d*&uHat}_VY0pRtBcm?$8*((4vh)3-fCckQPX2F@l(2 z+zmFp8|uLlneNFTPcvSC1OY73@%M@R|Nq~oH@l)?H#oHUTjnt^FnD&mGI)0Ta(Hw$ zZvh2mXE!J^yTP2!<_+L5JOB^F9SjT%ua&{JB8cwEAO#@%K+0kE)jOm6tGgQ{|HAj@ z|Nq@!lMw;Jzs)<N!-tgtBHrB%vd8j7=~AEW>H>rdogF+ny*)gVXG3E9{)?htpoDf5 zlFmFjZ@rlK>;He3&hIZI!SwSNf?x$NKy3qfev3s-{h<8i$Oy`RT`d+{apsR;kH$Bk zWZ}_y*|YPXPj8ij;akIRyFiTypU(d;PW<@)--fX?*rPK>CBUQGIiTA+qKk#2qeTUj zqC9#-R04cDzrUFH|Nnm*=29(?G7iIUAf4}i{Quv@(BY!u0aC>QQk4x-#pJ+0<>+fB z!?&F;JQ|OHtd0hi7O{sXbbC2;dU+goasgSs14K0cWU7$@vp})ZdG7y#i!Yo1F_(Ys zbaLtR@<8)2BENeyzNugY71ba=b!R2?y8iEM0;O6+3D5*eE61Ba2_KY2J6D1HbG!+Z z7eP6<vkBy~<4vHv0+9!0$m30*%m$W!|HAhB|NosXDlDKP<l%Qv5po1xgcN@J|KFv1 zH^@rIUUx><*8e*}rto{7aBTkn&xPOrMJJDnNAgRM-l^X~kqyq`;0S*PDuWjM`2XLd z7o4zMI(LK8*Ku&t1)1W~xf+y?jyHo+G{`Ys;9{bq8I)X)H=h8h0i|znmh5ak11?^! zKnJOtfBf_4^ikp9?|aI`z|iZ#*x7vntl$V#Tk8S-j(bd?q9jE{z^6AyB?1)oAPkD! z2oNE_zYT0xhYKi8fC?E<V0Lc?1!nhTP!M)^gN%D&^$nCIKum3LNyxvx86*oXVme$@ z0)0Ba`*c2fA^hwA|Be<FP>6eUXJ>Tp2C=#~gTlUhGAP8M#=ZWEFb<@Ie|<AZ7GhkB z%1lrJ2r}{2i-SKwrTcM@?$zLu6C8luo40^MWilw#pa#xDG7uET{OiGC>e5le$^h~x z#J3)ub5sl%85m%`Zc!-!B`$F3io@fMNCtzHpm@ARrI3+<!Kd@PNADD{!7ncT`2Qaq zn4NP}UVsWEX!y3MXh3Yj9VXYm;0+T^giRoWUt~aRf`xn~hzkw*&N(WeG!CgXK#uOl zjL=MwZQ!^C)fym0Xg&k2b;BNqFLXhAn<0q-OYA*_#2zS2K+brv?>jhAAVumKkO(;8 zb%TxR?gk}o%M&F=-IKw|`$8vJ99B~E_j&#O|Nphf@n%p82PN<0V0jSBr+YIfWq5S^ zsBn06GkSD;a(HyY%VALV^60$(;?);W)`XP9-$3OsCn#QPR9Ie20C~nn<wXZr1*jwg z6*#D6u}626gGYB!M7L8ww^xQExE$*|^fL7G|No%uGyzdw1-Nz|ckDdp(fQe<^RHv) zS>N6zwm&#PY2>*}=b;zIU;h7ZJy4SF(Yx0ngMs12=TD%*VJ~Pt^u==sJAwmL+}Wsj zbl!96{N&R4^MxJQWrsa`_vi|6f{c3R)A{R#2S~IVS>3fy|Nr}RKKJOn2a?wS$u}N_ z8227-8fr!J@)6hz_}#yPA7m-m{l%Zb?vM89-Mb@|f#HQQ#LXQ1=x*-%3<__sn-74s zgWWs@B#Po@B@{Q8faDvGLX5-dW<9W*!2u5?@VkG90NnkXKY`rO-!hj0)ce?*5yrsq zBL5>e4i-c*FuX{HutD?sFTx@0jCcly7v2zdLlOhS3wsD#pa|sukDwseQSs?rD*?6z z;pi9bps?vY?9t7l;?cWD;{sS791Oi+Pa`Rn0UHC0xjc}@#-ktuJvtGp&|*&G6EyKn zm@vUHEI7cU^RwqZP!A8(D+g8F-(M_!|Np;7w{u3fcR_;<2Qz;wXg#oJZylrIw-=r7 z|NlSMY{1OG!0gex8?*r4qjxiCzrYLskN^LB_SP{OetVGuRusU@z`*3uyZZ&G1nb=l zT8#ce3!;eG@Y@S}u%ZH}B2eb>=-muj@&1Apq^S8A3&b_zV09Cq>NbE3Ydl=R%)s!{ zoQ;9Ov$u}b@Y{<|??91Jtzr1h@RH%l7sBuV|8F_K-=YFh!DjgF#RZUx?&bt028QnL z3?@)v&cfl*{DY0Z-JTf~tGu)97#KW~SsFbUPyB!2*;}V>`0d54cQEgQe1h!Vgb!fv zY8ZZdQ3_TB@-8S$kiBaSQKV`3?L`1s5jO9NK@@2jetV$}R)pf$-pwGtzWDeaY@N2@ zw-;;>pWFb|W!<3S77|GotPBjE&Bt_J?gBM_d+Rt1zrDEq7Uq1A%aEPF5Mmyu;kOst zz=}Z52l)}%`85zlT!!CXOav>!=KOGoB5uQPFLJ<&usPodqKL=v+Y9%%pw!ij?tIW% zchBZyypTv&0-Lk}6zMOWSisRNWccj`H&_^y`an)a_Rqz4;P4SP{PyD68<3~5`DYD8 zk%-~97YD(LK>h)R407sghbR&?{PtoFSP?e=BtaC38Gd_F{sz-OO#H1YnZeN^4)ISA z*d&mDm|qrwWP0ml48Ogw1`A{J4<p0^S;KEH#K4NM`RC4Cu&3n=zrFbQ8suq^e?TFF z?4RurMe>H<UYr3d!seez5Jd`x-(D<#4fc-!BPgRtFfuSS*l;lMw-zyh{i6u+PZQWA zkbhWSE&|E)*0~yfdyx$m2KfgRs>tzU2(iG;@Y@SFup(^!;ejY}H~jWO5v&NCf1bYq zd)mYB+lxQ1K%U0tpF<Euo`&CETz>`j52#7e-3_YpA^zb6xw`q7*Gtf*1JB+%Yr}6Z z)_~0eIUf{=$j&c<m}g`7?L|9S5y<%<KO$!rUx*@G!*4H=z>2UrUjw4Z&hXm{Td*Q* z&S!=wvN!zpLIR8PnfY727{Sru0Ev#zFF^qTiVoJ7!XTO6Is?ORFYbVavH54wYj7YM z8h(4R9jplCACRY!{Zk83WMug5#U!vIZ2pOWC^9zu_97Ro2;?77$bd^~Xz67PQDkEH z?S;onaCCTplUD#Vd6nvUHXk#E_(dIT3dk>vuf05*k10ch1>nLAuZ=x>>&!s${{qu2 z=29ci=40j%O}Agb!iCMFcXtF61A|B7;TfRfzVjZWUW|J=9TdRLM>wJ#<IuX36F|d9 z!JrDV^S|dl(5NhEq#9y!BiL%BI<gfK?4UX_^~L}Hj{Mu(57jd;q;)oLVPar#>~7yz z&%n^xyaD8f-rb;`5FWjoXD~4^>;et1zxenP93i0EQw^&91VsB4i1s63?H3^0L80f- zyLks(`zDBXP`ImGsJ5ba+e+E0PCe}HHQ_g;E8gSG)eY%hjrXEFTtVg*$D6^M2b zX0YQgfVB&NLaulB3{V~1yBW0m0HWOlq8(HbXF|2#f@n8^Xuk&54oZj~y}J)Uw1XNA z5ba-I{QvLSe2fhevg%M19zaY8ftYXyYyv2i!deuKhe0h0un8WW_rOC4FIR(1>aAlp z{PyC(Gn5tq<8w%hKpqlJpvrL{NCjj}4PG!X^S6RF6?yj7fr|DyU=^DYRh1+E_UVs6 z?lKnPbL?t=QqRC(<if|qzwJO8=LP;2P{$h-@lU}@SPUJzrauQM2{B~i-*zdD^ALXv zs1F8G@&cjc6`B$bMg|6ulGg|&Z_$*1I)xx5?+{8ppeX?*5s;FP2qmB4N=~J59^`KU zO%!=HACm=#J0t*CJ^lY5%sTAZd<<H5O?wK?WuRSe-Q6I^b&GIxP6j1p{_QRtEYK7V zY7K%Sw(&41QNK+22aZz-u-Pwyu^ItN%rGNBsobM?H^|i<jfX)A`=uhp2uX+$0$7az zC1{utpp@>>yBnOi8xMmL_se^K!LE>k7;*LqHjjW3HOvT5YWIL9@W#WS1pab1#0Y7K z5nWh~03~dg5ug+gOXQ7*L5cij1jGm#h!O5sjR2)=m=T~P|5Eht|NrpzIcUZSG%E%% zffZ~5yzD8p^!$GeBJ=w3|NqCEIY1MB;GW8E(5Qt+<KYjC3=F$K(|jI?a<Bd=yu{h^ z7$vV<eGJMgC9a;mb)W)e8b}sBpOmV5_SS*QiwcOW1-LwSU}Ruuw&7qc74&RA#`-e- z|NsBcViuI1*ddN|L)X#G!twt=C)n99J^mxQClf*)!$6~xpsE{O3jg!i51Oljgv5(~ zkHFE1u(_Lsqtitt<G71T4rq!KH0mhf*}Kib8q|;b{vr<K;m%{-IVuSry(KCU9>-l& zz(M7)1Ed@@j^@$nq9WkYTcg4O9{+st`VqJ+WlMt;+>TvtOdZXjU2h(}yFo(=pxOjf z27~l_bl!WR{pA1u&i5~#fLzvi1mx~$$2i2WET}H=*bkasgL=Ulq_Ddg;RSHuaUN?1 z^@EsSvqKo5die(^J{u1^fJ)%bd(kf+KK}n7Y5c&W@eOEn!L#$bXXihU-Jlsr(5xwF zIP(6Bs}KMG_w07haP0PncIZ}vO#6QrBE1bH4GAU>kRjl0<Q|<ZDjz_qdZ&N~g+QaX zvY>R=dANHGc!<iQcZ&+B_W=q<1<;hS#|}_U1`9?FP%tV$f^o^i|Npz09Xs1WML+*` zXO=X_&h{hVl6XJJW1fx2SAbF|NWV|#KXCYUzJD?I;s5_Hxj=<VZ#Af5<OMsl9@fdz zdk9LVkRsKiw;GgGUq1l(v>g=ij@|vx#vU_&>rt>zszK@X#Do9;eS4RI5`|-TJE%DG zWNrtQZ6F_mN<@$2kl{U$mpu1^oCNZcPv;sHP^<cQi%J6{0|RK)Fle9(R1ky5FrU3x z{{ZBil6;Tm!>o{ijeYR{Ki&}a>3sI$1Skn$4O9V;3w%0rR3tz_DFB|a_Gml;iXx<8 zCXeRB>=5hT-T(g|(@WqPh2t$MprJa5fos4c_s3hn!>yn}c2Gt!fcj!3$jzOHyK_`3 zK$)b#<2Z{7L|cvuhezl0ms<Z285EM9L4ya7#P%ZlJ~&H)f)lB#0Qa_`RYeY@1p>`H z0ietS3Sv+V{_^bugwfy;8p!xe2&fDId!zF+XbN*5X#O0u1^`l=e7^^>6J8qfw}NIx zp`#VI@BRPp*wy|6H2KjCvf8n`{R_Ay0dkW^?`}|(dh~7vy94CS7nz_G)O?HyV)kr^ z{=Z=TJ&=~vPq6+Dh(8v9QfK4g0+2sI`aL@Dc{CmYITjQ}agOn^FU3J7LP}B}kW+i% zqZGOKKq-d5<rAdC4oaO`_dtWW9UxPgn?VT;R3asSvRDSF`1afbvILSIQ&b9$yMWUq z11JIoJbSl;5;bV%<;gwJWW@3A9F+)ABnE&Y5v1LxGX)%pkX*?J@?1B2XEP`)9J}0E zI>14MEmVT<gUdA@kh#srSRsK^cNY}!U{`i`gPaU*7k~n$%Y}obqZt%l9=*G<1knp- zP&z>kCs5lPQrv@@Tgb&dB&uKVL#zYkZAfzsGz;aT;^5JZ<OGPAqetf>P=QtR5Ilkm zO8Oq%&7dNtyBpLk0jX+H0jI&<Dd5}yQoRnMx)WShgs6Cc#H>JK&7k_-qjxu`u<(G? z>5zB^xis1_?q$e5NW#T*Kd7vSy5Ii}IJiK1y1~OXAXngb|DFe=xc?nQHH!PIKw`}| zK($8iZcy#)(Rla(hzCxmAh&?rKjkjO{gC_&ng;<b*)ja~V)pI-|GPJXs>kl#4?x<O zcZ1Dh-VExWf!p3YLD?5FwEd#y4rs2BzXcSsFl`(x3=AI3yFurYc;L|H3e^T0>4#}E zfM^5l49BI753H>u4W=amq9p)KVRzu|+aL#)7{jzQfYt7<08`ktthf!zq$P64nibd} zgPx!UqetUm4mQx>^}XnqVRt~COpq+o>j&U+3r0Y~b2|eA12jCnZ$Z)kGiYoD6rkWN zbApY50oL|A12Pq!)Hbj|`-kB2@$79#G{KCY05KmlR{=Hu^-Zw(puq0#28BG>`~r4_ z`6cY2u})aKFoGS~{9NqjgC;Ja=66HP2gOQvHz<n0=1XuO%$EU8L-g*B0F_{khe0Qs zfKv(B{k+)C2aQ)k&DVyQ4~oL>Zcu!J%|C!-{t<}z;G(hd@CpuO_piN$HGFm>!vFLQ zaQJ}YySp0{`C#)iI1%p8;RJ^dsI2g4JRHD@Y`!mc^Fh;zQ1@p;%wNC)ir*C=64X@^ z;6j)$!UZ<}0wjEXpqT&mCYt+^>c0e#22lO?&vQShbtk~UzyK<S|G)Tt9poo?{Z|_7 z`TsaX=I(V+?bpW8z`)Sa3_5$nk$-#JKTv<Lvl-M9^XT0TYJGV$9tL@L7qk)U(Rc)8 z8NB`qzX|Fm)PoveJs_(P_1D_#psc~)vJBjks|Pi}vOp@(`U*_^tt}80pc>BOI=ubW z-3%^KnVUiF1yJ(~)PDvwzd+>&s1M_@7vv^Tb?4E!Mg>$_dG@w3Hh}V7iwd~y2}=9m zV_87jL49jTTOQo1L2AK*Y5-^h=fn+=qf5Lzn~#I*vHvf&T>}Rb8%i*-G=Rr$a0k;1 z`y2oNzXUa;J)4h%dp7@H<Xi*Q-YA}OQON++-k=5ogGXnMN(HC^37v@tRofC!XBl1x zRoTZ}x@$0cC!IMceUfmHbNRP}`XnINLHZ=1*u@^5FQ#7ytsMFP!vEU;|7dXws&nCm z$%F~e@&weLgCwsPv#)~E7C3$&?K%GKA{=R*lR+(pE*B1_j^->#I|vkGp!@=A2Z7=Y zoZn@yV@uDV)*L84AXU8Qe$eVj(27k+n$-l`h)B)V@YKwI6&y{9;Ar{;86#-}t@lgo zYzFmjJ-ge)!AYYTG=}Nf-NxPkS_IU`4;Jix0M0<oH$W7;d<6yIE>Lq4QlEpI1s?r~ zeVKR-p6aJvLFpZDzXD1?{4F;@t+wXl%n)}MUI9ftXt>;ixfwKe4r;hTq8{Wy&;1}< zKq=X$vj#H}AoWr;KmpKs)TO%wIU#_|0O>^Toce*>)$PjQ0a@e(_E{&ypWUtk9-ZKD z;NR{l0S%xoSE-I>P{1LYGRXdak#`l8P`<xV1DV@+1Q8hUWCE#gK+8)Z5%!|`GB|yJ z{M+3P@_d&Ihg3&1Xaoo}i~?#&H6CsNB@s}cRre}ddVrV*TAm6uPaBJQ;vLQ4UN5}I ztpRF?fXusl1<gFrc&%edZ~(X){Ks=2sGtNb{sl$R_ZR0bfjftwp;yQ5eo)WNk$?LX z6(vwkHx}V=?3$yZ(!juA6vM&9zwL7x=QaM8tqkCyxG5^C5Jik2Me1NhjBrJuZYJ0y z4J1WcXo_aTOwvYDq>H8qv@`~6k{*&G1Gu8Y;6cV-aJoAt2=Ua<i(pTI%5lf;eo#UB z5)^Ts@Gi^^umX1j$8LX%*9D+q8HmOM5b+4dZvTYW5uVM*z^k^tzgPhlZwGZ@9J~8L zX1%uZY(B;f(bWZ!1$()>AJkHOE#ujIj1MB41Ca$429DkRpz^v~gu}6OI%t#y(!g=- zYzG~B<k`C))EM<_JPyja;1+^U=Re3$Q4DA?*vp5P{{IKfPkS`J0a*qqEIjsuHe!R8 zZySDl@&5v7jjDwQv$FvxuX%uq*#J=Y2z4AH0#wR!AZ4)fOaK2v@`gw6ZcxhgXgmz6 zj$z|tFVZf73rdi+XmbLP^y<mLzyNha^#ySH2c`V(ZcxJNw&B<ZY74wDya=*o0x0SC z?gsT)JQ@#IfJ#SDKMY(t{=bNpdST@+X!AJKd}(y^J(5{CJTecYr=_KNbRP6L_!6|1 zS&8vPXESIp+@p6lD9}9`4=XSu2K=I5PJvnl&L5!l$DW;EJv;w<H1Ep*71kvjj>lTT zXRdkf0qr;kS?=3gqVgXStJBVdl2|J!JRG}wL2=$K!{O2Vo1MRXEx0sgt&s=Kob$}^ zU_AK$AxP8n7m4RVrFFY`0|SF&N9#M#AU^;0c2iKgcI<3@1LAkL8#XX7yabH{LG<WC z^w^>5u|d^i0n#&p5z_j3{^I93usyD*dYn=9*n{-M!1Wx3=<z|-<Atin4WtKDNI={+ z5uzs;RZk$Q9$%22FVL3R^B1uYJyEE7B2e{&fb@XY?Lq9(gy=~`)f11ZCmN&&wAK!y z=j&N;7-XR8Nki3>)WE>-IvS$k$l3q@n}2hZsylYI=cDS%Mb(uF(xvLy)n10GtOQkA z0Z6v9^#yolyEeeHck2aE0t9Uoc_DuOKQtlvg4_v7X^bAdtnHv-@y=FI5(G6iAxp-Q zn<LQiP0(_A(7=yJ^F9es?kQnE)(mRFGkETagl0vg)cWEKI7@<Bhuz(v7GbvzxMX|Y z`nJTn`7dKhLi1lH{#MYzeJ}Q$0S8<+xJfaO1zgs*cY?gu**pit?QU-c1@$rp28I{? z5XH49imOo+mxDs9=0z$*WebYRCKQ!*AeBKctk3-a-~5-k6coafP?S$VQQiYmuI$*= zJ`+XJbQDFC8$c6`GeCXW-s%dE-p!y6&Mt5qOg;;XgOjI0omEiqfudmZKhSckW>Bz# zq5w2r1+VNUOhANx;~P+y08$8h?g#C60qvOqC8qx`%1?s=9MNKPgSXgXPlHF#+h#$W z2^x6;P2NFT1)xd9UEoGOcqjs7KFkR(pPYd=z9d1WBf1<`ry-56Tu6rk)aCeo3aLW@ zss}xoo53U3oh>TJ9SU%tU@yo<P%{jvLoo;3Kt%3PfR%Q(K!&+Nb5Wprl>yYn02|yp z1w5JxZMm190XeC}*0cFIc*WBH7pbQp{+>t3-!Fcj{{R2wLU4tC96bH`|AodWP(u>M zAFw_I#96re5N}Um>qEeFAvYa`Aua^<A#lga3sC&IbpC%KdJ0@2B1aJ<l|br4&~_q7 za(Iz_5|kFe!Qb5t@&o^N7LK&eX7J2=FL+h2N8@1!P(28W2yi_Jia%(shu2Twl=jzi z4``<m#Awj&3*M8Uv<o`Lli8<tH>k|?>D~MSWEiLx+X?chtKk8k&i_80-(Dnu0;9J^ z1vDXl<pf+KILV<YHH9h#b#YfBDFqpbrj!e+6qNAl5K0X%p{aXx5|n~#R6sr6uoGy3 z;>f>!>H<*OuomGcF$M7!L3oTM&LG|r7%$MX`3R)&dLan6jLGoQ>mbkOBjDca^A|sk z!~Dhs?!mt{^K3o>PD{^U+(D89h4*VI&*mfGzUuQA2ax12JqpT--~#!j#7RUm1!+72 z+@t}GM}S%ppz}W&K*jO}Q2Fe+2h=MDZ309pmIIH20t7rl-3@80FgJsTRXagLpvPTQ zJU|YF^xh%)6STA(G>rTI#nBW0|92j3{=vfE&dJEY(D}lnmse99)Z~CJ)&mtp&tJSd z1}@FlfQ!g)pyHc<d;2O-Savpl0dc$Amw`%i8F)ir4@Adi6dfB;bgTvGcm=Q0yC6Dt zq3GCwqGJn42WVUZ;;IOUj)N#V_M_<74bssDw?hr0;{=M1V<<Wff$D>Bhy~w|g6a@f za2;|UMbB9jJtskWKy}D96h&816kPz<As@hX$nFoIj#lsH8!QYAkZQ!|IIJ3JItog4 zpy&owBg~L$1e6RsVAG!9W;|l*6P&{#<;7+O1_n^-F#Pty8f?rAR>;6Abh5gEm4N}A zl)-rlTt+w_2PZ;^{w)aozmI^N;Q$?&h3eN}V_-mJbFhA9Wc{E{9n}8aVEr#p^<Mz# zhi3_}{=>(R?B9m4zZ$H60y~QPE7%zrpalY0a~ni6(t6v@e;)fmDFo^Y(<7jS+jbWm zFLoT@W=Pv@NW9p9xZQ2n!SP}N8T<q_)j@514rsi1bix-itvU*JC^)o{>Tgg+{R3+5 zgSP5|GU^Es!*f4qXcXiK-`;X)8*SxbaABnY8oTTUjq`&0qxV3L0hLzPVD1A5m$Agv zm4EvZl}8Pr@l72@P<s6TBK`3H|7nhvZ%Q0Jx|@H1N4i1d(XZ_x(jE{C8o&}A%nS^# z)gTi35Q!CF3DESyYhI7;W>7n_yBjp=03ND+0Uint0j)Zj{Dy;pq05DXt)uxJBwWA) zZ@rr@z{2IloFlOGdg%}-p@4i1O0Rz)={19cfdN#|!J9px`J3i99^l&NpT~aCDdeD1 z3AFz05I9&sr5@_!6R3px{-XH!|NkA$pg}&L-p!z`4IYh$L3JK98943`PzSF;0Id-? ze)#|YT_FBW5CPf*0**cp&_vAbL&(tw9ghPY3I}xtH`p7XMI}rgz10aGjfX+CAvl#? zIQ;)VsQ!fH5zv8gP(9ZVg1ioz^kMSp-3;oR`}FPxg)-D};41SwXxd%(Fia&gRL*h7 z1$MB*LDGD1X(qVz1~Zs6c--veD-;hP+ygpD4(c9vuzNt$nM^*t#Tq`nyFtVKP!kc3 zSpfDbNEyO0p!4s*t*(DB8sX9i$7qN%fi~5E+?oWJM(93p4leBsmqzH`@tGN>TLmtS zr27yHOqvxgjnLgNksT)e4DLaMZUs3GnDlYDG(z`-SYDX)3b-^vcZ3TcOu7>;jnFMI zhaV=L1(!zXZa5<ZllF#7BXmb}i^HUK;L-@)1=W%;X<oQALiZ15SD5s>1EA!C8e;-h zsW9pDaA|~Y2Du8D^ai*z!fpk>TA1`ixHLld29*Y=G$irm9{B$sv}HTkqx1Jp(0NWC zy`m@eK=Uo8Li`L2FF^7hy}LEc7#LnK9smvM?oI%)zwZD4Kl(68C;0G%UehOh3=A*c z?}sd2LY6oUl2AMN|39eoW_a-iWC-YV29Vy+V36+4-yWS`K{I9zplK}zk6u$h&?c8& zTM+eP_dZa6>s{yl7lI(Iy|$}B1|9{8_S&8Uu_X_{47cC+|9@z37DIp|$T$W^u$3OY zyt$x7RlTCVV9JySOxYd+>GcJf(|kl>e+sC-TE7owk|@Y7&<fYs!;n4qAcukm+aRY( zfObQT;?WQo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!3h>h5#c26T{4H|No!a_W%DM zD2DO#5B~qJcj*8Be~146KX>^5f8AsM|Ia(}|9|Y!|Nna*{QrOO!T<kXAN>EX`SAb$ z*oXiBPki|Q|Ivs4|NngW|Nny*|NjfU{QuwO<^TU>FaQ5v@$&zFkiS6pc<7~M7U}2Y zm*mHnB*iBd#V4nw$0wy`B$nxeWx<!MfYgF8SOmZN;xf?nG$6$c3=Bl52VIE*x)BAe zg9!Dxpu2;LGjnru8FE3_Ju!g#`Ha%c)}TA;EJ6L=b^rf^)|;%@`2W8F69dDJjsO3H z7MZNr^#4C-jzMC}|Nkva3=9_A|NjSVK;zi)|342i1H+6x|Nnz_-R#)&|37H^P{rQ= z|F<wRFj(yS|Njq&-v9r<3kw4SXgt4$g@NJ5ssI0vfaufz|NmiOU|4bH|9=Bk28JJJ z{{Q!3Wnic{|Ns9SRtAO}=l}oT!OFmJ;^P1RB5Vu{9hd(92Mt(zT>k$*hmC<@#+Cp7 zd)OEleq8zge+3%@L&Vkp|BtXSFzmSc|NjFv1_p_1|NsACV_>Mb_W!>GI|IXwYybb7 zfEMwB7K^eoFs!)w|Nk0x28N8=|NsACXJFWI`~QE?f?SR}|NonCFfiP>`~QCd2LnUK zz5oB`a4;~OxcC464h{wejr;%qUjfNK{Qn<xJV?aj|NnhB85k;_{{O#%lY!yH)BpdE za56AtJpcb6w0s$qW?Z-!7+$>m|386?fkETd|Nk{y3=A2s{{NrB#lW!Q)&KunxEL5D zUjP4p2eiob&Hw)r+zbpi-u(aXz|FwG@%I1!7;XlJ8E^mp@8D)&;QsLc{|;^j2G<Y& z|6k!|V2Jzh|Nk3q28PBD|Npaq_SrHpFo351Kna7fDu{uxLV!`4hn)ko^aCUgIyCXX z`v3nys}&hs*g*>x89<xEOc)p#ZmjwL{{U#Xkx#&lPr{3zyPTte!CuN*%UA`p*bHng z=n9U4_5c5a2EsvhfUpGv149V|14G1y|NlWVy$nu#0#1AqPJ9YZd>W2?2F+|eti3FK z%>7JE_xLox!V+L5pz&u01_sdDn4XRQ|9=G8?TDn;iO;~1&%%+<p_#pht(UcrrJuQt ziTO4YNX)<q$tXuYkb6Mx_`|@!kg(<df6#6g2Dn*{d>Zb22N;{7Mle-_+@%0^qX0xN zXoVDLKiQWp|Nr-brsLpx!S;aM;m)^#8O0%mEZ7|a3RKY2U5lOn|AW>qg2D(i8V#De zciH*>|2~iaruk_8WLkpFbdWiqHJN*M{{O#;ICEy;GDm}nfx%?g|No#FXGB=R{fq9N zd)UkYr5n%y{+nI@{|kZ^O*ruhIPyt2@+mYk_c1a5WdpGVocK6g`4n7WYz7AKU7Vo% z->w||{~xsA0-9z(`oJlLc{w8}1wnN(TOjisVKR<<3LyJIyIFaT{r~?R6dow{Gljye zbmdcEN{4YA`4m9s(1TV)UOD#vKWMoF)c=lr3gCpo(#PD!#I%D?0b&;<jer(##4s~3 z6deEmAADtqBcDJs6O$(>@%eztZDt0BCCC5&2Q65Gng>qo8jy7C&i4T|{k&kr7NU-P zpb12fU7!i8D<}W|2VW}!vC9`^7wB4^C(H~C5~u$Ee+!y%LrzPMd<O1(8yG=(4w7G( z)`D^oBHKBF;$j0Mvos@yI0FL%=ulDz76yhjr~m&4t<VR>izA<eJKqOJP=qqAf`uVe zZ4L_qgTooZYC+`=XpPO4GynfPL*3lW#B9O{a*Y531IWKSSQr>s&SCl&BzFZgw~9j! zH1FDjLym`)f#CuUISp0@29fjF?Q>yeV2HpX2MT-8eYbPY|NozeUS5I2egZR6*ymz| zu`AyMX6Ex)!ye>M&`z}t7ct!gN?$iv85q7?{Qn=cl@XD?z-8M8MA<eSwQO_c+rY@o z#E2>g32)GhZ_4HW|H0SyfYVnkD0zY7!Ua^vVvz%tu?cJp3}-I?|9>77kKlqFRMtC! ztT1S1?SbUq-N;rtfz1V_tvPHA3<+2N|8GU)Rd9x5?qgyqM@n0uumElFIdb*?|3Hvh zu=|+2K?Z^3p0F`6a9sQUA5<TK!lIdpDGVeBavuX|^6lFH|BFHK19u;KUBo<*38@AF zxe%n+hMj@oz>WX^OF@1^%U6haWlm*8(hDjbK<NTBgUxd5|9@$ay=Z#f`B2hD0`_z< z4QINz!p^{O;`aalpjEU`|2o6``+=Q-;m_^=|3UQ&D8GX1HH^BKxe3|LApe4{f70P# zU`V+8|GzO-H(x+1N0_CVFdPj@N1%36%>DoW!PifL<Eb3vG;liV;b35Bz##|PhO`8S z9B9MRiTnTmTfyVL1Z*a#t@40_f#J>l|NlYv&w~7msBf5}U`YrRmmHi73?>i$|JT7E zmomuV0*XtJ9WI;<3>ptn(y0qy0=Nh)gV_N}hoFs56CVEm52{Zf@sb60Cn&9Va56A} z_HcvNsDRw*1k$L`49*`+6|hQ-fdO=9(iTnz298Jn{}+Se0g`4Bbzv$KLNVx`aRvs4 zC!7on-cO+6;|i*WAmIaQ<AK_pnNR-z2d(30@Zb{&;Nt+d-6Xge7_y%H{|{Pu1`?0r z<6r>Qp9WkE45d&0{|DWp%HYZ;(8lD*C(+F8!l%IG56;w1pnA%okWV23<O67n2^2A- zcr*k?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O|Ty!0us(-MbDNCIGqmIB5JI zde{Vr{|Jo_x(gm8&JQ9O7#K7`+ou^A7@*TH3=E)~r5G3(K&RD#l%ynqNCpOQe;nyP zc-Y(kXzl`}0(4pdh=$z@4;nKC@nP~`|NYMg@fR?INCpO|wG0(d1)w8^Kr*0lV-OA6 zQ4OL&J3~M;=%{iK4H~xq(Vz>vKr{?Pjb+Gy-Wv=WiU7%hPQ(Gx;IRb;2GH;th|2&v zUJ=CD0NVMCB)$N2tQi9X=oA}}Gz0iVHt6mTkO0hn7!48w;otuu{$qq{{RibIK+XFP z<-_9X1C;**8qhzXeDGKd$UrJ%576FWEM}TP<J%2Nhe7EyC|w4n+o1F`D7_3yZ-dgu zp!78;{R~QfgVJn@5X;4&v>KE)gVJtLIt)suLFqCm-3FznLFr{sdK;8J2Boh->1R;- z8<b`P&jK<qh(T#JC~XF%-Jo<Blum=vWl*{eN>78*%b@f&D18h{UxU&R-x5!9Ou(+T zAr>Mo5C@?hp!5YOy#O?L%fP_!APOR{5DlRdp!5PL{QydX?kfPPXaG&dF)%P(0Bsv# zU|=wC1Bo&)On}lKpmYFe8wY5f6G|6A6X*nUh<X7VC=DJ!Wng#!r9t;pfMhm+25K1? z7z7+aJO%~^@PIu7!vQEQ0GhmHU|?tf9f1Jy2b5j_nlxZwVE6!~9YCiPfXo3;UNJDh z?!AZIa}T@M9(IpC?B06VJ@pLGd+DLBV1V6654(RJcHg|av$K_gMrcxLUP-Bfp|PH^ zo`J4eDVS?iXJn#hV4?{XhM9z&2IX@WhL7Mi7sx6=Tdx=ySQu)c1r{`WfKQjerXF+= zAQJ;Wg93Qq6JZiaA4og^P28A)fdM2As~2E8Kx6NW43Z2JknXhy$%TUJ3Q-1FxeXEn zVbI-XAah{#3`h)wL8pT-GKer(pp_@f85kI_xqm$laZs^~O+9GK10#blLrE>jHdKsF z{V}i-AqI;=Fau72j_P4#5Qo<XFp2kI|B5l7mxqg)K~<jw0|Qz<1kEpl&Xa-ZhtZ&o zXMzk83<^;7pd*Mua-h>igc$f47(h27GB7Y0fdm;C7}OXU7(n71p!o<qFACcK2^ELs z3-G)p0|SE%R2-HsVd~w%;!s18sO8KM^FKh#AMhFp1_p*GuzG$5SpEUedoeIDq(a3X zK=T`TUXFo*0pt&mJ7M_=JP*adz)%fVk7OZ~IT?pI=y)+u8igu@Q`>Q<zYiAYXMp8b zP<jPvdIc4Sm0#d_69xu`uOM+I0R~w61f&*(e}lvs_!&NcCPEk(7(n?4BreDV37-Ja z<OcKz36QutSRBMc#m+dyLve^_g2nk6VC6G--UPI^1S$?IC&Bal3=9nQP;po}37&Un zU|{G1i=(;)#G8ym9CR`<D869jDJ=bfPCWpL!^$;KItH0@8f*?fyqt%nt7}Z4^bb;j zia&wXql$reoZ$2ZVxeL+W(Ed920;df0*E-Y`N?34L%kmkanQOfko#fv19-lgfq@|z zte&3%R?dUxIvE%k@}T0ddIMU$FjPXtVdXt|{R#sELpwOXL)?f=E@Wn45CF~oLGv|C zZXMVh7!N`328;7EOiP4_!HVM}P;pp&30_~qz`$@GDh{iU!1JCA3=FrJ85p2h7h({U z{0dbMtJk5`E2yvqmnX3L96V3Rz`(%G0!`<jV@Meo7{Ke27#J87!QxOuktonjE7;1n zaIkuQh6`x<C>Jb_WB`;o8He}=usA;htQ`PLZ@Z!5uyzc1?vR0j;TTvPYA6!*7%a}u zAOH;j@VXZU28MSm3=D!y67YHrrsWq{J&cE-rC34XgW$thR;&<n(A#0|VD<bAu=Wtl z{XtN1SUU>5E`))BAr>qSGZjH+;}9>!Ar9*IfYU#;y#roz0J{DMtezj<E`p`=X;5)k zI|}B`g<x@nJ7BESU~ztUI|{r`fq{YH8cZD8t_07mGcYhbWCi65MEe0|&ReK@SbGy1 zJq$m<;xJVRT9yqI{`?HE_9J-x6lgsTR2<gch56SQDsBKx&!Bb#$TUx=_ycH$1Fzj< zU|@&`i-T04V$ixvZ0UR|4)vh*8`#vp1*_+Ww{xM<!|($vj%p`}XUGomuLHC_0A8QR zz`)=R76++7#ThundvJ&+gYD&KaE6vY;57#f3=C7j>iHR9?RQvuSO6ABwG+hK3>N2y z_Xl9=_pw9beFn7M2vQ5ehr#MWEL8j!hd3h#Bpfd2Le#^?4<x|i5HVy@i33uPm_XZg zuzJJ*te&3%)@}!{BV=G;0G*)#$}h0~0C;T;0|P@MI34mc)I!4tHjd#5HV4^82s@Dj z<X_D41axo!$b4A80=!O!fq|hIYz{xX{{>6`bzpIbnaJd9usA;htX~F;uVqm20%-dL zyatqkfng6+TmYK>VeOeqU~y!VA#BiT3E0x@FC6NnIU(@^>z{$w<bm3&FmY%<6WZ-# zFocTlgoY=0-30>!gBeJii3g(*VFMC}I1QByPA)1j)XQgxk55d>j4w${XGkt8DK05Z zOVdkcNJ%Y9P0uVYNiB*m$&F9W$<IqIW{8hZ$&XLZ$xlkmiBBoXFDi~tEUjQj&d)8# zNi9iD(KEoVB0eoMFEc)|s3@^AJ~gkTsFESAC^0uRKBY7_w~`?~9*Gy9nU`6DqO>Hx zASb6R7rd-J-cT=@AwJ$M$kEp|-qp_~KAs^y-rX-W-qiyt=;0E?5by5e@8swc@9*Xo z>>3gu;^^e#8qW~#66xpY>*>spnVlJ5T#{Q7pP!eLnU~6tR+^U_l9<GhnVOm!Ul4DS zXpokcnrs<gT$&Vb!H`;!np|3v8gGKcG(d=&FyyA@7N?dtxchiIIma968R?nAWlhZy zOk+6H%!nbgI5XF&C^IEJH9kGHBs?W4yeP9IwFvCAvW%3R_@d0>%)IpY)Rgp8hWPmO z-2A-w;*!LolK6PA8n9FIN^?_-GLz$rOH$%X^NKUm^HNjd^AbzqQwvKIa}d^<!I|d9 z4B)7MdDSw}JT=WQISK4lBdnrkVEe#sg2W#vvJ;C^<BKz^Qo(Y`MX8A;sqrbPAQyl| zGEx%@!10)wm;+J;3qo^5a2OlG9c2u$BBda|0Ia(>wIsf{EFQF>AwDfLCpEqxu_Plt zFEKY2uEoTVp`<7=uQ)NeBr`uR-Vz~U$`J1v;v0`hydl2Mprjj;n3Mx?F39+z<oJ@J z%*34d<owdS5(cpEzy^YnLVRjjYF<flVopv{VsbV^yr(}XgfsKvON&!ez%B%<&&*3L zDgl{Ml$w>ATmqIz%*jm8gFD6)kxa}Gv2SXE;&vm5gJF3M#kukEDTyVCkcbDH54N}{ zHJ70{Gq*G+u>=t!Mu^mDX3h{FpIng$ifM58V@;ao2*;V5V2ycGgq6t|sma;#MTvRo zsqy(~@tJuksTJ|C=t|B=O(_LMQ&E0$YH=}Evkbvz;ZL=RDM+D<n$}Pgv@s$XnOlGj zPN~dG%*_NvDk4#U!U`T0#>Ncs@gcs>&@uy50)XTpQ5_FTxQRLO1&N?S2_+kX(_dL) zQ6?z)#KR&9RLB+Q$7dwwrQ{%@zyy)cOpL(JDo)KS&MX1t0FZ^n1*OFq5OENz3hp>l zMEW&FDmx%y26kpKER4a46q@Rxt}f2WFNYgthKN-&3x@dkq~c;|@`2|;Bx7?Di%a6m z5_3vHxj8)#B?w^ZjS(iAn_`Vy?5W29>{IYQCQv#B1pw~oFUgP3%qvMvM=k;J`8f^b z@Z!`GPzHrq1j^N*eM~8tMe#ZL$%)`{Au}z$C^a{+pdd8`9zw<@V22S5Run71g`FYH z_b3&FCD=HS^`Jdfpc<_Jz0|_*cSza>sVN4PfUs~kF$YyF$+-nsbFYapLwsr;Qhp&6 zS`b%4OAUBgjitgfgoI}bD2X!omF5Pef`c>FGX<sCLMm>M5)`=Pj7Jomsi5);9`t62 z#BFBD5FekDnFOl5K($(KVrCxPqsAt1E#}xOC39F*pj2SSU{AsVumDmVr51z3v7i!e zydfk7V5G*9e0VJix8E3%HO<W!K(1r}S8QOL;9(B8Dn79!z9cg@HNGmfD4&5ruedU| zBr%CWuehWLLTA8OpyXauz@V3xUy`bqo>!_@P?TSgT2xYrB$QN|nUkWMnF1AZbn?^% zwb8)JGZKq481zyq^NK5TA#_O*16ZakwWv5VKM#cyU&NqSl$w(W(g0-@<diVzf!bOO zdIdQpdg=Kk40<K06(tOMptc%=UQs?c?(|YKpsllvlp+Q=526*)OoQlvv7tpVgC0bC zQgJbZUUGhJZfYKAix+D9lT03{-vS!jhs`g74(0+G1*2i}o**$02B`(nFbwLhg0>pL z^n=cD2Fbx_^f6QD^e9LP7@I-oDq;FT<76NS7!4h+0SSRItltFYfKDAn(+``6fYIpo zqw5DvqkQ}SKObg4Y(5u8!|aF6=c2nG)NKIG<-+vC=6hi@XuJsIR!|s$!Vab%Hs6}b zzyLb`4156uNF8kc7)FD}O+ex>3^D`82GOAIBxo)cW<PBH6-I;3Q39!hxgVwuM%OVg zFo5QEVSL#9E{ujwcY)`bL3YF34>A*kK}WfR!W5<-Hs1`RVe`!}`(gTF{s-O54bl%f zs19TvZ2lTX!{)m|`ayHt==wn?&w|_qk_YLB&G*A-Q2GXoLkW;wP$rm~1D-!&V1VgY zfF>9i4c>zZRtX_M`w2lT7!8^yLbm?_bkGw<!`utf1Hv#qj0WwuMAi?R?|{)o_8?sd z46_#|0y@bNSwC$407gTn<6+7{#~8xdAO>iB9i$%?f3R_G7!BHo2~r2cFn7cFN1)*c z(+``sg3+Ko%`mkf8a@0kq3IU@?}%n#fYBMSg%cpnNb4A&T!uRg3=E(p3DD_B_&gqL zJ`df!Fg}b19j^^qI|9=Wn>U2fFm*8dV0;)2Iw~EMc3}Ep^KdX4bOSR;FDU<mXqY}& zJc7=d1%*FMKWx1RjE0S;gY<$hOh1eUUG<8rA3om)9q$M2H3sQ}VQBJ(aT%DQ3p`-< z!{$?AG|V2D29RAaHi!nz8-m1O<0&995Qgd3262!uNG*tqOvBO+NF0U_K*#4Spa~dM z4}r!?VeWyIADqzg6Q&PF|Ck41C4dw_m|zlSFPOss8k2*Rzc4<GjsV>i0Fp=3fW~D2 E0I90D3jhEB literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..25efd5f --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 59409 +Design successfully loaded +Design Loading Memory Usage: 20192 KB (Peak: 20756 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 101928 KB (Peak: 159460 KB) +Simulation CPU Usage: 160 ms diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000..3523bba --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..2b3ff8d6cc55ae8780bde919a74284e4d94abf78 GIT binary patch literal 8744 zcmb<-^>JfjWMqH=Mg}_u1P><4z_3Cd!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_7BUPB6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA(*shJm5O$?5Qf3E1Ut5s=rGMd)_~o8Q%9Q3Doq zJPh#|lnjLjcz{Re<?gHmSHrihZyotP-gdqR`_ZxUm<N>U*?HXXn@8tykIq+MsqXBA z*0+v(z+uks`Skx;pU&rC36D<a0*}t)KAr!3Iv;^WI<qT|JJ*0!b}nvUU|=}j+`_=X z@c;k+|Hqm;K;qrb3B4ZwTMxMKd%o!G?g1;A0Fq!}U~uVNJq0W<1FFZP+quG{vv~mn z1A|9*GsrxT&gK;i3=G|1vCif>Aa?f}1_lOj<aRG%U|_I3!QcNAoE&UH=0XC%qdPmG zdpF3s?#&?Ux+jC|=<Ws?0CqWq*?B^{8Kj7ReKSa&f13*{1Is@f*7AJ*Z3jG)S=xOV zfBb*o(Vbn;U0u;#T+=-n<UNQ1V8>`XSMaX~`}vp)D+AMOcZl^9h}OdVS_Gs85?YKP zCKz{vP49+!utcVNGRV`67a&0ZOLY8wBLDyY_vy{9XxI%7ZT^;d3=9mO-L4Ft-M$<i zoy}W7LD|_2ip*{>r?YtjI1CTK!*B-!1H)@&u&oH9dooA?$UcyAn0@um=>F>N2FXJM z1jIxH2>&+kj1C`GhHj{hAbTuNlrHt@t}Z~h(AmMG)7!%%c{U`r?}Lr<=sXHZXC9rm zzydCv-=Q=tCBE=zKEeUdZ?UMUAC$iw8A184tHq)fXZ{HGXnX^Tc8|`>o}K@EdaE1^ z-x_|~1uEBkI{$+$v|%g__UMdJ3GnE44(Rrd=wjjMXi)*BD39I{l>i^8yKI<CwLr=^ z48Os2b}@9gsCa->allnEIq*+8`kKk`ZRZP*#v>rBqd}!b?BNNB{OZy8rh<`y0ph0a ztb|_I|D8>sRENmHO`y0w-ULdxpcLP^3gn*SO`yC4%6XklAd`<bfzmoe9+aVuH-Rz> zSRPUWbh@apAc}w^C`Euv_im7tj=k=TuC4!ff=uD}JmJ{<|DOxL|BFr@6_4bXFul+$ z3XZU6urkD>7o0F$I(LH-#c^=b1DWE|xf+xXjyHo+B*-yc;B4N}3`%atn@@n$fYK*8 zOLaD%0T&Nf7#P5nP4ka`9-Tfa9Q=JxnHU&)Js3N?FMt&sfof|#z~6C?2~;zrs0jG< z=BPx#QZy)XB0z)y|2D8)9WJ0a1r-vY!0g@(3e4`wpdjq-1{nvA{qANEQyW~8@vm<N z$%2cB4i}X`pU&^FQm~^%1r*{Q-Psx4yFsk(&7iRFo(u|cEXIM9@UL$M$wG{4QJD!U zz(6KKieSgi;~w3s!6hF!0J}GD0fowBP^e)s5ERDz>%n2_(ow_80P-lrw;r8yR16py z7+}6`Q7Hf=E^z5cj>lV63K<y~d^*2-^iBaA3=Su7V0O+?0dWvEX+UfuJ4`eYHh~NV zVNjmJ7V@2QR6uDQQtIRL7dUP~r9MazN|d&!JYWD-JJ{k7Y&9$~V2Qnlkk|u-3CI~> z<sBH23Q6P;W4gORN!#*7iBb1taPq#;2~KOUl9s>E>+k>nuSJeGgHkvsc^?PMgIGS@ zn?WhVquWP?!=szgquZ0iqZ3|^g0h!KCu%wR4OWhJ*Ql_7UDs^`jw}Wba7hFza8S!q zM0ph8+Iifu^PETLXOGUmj-6+HdzaX1@Pg97bC=FTV8dDul%#v~?tLK2zyRiZ^zQ8t zLt^uQiZdG(kIs88ou6Dfe?k;>9`@|rqw4@R>X}dHFNkP2x;mfE=N_H+;PQ<}JHW=h zhnt345xfM2(1Z!#=1)j)fM@4-&(1%d`y?3{7&sXi7(nGSq$=_3ZdYJrU~ug22c=U- z{_Rs#`eYdx(u_qo9J}VIOps+@FpA+|;@|c;jq@6R%T@*k2C$-u5Jik2MU%mb7~zUQ z(E?U91xe8~G)1#vCQV0DG!sn`sHg#(Gz&@59Jr#xX`C1MTY5otee*Fv6i@vC8Q<Lx zDllJy61ivdF(zaM?gozC{uZwbJe!ZPqKHR0cKav1j__<g2Cl{6p#-X!9lQHMX1%uZ zY(B<~tg9XD<?en^3G`aVv-ubwiYzGcI(GNp02N*$9FCpSIhYt2__w=ou%tP5w(~GC zFnIRvcVJ{-@N7K(fsuh>7aKSy{e#76oMSvBLLI|`J(}NmcyxaD?EL4k--3aGfe9R) z&{We5D%QHYK?xaDMZ2gtcyvC3)w><d4&X{*a|WnHYCNpL#K2&9X(y<jcibVM4ob`4 zJv#4!joJm`?*tK`Lay_kNAnSnXva8+D`2(B1W0`ia#97vNuK*{;7)?I06cnkgDf=s zW_Zc)B-rql1N<!_Am8@ZGa7ya3wic#n=8w}(7hX!3O$&2gVN+KW(Ed^ogl(-2gs3N zg)Ik4{5_kGgX0p?HgW7~TLfy`G{0g3r_HtnptRE2{DO&r!J~J#1jMr!m>3wq26})! zQvqplfc@jye4GUoT@d3ud$%bFgPPh9mo$T1;?e1%65(;&MI`|g+8#SVZUWWXAn}aj zE-Ii<XFzG=bmyo9ba^m!bb}nlznvispL@U_gZ4r^nvX!;b{Ld}abOXsIAc{117n2% zqcjgY#{@<O22g^Kfy#XV(N25<PJ9whd<sr{8jgGh&1^lay)1pq{Y*^v_%y)65@019 z3=AF&3=9z<Jq!#CA3^?fMAGZTXW+<Z;mGIE%-+M+%i71%&)mkue47a*X5fTmlp`Mp z1H>JmdL3jOsE^73H_MSv!=3K{V>8qUrfQJ86u@p2fanFe15^ir^!BoVvN47`-1#;z zqd26H1-nCB7#J9IKxv18fnguWK)5@=ZpPv#rX|=+0)=Y{)SUAm8XRb#aCHQ^-=LYb z2OO%*yBR@zsDGTmVWGmnz_0<Nhk=2i732mdJ^@EQ2^YSEX68O7rZPSWu%kEv7#J9C zK-I;9Xs|HU4i~-!h%noLWQQXkhb!L#My83VVjz3)U^Yeu1{Q{o|FJ1y1{W!qGRzF1 z$Uqf8&&0qW#K6Q*zy%QphYbS*!#b$A0}n(T>~97JhF+-n1*kaKpP)JkDz3l^Q4fxH z1_lORW{CL^Q3eKZng*2$Q1vjr98|#!sJH+q{1_M*VB+OaaZno&q!cEe2o(>2suu=H zGB7ZBL&d=v8<rm!7#K`p;?SUgsh5X}Pk@Sp(>wzM!yg6)1_7v<$kb^Z;#G{;)$`#H z2bGK1%+J7K{vjOVAb(;r2NW#W#9MH<M;nJYsBMSMoCqB1YjL>KABXr{9O8>{n1722 zdpLvQ6Pr7~;xHeSjIpT)m0{S#e=|bT^9E2O3|1yFFfiCd69*{yNQ2T1sCN1P|NlxH z;=Q1VVF2Y}h(G>7)z3f@mq0RS1(LWblK4R+ad9N^%Shs&@(X5u8mL}?*6^T85GD@F z*C3~&yZ;<iJ-Rp}NHf&^$o{>LLtG1F093sYk~^)D#F5?Uj3h3Gq~05c_!cB_StRwh zk;M6s#NQ!_gNAxx;lKzjzd+K+>idzzLFodfem0Uga(t~u5=V}&>qz3r@%08t961~| zff|y~a6=B~n@Hl^Nd97E2gM>JT_LO2MiNI>AA}^1tbR2P@momZpez6j2T@R?0gF3b zki?PI2P27tsvej*%}C<N?%9t+{2h`wviaan64X7&<`;n!LB)~NVKb6Aa`^Wki6f`O zDLBM$B8e*_#mh@1aZx1kuQ<f{K#qpG6Vx7rg^vo7II=s<ki<b{AWXdrk~p&ZEF^Jc z^ZSs*L48e_Imw_z19cCwcnc2kIXJ|(;1IurL;M2{acfY)4RwzqQg}uoi6gtS5=k7y zg!yYJhyyi83CWy;NaCQj22A}2BynW(nRpTTP65drQ6zC>^>#?&Qb_6pki?PIPec+& z_V0Woab)#Rk;FlL4Ve4IL4_LBzsTmuA&Cnjxd-AaNGBCk73>8OQ2ih|5Eq2MfCvT# z255E!w?IKc8qoRx8f<WJ9jG{{EJZfwEJzar0|Us-$l@$e^`Njt76-9GI0i&O>ot%O zp!N_*2-K|y(I7DphPCgWfCQ1$!`iK|_9aLy2*c`mP=_3(1tbQ-u=es6Xgv!O17TQu z`3{;mti8;TnVlJ5Tu@q^QN@s(nwwlu2^J~KNXdyWPR>Y8Da}cZFDXjQD^5%<$;{7- zFV0NQOU#Kc&dD!l&?`#KNz^MzttbKMElw>#=*`SaEh@=O%!w~b%}Py%NWjf2D9TSx zEiOi=PAyB#D}fnZkXV$Mn_7}ugpkikEG~&JOUx-v#jdEhAin^tIt9i6dmuR@H90%J zC^0WRH9kKrJ~J;RwIV*h0OZ)@T!@CmoXqq*hLZe(oSd>;h!K@}iMg4{D4qtH29^Xd z;uDLC5-a1A^K%Oli&EoL3riDo81(Y;OH%dR{X%t%OA?c_5sD#ROHRzmNrH)kgBz?O z1r+dLR&hygNql}@PG(*zG+0wJ;?qC@0hR{aTa;OxnU@})nv$Lh7R*ddO^weh%}p)J zOpY%uNr^Ab1I12iN_<{oNqk~T3WHv8Nl{5+5`$iGWiFToM_5sQN@7VO19GfdFn|p! zh&M?zNJ~piwu~<>O^Sz$S|*yOrWqzDfkn+>YEeYZu!@>u6*a*sYRr&Yk(yjuk{WMh zj8%aVLV*!h1%?O(hTsqa2OB)uA$u4UP>DJ5X`qBvoLT~k8w0Fn8h~;c<@g>W0|T_k zKryTVRM{~wFesx*LbEGetrK*r2HMPmi$m4|Ffiys%S)I9tQ>>!K~uP(HYAJ>qa&aN z+YP9}pz0q~ZotY-P#Y4Y_A?`7st6<p;=^zO)Lxi6m^iv!@4({&Fj)j`0BTe*Fff2- z+CZWp`$2lq?e~D%4^o4SJD~O>i-Fka;WrbazX09+F#VvROOQSohS?9}Pr>2-15o#a mrrAJhLGA}NNn!e7;qr_TQfGnGAma_th*|-Se~`Pe`5yq8G4B8X literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000..8998a5e --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,111 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8}; +const int NumRelocateId= 5; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 5); + iki_vhdl_file_variable_register(dp + 3592); + iki_vhdl_file_variable_register(dp + 3648); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef GIT binary patch literal 4336 zcmb<-^>JfjWMqH=Mg}_u1P><4z)--0U^{@B4h%vJf()Pe<$eWwbpGDS%D}+j(Jcy+ z^5``MQ7=G*NAGS9J_ZID+X2RoJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`v^T45G%7+;bUpIDTf5ucov9-ow& zkyxe=R#B9ilb;N-3Cts`zPPNUvLKa#fmrjCi^_^qN^=W{RiB%h%aB=|nVXx-kXsCL z7bto`G}+kQ+1W}#BQ&WrucTDL&{)q{&p_9#6wEcMGcwULFwukxgRNj;U|<knU|;~* z>+ToIz{J3C03^l?mStcNfzpgsK@5x)0*ul;>>Lvq85krO7#L)ra#kSPl~16Vsf3S% z0iwqOB*wtNAPJ&9_yhv@IKXBFfcOjy49XzdgHIrekAnfEHUq?GU|?7YqFwm}+L#>q zB$}CB_!OA@`7|8)44n8Z9Qhmy`4l4fBpmq!9Kkk$6l2Gryv4%s@jo_MW-K|63#<?n z3Sb7D;0LqVz$7vO2^Tg7c4R@Ae;HUA4A8{oz<gGQ1Tc*tz~R8a$}j;T1ZH_LFfa%q zxf2}53=9k!(D(u?LL%%K7#IW@K%oy21gQhD+;FH5#vxt~wHIa|IQ|$I7(j^=lukfq zBI8D=dXRY_afp8y7`kwnzYd4^5vVz3AVXm3fPsPGBo6g=afmZ9LeewT7&xVfL);06 zcoYut930}!IK-zjg5m{{N<euF<fx@M)NjBcz8i=5F&yHLafpKprg%fWWClGSX9Eyn z2qKI?gfWOP0THGQ@$NqUPL4kD{%&r;t|9Ruj!r(V@eFCDdC4J(Ner3UnepJFG_fQV z%qh!A$%#+P%t?(eODxJvOv*`(FG@|%EG|hc0?QYt<`rj_WLBjz6ldm^=D@TSCugLl zl;)(y7Zl|urxq8d7RM)+#Fu2|rp8yL7UhGrrdFgTmzE$YVaQF)%mYhhq$U=`XXa&= zWG3c-%mz8DIJG1mRJf;P7RBe}CnuI<=I6y{ro|Vf<|Y;tq^5v1f)o{(#e>Rrh^q?{ zOETi~5_2KG0ZD^O@_0~Dj-jA9GuNpoGbKGWK0UQ0JS8c-C==$*<f7EXlGON=)Z)x^ zh!a6Z6(z@)6lEsn#3$#M=9NGk01XA0QoqvNAW+qmSd!|Q!T|HKkr9Gvj9?lvfP=fF zC^4@X6eIDeWvO{3$%#2RNr}nX3}ErZWU$9UMJp(|fy;OhLyLidft!JW;m>~v5C;h| zFo4Q^22hy~6Ni=Up!5oAlEB1a<qAj~RAs}&O`ztWi@QR_nHU&Q%m<ZkASsY~P#Fs| zCk`Zt#XaCkn}LA=q+Sro{kc%}AaNli@kvl|ki8%|nE5l2#6hJ!One?x9HbUhw!_3% zAc=z-T`=+WP;rp?pxOZ@z6B}{GDi%_J-eaeAoZZq0H*!`R2-xp6c;e@Q%K^Vx)vt> z2r3SWM^JeT6Mu;$&WB|02PAP$B=PT1agcjJwFb<bKTvUydq8DBOq>f;p+du73dtN1 zBynjZai}ri8cY_(VPJsQP#|rPIuRlX@(YLs5|;-tpcv$L5ECS>0AfHfj13Z3go=SE zm^jE^APf=%VH*$uO;;dsSp61)CJw8|a?r$K^%8?#ab<2vViJR1aY+$`&VaFsQgagZ zN>VFI81zySOA;CMl8TEN^osI99FTHDJquK>A&kqQ2i6N#Sd^N>pqHGVo12<f!l0Lz zUy`cl?iZ?CTms755Po`Isa`=*enDzcNhMTkYDRoo5vcrvx|>o86po;<1*L6dvmkvE z1_pVMB$5OgmjRR(Kxqi39;UPbl-L*;7z#j<z`(!&%KIR_P+@Sp5~Nm*fdQO$KxGn$ z55w^w#V`&MjV`PMO>5-pH-y@6gk%SZnE<s2mY+dlAPjRqRGI<gZx9<@zckd}koG1> zCj^7kf><!xA8J2TIY<hOHJ}2ZumuZ133Pr8R6n}^9iaMQ<v3J311P>>Y!Dp|&Fdh4 zfcP-{0qXDyr~oMbKz;{dkPs}tra|pTZwF^U?FYpVNIeY0{0*b?q55I+FnS79Ka3Bf zVftYFdIkn?+Z<i_45$FQe_`&2>7Nd@AF3QC%&-G0umCOsVW8^=)jyy(K@YzSQ02?O z0PhEY!VgrB!t}$!59A-HRS*lHWCS!q4WKlr+ys^D==wooP$f{E5UK;3p+ABwfCzy} WG%f?Ej>V?`1l0Wt!MYFxx_$uA+@wDM literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..a94274287361f9c148956c1a9082312b1fedf9b0 GIT binary patch literal 4344 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk`rtwI=<`|NsAYFfcGoU}Ru$;ALRQU}9j1U}a#q z!G?(?*cliSK$wStA%Ta1VFM2X0|PGug8>w?F)%QIupk2i0|*N+U;;Y^1_n0<28RDE z5IHUe1_lrY83htyWME)mWQ1S_1`v;d;s5{t|KV~Vy-+?#41`%h1QatfFfcGd*&td5 zWF7+pg9wxcNr6lS>EVQ`)rHa;NNPapK=y(#$Q%m>1_qGXhIrIiF)%QIyk!bC4`c=i zgUkS7kbU9|3=AN<L3|Jv1}R`*U@$13BoAf6DUdpT1_lO@J`e`6L2glinh)}u1Oo#D zNFzv|gMon|p=^>ohz;^Dhz7X_WDf}QLQVcqHc1|24#-a+agZ>G24R?*4Nx^8KY_$? zsR7vm@)JxANF4}+%vXY@2M`++<{)uCsQ($}oaP6GCrAt=uZ*S^q#myJ{&{{-x`L@y zK~oFT4_ABSJU_@yFtw^^YC(3w)!sSJ4@-9-Gt|)3g2E22cFt*jQ24{lRYy|`iYvI< zJLma@KnkJp1WH#RdqH-9`~~t0T<x6G{L)BjHPOsvXJBA}tG#ocUlvKN7Bnq_%mxXA z;sCDp{5yU{kRUYufb0Zem>WQ81*8__9#C9>!U?1t6m}pxk=264;A-!j=LfkFrWRxl zvRY7HhO3=(nje(6U}{0;Agkqu`VH)cJLma9c?+f%WDc@gP&^@<3(8|KwIFkl)q>J6 zT<x9n{FWev&~N~m0}3x#IDpiFFxU-qPV?I%sRfyXY%VDNL25yI8Sb11alv^Rss&7e z;sB%vlpeqWP=a3KV7Ec-1W_P+LH->m_mFBYsqT+2$uD5YEv+z%H;gYyVn{4X&R}o} z4S@<VB*sJJ7;-Z6Qsd)I%@~pkN}wDgV+IBWR|5uDLk3qP23KPSR}%(TQwC>$UtdQ* z7gvU02Irh?2G4i{2G4jy2G4jS2G4k72G4jC2G4j?2G987)EowXu=xxO4Eh=Qxv9na ziAKgLsX2+I`o69%&i;P-B?Y=U`6c<fsU@W;nTdHNx_L&%N%^J88T!RV$@&nt#g`=M zm1U$b=;s%d=x64p>xX5QC8p#X85o)98A3VwP+m%6NuqvPMoJD?e{o4lype%{g?@2K zVqQvOQ3}MIf};E^{fea0%$$^BeM?JAOFe^lPrs0O0}}(&cmoR)1O3dr;*!LioMQdN zoSgWAoWzo}{G!}qm|YMp`b619zMD%@D@ro+>EU8zn@DzVW@>6GERa*;bMn(Olj98y z%}hWJ&tcG~NmvuGlWKm@P0CNH90-pfTTFp(@=9}4i!zhri%Y;MpZ3v#X(4r7j~pH} zPEkl+K(>|q;tQ01AZ>I|T?50Qx(9^285kIx85kIB85tP9GcYiGXJlYl&d9)UfQf-2 zn~8y;jhTVLl9_?QlZAodJ2L~reijG@nFG=fqd{y?T>|41OM~=)+B2ZGt_{c?3=9mQ zK8OPY1A`<30|Th-CC9+P0BS3N+LfSo9jILevJV+^fDB<^U=V=P;PwKt0wgv^DS~qV zs{RC&M%RbTN7h#XYFIHaFf>4EWOX3Zk?ToheFmUL76Su=1(ZhD2Wks|^n)<6z86sS zAD}e4K2Td2qz8nN^(_E3)EF2TRzPWVeW36M=>cJ6eG#BW8>qhmrP1|)+E5@pAdIZf z0n~tFU|{fo(&+l2!3@&IfUHjg)R+VHv!FD(K4>sw=tGZp<ZuTmh6WdiiDDmmyrb)b z1{a1t^ms?t2MsO^edzIyt`8bq82ZrT9bF$ZxG?mg$2+<{P&*l{iGcwnT+rhkT_3nn T4b_Mof9Ua!t`B*v0a+ga_RRE^ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..42f4acd08e910b85fccd6c5ee0391425406f5cec GIT binary patch literal 2742 zcmZQT0|}B40S72;Ap_x$lA|Fo8UmvsFd72134uBP|NjSJnCToa29y?1XJBAwfZ#9x z|Nn;y4|-~aEX0X!ED*Ya@ot27{$&S&ICWpImK_X2G7g_a9UrMI4Q-vkuyv}#9#?J- zMM?8AVQ)_vOFwz_AHsJm4os{+Fn2ynlk%DE-)_vlk{P>w${~-(b7#)|zRvs}$3*@* zO$PpiN|v?rg%_>arPtAPDs3ruLsHn=zh5UvGjDPH%<)U(v);2+7JJ#A96Rvy6Gx@j zy{KKw_bz==_VE3)x!SvYtf!||+3&b6vGcr*)3i(PV=i8NTfgzX(c2i&=kLGYFr4t; z#?wDR{^iQrXPf<!-y0lYGwELt{4I3dcZ+Ph$yLWgpHJWH)FT=uk*@xE*0KJ#oUWZu zUuYhTp2u~wCB5>Vpeci;-0z<bjkjuRxz}?%TPLWrZI1klZ89$zZMVN?OYfMcI7hwb z>z#StZzN)r_^PxN{%yXR`uW~s_3YKJTAbq5qP9NXllZ+Nw{*JV^Q$Vmg&z7I`m$#W zM@!g+f@zsfm%A90xQ@;YstglSW%8Wj{dB?%-KhpgpNS|marK_)SA2NUSE2P%Lx(!! z!j7qihgbSo$vAeXxM>7e=*TOEy3RYiDn%nwMebAx+gu+*<)tgkH{}Obx@yffco{7= zm&fp7h}FHd+RCS&EjxeKXQsDU>h`<0PFFp?+Ra|R`s9^$rUA>AO)g3B{cSf#Rr{&* zl(M<M*nG+>h0>llI_fjtYd+=pAY^Hf#xm(MlXjd5UKDgm%lORP7lN-BetWFUBKTkq zQ)Rcn>)QDScFQ~BKCxNvyZw&kSNh(__4=>AhlPb@{hFH>KK;?cch=6w@0Yxp_2z5n zCdN-c4=ewk^Tg9MuuW9t#oL}GQm?%^OB{V(ebAQCeqSl)sb6E~-6p3$<ABG0Y4P*z zOz-&X%nOS4sf8SB@zV64^OIqZeavnVADe&TwqMv94*%15HTB%LiaUMR+C#-U9r&bM zPAHz1nt02x@wCil=RFd2i+;VZZf%)dc~3!dPDP7_Z@;$xkr4m)=JzjuK2rDn_F}oZ z@C4%?H>s8zo64Td`1B+GTj4dU3T2s+{nzz-%=vZpP2I*J_}l+I`;U6}jz2rul#V{y z{_*dJzLa=Q|H%h~5Bay)b4)++!uH{}3vaLHTsN;;{FZfdBHQa_Pq$m8WiQTMXDyq3 zd4klza|Z+uA9!_pT7LI|n|v|-6}R71<!(<klj6SaT7RXuCdotR%mL|+8%tm8sy%M< zT-+sFpd<B{1wZRq%fymB^NdZ_Je%{jWbMR{1*w%UKPoFrgzS!c5IQ#@Wp|kUif^-v zU*B7C)v&Ej`{dSbH#fFE<YhYic5CnRcgs?%&sKe|S}SPicI0MQg5;7rQ@?r0*f+6- zmqgenIu}(H${v4Ma;5E1thsyX<v*d<ZL6Pu@SB=y7nGh=_0zmHhWXZe&JXUadQLl( zAD&WJuk*>Qu%TLVf0lds%R>vDJ8p{@>{FNd=C*XoneC4IuBrqJin5D-Jga`ObIqgf d{yoWJALC`0cI=n>_<i~gr_?`e7aSJ*0RVz%E>8de literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..758533509da4a79d413d013748ed66de182f3a60 GIT binary patch literal 360 zcmYdbWB`K-#=VoZ3l19yxLiKJ<y|?`FVC3^7bY%DOh`yrurM)ULE?hMg^3FmIyfdQ zShz4TF=6Sw+cSH*q8snn%{8)myJqt7ljl#ZWZfE4yQ!`+b!*e)?8mxu4)MNu!1peZ zeZBDS{|xyL1<&QLnQs$4_i%7UOL0X}_VarW`71cfKXAp}yZN*C++ow0JJI`Fvn$xs z`LE627oj_2cX!3ltlFR3o1Wfhn^teC^FJ!$-`j+r<qc2c`A_dx*7>hyJlp->(hYx3 zC;T*Te|n#3TK&{>kMB!OtFN|5?`xAedoQO?O7CO7qu&+%gr{#Lo}QX0J@>xr&Zx=4 zUr%d<TkZ_7Z=Jf@@YVMXMNb#WYz@qNGj)Hx^}V~l!j8^vIyb}q#X}eKGqT^r&j^$p z`s-Lf_eEUskJ|Qkd;YmtumAp{`TGC8X*KE(<J#>$|8j^sx7V-Yzw3?XxsK&$YF~bh UU(+U;^-Su=v(oG4pBa7R05B!M@&Et; literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000..f6016fc --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 2904300941018487095 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..e04ea0d486a45c6ff277c8c95ddf6890c6d1dc65 GIT binary patch literal 318 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=cy;Ag)|zw{1_lN>Mg|6N1_lOZ28IQ} zAujQuKK|~W&J0Wp3}DR6z`(%Cz`&p$s?MMuq0XRgpw6IfsLr4srOu!puFjzDqt2l2 zq0XSLtIoj4z`&ruz`(%Dz_7s2F(fp|(Fbe>0|NuY|NsB%LGq3a3=9HLtH3tJhq*e3 z_y>U%GBPXxsRbJZQVhai0R{$!fB*mgpZov+e=j7rf(-!c1UZ*fr)ofrE{4*Rq4X6f F4FLBoG)n*g literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..74cba7c61d28a16a329a6aa3551aea6616e1de41 GIT binary patch literal 6824 zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7 z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq} zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4 z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6 zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN; z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp> zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_` zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~ zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_| zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$ zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3 z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf& zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+ zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$ z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8 zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E zbqow2oI(2k)-y1q6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9 z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6 z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{ zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv= zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9# zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD& z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us< zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l` zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0KkBNO#lD@ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..c3e6a296bcb0623117ce15b81bc6f8d802ec035a GIT binary patch literal 592 zcma#Z%*o8FP>2Z5%vFeRadKBMFl4xuwI<zxfq_AQk%1wA34sL}7#O%1Fv0)-|Nk@8 zPLc=7kjrKUX$IliN%CwA3=Cj??Id|d1_lOBs5pos*L-BXV6#C2Xm){w7#Y6tgJ=+D zVPIhJD48Vxx1NDPLmVW+zyOj5xe0`E$ulu9Fn};Fd5B3246I1zTS!7gk<G^?4>B8s pamj=H2g11ILGA)!T=F1s7>4;D;XX(_gIo);118@f1`=Ul004$acqsq? literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000..c9e7b63 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=118 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=125 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=151 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..a37a79aa94883fc4ba30ced84d83d65b3a59471e GIT binary patch literal 26568 zcmb<-^>JfjWMqH=W(GS35U)T9BH{p{7(5c73<d@U2L=lUUIqsSIR;q<HU<U;7O)sZ z9;O~f-(Z4>!)Oi&mw_3oPXfeXU|>L}WuWR{G{{XLArK9+55&fX4Fn;gFq%OC!UySN z1u+#s1Oo#D8og5pA`YXG^?~h!c?gZJ08273z-VNBps=a%ftXtngGyh3`uhfyhUo(Z z7f4?RR9^>FAB<K2DF8ViO2fhv<O~qDfSQL+qj{GBU0(!LA3E&<aW(^t2H61;3VvFW z0%D`v1LNbeF953V4Ky6l=@yXT3=9k~8e|7ZDDY`X3MgDaY+^7p+8KhN_Th?$4yeCj zG$@^b%-7G!Ofoak&q>kE$;>OQ(5<jA(={{EE6&$50!f3@Jjf1EnsWCGWngMxH~?}h zOh1S%!oUDd=OFpo<Ntm5{@fL7TXyuYqt=RoiuXZ!AT=N}KzcxGKn8=H1C3e`mjT4q zWMBa2Nr?X&!Qvn>5aVL%xm*y93r}QZV1OoV6eZJ{v5SY}5dX-8UA-(QEuxqV;iNHO zSD%c-96ucP7U6I|D9m7K3}PdMT!=$`9}auZ;Be<t9O61S{M&~^y(JERDdBMEdK~85 z#-ZK=hqxOKbF^`|Cj*B$J8+1r;tEe3;*B`O-{Wv68xD7_#$nD69PXTe!yJDc=9J?Q zKa9itd>rOi;PBTx9O@Zy*xSg=zyPg^AqgBt#(ReN#;2qfrKV>Vm!uYj_&Vq0=cR@u zCgr3u#K))S=I6y1mn0UI#K$v$m10$xnVlJ5mXVSZpO%@E8ef)JlnK%w4^ztkl20y5 zO)N=`Pf0D#OwR+0q*kORmzJc)7Zl|urxq8d7K25><|JpNCTGVNCFZ54#^<NSXXd4( zR>bEQAQU7P6(v^2C+FuDBo?K{rxunb<{%_9^HPgSG81#+i&C>vlS{x7i8(N9!4?!J zXQZZ-=A_1#6eZ>rCnlF<f;^g;o|l*tpO#o$5?`EJl9ZU7Z2;DsnVOm!pI4fjT9la_ zUtE$BUz!K<MQTcXUSdgnVoC~F71-d^vedkic#v#jPJBUPQDSatNoo;TzPO;YI0M2; zEr~BKi!Z4xNQDGhL1IZpd|qO1Dnz;@w<JD4FDEk(%1<qcFG`LtDFXQ^IlnXy;w7+o zRbU-RRwpOs<bYfb7Eh_nOU%s#X+`)Fq!uh$TmTJGcwoR|B0jMsz9cg@HNGmfC?BjC zq$?h-5KE{P=j4}zRTgLFmgb;Ebw+ApL40OjCMZ@it02Bgfd@xXYEFJ~Vo53k*b=bW zX{C9|@!+sT@lSDTNqllqS#f+yX>I|wpaYwb1B$@1#GKMp>=6N0RFqkqnU@})nvxE2 z4#?<=;>_HX%%XU(b3iE~GcCR-H8-)KAQckD#i@D4nI$kEz=H!CZeZ`HfJ3!7GuNpo zGbKGWK0UQ0JS8c-C=-+n;^T8NlR!xbl%sMJGxHeY<C7~A<I^(p5_3St=BDN*=N2&J zrsfu>mVl__f=Y&*%%q}}%y?+P<`>0hrxxX<=I9mYBS|GetV5AX1~oLy7~Fk4ot)#1 z^bAelOk)JoNY9i(Kfj<vKQlL7KP<B>F(u!~z{o_;5X#Yq@^Uhh^mFnm%uMw1_4V{X zsHC76BBGz3oUCi9XRK$SpOl#gk^(n-!0int21W`XOgA$FBLfQqBe)#{D!LdMm>F&} zGr*d=3{xgfV*~Xdo<ha8fceY}AE5kFsZ34=1}28zpoS3x0|Trb4Qsy!D1%I8U}%80 zlVRclP;m}uzXc`^<13(vFVKgWqk$%FU;q&}Koh^93lVof6BmGr--w6EgW7DMHX?+_ z!0-S`oC_w%z`*bVNt_=^`~#9Wtj!0K{(&T}3KD=~3#fZQ?IRwj7>GI$2O=35*uilN zVS~sMNaCQjCP)l~FCd9SgB~Qza05vk)P4mEGB7YaKoSS}87BS$NgQN1O#B0qI4o{J zazBv7IY9z24D~O_3~rbh0|NsGk~lAtxB!wkACkBPk~paC4U<wp5{Gsn!BQGX;>hi2 z10-=_uu2GFfg}!U|3gF>7#xtqMPY&r3=AGf;$leR0Z8KFNa7Jl;u1*W2}t6SNa7ht z;!;TB1xVu3Na7Vp;;_CqNV)+@9Mt9qiGgqjlDHg50E#CdiOWO9K-3H*apd;<0wi%o zkU}V4fh3OH4%&bu4$bOd9XpW3Rlp(;;sBDk8bk<8o<I^;2a7<63rOM`5TQ|WGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nhHMCY=9l~B(fo$Pqnq`lJp+SB>wyxc z{}()(k8m6Y`{}=FmmLGcf7Kp41_pk42ZsNuAbti&?&X93|NsA2ZL(uv0L>mTyu1MB zSAqDTp`@1w!2BW*A2fvYas!y31>%E-j$SSR^OHb)&=A?n31EH{hz}Y<df5Qx2Z8vY zVV{=;V7?cK4;nIhnE>WHf%u@IqL%?+z7>cM8d`hl0OlKk_@E)9mj+<I7KjfT8hfb# z<|~2tprNCe0${!rhz}YvddUFh3xW8cA-R_y{(=0<1>%E-j$S?h^O-<=(2&u~3t;{) zTLy*<&`{CK17Q9q5Fa!|^l}53{|dwh4Gq0q0Omge@j*jEFDHQcw?KT*P|(W;F#i&W z4;lh`Spepr0`WmZKQ9x&{6io<XvpVf0GPiE#0L%aymSEbH-Y$|A)c28VE!r)A2hV{ zQUT0g1mc5+bY2R8`LjTL&`{1x1~7jThz}aVdHLZl$p2j+K4|FX<pVIk3B(5t*}S{} z=2wCEprM+V2f%!g`m_n41OvjL^k(?hv-4t{N9QAt<|iKlLR<|G7@qX#wJqUiVE8YZ zY|Fs#B~6cC9<l<!qt|w!Edzr`FDuAh9+p2!L_NA$)j&e62TC~qKj4>d0GWFjN_+I$ z7J?*uO|w9h=0A_l4;}~K*?S!P!R*0!%;Vy}5)qGX*0(m0w9fJWK^nij3j+g8KgfLz zwz{?q3=E~(p&re)r))r@be&I2cs#mouh}p#ywLpr|No1W|Ns9VV`a5vU|@_r%rB3k z|7H3A|No)xaSV41a}0G13HIo`e9S3=rMW7AvDD6|yV{|<+M~PJr#m>L`Pcu>AN(%I zntw4mc7Ai=clmDl-G$%pgG=Yf7q)-@|98<Y_Hf}}UmW7g`0r&u0|SFkZ*hc2ud|0o zH-|^J1BXYacY;T!b4IswLZ@>~w|5Tz`h)!Iy;Cet)TelM{`YJ=ZXv_KFaZMiw>d}n z^r{^2>CQ&DpxZm<#pOT$|96A5r*t0D4))+*9~|Pyzb!<R!I$xm6aO|5rH<eT%M&F+ z-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I$DEuP__sMZc=WOy;NRxu=)w52 ztA(*shJm5O$?5Qf3E1Ut5s=rGMd)_~o8Q%9Q3DoqJPh#|lnjLjcz{Re<?gHmSHrih zZyotP-gdr!asT)K|Bju<JUZXMDEagMzh~!h!*3p)$2~e<y)gOx|9^LOLhD<{J)q>| z!teR?|5=~T=P#`P{QvLK>0IE^dEBS-pHJtb7o30p|L@GMIPP2nR@u22lmL%6gVHOg zz&O_20TS<aPU!Xc-+I7>-}6OhcMk&t!|`TVE^z5wJq0W<gMk5D$ar)+S9o+bF90RA z?q-mA9-YlA7#JA3!D5}wb3p9wH4F?4;K=P>!oa{_d4j+HCpbCST1mko*rPi;pnEsS zy6(*&>$)d{?C9<W8Svu$umArc%+3?q%^*em>zhIH{M%eu8Cd?=u$JfZZ#&?b%+l_| z_~ZWrkM8V(?&^x};+pQsAn(0c2QmQc7;WbY{`Fu#A9G=4V0!Hi4Sx(R)i|^;zZL;$ zfrJ(#hzZ8sVAH#y9xRdRo(%Fd;{`|%z!DvQpUD6J|9yJ1D;jo#Lz};49s>h|XSXYZ zXSXkhM`!aEP*8SugCesV%;{|201m?g@G#uLz`*cY8Eh+p=$;Hx0J0CH9A;m=GrGUJ zyFv0V=KlQuzZ+~aB0%`Jd1rL^urffzySqX5Se__d>eF3afN-I+gGZ;ghez^kNNnGK z(ew+H(2hdVnMdcX7Yl#=|L@ZI{e>l%e*VG`tl$NxZieT#Sk%-H%3qF*p#0a>V$q5- ze*}9pz5zwMN9SeF&VN3=RSt%44ZrOI%^CT0{(m9y^Z$Pv#?oMq&KQ*dk8bCHZtsXL z7LJY<6;O)u=nYW`@ag>iV&eb*|81B{wLr=^48MVN+Wq|hzl)*6Ma2W8iUXu78>EWK zfq%-;*Gz_QJ70J-9syY$4Js{S4^KekSC7Uw6^slF5I1#aCG@)f?`#64Iz$d`0>$<5 zCQ!l!WwFjxAom<^0_7!8&g*OfnS8tnl-42gpbT}q36xpD^6y{V{r>-dr;7>;s0b+h z0V)EHz>5IG@Bjb1bngaP>DcSe=-T>!C&(0j&l8T#|Npu0`@iVqQSnHA3DT?j0~A@{ zEDDaWXP`3V14ytJoG@HEcZ1T$ad6TDnc~v98k7!>H-l0n$T3~uY~IlfN^ZxSPk_{b z(kD1ebvA>RB^__R0-daD{_)SF(?^Aazwap%14FL|V`ujTaQSfrs;%_^f5$y0P|c8{ zBH+`TqY?p2(V)nQ01*QG+rV~pxPammR7ijVvwJfrFuNy%g0Q<AWZa8W-#|$k#MB0t zWc=%!L9*Z?qQgZc(5Le|s1ywT_5XiIiwY>jJ-V|qx_5(E-J3yS-#r-=;!xwNk&FW= z;a}ejl7$%8qB0XyfPqYW^@8yisB}K=(Y+d6@__@ed-E1hs7wZh8q`27Bm+TV%)cHS zrY;>dtPCKJLVWAdIY-5Sk%0l`>lT#)P~rlYjyODi@hcvWx2O~{GBEgbe)s5|0yg-C z(9i$>!GYO1N96^mP=SVTi;4!sCfs3?j${*-FwsQV1Ty%=iXUK`U?IN~#D#`@=NuJK z8i$nnIQ;eV3#ilwMJTA$2Pr~{(iW8m3=9m|<M72^kltpHUTsK4ff{=cA+ZMv6Oc1r z{QC}06iAWE19B`l;dO(J>Fx$4ZOaoSM%|Oa$@@YlSR7W;^7ncD{r~^9$nj=S3I`?c z<6wCZ%cpxYC}ntb`>1eubTfK%dvbVm!pl)m_VVbw|HA4kC~HE>(Qlw~G!hirH7YDG zK7c%9qw?a#7mx~2NdzizP|H$8c@*H<dEBw{oJZ$pkIui2oo9V}m)L6Xg3`cqm(D{k zHh=m5zx6;#x<~Kc2cirNFBX6P|KFo`Z-*EI!;9%qHV>#cvr+Nryyw#S$))q>i*;a| z4}13R(RBbD^~|U9*NYt>(QagQZJ+=D_vw7@(RmLfKLaG+c(em-+<Ulbs1?D>pfCUb zPnZC1{)7Yvcy@mG?EK@o57aRLb&f#g^Y<5apZ@>%>~2?JWMFXY?gyn)NB-?oRQhBY z7}AVII2^m?s7#P$U@(f|VB+8QIgRrgf6G<|1_rRAi4aAMAVrhGiWuRFK+ytLGzCe~ zG&DuCVJ1ySQZy4y5vZsEn=}ha(Hyv<!)crs_*;5Gb$#<OL5Qa^KY~5=17v)6KWL@w zOHd;BY(B;WQQ!eq;BMg9?QikAz_a-nD@5D?A|Bz`?Vs>E!n64pxEA~VLINz_4yu?P zyZb?Ay|(gfKE@5v_3H!JI<S|!`#~kpYZ=exV|)<VI}lk=;&trqzX2+|L^vEfr*kkd zFz|18;b2K~>}=;@Vqoy>-S5E2z~I?<`~xEc!!A&V*QfIzEKcJb<6jDX`u~4|V_2|9 z^BWJ3&d;8m|2+0vFn|`rFfbT?dr|b^|9_9}W>B%#-3>~}peou$#lfTV5vbn1{N?}u zj%EjNrLY;)Klf-ntii;<V0dXKsGfJ+A)pRQ%ildZ@4YDh`2YVd5Pv6#02Okb_dJ@9 zctktKy`234l&oOY38cOTIjI8TB+vbz{t>9V5Ay&27kA!+vcYbUg@)e@FBzVE@$3En z|1AgjTSP#<?X71t{Ptq|`~Uwvd$-M%Wnk#u4N8R`%)3Epau;ZrU?+%h1g$$|c#-!3 zq_@Q1v-vnUF8{x%dH?^vV^`ZEP}`>Y6%#mZwk-gqmCoiDOrVb9ZV6EF+j#f_69WU- zKo78IDj+S6m%Bj`)O?%;;#^&bOB94bO>Kxvnn5n{=yXwu@Hp<Gk^u6W#}1I2K(#hV zJma{F3MkYW;B6d`f4Xy20=hhyI=VrQ;@{4YhR;1OOh5ep-}(LpA1Jh%k3ija7}WU; z_UQb*6Eqy+(JNZ72l9|<1s?;$i_ajXy}LO;>~;v-0mQC;|Nnn9SSNTGr`OaABw7km z0UE>s83~mz1WCkv`2QbNQZl?S0;M0Al2A~Ta&-Ro===(5^fc@OmA4+fraSmRF0chr zF9P3zip_VO_g_Hlw-o>x7!4BbwKV~;>)*o+|N8d-|Ipwph5$#9aSV=ND?NI7bN_>q zho~=@GUWkNwi+P4kKg|P@6mijVSfr}HI(Q(m`Tx4pG3zZJPC3&$bqAHGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLxAWI0PW0?eD?pp&$IvkYoHj$2N?p|S*4efS)`v^ zT45G%7+;bUpIDTf5ucov9-ow&kyxe=Rsr4#MuPg{GSD76(0-oc%v>VO2kjjLZ5?9( z+eX+uxv9AfnZ=p8xw#Cv#UOWqden^4%+{bm9nfUH$^HNTK|{+W5C8wa1KMx?@c)0% z*mcRH|Np-*FfcrM{Qo~_{FvqW|Nk|N3=C7A|NjpflTdl{|33>81H+Ry|Nr|iF)(a- z_y2zf69a?G`~UwpFflMJ{P6!j3o`@5?GOL|D=;%KeEIPIKWI<9_{abM1DF{YKokEU z_b^rkF)&sLFiP{Vb4*}_h=V5OA3XT~AG|-og&nlUfC03J!2}de_x}F}4dyWL3Aph| zc=2<Wb2Kp6OId3ftAOU(!RCUtKuvh?|37GP1jr5$1`QaMfb>87|NjF>z===5iBH0b zPr->#!;#ORnXQMlm!*%npNZ)np9WZ10<46Cfx&};fdMqWwdT?P|DgTf430>8o%jqK z`79jy9GcmC*m_y}So)dUn3!)ffy4})kc@KV1Gxv}jz0_x3=L2J{|C)sBg}H-({SfI zz}O5mf~gwhE(Nd~1t5As?yz8FV7T)1|Nmal$`MR=xbtmbMsY|X3wDQq&M|0VWMGhZ z_5c4qP<UXPh2|%wCD=>?`R5EH1H*(@|Nox{4XA+w4HT}9Aom+Iv-W^Pm3cQKh!6FT z6F4kDaS9p_1f```kQ<!%1RVJ!T=){2nfsWS%J?L}j^YSlU|=v{VqoZb^Z$P=$PTbD z)D9QE1&A=)fMkawABQX70!F5ZsA3>{K<8|L(mE3Z!_>F`|675?T=@i=nM%O!0;SCb zObiU0-~Rs(8aHO};1dYo;{d0v9iX)S_WytIUK<ZSfhax>22i>Ljk4{3`~Uw+s99}H zj(if$%r1NiO#UDT7&w7K&!Lb{Ap-0zNQ{CMkK)k~7!85Z5Eu=C(GVC7fsqyhuzmZm zefps04JZtjf@a#F%U(eI18Dr)P(G*)50YmGwFem(7(fepL44S`UZ4fNApRQ2aZL>1 z_6(>U3~D4ZFff1?#De5OZBq~pS}+TuVdn^d+TtKSO#bV?|M?*P0;v6<{sf4>1GF-k zfdPCD8i;=aDi2zK4C2Q@-3MBL4B~?p?t*C0f?p5~!%%GuE1>(|LDP;PIS;4=sBH$~ zL#<-~EeHnjUqC$y6W;(02$;J;?PicV&>8>`4YMCcgTz4i_kW207#Sc(gZ+c@3!vux zhw@?Z^a08jgUbJe@?q`(nMq9yJO2#bOf%3*WCjKXHz*wjrPH8v8I*2=($k>yGAO+b zN*{yL*P!$>DE$pevw>DPGcYiSL1{H8Z3d;?pmZ3NPJ_~AP`V9DPlM9Sp!7B<eGE!p zgVN8S^fxHY2JYoCFo;2EH7IQcrQM)(7?eg2CqjJKxftl`VCQ1M&cT44djV4iJMY5X z+1W}#BQ&WrucTDL&=_>2h^|>Fm}^vLWTIzaq6rm->BLTh(iaQE$N%WEp!5qmNu&mv zAE42~09sg&O+9D<I}-yx!veJM0O<pXUqBN#1~07OXMmMQF#A2hWsxNO{6moYKysmA zaZ&iWBOoyl2CWGOnGY-9Kw=;aT1CRhAOb(X1SAH+%faU$V)_?!4j49n;qotNf}N2; zn4tvJ!37zNgt3`(3@k6i06TvV%w=F;xC!=`IJ_K!iG$ARVq_3wK+lKsm_SD<N-!`$ z&mDoO2d%~dZGwRDVKfIL1A`!g1Ox2+71-Gd0^swt_!%0Y0^oi=0|SE^SRAGsK`#QI zm&MNjJEsynW&&CijYEAP4)HWbkoytl!dRtX_52L5bPw)-gT^mF;!FYzuzCfiz6~VK zz|T+s>fAFhFo4n@NNx^P`~viF2~hlj#5aM(K`c~!1c&$y9O4ggh=UH?0i|_R(-|0K zz~P22%D`ZZLp*>96kmd%LqEZqpw$^eJP!30IK-R4=>%*93NeQXbQTb1`dJA!ho9kr zAw)TN+<}3CVG|Sf^U%&h)n9;yKX|-~fq~&MSR7&oG6@=^!<IfJ!RNE_GXy}*fyJXf zSRB~|2s;#qco7cqer5&+K_&@!c>*zofnhcd^}BJ1gW5qLbI{A}7dX^^!yyhj$P!yP zaI;`PztI#d&d&g=2f$-rpz~i@K=FtuCt?2b0jr1c5Og6}oS$I>G@N1iq8%!p0F7|) zm?Z-P!(6a9LO+bP3WxYz9O6u@*!`u9Lwq6F9DasgXgUOsnK3Xh=-^Oq&k6~L0JQSZ z1&8_w9O6l=kbL(7dQK`V-xYw>^E1HeUGNwt0|UbwaQyN!9EZ9S*50iHtA~Xaf}RF; zCuTaF3s%q10IT=G<C6>w3@gCm2>meDQLs2a!v|>j1|HjGU|_fn6`uf&Usyi+2o{Iw zM$qhR*yBYKEY8os3~k4Q#~DH8D@dG)2cz&-0f{4wMX-XCi%Jaj@)_de6O%IIOA^x= zl8Z`;OG?wy^pY76=P1UP<i;n1PEssph>uUnk5A9ZPfE;*PbtYSDvnPqtzZBhU6_+v zlA5AtfL#UXOt{Q=$T^3pc_l@a3~8Xl1VJa?<yJDp$0PB;C+ndoEy*v)$tlYPPjkc@ z>LoM8$GZhN`ntxu`niBka*TKP3ypX6fC_rJ1Tn<B`}jLK`o#OYxdpq1#D_RK`MAb2 z#JfcLIr@4!gHN_AMmm-dbUt55ViE)RWW<7alSG5GwA5tF_~O!}cni?Eb;YSA4zP3Q zKqt(BW>K)6h?faFIuiLjKD2`;VFyJT88d)G1!k*dqIqhXVR91KRwJyUW?+|No;`{E zKtkAgh`5hu1fPM4h-Q@IGC^Jeog#>KR3rGbL0pF##(Vn57nh`D=Eav5L(doltH*tW zCD;Y9lQmK7kB?7DEJ=hM*U11qED&^vC-MP<sBr>1*0LZTB^XV?0S0yhzC$=Mk3Pg= zmLb?Ia1tTz@JvW*2W1V^Q$2AWV;LVG;_D1e)S%!8yBmCLB%YHWaU4<_AD>d3AD@w! zmy!cJ5fbIFNBD7-=;v<2!vY-1sAq|SPsN0%UqgoY_@v@uXcWO6jQ6}sEa7T`HK{|6 zDh1D=g3i)}n~u+Um`9z05-@0TGzI@Lm;{dD1RpI5Im;3y#l@%QK@ZZza!4rTKv2KZ z+#u+Apq?oV$U$lWPEJTsoD4haG(HuS@)_XaY{Y<Z&?ej&#@Iv89BY^wgRO*_3q9sD zwHQ>uB^Ok}%``M&fE?xtI^q<p1s>>dJ@F_<sxs&mSLT)^CNbz0mlQ$h3>YgjuOzjo zfI%-Wza&*JJ+D-+peVl}wWy>LNhql_GbcqiGX*N-=;Wyjs*AwNGZKq481zyq^NK5T zA#_O*16ZakwWv5VKM#cyU&NqSl$w(W(g0-@<diVzfxV(vkW-?Uo?pVCSCU#$!l0Ly znU~3+SCkJ9ZoSkDXf2eHQp5n~LA1t$^D;ySj1A4k40;glNyWtsddc~@xv6=esbxeR zO92Zs9tY}M!^XXE-3I`(0;Cqk2GOAAB53RhrXMut4ibma=zSsB_!vkH2%9lL&c=l4 zM?VK2+Kd4y1!Guy49o#-M?upM8>fKL==P)Q4`*Ot`1b#QKFogDI3tXP*$>($0<sg` z{fP_=44^San10weB#Z`~rwY;s3L{Y1!Sut%0W+az)x-3|#x-FyY#bA01_*=90MRg9 z2-(RDcRy@g5JrbU6~f#Pvj;}kF))CR8-VMFjXT0<XfqgoeksiTP-7WDTY^Ag3NsHj z4ho}T<DfA6VftbIpAH^}VPJ5A8VDO#h0(BaSdf0u*ekmJh2U`$#5gc)92!Q0(l^v- zFa>fem;og~YfnI73NjxgqySAYFdDq}10(^(;Kd;fpuPq~05pz-tUm!dz6GOU?uDp^ z4)TJ|PlXDA)_EZ7hmEViXd9S?Q1xhBhHYs6hmDWGXy`CCR5$3vUpN!Y0B!ID*$<09 z23Ukc={I0i2m;+dpe>5X`eEZ*FdDS07oi)|{!38z!|X3`gs6tm9MEw`h$tfbV0_RT zBG92BFlDfFiedLopt~2whtZ&oo}f7mn10wiM1v2+2ADbs4`Dw{9JFQ$ly+eHVdHeL zdqiOOhJf-v$PAc1SUj$V`X8nrHV?7F52TubAp#V*5GI&}>4(vO(e%T|13`;eK>JI< zx)203dBX*mp%V`<`(gLZctG{T?15=Oa}EP&ToEJ&J+2w1i~*)!8!iH2fYgFm$TTeO zLE<o60kyvX>HyHZ5NNCd%4L9+AE5DRnA>4`LG+6V5Xr#c0g4<56HLPF1#=iceSOGX SAuv9ShMhAkh^7IJ%K!kiYM4;~ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000..77499e6 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 33283 +Design successfully loaded +Design Loading Memory Usage: 20148 KB (Peak: 20744 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 101884 KB (Peak: 159416 KB) +Simulation CPU Usage: 70 ms diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb new file mode 100644 index 0000000000000000000000000000000000000000..1e210d30f48eeca9c7c343752be5432f0eae364a GIT binary patch literal 9297 zcmWg2k!R*+U|7Jwz`)?}q)MKd!@p`xI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+=u_vmAXBvN9b{KVfM8(H-!~A&P;4;Xf2OI5IFWG<UD!>sZ{-)4O;@18?J^ zg}mL3D|(w0mHK!V_cgRMuUOo{)4RC0ou_{>ubix)u!IcniiY-%W<{k2-h~}Kz1_Uj zF@=qRfq@C+BL)XX28IpHOpFZHOw7%T7jk$dJ9_y$W@W6fQEkuniZ}%kW=n9*O7qN; zbLKAme>_1I6b5VMteIFmJw09dR;^yR(80siCBe_ZD=XviviA&!7b`wsW`wA6c)xG0 z91}7JNgTq28pPeac%f}$%c72+6@AN=sa)Vm)jJJQ05*exfzQF8fq{V$>UNNGL70I7 zMVQ4WIUxm1pi6)p%QAE3%&80v4htC=7+`?_k2W}ifx&@^L4bjQfy*I}fq{VoY9niF zd!ua&m=tknXBJ>!aA;u`U|?cmWUycWtK@{KT)c3RZ3`=i#G#T4s*-m}Lwo<imS$V9 z*(f|5I=P`bIs6l{vND|=Tw#o<*xkefRnO(=<?82{?eFT~ieTc<!wc2J+T7P++X5zW zxP}j^lFP;4HOoIU!NVZ|!Q6o2B4!2?NGS0`^{{s=>Rr6hwiimvVpk1{2T)pNZ|+!R z+iu$nrE#bhgqqFS*U_=46Qmx_!lHwbL4d(wJ(mE3!v+ws5kzbP5t~8877(!&L~H{Q z+d;$*E&&DxW``hV0fzq$OIblAD}w;Te~@4RvjBs`VpahL2WF7D>p)5!KqRX}JyODD zU~*UuGHMN%00Sec45PzZkR~gTe?gdmQ4d6cFgUj|Ffd>UI5a@LjI0WrGr<JdM67Di zGA<gI0qjRnkf9(9v;O~o1_lNzW~h}6jCyb;R0W0r*lu)HV5flzg!|CdAeRToYzA<+ zi=r!sxD1x585s58Oqk2i1;8#t7X%e4APk8kP}riYfR~YQ27?1A4Tz#E1i6S2R(CM` z7lsF#q9}|BDSsJ31u(df0~1Jv9tou=x>hJ3RFDZcxG*p<h(j&qT->m@!?vS;$zo1p z0b>bU33(G^1_lOZc87@!3=9%bReWBaey)z*4q2{_DGpf~Xk3T$pmYkV-59{SB%!+a z7cT5*Y+SL>c5zF?O54Q?(fJU4j0_C_A^N1C`nWs$S{C=M=xwxJybzfUQO^WdFAY`C zxu~JP2do;wf~aMNtCfMO<?u{S$jWfY%78N<Dp}wvWuYp0{5?IBT^zjpJsq+#P&g1B ztZ*H2P#s)ep2<-4NKA-oHjrxO|NjkOvCRc*A2>K7F&P*f&Vh;pP(k5v7R0&$A})f6 zOCaJhh`0hGu7ZebTmlTLu()Jq(qRH&P!eYXTMHpLz||K>)PWCFVZt@AG_PII3?@L5 zIJAhPXklhjX98h78hFt(=rJ)ffeR`I95(QyXkckxyAI^2b<KF}kVMzA9;9VGJ}nX; zEgA~YxB^x0Ss4z=85}crP29D^Ve<}fSTQg-+y+_8z`*8k0~EkFLBuT(aT`S30TFk> zWi==-Ia~*&d@u<qykW7+zyxlGz__3?1cafj5STEyWdbG`7?8?_3aA?$z%>%6)PZS$ zIE{e;<a%)963$>?a+rjatr@_+aR^5iVs{{%mK^3YFfhQvh=I}J9>~8S7r@1!PCzO* z;3WvyP6h@>78R%mKy7AFlM-5nfl@Y9I|COJxWGkH36=r3ry#AW7D!zTvK&Jds4WF) zQMG_8YX(M#9tH*mHH5ulNNljlVC&EY!HqIxgB%_RFfdr4D`VzV-~?fZNKmC7&n&>e z!lsU}3v4tJA8a4IrO}IEIe;4sj27r>L1u$6*nBn(G?S6|V3VP39$4F_*8$WPVPLdC zSI5lB&k4c~5zGP%Y?^4MBJshdA{uH)ZMFmlP>Y9w(E?pH$XpPHn5~6oHWD9fHndF# zYuoiYfSOPYj27tXKqi7P*i=S`Dh37yZK%<lFb3E_P{INw40J*6j?U%|+s?k0g)NJa z*$j-}I6_yBncCK{2rw|PFgnCDFfiyqt%g~K#0NV9l=mP-h-a1~m;uf%>{_}|jSx#= zJg^=H1_tz65S{M;D(qR=^q_{oY)9gQO+ut91PhkF(A9#{76?Pqmp+=wNPMu#xY8H8 zI#5i3FgUi@4A4wP;)6}apT5vlgUkhCh}ni{W+U;zX5&g<=;}Zwf-u-raEW1rFj)+V z4K@{=WY7g+{VRA!%hdtgM*x=_=!!vM4#MDYcL0?ejBKFR6|@Zvwg8C_wiQRJf~H_} z)yT~wWVQpSvB1EfWd`*hBq_ppV2c<S7?5=!v%$Jq*({)%VM!2)4>kdj@DMCm5=2)E z3U&|%2R)l5n#o9fu*tZRAi6q`i69Iy)e6m2BtF<w{7Dd9H7Mdh7#w+Q)@WuU@xf-} zN`mO>K&}O0u#3S-&<0_$7!n(7DmaCp3*t?J=!!vhfiT2AND{O~*a)@&i4V3Fe-cDj zjc9WtSPr0ODg%R-1Jou+@`LffmM}0dAk-sRV4bXNPEd`oq=&=@>qaCv1Phk*(A9#x z55nLeU~@(@8Ho=z8CTLnR|hf?gdwJax>U%y8i@}!6@Su0R}C^3gdt|TqPZA}4>lWD z(nD7VG7*Hqrh=268^UBUBsSPoaLPazM2r<74I8;SfCosxB_O&6kbNKwu@jQ~+!3~d zEkWXgZN{JcFjZr8=s?{g21YhdG<%TvMA(C_8m-TZ#sxR6wS1s9LXta-2eyoXfdNem z8W(H=E1NIW2w1{L;)BgWBzFW0mhjQlf&w0d!GX`_hh{PoA8azNgpaNcR3?Hjq+A3I z!XhVpBtF<w{0SdjHORFf3~_M)nv0S6V6$;0d~|gn6G0ekDmdW>B1{%TVuMWur%7}{ zyk#!BVo>OSFgS#u)qW7dMz94)e6X$f6Fs_W<jNYE4X(Hu7_>s6wm}jij0d&|G=z;g z1_H`5tZZRWZLq|L#0Tp|Bsl~NmiW-sf&v_b!GX>O>WCsI6C^&^WL$|4T^-0o5Qdl< zf#zN$KG;<Ji4R>h$XpPHm>r2`HWD9fHm<~nt`3wCKp2u3K)qDZJP<T2#E{rvQ^9Ei zT@XCv4jFiFaR84)gUecUWgxeMFxdUjWEYL*3nV_+KK#iJT{U`F1)UG>x<LAEF;I)e zU<|Nzu!My!h{K2I%0S@=!jQ0p)F!b|i(p10@xfN&_aVA!q;WeWrUPi0jX^5`Y850` z!FXU>K+{9mNB=-tS=kbyT4An4;)C@g@)Lpu%US4ZL2(Si;CN<BLNghO4>lQB&O%oQ z3Re&Yhb>z&nyE;9u&MZS7P@MXYe5*|;uJKqk@#S<apf#@bs!T#7;GxISWiWmEQZ7e zn+ncZ=z=IyY%Qof2k-<CIH#d&1BDX^gTo4%)6x)jgRMg1gYCzk4$)Pkj5nij96;l~ z3=CRXQ2QW>5yk^s#lXOTq6LKm*3Zh84b=`ykVt&68Hi+vV8Ie3x>`_BfG{{X*mBTJ zM&g4_#+4w^)q$cGgu&6vmWyU85+7_T{sf7x8e}dAL(B$E>p}};aMU63!Di!1km%|_ zCW0{7RB(dKN4Q!Hi48UtY!$j7Y7#`{Atgw3Z6I4g7-BP|U@Sn`4Ymr254InFf<#x1 zR2m{NAq67?WVD%qflCTDJI=ts2pPV$V`N|eTaS<d+s?q**wWeCZri+gA%X>&^M%MW zFfd5LX3#;Vf#+o5rXge?su5-&Sm>s~X4yfef%7)pG=vQCrom?3L8gI6fZ(PfWQaEn zHZKn{4cwc8n}(1f-Za>ZJ;*e0djW15LWX$LV6*xl(?G+)4k55OMaU3u8f?BFWEyA~ w7-1ShhIrFp>j6Nffrh~mrXggAHx0I40Aw0ys2*V&LWXG5APSL52hd_70QGzTqyPW_ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb new file mode 100644 index 0000000000000000000000000000000000000000..77eb269180674c2620cb78881a3678c9fac3339a GIT binary patch literal 3743 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?fUFEPaIny&M$v446G=_8Xd-FT<9ikW*82&?ngDV3ALv!~kJ{4tKg+(hm z7Wen`Hu5$uTFBeoxT3d7QK^q-abH7A^NPhCJiUv1+j;sI^UBEz3QNfFu4riQXjW8e z;9c0!)7#BUJ(JiN7#KJ~zGHA;WMJ69%*4oG&BWZicp-;ZvZI&3V^+or8`buFuZU9+ zVYUR<tTfLoIcM&||Hl(lLE*7h&YFqE)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLG zW=4oAhxhx|$}u5xki;QOs6pJ#ix=89wk+!CS<$y_naTy8RK3#>1z<B67&sjK85kHC zp>78`7lauYP=r~0k`q$E1iA#su`DxZ&Ya4?;INQ^fdLi>C{c*QVPJ4zVh~_pU|?~` zV_;z5fZEL3+TLi}0wzTq+L;9y7#v!d1sIr^7#S=Wz$!su3&O067cR1G0h2gXazV}H z@^o<ory&Pd1am5O)48E~I6Pgmk`po<5@3uC4zrP5!^~g;ie3Q*hxJ?n3=SJW#6}RY ziA#Wif!QI5S%Bfc!%|id$;u$W@E;@?z%0Pvu$WbV!GW1UfWcuMNGX_Pb*M)Qd<G_m z)gYtRa0xIlqRKEjtOaSZVuHGofl&|61SdHL1`Gj*1_lNObXDNg1t!2IVpW5d_|Uiv zU_XkY8~p!20|SE<Gs1mvCd_^40${t*1;I`O6A1UAt3giF$ZQ61xQn7Ihqw%uFc}#2 z;7pjy&;`IQLl*>P3lN6H5h!fYRlxH(oWbA#N&}+k3PCPngq4L1|M}p7rU=Rxpft_^ zN@NTh7(s;yIHQ6Iq>M{KNr0{u$_HgaW(^^z-CTZ-*$!Dr9uCPFaOUP64pD5djOcKi zfq}sQ<~}Y*2Uz)x#AIM_H~~t)pakx4oJ)YgTnuVF^Wy$S5Qh2->JgA)XdF14<`Q7w zVu7g#a~T*M&Tt7ZFoB~3CIeN^04^yY<wXl5FM^^3LlvT|XaQ$d21bV-1_lO6sJj># z7{rj+V8g-Ip$meG8%Uu8G05S800V;sx-w=?1x^rlhy-Q%cxC|xMm8ygU0|b;_+b0s z;f)mZ$r%nOK^dNr6J0e{Be<J8`Z`+{_N}n(U5w0TU~;HsU|>Ku1610Bti~z{N~~Z> zQ%(T}1{Ow#Dh37yX{aAKVGNK85C%I3U68w@v$?~zv#(`g%OYep10z=Dm{GZg1!6TQ zs$`&6gQ5zF4YHg8Y#h2EqNqnI^<5pnr8GFw&^3S}41|#)4V*}1k!(g{BWy+oU? z<N^+FbWMg}^B5Q$ggHQ|MGj#p*mp>LutGd`FfekWtHx>satc9aLsJX787Qd*T@o#| zFfg(ypm_j^PuK%u=&HdUKo`Ul1`Y|J0t=Mr(KW%n(E=*dz)oRc1eY_6;0B4qDNu$3 zlaR_6R@N~vf!jhbE~pLwVQ5PTCJb&Jfe8i%q_SND8X69apqd|4M8PybT)@D9(l|ol zFfcmIXJBA}710cg;4*;|&WD-+4kC0x4lhrC7uO8`OgIBvmNPmeBbx{_0Tf>7Ca}UJ z^%)r$z$q791ylh8*kmxl!N9=ea28YrEMj0_P=op%)cgne9ElIs3Tt7(n_3AD;8qj^ zqbW=&BPWan)`80;f#ifN56^5wgBe2r+=zn|Kj<dnOHH7rA6P3WHL*y+8mkNpjF39V z4iq*ZzaeBG4&`iY>FjN{ZC<<(!GbhAA@ZP78C21OFvv7;LlSNpNEC!&Y7u52Sm>s~ z8oeOXz-1lWG=vPqJcJnt7P@J$<}k=KP^377FfuT3B19o3fs-D(AV%0@2!KNrk`&Q3 ggA*FMAZAiTR{?Pj0|VG(Fae4Xm?#GA0P30o0GL{Q3jhEB literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb new file mode 100644 index 0000000000000000000000000000000000000000..a4b28193ba77f6b1bcadf5b91c88ec277cc2c62f GIT binary patch literal 3603 zcmWg2k!R*+U|7Jwz`)?}q)MKdqqJ~MI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+;+rC-`N0CHOidXL@EO`6hTIh<;rd|4`h=A&P;4;Xf2Ocrq|BG<UD!)9P(s z(cIf(yJ$tn;{KlAM&8Cn3wgU6SM)Y1D)sR!?rUgiUa`1?r+0C0J5T>&UO8DoVF?-D z6%Fkj&5BA5ybC*edb@e4YZ@B^0|OJtrwk5^3=A8XnHU+YnV6dwFXZq_cJ%Uh%*t3{ zquQSD6>$n8%$DGqmFAfx=geLB|9FBbC~VfsSu?SCdV0F@ty;Zsp@WC3OM;(+S60U3 zW$zgdFIIfO%m`8C@P6M~IVNNdk~oA3HHf=;@j~0imPH*sEBcl#Q@OyCs&^Wq0Bi;W z1BZh@0|Nsi)a@YWf-nOEiZF{$azYB2K$ieHmSyJ5nNt}U92PP#Fu(!<BRVkz7#JLw z7z7v?7+4(g7#J8hpe|r-ZEv(~0h1yQ?aTrU3=S>K0t`${j0_eGV3nLOm5UcHvTb1n zkvLRxK~=K1HuknR*|tDw9ICmYs(H1VdwUjjEbd#;+i2Sh;>kdGg0`{_vyoiH%wPhF zY5@j^^;`lB4jVwkMi8-yOMroa*&&EofZ@NxQdSVj${@h-A0!ySEWqHfm{owmftf*o z!C@UpDVSt+s7Hzj1}2BqAfwiB2{16C$}l>t1!=MZr8N*{VAKOqAPi1@3=9ky0uBvO z&mpS<r!+7DHW8~Dw1kMpWdQq86l5p}!>s@RpMinFiWzDp1EU_C2~~k10Ja-l71(KD z0^vS%HOT23nauzWcTsfZ5SPIcDFdS(oC$Lox&YW^=z^du0>Y3u0);KQ3V5D}GZ-8| zX+RWRA;?9HuriY2KOa2M6a`^SP$Fa4zz8Z(z?l|IAZ20_N(FSSP(COlGHD1yE#yk} z%<|7n@Nh`ZfHG$|Y~JAz#Rkia4!0Q?7z|+U<7}{nmc|Gc1B1f}Q1S&OZ-?Wc<O)jL z;0*6@3d9AIkc160hk*%P=D@h1@C9LLi31Y`mqK8IfdMJpG@x#GU<BnVP>jGdKqHm` zqX5DXU|@8Z&%nR{3kC*8hm%|a44iO2)Fg0<Ko{ij^7MCc&G65JGr-}+=#Y$TBFuzZ zEP}8M<e<;Uz~F#Q1ynZ!*kmxl!N9=8A`bOBsKy0VJkXQ@3V)amE@rT!kyL_Zz@;pt ztZjjmVW5D+Pz5SsA?0ifq>#g=3R<?p%GeeMP)W$Z=+MK!z#xfmp%@Yy>;|yI&;`MT zI*OGK1Q-}B(3LTBDsY0ZLnNqBjb|2MU}Td**abEki4V39nxc^sH;4(2X$D4zISdR8 z=sH-L`1$#nAS5`{86Bz^7#O6X7IDHDVB<lN016&-LGF&u<__D=zLte8i;&q2j9^!y zE60qPH7o)Q3@niFl!02!z`!7e#0EP6Y#h2E*2r-Hhbg*JW=?)i5O#=whbcG?Ws&Se zVk7KC7sM0LV4J~VjjoB6Nr7L12|_xo0@ad?9H3a0L)Z@XAQB(!0z8&MV-;NoBt9V| zI93@L*%Z)hLE;m(MGRdv*rDixcpU1G04iTW86RB}sC5Q%fI|zY2xee#Sj51<poZpH zBtA$j1E}GG+KfT)9KbCU21ZkuCPq#e3#=EGiqQoHk`uB#JhKrEQw#xc0|}C*(KX}q zI;dF%)(Y}EixjLe$iTn|DVOX(Wg;kFA!Hy9<!o%}>}|JgUc3;&f;1Z;@}T?-YcPUL z12@v(rXge?su5-&Sm>s~8kHc^KxLc*+%$v?@utBVogmXdk>U`-$iTpf5QUfoPD<#4 r7-5eg01i<|6@;!CT*06VVpc)uDj?2bU;vv8CO{Da6UCq%K;1zAZA*L# literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..91e011f105d7c2634981c0d37751e7e1b51f4f1f GIT binary patch literal 4213 zcmWg2k!R*+U|7Jwz`)?}q)MKdqqJ~MI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+@V`7Tap|wy$XJ?Xg|7qGNG?Pj92JxKy#|W*J?FC<X?G|4`uI!@$7c<(A#= zoaL}O!7tM*!Pg-<(=#i{H^F0pM{>pjH;>E&=M{_nd$ar<UA;21JbM$e68w6TvKGwW zFk{xtxeGEK{XD%^EOuOw;pv;;wt(seN-{7oaDu$d;K0bhuz{J0k-?gYxq0zI4zFZK zFMr3Zj1@Mj?fG62ry#;?39eabo>_9v+=c&-C#Zq~X|0?!6N{&(rz_v8)e9Foc(}SG z_&IoGWjtQ?p5gFf#Rm{IAd?;5?^`PeCLm&9CNm=vhY6X3Bo1Lh?cr`-ywJ9>Wl=}Z zioRvbR4(wO>YauuK#M5>^iWR_{kkyzp|}s&Y6b=t2Y&_z1~F(Lfcy=@3=Am3EI!Ez zDPRI!0_1D3*BKZb7BVm}z(Nyi0>P4K7#JLw7z9AU@4yJ6nH++c1sMK2urdfR{AXZb zatL4+U~ph&5MXfF!6m=|Az2*ik=)F{<gk@XfWcuKmjDAJstlvUc9143aj5qg81>*x zkev(+3>X3q4Gatn=&Hb81QTErv8q9fd^9ct*khvT2LJ!hz`$Sy3pEBtJvbBQK6C-F z-ROd#I0IowNPv8Rt^%H#;S2_FAd8|a1i6S2Rtzxwmx2eKq6~})$tg@s_|qylfl(vT zgAIZtZ!i-~K++AHh8)yUY*`t}4jvg`YQ_$Sc4kmwX#pjk+YAg01~9j?xOpTyf(Zr& zhZCS=14>p7$GHR;G~}U1ark&7_&GaxWI!31#%U-(mGZ6jO7Kng%<|7n@Nn?R0CDF+ zxHBAP>~NTkaK%Xm1_lj9s5UkqKM#lG3^pIX84jB<9BZx$RmQw{MKiOpg)jpHgAPp0 z;T$MMGdOfIFfhQxph<<n!I+VOK@UR|lpN3n!I>FMfb$JFQK75BC^|3%7#!AuT*D%x z4s|iyB_KP|1zB6$8*N)4q{Bu~*ke<L2pdokIh+MqAftiJd~`w9#S0hNwm?XSO)&G( zRe@882PC0*WH_7zo3Dw@d~`wf*2doUCfgPm?XVeU2f9jV!hxqCj|_*?V0*N%*@G^~ ztJU1wv#4Wn--_Nw+g?<j!xosG=(<2@4=pJoaUITp?1w}b0|NsiI2kd4%U*}oAR|wK z<v}E*425MF1}1P#0ONuR84!ln12AE5N&*uM3`m8C0o1$TvK^epU>aZvh3I;M#bG`J z0|P9#GcbZ94s0Y`3~DB4V@qdmyKVF0g$Nd;Jg`7l%FM~n3BnE$pn?Kq0jvyQU<Ah) z*aEm1VGGcef(!>?gaxpYgMkqoC7f_R)Nrtk=z;>t30WSV*@%_}h5)#zVRT4lU|>Mk z>`;qEkO^j;J|hDIRuxbM3}BPN1P22HI1*r`7y~0XcY<9E7lUd;1U-TUjRbV1%$y3G zAnXvyEWp6xa2}Kz7lDdAXyO62O+f{P4uTK11Y1GDz-S6n#^}(DY6w`97)%<>Bf)5g z3nC!ZJD3F+bTP~Tg)q7xJJben@SsaFW%x5?_&Xe81T}peK)%&Q_ztWCi4QgiTd~E! zXbMxtY5`+`RWmR!fRY^uLy{gSEYMY8(Sj5cy6DQmsRUh+8)_X^HzWBH92w}Eu{Z!J zKN9BvSt>h#<PfN)vI9sCfyqQVfPn#=(Dcv}8WJCD5U#q5fzcGEl+^;p0_(t<*w9sA z(SjV*=*q!~4P6jaa)4|ECpL6R^u&fPkHrDVL5;2)s{=q?C2Bf=<Pf+FvK>?ofeJ)) UC(|PYeiP{cs4_Il0W{zM09MSY<NyEw literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f2263a419af8e6b5355cbf0f9ad0ef59dd2d1929 GIT binary patch literal 1179 zcmWg2k!R*+U|7Jwz`)?}q)MKdqqJ~MI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+?J+ygeM;Jdzz9oU<HOC-`N0CHOidXL@EO`6hT|&zrGrXIZ1J%6}+u@L^zJ z@N&!U$D(+FM{>pjH;>E&=M{_nd$ar<UA;21JbM$e68w6TvKGwWFk{xtxeGEK{XD%^ zEOuOw;pv;;wt(senlLahaDx2J;K0bhuz{Junu)o2@j?!-WJfQ5$E=JMHmdFUUJ<95 zm>5B!vQ`cv&z9htmFAfx=geLB|9FBbOp3+R)6<o2)#`-{9XwoJ68s#zvN9eod(Uuq zvEl=m8ngfvZdz=s)!V+Jxwpr5(Ta}6{XM;n!s1fJqMK!Onc%iMyx+H04q^g2lNshR z3<;=<!Q!|SLCmyf0=t~MdGSKq#+F4LJuCW_EmOI`ld5+brU1>^0_bs{Ao_J-{6ldc zhcE^P24*zX*gVMsN=4qKDqBAryKVuyk%58P!JmPF0Tw5qhyY;*1{7fypX7uTFu}l} z4^xXbUE-BwU~ph!5MXdv$|?X#0|E>Tj1H^}0u28_&S3`0EC$K!<`Q6VILal!03n$i z>XBT^z~pckB!7fUfPv8p<|YP4JvbAb#26Sb1RNTWje)8Hc^ZTvhGJ6zPoHoG1K81` z=obF}&%nS4%i9e9%@N5Ro3Ys937Z%LgF^}f1A{5lG;r2|Bos(kf&vTb7Y48oVJcyQ T;K&CP4tqgi$^fPuK*bUOK+#j& literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8cddbade79225fd1db6efe3a2e761a09d15a0dc8 GIT binary patch literal 3974 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)@Xx%xR~J0xd%W+nM1cqHhVv$`ZNS?>_Vz`*by3LM-S7#NznSMfEsv^T7> zU9_TOaeq&5BX8rPg}mL3D|(w0mHK!V_cgRMuUOo{)4RC0ou_{>ubix)u!IcniiY-% zW<{k2-h~}Kz1_UjG>esifq@I;M+OH*28IpHOpFZHOw7%T7jk$dJ9_y$W@W6fQEkun ziZ}%kW=n9*O7qN;bLKAme>_1I6e?@wteIFmJw09dR;^yR(80siCBe_ZD=XviviA&! z7b`wsW`wA6c)xG091}7JNgTq28pPeac%f}$%c72+6@AN=sa)Vm)jJJQ05*exfz!dC zfq{V$>UNNGL70I7MVQ4WIUxm1pi6)p%QAE3%&80v4htC=7+`^b7LjON1_lQv1_6+A zhdc%b26m|JtgY>hwk=>%#G##8fPulGg;{`siHVWHf&r|O1EzBE!bP?%tRNDHN=~Rs zE>9Ona9VP3MKGsgHyspvAk4a`xxuy<Ov*aUMsf!;g9*eP+)&e5`&zned%>hEikV=Q zj0^${4(quD7#uc$h>aj(6NuQ%CBVSI>=48(!0_K;DJzI%We{Na4-yPu7GQ8#%qqa( zzzov94y4opM6x>6BgGU0lf!C|QERva7#LAy7#-GvG+8l09m&9`2WNuQCj$e9fI|ZV z0|UA$a8d*lU=y*bK})G<Tn4ZoMbQoZ|DS<@!HOB-J~$KRK6C-F-ROc~r-2EC`_R=O zX8>e213278(Un7721~^ZjCyb;%w^~TV3(l_g7OduL*fV&w&*J0g#w(x-~dVkqUZ`i zE@FfgpA7$b;en>e4`V_K97a%y1J2c80x6f1P;8)Uh4MkUl}SSoY9Uv$XO@3vf`>zL z29!C&Ve<}$G)7RCcDT*Jz+eD#n*eHo?%;?az`)>e5|ofZN!;NCDB*%qIk<dqI1S>0 zNk|fhnaaQfE}mdqP)LI?v~Yq6g9|J$!N7nNg6dFTIDoS!C~jaHpi#_#R$8HP85kYr zGcYi~0)v6k;S|XIpy~}S1~UnqIM7Ae7j<-YEbeQ8(cpk{NJcgjs^6g&iy%~v!6A>4 zfdQ)uP!a;E0vpW0z|0~F^)jeB2Gvc_v;hitkU9|NVgkDrNhL^>0bCeEisTkZQ3eVy z3{{|l7*ZU!fXg}tMu#2-1_p72y<$jgu*qQS&;`LII<i3y4+Iz(EYOuPb1HCxutOxM zu#9IGU|?jEK-dK~8i^0K51LYu5-o@cjyncMa4@3l0BZv$#wrE|21%&-oG=DV8f+W7 zAa_S+bBAqbU(3RlMaXOhMy$#)gLw^$00RRHBp9WjRx>a#h#|4T4ged6E{Le<kSaY_ z2XF-h4p4LrpuhxSqyPm+pfr-rNNj}7=z@4c861`1fJWB@Pp?xMK`|+VuoUb&BtF<; zJa#~15?u#a8;D?JlS4Bci4Ri1fY)#_bk$(@p$p<M!XW|Fr~&0_bWNZZ7{~z*bHSx3 zgTo>Q1_l*0$0G4TY8k*y6i7p**8$YBU|?W0g(+g>gt5SCL3tmPchLm}k`uB#JhKsv zO$-5W6NpIDxSKorI$IX@t+4G~jLc?$6ffwO;|nTKD50wdRo5WjIGA#RvIp2@U_yZb z5@M=oA%?^U>0@9;G}w9_z|AlQCR3OqMhh4Vtd@a+0hA{|7@RM}&}G4~k1mMMOQ5_5 z&H?C}P;vlX_waKvbMkXKM1WeQ3=FJNu(mS;10$q-wgc4)pom7uKzzg5*wWeCZri+g zA%X>IvqIz<7#O5r?Q4)};5IAVG=vO9HNp%83*9tW8ysXBxa@(OhL9oNG*HVNgh8f( z(z-(kBLf2`NCbo-<}fgT;{+rC!Z1OMB#j{ePDG60N*JUOgkhS&5e5<fVVEGOMGIm( z=!1eAlvF{oAPf>k#$c1d1SklhGE%S(1jsX>WC->Q*zE`zh+0JOB3Nhv4N-_pI)HlM E0D=RVa{vGU literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb new file mode 100644 index 0000000000000000000000000000000000000000..e7dbc3712d49496fa4840b76b52faeeef3a857cb GIT binary patch literal 3560 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?h=vdTcyJ$tn!j@Gn&9!B6%q#4(6dj@%7#RLTfrA?Z1A~`ac7LL0vbRHW zre}t0wyW0ykK~L6ZXTHl&MOxC_h$J!x_V`1dG;n`CHVCwWi6P$VaBYPa~EVf`gwY- zSnRkU!_zmxZ2=XHVr5`p;0Ae+!GV#1VFNQ0BZD;)bMxYb9A3$eUjB|*87pj5+w;95 zPC<m(5?r&=JhSASxeNavPf!H~$yzyUCKgXmPglNGs~0YG@NjiW@N@9W%6Po&J;UL} ziVv6>A*vkS?^`R!gv>z_hcKZAaW^ksXxrGbsH0~^-?C*Y7kE<jPD2!c&0t{Qa`0zh zU|@v09pqdPW?(=OX7Nc*NC6Y*5+KL2%$zxMDg%SVLIwr~SRkOrA}Wu8!GVcEfPu*& zkAZ=K9cnXMR)(XugFlpVc)%zCauy>agCzr49S2NZN`ha8gFhRDLQ%)S0#*r%0}y6y zZEv(~0h1yQ?aTrU3=S>K0t`${j0_eKGkKt9vMye@$hHMc;!w#8RS9uk0)*OtVk$F( z3B*)Bm|CzC6Cf_dqLz_CfWcusmjHvq1`x3kL~H^Pn?b}DE&&DxW``hV0fzq$OIblA zD}w;Te~@4RvjBs`VpahL2WF5N>p)5!KqRX}Jt)+m4q#w%SPe324VM4|BdQFe!&;Cg zD<+syMm;zaoP-$|Fa#VL7#JAPRe@70m;jrIRSjBVM&mMo{V0lV@c;h|3=CGx2=~F6 zF!!MgfbB*X1Un5(Al!$p204!)vl+nQE{d)k;xbr5XJFKWGhr@67XZ5qT@aMbKo}B7 zps+<(0WUY;3<d{K8W2TS2yzi4taxSk56U^948XvsC<F^XNSVY4DwMz(A50(>1SFI! z=vtwCaF*5(fm+Cw?3v}Cnc(4&oB?NU-r*3%2Fr#Hw;3213}Ei#@^eg1aLsmf^K?cq zCo?cOoB}0aP||id2}-b_)D12?9L|8aU=otFVJ0y!flDqJ7Zk!E3@x`{!r-zDOfWDY zg`5i1^$y^?35pY#258hWpq6B)JO)OG`3wvUupnSybU4i=z`zOTL(KrE26RCVFHe6L z*9`wmI0GD3j1I}jCc;dp#UdyLlhkKqU~s^u0;+%kY%-YOU|?Wok$`#{R8fN}E@-L% zg*;3L7ZcdgNGicH;Ib7`!nQbo%P&xnVW>ist}PCr0*-;vp@)Hi0pwtCGG$;8Lt=wX z23v<N2ri%@#WchqhX(=-3>N6hm^l?VLD(S@R7}P*3otOUNhA3Y<VGYu*gkj~MM|#8 z8Q}P1V04(lz`%g6gVA9&R?#I`MF0P1ut3+(%*oFQ!VVE2SFU0O715y}w>nfYFfhnK z-OCAMfL#fSA&~3Q1-Uyqn>%bf`&t&ZEJ9{8FoMGoT{&j7tzi*hU|?ZnlZ9Ffvj~X~ zb^zXB5<^!Fwj5m$j}Z<Dpuz!^a?v$`nkOI!IJAJvk3|d&42oz@MdE{0GC-<mXic5q z0IE|N7#K}q${0CeEU;>rhtUP`xE2z&=o%o_!kaPR>K#-HfU_GYV}jhSgywc6KG-#o zCIhtPkl+Ar3NSF5!jv&`!dPI{Ft?)%5_CJd28gxrrWLqVg6wuCDOh8Tfq@a+a$x{R zF+vpL9`^QzMJpCJ^ucIIBMn?6p)2Kw=zx<_ux1{}29N_FHXuYHDq$wWXe1lZm4Zqm zh$aZhA_XdQKp12NxX`i##S183fkZ(VqLP7uv$3VKx81gR@j?U((&mGyV32~fAwi~r z3O5J1X$TpJYJ?dG7P@J$b|%O)P?B{Bfw>JKL%eCQmMF+HP$EK@hL9oJG>AfE(gD=R F0|4pTb<qF- literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3a00aa54cbfe41dba05d8ad7baeaea10e8762d5c GIT binary patch literal 4564 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&uAtn9aK?r1M<G1Xdjr*5}H6axdpe<*NpU|?YIa?9@bO-XX_^7LEak({x> z%_B3xdBtM?-YkDdSFg-0&)$Tr1i#*-tOfHo%$PNE?t)B5KTod}iyaqac={%|Eue}a ztPBhcJRt8dI509WY+z<$WUyvpZeF~Q!z<a*%il38V}*@sd%jo1DTpvzf@@ZqXO^5Z zcj5oz396s~SSx4E#Nz4c>B_fi^}>Y?9<DA4ehyw)8IPB}XE?lA@c}a<M3uw)eQV{I zkU2==5GK?h?&ie{Z5vw_b@Z(0TeeK)0#B;mX@~-_84L_u4*m=b42)2>gPaS(3=Am3 zEI!EzDPRI!0_0ehnKNfjWngev$iTn=3j|o4!Dt2s2POsq1_lN;hdc%b1`eowtgY>h zwk=>%#G##8fPulGg;{`siHVWHf&r|O6Q*+U!bP?%tRNDHN-n5Mwq!3)KL=N~%^+$j zc2l`wYO_I#T-kPmC>&}*aSFm*pcDo+JArHNmQ4;|^EaTF&&*%~aWya0e6Sv{9S}WW zd$8zXWDsC*SkEQE;IIKiYy=UTK*VMcv4u;3fq~f}h*^N)zr#{i5Xs6Q!0;a=7{DyR z;INogfWd(oWX3v>QU?&p>QIjqu?$QOt3gJs;SykAM3rH5SPRl*#RPRT1EU_C2~N%o z3>X3q4Gatn=&Hae7fgUn#Ht1@VWV*wz<v}(H~9a51_lNzW`z6TOql!71;BQr3xb^n zCJ^pJSA(2ikl762a2G{a4sjVQ(K9gW!I?0Zp$mXrhAs%oav%(eBT(3)tALjwa0Y_| zC=H0BD+IZS5mvA={0C(@P~v4^R1|`RAEbO@1Qk%=LI6x46$&JjGU!^Nd{9<r(GY=J z$i1++quq9Kf1~Z9g$TBhp+giKEW<n8W?*12fVq&x%_G?nOfWDwoB}0VP=a<i$tA#` zAqq8=zq4bJEt0{gd`!d5C7~KQK-oCM%hM0eV1NV&Gz38QK_k!M9G3tC7Yj@$n9IQ6 zaGpzmfe9RsFd3+N25><JDb89T*%=g<7^*;p7^Em`0q1uHMu#2-1_o)U3mF&~#E{rv z!@<^}3xZ2SWP=<Y2rw{MpetkMRNw?*he%La5f3U~*<=uQfsIDugY84a6LOp_TIg^Z zR8}xL%wS+(K-a_QFdM7r5-g(s|1(&i>u2WV=LBJg2#`Bhv4TpfP-X!JHd!>sBJshF zMUDGK3(@%wXAzD@*9&nprYOkK=%OG;ql<wY48rJ+W^|}xU|^7gx||cn0J|5|00Jcp zbV2Tp&gKr=&c2p~EsK!Z42<A7LRXHN+SafLFfgz%vB^WNg;|8e2Rnd3?24hQ2V0LW zh}RH@1W?wlWnf@H*9K~8fE?k_!Y07Lrhw*BBtA$H1A0`V^I=g73QlyrcpV5foe`X+ z8Np2xhclo=0wy69B&;-IU;?*=U|di+4Z_ft5KI`{Isy|63`pg#I@Es-;2Iv}N|*+) z0~i<>U@asV&A{X^pMik^R(LWng0mbaoDbCpjuLc14lhrC7uO8`OgIBv#xgo2Bbx{_ z0TesvCa}OH^%)r$9I&Z?DqsMc3??`j7{E@370nEc;8@{=^PyV6PDU4myA;j<n+b6; zx?-?{&;>D_jIIJ|KdO_N9WH=smPHH<3@Xq_f@K#ZK3FTb6$fqkfocy3(*e{rV_;x3 zg(+tggR#K6K*cz^05}NH1z`<Vu(7TV;Km<=5Ca1zx<ahF@uqS{aEPIs0}nA!VGa%M z1)wq)WGjXzh;s&d#zg0XlLP_hfQo6Tb1r}qro#@9qd+cWU~m9Mlqy<8A@RXM4vr{j za~l*<5T*mT{mj5<3RBJ~24jJBfg%cB036ilf^1;(T%nW$xPcA|GEQ`bSalN-QRwF2 zk0^B2#5n^!V$k_W5ru96+&TCnih)fE*1Kb1V1%@S>_CMHsPIF`Kti6gv8A)O-L`r0 zLIexaqk_mYFfd5LdVnC)z`Y~5X$TpJYJ?dG7P@J$ULwdea884phL9oNG*~|pWEwa* zz)eHQ5N{f&p$@_z(?ErbLkKL~K%yWFF^ho#;Xecm-KVfVD9AKW4nUZOkb#(oFayCt OGYz5;nREb+=KuiBcN@b1 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb new file mode 100644 index 0000000000000000000000000000000000000000..c079f2270896861511a1ab64e041841cde958627 GIT binary patch literal 22819 zcmWg2k!R*+U|7Jwz`)?}q)MKd!@p`xI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+>(*vJ(6ll;5`Q{orHg5XHd2@E;0no4Z%>^)Bvh=WSfHkhi;WMQ@X$QXkLa zzJ`|O6^lD~KvF#Yi+SZ_1%)MKcvm#EcQh+1HSjL%=;`g|rA#*~0|Nse$n^{kj0_AL zIGGq3teKdb7cb=SN_O<}cg)IIVWZlf?-g+hBFvWHnw936CFjgt`2To<D#*WU<*b=l zJUu;K`Btr7xX{7F)g{5t!7D4{@v`>}hZie8U}l7<a(KUQtsE0F2T2^lgc`)%ym+B) zW6PqBo)vw|mZ@CeN!2?IQNWB#KM9tA-2pMqnh7nE*gRbvlU=i(n$MA(_H*YvT3aWu zI>9f~E5X+xIny&M$v43xLG<gw_=n;?w6>UcLC2yl+eIrn7PhQvX|64kV_spOrO1RU zba92&LWk99nZ7rIpB%lV=lO-!juu>D+ibf~bJ2>9=HAAIeGQ8i?+xb`uos(hfz~#& zEBCgqXzuM{xWE4Q-~OBPAW4XU&%vL8fq@a4g+RFmgc%r6gjsx&6H>qgx&$cCu*{q} zb1DOa!$JlI23TGMmlR-vfx&@^L4bkDA&-H9fgNfOTULgnw}U^Fa(KWf0CEH)BZDOa zSRDsUT}pyqhJ!yFghElrzyVgt1y#x6pOBT6>FnSNV@!2uXBJ>!aA;u`U;rfw3y8_w zQ1$GsjlJznwk=Rv1iNY;sA?|XMT-_X`1pA^xFVQ1Y~Y3JVO_j%k!=f@#9=z9&;nt> zB@ONU3tO5O_jUAib}ZDi?S+a6!$o8rW+VBAnZX3&8-A!=0$CoOex4aw{+_-ro*7FV z5+DLQ-~t=4>jwop$OWv;9gA#x!K5roNWg6pgxbX3*U_=4)3z5%V^Ph>Ai&`8gG+$H z;U|dr1tNZfh(93WFNpXDBL0I225tcc2S#oI1_ow_AZ7uE{|-x8K_n}K0K<QfU;wiK zgTrE00R{(Vkh$NvKyeBpSsm&@X$I;n1}29uAoIR*2{16C$}l>718K5if+=OxgEPUk z1_J|zfI|ZV0|UA$a0LM-z$Ri<gI0f_aT&mV6h$}q|9=Js1}kQS``}EN`_KizcB2b| zodzZl?n76DTrDB98NlH#imn{uGFYZ&VAO*%VJ<@#0J{ub5LBdqFeHvZVT-N;URA>x z3=W_)Ad0RK<RV5`bA{o*Fg(x{MPW=xGk~4S1uD3_9LZ*a3Q=$%fC)%-iq0G=;RAIy zsA7_F@MK_M5QionXyXY=F+h?M)UV>8Vu}Y`>qtVCv$nQ3+O~j6^fKN6QbJ3?RN}4; zpem)IDtV!`fi2i<6dn#cWS}}>RUghe5NeYwR6W}w7tdse1PFy*LqOHa!PG8<s0C9v z)XKxuE{3QDQ#jNrz|^jQs0C9v)GET%u7aoqQ#jNr!PKsVs0C9v)G9;OvV&^BcH3Sk zjb5igLqY|rniEn3w%hi?S(rM&#iN4+B*jR9DmiHoAp;_0L4+KLkOvV8AVLvDD1iuN z5TODhR6)8ODv?qrgM%bU0%EKbED<s=Fgk#(uw!Ik0GGcA8L>I2&6!d)sZB7cWGx zAk{oXo`Hcu3YJhorh(lGHw_^JQH?MI!9q6;mY6}Nfjtd34Ix9kX|MziG7TJhaMKVn z#G3|7{vgx9(GbGOz`%(Rg_r~mNOVDg<b*5_&ul~o5kmmn&SG>(W?*1I*X&S>MG#cK zfh=^;2Spw>6(Cs<2Ad2fK&@kt2q@ZM`4i+BaB>2B1|bSj2lfoQAcos91i-dIJcF(o z>=|@HOwXXJfH;SN0c<juK=ur*00DUhoGrkfL5M<hgFS;Th~ah&0kCZl&!B4tdj?$) z(=+HQAkM+%8CVGi@(eh8gFS-~h3E!*23-)t?HB?`o<Y|P_6)iprf1MqK%9fiGq55U z<QZ@=1@;U=6rvmK8FWDmw_^w(c?MlG*fZ#Yn4Upb0dWp4&%o*kkY~WfE!Z;%QHXA^ zXV3*P+>RlD<Qa6$V9%fnVtNK$1;jbHJOiu5K%N0t8z9es-Hwofn1Cp)5iIm_8&=7I zOas+F4sg>DGDMpOZ>Af-+U6{79?6bif`P#S)Shwxb>$qyK(!jE8Kw@cV=)GmFa)qP z%~-%qGfk)w;6@qVrkNH@CBdedHdG~aumIPf0kol@169qd)!f^&sAF;8irz-sUJy?P z!V|Pb@6H=Q!qg!GROPccM1jI18bri^h**#)xFG-w9|ng=kOV}z6wJ$@NCRtySC0r8 zhy{p<N3hV704!KQrh(l6Hw_^}ylJqY1DOW)4BRw?4DqJHf)->NC{)17lM^8dF$tXd z(FHLwDuw`3&PCS@&bjD<pl&?KLPXV#t^y<r!eEoZ1X67W&mG_nh(0XQpp0swj&Wj1 z^K9TWZwS>49Z!Q&NW*2&lxYN02N_Sp99Dy>Gl8ljoQF(dDhcKxGpI_4;}Rg$21w$A z4$?6*K<zh&sRg?;0pd<fwV<G7aL5Mb4i<-eP=+V~5rrV4h#NHKm<wX&fe27D8WzS3 z4mltpkVYmcSlEG*6i7Lw1&t5|Tf)HJ-mqxJ;)XsL4Gmj}Bm;vKEM!5Zfb4^qf)Ir$ zgz1LSXr{18!2%v+4A?{P+=7sS7=_5c2o@wTA@ZQMAuMu0rh!8SZW=-cq8ecaf`x7x zECNBMfrA)cH6Ub&Hw_lWAk#o`1<uLfjEj&V+BDRxWDQL{m}BjjB3QB%GdN4xLJi?a z_H_2m^mlNDF{Xm^F=AX9nq=*u>e;ef{WBdBAQX;%r#)0HYhTA=+g>n<t3qf1C2nSi zCQy291`#bF0dQRa^Cp8sBS-?GQVQm7P`HA1f#RGKAqojoa6qFAVibrN0${I0n$YN) z!6hWRAZFVJT?NEBxY{<bKm~aQ?0Zm><3xx;bb~#EE{NfF3;`t1plb$u23?R1VjBaZ zxJOq3Re+;&1B-Q#XF#zCPVt-wQHXA^XV3*P+>RlD<Qa6$V9%fnVtNK$1;jZxVg@zC zIYZMk8)PmGN@2-bkSQBisB&h0+ZJYiTM@9^F*1}JOqGId3$p?)RqilVO13S`O1M;c zz*H&PwlFK=QUz*&f+j<lm2F#?m2s)^f|;dZ+rq4YOO-cN6>EFPLfaNFiNl{hP?c<; zX-J0z2!&a2fTP1<1}OJ)ILrcN_}L(04v3fwBIbdJ`5<Beh*$_x2`(pKvBTgn6C?pK zLJAfMpwtL94qQ$kM8Wn!YB6*{jKqf_0FF^eIf1SjoEp&uG0O>b6%gm(NR3jkv;y)B zI9R}*L5M<hgR>U8Acos91du#~t{LnZbU{qdpsRp52bX7HNgCuCaP)#bgAj%2273lw z5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6poCop@IGKVygAj%2273lw5X0>l0!W@g*9`Uy zx*(=!&{aU3gUd6p0s`b2aCQTG1|bU34fYJWAcos91du#~t{LnZbU{qdpsRp52bX7H z<rv5_;9>*p8H6ZAH`p`if*5Yc5J2(_x@NFv&;>C)gRTPN99*7(6}KSIfQvt{XAq(g z-C)n43u3q(LjcJ$=$gTvK^MgA7ow|xI0u(!U^N2BGoWG|(ojH%LUe;YgD!~Sb_@X| z&!B4tdj?$)GiK0LK%9faGpG%P0BBtYTZ;mtu{0GRgE>J^4TL+Q!7!BsJE9>_m29g( ztCkW#)LaM53K!f92!*O;Z|-gEXa>y?foWW=^~<12m&M^KsGhwBBCdmo8z50|RSfe# zgTob&1Vp(M%#)z_25SW+UU2jxWFUcz=$s)~=-o6}K!8jGy8&(*LWX$LV1WfP4eS|k z3mhQ|F$wHgbU}=yharHJ7ST0>(;~VcXq6JkLPQH3T?I%Mguy0*38VoRDOhZRJOhdp zNX9~lf^<S>(9i`j+>RjtwhhweLDvlS47wm_sRhVFglEuIfMh`!Y%-WY@(es<X+%QP zC~T$}G;Q3t5Xut<@dOPai3B{%;&7XRfk6Ybb`#XrWdp5g^2h*FGj?Doi-wxb&fnRw z$hL7IgcdXey9Ux^hnN=wQ>Xw{2&S<pjD;ywf+_^lSQN&=6e>a$f@v%Y<6#Pwp$fq? z7KI5gg&I(WU>b|UM5sc+g$p|tH8(djH*_q5Xn~3dG&gh@fkd!qPJ(LYbMZ{}@`S9* za`4FDbMf2^=g!!H5rCixLr{dUFK+2u0Saj_jm7*FsQGOEo>>ka8DI*Fd8trk92uGZ zuD%W)8BhimrD;&5{O~o2JuCWb8yCX)#vncxr=&wQLKd54q&oT~Ww?4dcw|5XcEAKM z?KIDZYUNny09mdIXD~1@=)hzheu9cl28T`t1_qcIC`W*>gE1omgC2$`XmJs`Ab8~> zm~a5C4+EE0=xU%VKA;qX!&=aqF&>#*s5?P6f-qPwx*$^R)Z(xav<wa83UpQAJO<5b z9vKd=L0*#qEs6w1JIHJh2AhvA2wp=7SxMO9unA^9UR9uFb__E4*j$e;2rVg^v8*>~ zao7yA4VNxZ`2sI(njt)gS75&tV6z=v5M#}XtHTzUokXYwtuADcDa2+Ix*%kk3v{(h zg2PsrE$HeXWgM)mYh38?2JD+6Y<8dvvVoV!BtR*LZ7@5~)v<$%$Hs*)+TktOj$&+f zpbN5rm(?UdDTnPaJJ8j!gG<)Ng)rLT9oUW%Y<8dvvVoW5BtR*L9WXo4)v<#M<;I0D z+TlIej#6xPpbN5rm+>S(DTkdfJJ8j!gUj>Ag)rLT1K5r-Y<8dvvVoWXBtR*LT`)V) z)v<%Cg2sg~+TkPEj&f{vpbJ7*4Z&6q^*Zc^*@CVTyuKE)%C^@5wDOffrUIJ{=z{Qd zMu-(hy$*X|wxFwquFQq4(CvjRuZ0w7=G9R9I2CNQAnU;qEKtb{lXp<&0j&)Nm%K1B zQ27MHkdhZe6jbJ+3xW$>Fo7s}(bZr~?P3TpIP3?N#w;?R<uMqgCAuI=X?z$KO6aO! zr5>cjLghiruR3U0f&2`@;IbKA5T$HB0<#UTDoEK3TD^?n7j!|i3Fj7vqc9r?sst^$ zW{?3z3WhD{f}p8s)QM^Y&*2!%Ms!`!wh(6P2uZ}@6DS`+qR+e;8lL<LwkVUF=zLH* zgsF8fMWjQR7$`147?KV#L_zLE7X&9kFo8&i=xR{sT2Xln4kx(;7?@;Qp<V!455nLi zfi4J{+JtXzI139v0_s3Z<{4z#u-Skv2$>LtZ;Lnwvw?s*(0Y6Z7MTugR-g-_<f8L1 z3(!>|HbWroi*R)St@LM*0kzsN(jK}XO8&V3vj?v#NdD=<<_mN|NK`;4n-d%^!pz4| zhtv&%x6Qh-*?=wxi3;cxb%Mhsm<{OaP)it89;CbhCou-|KB%o63mqWSDR2fTU%+G? zd=dEqCI(87APmVD7^0wjfi4Kn5?}(6FVNLMr*ohbgTqx&S<E3b0qRbWjUWup3h08+ zDV`RGo3L;}SAnCoH4&TX=z`3Usi78!TQJknRlwR;FdEv<nuN`CbU|jwlv0bsZJ6ok zDqt-u7!7S<O~z(Ax*#)TDyqfd4$O3P6|goHjE1(YreHH2T@X5j)#7j$W;(hGSZfMK zLt9l-v6+r8$PAhKYH_#+GaX$8tQ`fTq3x+@*i1(k1W%Shrp#I#?!#<AS4Dj7BQqVF zt>}V~c{k|vTY|#_n7!!gFuV20y?lqy;Q9`nMa*YG?d4kN;FaK!;qRE7g~SBqW|#_x zY(#E`iGlJI2!nGoC%UK}x&S!)p$md@Hkd$UZ*(=7Ya%d37#tph_f>(45On=KS^lnY z|DkXox)>N3m}TZbg979s5C*#zT@bdy0>0G3)!{iT8qn1e6AdzRvDu0)2wABCU9geh z@B(Hdx;oT>nZ|_<UqDeUGY^{`=z`!S9*~6|y$&y7HlV8l59L5dbUZQ~zJkmL2Rws0 zXlo^?D&<tNg>K_Sus{h3Cht&#NJua-P<VqdI3ej_h=Sr2T@ajPzyu=QpsRt6-NR@G zhc}>#fkkF9wh%!VL}^}pfQ1OUDy%~$OR$-bE{M{s_y{u}uPR8hVktJ$(FH+s2EGp9 zsRLh!1cy&B^U>8o#=$TK#*hRYet=?GW*IhH(FM^aA$lD?a|tkTqN@UDEp$PsL*Uy% zq5DITHjFxewqrtuuhBJwhp*8EF^8|wRe;hQ2!l-qk9RUb(+C3t0~>f~58TTHH}S#k z8E`QOPQvWqJ;M&GK>@oLL>vbZmqEls5b+8`goA?40YpM}e8LtPGcbWSFT=Q?jfWr% z-MS1D1}ASY!N7pDq3{4SCLF-qSV5TsrUB#^5C-pR1``aT4r-uzoH!6s3?f=V#3B%J z6GY5sU|@g^&oeNBn|a{qfs296V_?9yKgR)N25hvRfe}1x2sQ&QMx+_Ap?n5L@PHO4 zoDbCxHWXbDW0nI$035N9bc3!LoNmwsG3OJ}RY2W?x{3-M46sQC21al@juXy@Y5{uz zT@b^?7y?LMK-UcR0=gik7tmEe-Gjvou;~v5MsQ=16V8Wf0eb;m5W~e70!Us!*9`Uo zx*(<(&{aU)gT)K5i5Uh)aEp`^&WCCNdjVY#!^Ic^NM1nK4E6%LAf^}4RY2W?#S5@0 zBL+rrvzHUjhiU<P0bLNo#TWueUO?9j_5!*frWepvK;47I3$V#721an(niI~4Y5{uz zT@b^?7y?LMK-UcR0=gik7tmEe-GjvouxU01M({8ZC!7z}0`>yBAcl)E1dzOdt{LnF zbV1DJ8t5vZ?!n>(*km6ABe><y3Fkw#fW3e&h~Z)k0VFS=YX*A(T@W)C&{aU)gT)K5 ziAM%TaEq1`&WCCNdjVY#!^Ic^NM1nK4E6%LAm)NpbQMtdVDSQMN|b>S+-T;6^PyV6 zUO*Sba507ek{8f5gS~(*i0K7%6;StJ@d9kRmVwdX8@B)hC!7z}0`>yBAcl)E1dzOd zt{LnFbU{onpsRqo2a6YA)5r{r4&Omufb*eRz+ONX#Bec&0FoEbHG{o?E{N#`bQMtd zVDSQMLYsjR+%D&Y^PyV6UO*Sba507ek{8f5gS~(*h`G)MT?N!Vs9xZ8_yuZos_+Of zIGBM5KM;`vB5FZ|F9QR^DroZ-)aXQFgUti`4qcEd+0(@n)WuK8LSiy7a-u85s++^h z)8EB4!#@+waEM|9ozMbmSfiUj^pFnN3^1YKpv@`3z`%mla`^g%#h~>INb4D(d~h;l zU|@Fu&26uS1`MMEXs7|k1BVMJte6z|`4tot6qq>SENHBPCYI2Z!?a;Bc@5Mam=aDV zetwYI{7eWIlF8`G;YkD2&1<ok401HYWC#n%WOU{5Y7o=pbr>dtT&%zkG8x7~G8tVt zysL<5@_G!DA%Ouh8OA~~8C^LGG!(If^9HOY^Ml<CG8x1|G8tVtyhg=z^F~aQ`5~bT zia`hqYBFfN0bTk3{|wM=Uk-~H7#KDqOyfjigFOaL)#!pmcjZ9|6J0Yrz(Boyh$9&o z7)@cy7%gBdu>GK+J5B{oW=;i8he*)zQw(4yZ$WZ05*w@q>|}I7lAMgL86Fd;PKGIC zw1Ba|_TzB!RwO4QvB6rvPDU3b$;s%N;Z+c-lVQpjEnqCL{WzSw4avz!Y_JxvlhFl9 zax%JR(7|jVqY;BOjG%-8Q^sfkV}TTbFep)gFml4!fp9X|g-Cpm76#}NH27LHj|^~Q z2V@aUDWv#?@xZzu#V@GvRR9$WV1@!e6FBuUFgSo}wOwcyBk{pTK!XOh9u2lY4SgIA z>}Yho(4*Ke4`)LXaR41?2C9ZYrNwTjV;I54!FXVIfKI>zuj^}E2pdiYH@YFdMpw$x zyl7D~m;n0~T*$%HKn&jlwF+i9mJwu#(dY_UniqnMUbv_km(hFCjK&dn5aZDmvotSS z2y*#CoW}1%GoGlrn$ZDNprUI96{z6D@fet-K*<<1P7Ye(3!YC0#{ohV5>V_|H}is< zV(3ai2kb#KK}adk5?q)K;Q4g04G2+)GCVe*D+Qg^1JMK_Iiz4m8iG6lTHodXU%`!# zfv82S0!OeQYj`2@piRKA0}nx_f!2f|Ohd>(R3pqlu+U9|9f$}r4K&M-FbyF?ylJqb z5<#YchTjpUA!LX*4HPXP3^EOrAtB4VK_VawF$cQ58zcb2FhPtaJB9!_pFqYrK^j3A zrWu@YKms5P6NJ|R@N<1YvLFmo0i(etg9%X5fQm|i5*|b~Bcx0QFT6*HLezm1AG#oh z+c5;dwn03Dt{LnZbU{$A09lAwtB$S$Bn!e|lfeYCXFyBIV4eZ3djrP|*zE`zkZ!yq o;SdE33{tS8C_(WIIyw?z8bSu57?F+<EVL92QHV@BfR0B70CZE{NdN!< literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f47f48a5f45032647838147c36b0468a94a31b97 GIT binary patch literal 6789 zcmWg2k!R*+U|7Jwz`)?}q)MKd!@p`xI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`T_7TfkN?rm2uu0Q5eX3pRc#lXPu9|{~C7#JA5+_L+fvm6q#68si;Bxfve z^T<qaUa{D}H_PAA)hjd0vlk@Qo0PR+{)QQ|X3kxZ>FDR_wPLa3f(%dJ1h)lLF+`ey zfk6c19R>$R28IpHOpFZHOw7%T7jk$dJ9_y$W@W6fQEkuniZ}%kW=n9*O7qN;bLKAm ze>_1I6aZ`GteIFmJw09dR;^yR(80siCBe_ZD=XviviA&!7b`x1r~#Sm@P6M~IWPec z12dT!kvL4q93*iF6KW54^WufJjV+5hdRFu;Tc&b>Cspq>OaWRfuz-S)LHTX#-VZ)@ zVCxtdSRDKr7#PH$9tZgqgc%r6gjsx&6H>qgx&+9lVDB<8I4opfV1R`nEW%+l1A_w- zg8(RK9T-70lS2@*0K<O=Rt5ov{|pRF4gt&p3=Yf;0t^oOxC9s=B#T2mlG_-V9CmXF zFgWbt5@29Nm0@()3({mI4)q2DqaK_IvXg;<0Yku{fq{VmT@~0XU;=C+RyAl5i^gRD zdrTDF;Q#*_7#OTzp~Jwa2WP_Ehb{oN8(k0-Hy{iN36KxaRlrj!oWTGNWKnd5AQv&h zvM<AbS$NPXD#DnMT)@PHKOKS-5;YPu*dR#K1v9|}B;D|Et3VyamX+b??cfik93C)& z63clm0S0LUn7f&h9hs6H85kVSfszX-IXRr=5@6s~g&C2O;Fsax&jz8OMqC6Lp`ig& z;-8R}nc&O@p&Z(oLAJDjlIU#)1_p#O&&<RGKW8=wg;N=a@1jKu9en&e;0&BfnHMiy z1i}~!G@&kI?doc<T?io^W+U8n667u|n4*r(6}^jC!6dRtD2iCJl0CD*1cnlAs5wmj zj!gcJ=+>AUL8X}&uV`jAwh(4uV9<eyIotx}L<WaW1_lP07&M79I2bcBFz8{3f|4=1 zAh@sr6X5&~&I}l8K*brPOmkSvCBVQWV*+&;+(MAi=z`Fs1Em}`f)WW4>Og6XLB<rD z4d{Z<lm(?6Ho<HlpbnG-88~Fju~~sG$OTJM4z5T{hpjNH(AC3J9-QHD1r$*-7T9b< z7i3+$aFJ~bgml;jvjbffDBXgv!&R`!me@>27v%6y$jZuec5sC=95%zuM^_8YjZn(r z64(|iY_^~avbQ$&wl~?fz-WgpFgwsyLbEcIa<~k(!y20%=z@Yv8ru68wlpv9>*(q1 zSg2{+iz(u;9cC}OZb*iPkPg?tw%TB`6<tsu%fr*pGb792)7Ql_V~IlohJeEkn4Rc) zp}G4e*fv{iwxJ8MHg_zt?S+sIJ7MNxr~;Qe(9-8R*mOH=rlSk8_jPnE>a^{J(GI&{ zHlV8nms()L;ReWjaP|jfdPZ>Z!vt<?IIIR~zW|m8k&re6tdd}00=IBrTu`M7!qD~& zOc-1Ofe8i%q{=b?>K$-f23$75G=Lld!mxG^jAmeyhSgvUj1Hi(1gs4%2GYa8z`)+# zuxQ2NhCUb#uACfd85kJQRYEl|fK^Dtsx}5j2T&;jHXAMmRSGi`MuT;N%|=(r4AlWq zF&|X3Kt0UB0?u~ed;u;%z<C{P9jxkv>Sf?;Z0YQ6w{2d$5W#{}G#2PenK}76LD(Sz zRKtSogVlBnjNr_`3Fkvi2iu4)D3F|x<>8r)Xmeu-fNL^Fhhzo@26WBffIt^ygITA~ z$iRSA1ylh8*kmxl!NA}E@&c?bW?*sv<pFR)1v?uq0o98L9s~;#P!NM)l`#XO11PI< z!ue4BU_;RbF}#i;0Cp@SEYLNBeTpu~4zms(7U(LV3Q)s>)!{ZM8!lpCU~qyZA_fMq zo00fnt?;S|&HyJd1_nk`m{LZEW>kZ~8pUAJU>>M%3aZc11>vT`8DQfbE`WM`4m+3y z7<AFKfCB<ukPB)HRzEOh_%mhrI~)QvOBozMzIH+LH4-0e5VWd+QsA5g@-a*qqXmow zR?Wb`0Lm#K49+bK5KCOqEJ5OfwSlT-5C&&gknu1D%oZ>fSRK0Y;NV7Afkle~Co`u4 zr$Zzt5Yd%`0})-232GfU5HTbXfk><akO~l8bmOo(fR9KAKm*tvEr5~uV1uBI5-0^O zBtgLpQzmQyV}Vs;4Q6x|ShOGqGrDrHFVO`-B|FGQa4@4wBBfPuFr&+3aR72KqbtYi z08lr8nhqd21a^RIhZPCvPDT#_4@4}3wOODGfbty(gY%v)x-2*Z&;^+p!A3!fJakDi z9Dp1G=*D4nfCZHufSd-1a=-^t9N>u_1?U2xf(C>kg$=qaI0VoIMWCe(I2WKxqDKL` zyal=dDE>hhk_OOau{t0J+M=SC1CY}IQ4Uy6iUYjRqX1n1<a-c?gaEoMI0VoI|06p9 zT@pPC(B&=A1wio+!jLq8E{oLx7g&(8A-WDS9Dtk)(2c|D0Cs3&5}XFmb)Y-I8$Alp z1wg(BVMqv|%Yq$%F4%_b0CY(*9Dp1G=*D4nz-mFHC_vXih69ju0lINm9q>w$1PAz_ zM*+G3C<lNrBp0B|f*pV^ct8%x0qBzGA%HG#fi3`we-MVG0d!fc4iHhGt^<(M08tJ& PN2CKF&O|32Kod{^2e+8! literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..751d14c68bbb04e9ef8715f05aa9c81420f54971 GIT binary patch literal 1047 zcmWg2k!R*+U|7Jwz`)?}q)MKd!@p`xI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+{emygeL}9UYvr91^k;{Pv1pYGo9>B%|^l3LG357#O_VviqS57I-9QEO7J4 zOmJSY*uOW+-_g}8Gt09Vq_;OIYr*^tGiJ@4yCBoi&(mwgV#fs;p1uig3#ej92m=Fy z2*^ha4vY*88<-ianV6dwFXZq_cJ%Uh%*t3{quQSD6>*A*i4o-AwQ>-7wglI#G|wzK zXYRuP#}iaxQY@aHp00eWRxe!W;Nj|$;OF3#mGOAldxpb{6(7LVpam6s(_-7+#l7w7 z#r4O0%FG#<;5IqD-?vr{q6?kL408>J1k{yaaa@WZW?D0WUCZ6Pc%f}$%c72+6@AN= zsa)Vm)jJJSfaX*dP<${bzir+7!N<-ajDdlH8BGPuGfc^jW;?3)ALrM|0=tBPf!V>I zfq?-Q+Mpl?VFm^iVHTg{gcLBrz@QIPi<XwqxC{&qObh}H4og`DKrtx5z`*Fh${@h- zA7mvnNM<oe<{*~<gTqBG0R{-k<WP^~8U`kZ^C0;PTmlS?Rxo=R81>*xZ~|dqzz}d~ zKsE-d3gj^mh8T)X1w3`Z84O@Yi=tcj|33o*BP`D`{0~PYR&2(?LLWvmFgT<zFffEd pb%7H&Bx)gH1_~6Yn;F19fvJQEG9^1QB|ADC289~~m~sFW2>|6aATj^| literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb new file mode 100644 index 0000000000000000000000000000000000000000..89e7c871065d5651ca1b5175b37cf0f6eb6f9b09 GIT binary patch literal 4960 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&rz_qMNS?(Jc?zy9~%{+sh0q8Jz${zHL-0|Nttms@uKssz7GuLR!(9?2OC z+&nT9oL4ON@6GaeboI*2^6X8>O7QDV%33gg!;D!o=Pt-}^z-yuvDk4zhNo|W+XAW> z!p6YBAOP|Xg99T2!v<z1Mh0sp=H|r<IlPh`z5E@sGFI5Aw�AoPr3mCAem#d1lEu za~J+Uo}dZ}fVFbgOe~(Bp00eWRxe!W;Nj|$;OF3#mGOAldxpb{6(2A&LR2}t-?vtd z37LZ=4q-wK;%;8N(6+H<QAf{;zGcf)F7Tx4orWj?o58@q;o#4}z`zJ~JIJ{p%)o#m z%;J-rkOC&qB|wg4nK^UjR0alzg$xV~ut0#t8H{FNa9{$54vRw`0|NsG)IQeM_D0(l zFe&2D&Md&d;LyS>z`(@B$Y8+$R>=udxp?6s+ZI+3i9;n9R3+OguLNI*1Q0dXVS~eL zBvY9gOdzImL)Ef3_cnGk+x9|fSxnW83<3-e>$wCN95#T6jUZwZmjD9;vqKQG0K<QW zrK}*5l|g{vKS(fuS%AS|F{=QB12ahHI*?Ka5XtILj}*`hOb)9-My=rzU|>X*VRTpv z(qzR1bt40#9-IkIUJMKv0uBuf3=HV1z$pn#fK9}z1}&kXaT&mV6h$}q|9=Js1}kQS z``}EN`_KizcB2b|odzZl?n76DoPLqn4B&7VMOO}S87vVpFzUgXFqfeVfL(?z2+9H= z42dI9*rKa|=V>^D!2y&8M9~$3T*L?~=otR<!2?YZR91j61EjoQ1Qi(IObI5CGARkU z9$hPx56XH>8bVOJxspAz{4*0g9FjAj%oz@wcQ{0`!LpyjZ3YGg1CZN5n6tqaS^y(h z3=9q@K*<-BupN$rk}D`}gR{89DG(P-LJ~H}3=n2u0+%TuCJ2MV7lffD3P=cq!KDnC zU|>KBH)E*V9l-e!6eA$TAPkLI23Sc0qZt?-<})xbz&y>s=x~xtfPoXvhw1~zKe`}? zm#4prYleR&oB<9UMu%i%6JaLQVi5!ts33U<eMSZb2W%=pvLFmL8BB06Ffg--K|Knp zBtg{(G#!9K8>AY9xtPF?Mp6k9WdN6=kg~J|QeuIE2}2dA1cj8NE#M-JfzhFdfq_8+ zVXqhx8*DPzI&?vBQH*Sm!vg^Z1`Bj$%$y3GAnXtcDj4IL1sE9FBoTIjjYi^w?SrOD zqy!3Lg5!^Y(P0h)0|UAamgYr^n!yA(BpDs57#J9&pw@807+}Le0SgKkbV2Tp&gKr= z&c2p~EsK!Z42)nmqASM??KLa{3=Axg(3FN+&A`AQhQtOt0Bjt(ARaG+!xijnbWJSH z3l}zn35Qjn8i$bs6uL49yTMi=@xk`vu?iZx=sLgw3nsv!%fQGchh_&7pRgTb=&Hfa zL>I*4Oos$eNd?MO=$hc!t_56}En;9`P)2hq5+CeTP&)zIT<~>BZ~#^C3=E8>FlCIK zFcw%fEcKuZ3M40Fd3a_cnrs*X;HCv6Eum}1=T%Vi1*}zpfq_L8$uGDH2Z$9&D#7l? zV+8{%n>xZ=P9!#1CpdD@1)=RFSi7m$0n{X71ebH@O2LMJf(@hu>=Q-?MhkRRpdtc< z!Nmld29kS_*kGf;?m-vC;~sRS@Farb9&}Y8w}3FjJ(@`FL1H7^gD!~2J?Kh7jVq8r z;2?yD54tLlTR<4%9xWvIAhAKlFo44cT@a6Z(3OIkR3L*;-GiYDwOD6hV9-Xm3G4(U zKFBx*JSmVxO9!eMQo6%<V0{b>4B(;%On}QdMm8h`oJef2da&i_f_VIot`uwtMtVh8 z#mvdi3BnE$%mNHrx+u<v@n9ygG%o~IP74<`L!FPLfD?%gRu6VQx*#6sqbtRpywO#G zoDRYe=j)+3AI1Ya2NL?AT4vEgnDdboa3Zn6>cP%O7sTUybfwsH1G*}Z(?J;Ge0{j{ zmoP9ez<6NifCmZ~7}<~nIg!|4HDD*B3*vDyx>D>J216Bcf?)tB1O^r<SU;G7ff3SV zvja63LCq6{4A_wjoQ*A=z3sNmix(nTkRCHc9@O4}^_4-UfqScP(-1Nc)d({XEOgUg z{b`VCpjy!(gpq-P6CnyQ2^@Opf*6$_h5)3X1GlHqHG^vrbU}EshPS8DRY07>zyLNG zOn`zADk=r*@Pj-9F8INoL5M=sfjxsRh~ah&0kCb5&H=h+uxHQ(;VB008FUp8=iu-R LOcFsmfX3DUHt7C7 literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb new file mode 100644 index 0000000000000000000000000000000000000000..7bd0375a570b15864066c9c8b76124b1abd06c68 GIT binary patch literal 4245 zcmWg2k!R*+U|7Jwz`)?}q)MKd<3`q+bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&r#bXc91>3bvi$<bSSo?jfI7#JA-LxFvB_bNUGTdmbAdUzWbE#&QPT+!R4 zsMN=^xUZq5dBx%mp5Dd1?L7U9dF5mUg(YNoS2VPDG%G4K@Gk7=>FwsFR6h#?0|OJt z4-5{B3=A8Xm>3zXnV6dwFXZq_cJ%Uh%*t3{quQSD6>$n8%$DGqmFAfx=geLB|9FBb z$k%J-teIFmJw09dR;^yR(80siCBe_ZD=XviviA&!7b`x1sBw6|Z><~y1CN700|Nsi z)K-v1Ak4skBFy5GoR9)0&?P|Du*{q}b1DOa!$JlI2AK1qK@6oB7#x@w1Q-|?I2`gA z7#P@Kw(;AxF!S4rILu}iU|?`)VHRKj`PYI0tco3`O2M{;Spk<S4wxz>+ZJXeT&g%> zsuXQom=$rU;)1DCwrycn#-)lIrb@%Mg;@iaDjujR*7lBtwk=>1hd+6tD%n73(jfst zZ9oYVW(E^bau8r}Sk5ZI;IINjtOOCOK*VYgu?9q}1rh651sE8Z9D<ky82&r3G6*pI z2XO<K1sEKd83Y&{mVxBKB#T2mQrt5zIV=Y0U&1QDz=$ft=&%%|$qJM(L70J24@7}5 zIH@u)FklEcG(i1@tO}eg!35YutZL9wEgF{r>@iW0p&$&i{{Mdl1_mow>SJKkgEOHj zFa*GMqpJd?eGrC(1jq;ID&W}=&R_rsvM9Pjkc${$1ro!5Q0fOem{Cy#8ZZo?IAz$t z2ukzd+z2L+b0=5;K|pd5qXEnS4oErX;0R|hFgWZ3#UUt;9rl9a3>3lO%;&Hl#08U( z*oB$Gzy!|gFfPdZAPmjvFkx`+2NMhoNZ!(e`o)0}l(awr2GalycLrz?0Hqih7+J)j zdO*cCsEmX9802u6CN4H`^dPAO%P>O<j21{X0NIA20#aDCK=KGy6_6sJ#Q~gmu&RJ$ z{}u;u#=@!slJ#30z_|{a3UG#pWcwBeP_|@XbcknQV30)eJ4hcAAM8U6eQa7%P%VrO za~K#HU_7vD1_lOJCVqZ?CJ5=!!7RYQ$R-Wd0197_9Y}nzE=UOjEoc%Pz~u!aIK0tS zqJ+1WEYw_xLts3xkzj`?fE@xNp$?IQYJfQei4WF=+ac&GnUSMaO95&w#33*q*hsKL z_!Yn*qQK7tb%-KV1I!^ve6TLu4nbGR45?;X96-gdmNL{_h(lmJu#sSgD1aRTBB2gZ zfogy`1c?vUh1((MDw&a!v6dRtT!=$pJg|{qhw$?&fYXQqEJW0y8ek4V;)8YJb_lvk zX5`$Wr3p2c(P0S#0|Sf)HWJ*(Vqj#`f+~Sojl>75!)-OXN@nD2$N&yv1`a7$>w<xS z5mHXrF)}c4B1FNKFo4rLx}ZRELY9YTHloRdApowH86A?5S}_pw7#JLCu?Vt4WgYYx zaj1YQU;vv8CO}OZsHhaI5d`uKxY`GM1|bSj2lfoQAcos91i-dIJcF(o>=|@HOwXXJ zfH;Q%hi5<@1J{8JjNts^0QL+*6rvmK8FWDmw_^w(c?MlG*fZ#Yn4Upb0dWp4&%m0L zpqK$yC1B4WL?ODto<SGHa65(ol4sC0gFS;Ti0K)06%gm(@(iry3-S!O)&qM6AqvqA z_6)iphTAa&kUWE~8SEK!K}^q}tAIEMmuFxNbdYDj6*Sm02vLY`uxHQ(G2D(JfaDo; z&0x=<3u1Z(T?NEBxI6>vM}Ry7YDYlw3_=v58|)c$K@7KJ2q1X|T{GA-=z@^U%fNsr z&CpdqoP*0Vu&xfsGoWUNLkOs7Mu<XmgFS;Th~ah&0VL0$YX*A;T@W*7&{aU3gTpg0 LNd)Zx8jt`0rf?|e literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..d1b6c885d4dbdff58d7bc3ca27328ee3e80c2101 GIT binary patch literal 6639 zcmWg2k!R*+U|7Jwz`)?}q)MKd<3`q+bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$V8Ew)v#)mpux=gl4Cnar!6K5>X*U|{$U1rAOO3=Cdw+5OI04htPtr)Bys z@JP;B;O3E;;Jjk7e{Yt*qpMeDmS=B5R)Sw|Qr3d`8)nR!Id?&(qo1eOip7o#GCX|~ z+!j#D7#Riz26m9A7#tWG7&fplF)~;)F*h$>$l;aj=;iO2m9fG`wLRY};uJ)fEx|P_ z%`;2RnY-}+@dQ;+FszlcW@7R5^mOH0wR+(~2M<@51V0C_tc=IY-ZLCttoVSL5u(cB z{l2wwOvoH0aR?J?5O?$9g|>|?i#mE%^etPaa)BpR?=(aK6CwR*alr-&;TyqEj^5Jq z`~r3Y0|SeLKLZ1U7}V<^--0j$1Bx(<PjW&Em_U~R`4#M81_p<P3=9mgFhoQ=g2lk# zz{DT`3Sb9D5Y6lm#4Nz@-(e{$h-76DVEE6#!0ZsfEWqHfm{owmftf*o!C?=V00V?% zb*M*jDg%?lPA&lkhh1C(42-BUj1Id&nykd3zG7h1gEK+aGcYh<2skt_FfgF20{aY1 zfK9}z1}&!1xC~%FilQ6*|33o*gOvoreQ+ksedq#UyU_)~P6HDN_o1snPC&?P25`8G zqAQ2E3>J+HjCyb;%w^~TV3(l_f)Wu3L*fV&w&*J0nF`KeZ~&zNQFMhM7cs)h8;1XK z@IX^kfH5H%mWdfxjvyi1L9zvy1QP^u8CVUNfFy1n4JD|fm=<|5Et=^tn;DdRTR>_0 z5(5K+0nE)TZXU^wV1j|c;SwkzgA%&KMJ@pb4P}@S3q6?@Vi=*J0+U|s$+Q?#S`{X} z!jowQrnDMNdX*>BDokm0nDk0drj?k|8c=BtPcMH*U*7}|j+wLOPn<h<!wh7f-ezE6 z(9nb`Wn9Q;h%9*$B&h|JWNvM5WNvL2bZAF}6G*qYE=<AV70t}X7Qze+3_36|hr6Kc z&EU|<z`y_#gQiXf2V+JC20aW>PzppB1Q%#v0$hlI(=oalXrT$E7#!AuZ03;Bhq?}K zE699wL1up277%vW2#Q{8D!^&P)8R76P#FVkW}*u+E7-Pxu)`*pndmB*K#9iF;VRfn zLu_WE3o<L&wt%q1W|*1iDwsei&C}r;*i0jAW}*u+E84bzu)`LZndmB*K*`h7;X2q% zV{B%k3o<L)wt%q1R+yRSDwsfN+SB0%*h~{_W}*u+YuL7cu){W(ndmB*KpDZ);U?Hj zQ*36U3$nI%EVONbkPh2n=Ax@Y<RDm9a<~Py!wj1p=z?sZ#*#w<lycYsvjtrp<3h%T z4!1$(LXxhz71VU*#r=&S%m67nAx#Q!K?F_H4!^ks7`Rwq>cLzF28Tc3>K2rkVKPwl z4A89H=x_&Q9iu}J0|SE%)HVhN22Lb4SPMA6p$l?%bT)U`cJ{R_Y*~cNW?;ms9K}wD zH7o)Q3@i|vZ4ow$A+ZrQqYL7Q4~GY!@_P;g0|UASRwf001ttjT&;csoJDCL-7}@L) zHiO-a#0T4s!y*Po2aql3s#%%%`T3b3B%~B&WOG2X0f~>_22OO9U<aZL3M40Fd3a_c zTCW%aV23b@p=%`0cn&X5e;3yb|4cZ;A&L#uh65!kbR%&D7FY+EP;f{9MNloMXMzY8 za4;}}Nd^Xo7B&F}u#>?As0L<WU|<5*BH(1r1a6l)tOjMGE1<;d03socN|0)Br+^9E zB8G86Z5t4VwufQD;MxRCFfbssSzMtm1Gjs@VGh#(bs__zm5g99a5~IqU|@hXC>R*Q z6$K}p57i4c4_y!=$}j}LVZrE-jBEqUL{Mm=o5%{2)MsR1aKNSlssJgdIT##fU@@P` zVKx>~M!bevpu2~eQ-KqN9U?(l54(X&uvqi|KLZxC_&J$5`8gdTKxTpRGLu6nhzTY@ zkpOFwF))H_R!%q{>S=H!pbKJzIfejIB%o^shX%SJW+b4ifVu}W63`VwA^}|#5(yZh zP*W|?B|s4X!iY#fSHb82iUf2~P$Zy>fg%8eaYq8I;mg1XZi;Zi`B3M9BLQ6yBg`=b zkRkzHGdL2^1u-K5T?N!Vn2~_45E2RKqL4^H7iEH)YJn~RiU1HsL;|`BNF<<(f+7K3 z3={z%j5`uw-3taraNCU&&WAb=90}-x7-5bffD{Snn!%BPE{GWk=qjM@!HfiSg^)-< z7llLux+pW$R0|9VjN%Ag1tb#CMM05(E(VGK5XKz|uudEUBe=243Fkwd2aW`EL5wiR z5I~9qbj{#MKo`V}1auWp_h3c>x<W`Kpo>Bx0bP^@YN`dg1Sl7PFd`?QtAIoTx+o|T z(8WLz0K&K<0oGM!U<CILIN^M#^T3gSE{GB47y?L<fUX%F3Fv~Dk$|oO>K@EUKvxKf z1awhIB%q7JthGRw07U=@BO(D^1tb#CMM05(E(VGK5XKz|umK4MMsW9u6V8Wv8XO7e zf*4_rA%GMK=$gTifG!9toE_k;BXku|_h3c>x<W>9>j+a6RF9&Ig2D`43>01<j0iJE zNMi=nj)4uzFff99g`99c)Oq0GLKnmc9Si}a;6m374lZ;-SmHqh7rF|ldr*Rl0o)#j z4IMEsf_s`^7sJJ%+Bh3qI(yq~n-?!cupmQB7U)VrNfm^_$(7mR9;g$rh=GB@0@`K= zbu2(V6C^&^5|pkd0|TQeOaY@qGpcs5axs`Rm`9YM4i`i~>UJ;-Fz90F1~tIZ1-YPR hgM$QJk}1QVDZ}645F=;=-r+B(n*&vjOgVt2dH`Eofnfju literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..23b90d8ba2c6ed8080f5a4b48b09774a22e25efa GIT binary patch literal 1127 zcmWg2k!R*+U|7Jwz`)?}q)MKd<3`q+bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexuyxZczZawc_ceJIA=L5bXc91=_?(vUwDo2<-02Xp}@h3fq}uxExRACWPwL= z#sW8w%mn8Zi~W1E{2g7rGP68;6S5NgdXusi%-=9$*37vJG9CRqy;dxCT#(`Eo8Y#9 zO2&9HFfg!#e8%9w$iT3HnZcTgxq0zI4zFZKFMr3Zj1@Mj?fG62r<j=-LH=GV2a#t> zaLr2d%#w5FF8qHyK@}#&;_2z>%C~Cu!i5eVt}Y3F4qjOqkC(k?IJ{W#0W;J9hxhx| z%E3$nb0E5rnAS{SgSeX)FSKoJS=7<9qHozUl?yzndZ!_3t(njQp0jDOt%9xA>J>e2 z?ikNxUiI_|6Wql}27{R-I0UR7VkMZ#M9AG}&SHb4fg8b3j^5Jq{NfPCz`($arUv13 z7EsFZW(r(k|03`>*gggZW(R);1_oFxfuaV485mH6S$vWcQosZQgFZ|xmb8dPh=IX@ zi9vwDVJ(*cC<O>GFfcl>G6*pI2U*Pwl35Ls*$*Pla|tjoIDkkdhk7JeF)%rt1<9Y| z5@2Aog4xf&s0U|)6Bq*nhJZr@vN2FqAP<5t#87N1;HeYNU;sN>6y3uA{}~t<VR@I~ zzYij@V>1>J69^UqgF^}^ze06_GYK?7fWr+GFi>|hfPLcyRS6RWM=F?bI0y<q1~BCS GDq;YguSCTF literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb new file mode 100644 index 0000000000000000000000000000000000000000..9acb7bcfd8c653316cd8b258834fe3c292e03b0a GIT binary patch literal 6268 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$V0>{zsTVM}MnqReUEd8bX+VseOLU|{$U1rAOO3=Cdw+5H)w$yph$E}qE? zJd!gOxOrqIIImdj-<##{=<1c3<=LB%mEhN#l(k^~h8eSF&Rvk{=;!IRVzJ|b3{T$# zw*^!(hLwSVffwW{1_wq4h7HV2j11OH%*~4za(E>>digtMWvsAKZO`|LI0X@AOK{Cf z^URWS<}UnyJV6x{3~S}AnOHnMJze=$tzNj$!Nb)h!Oy`fE93F9_Y8*@D?VUmgs5_O zzi+J^6EX)$9KwVe#NE7jp>1Q!qK=*wean`qT;NI7I}K3)HiLnI-@%`Ofq@a~c93&H zn1KOBn8hbKAq7mJOMo29GIQq4sSFGb3mF&~V1a;$IRuM=!GVcEfPsO5+aZsEfq?^R zCu?haqiqYA6me*07GPj-Xkiv$U}9oquwVeI<b<hQyl|0i3oD4kp^^)#k|jCC!4*VI z#cm=uR2}Q0<_6mqFe&0N8=KucP?c<sS&m)~t`KS}cD10`2Vu5FE}qE_2@q-nidtp{ z6NsPqVCF7_s0C9v)bhjBE{3QDQ#jOu!WZm@6%e&x3Wr)jn7OMUYQYo^wL&npD<NvZ z6c)9N3<3-e>$wCN95#T6jUZwZh}aAwwt$GOAYvPc*bX9gfQX%30t^hy4nfQU4F4UL zvVurf1_6fuAi)4;0S1S~tO5)U%pk+pfs{IcNLGh>q_oSx<gglK)EX`U21ZmFMu)W^ zO;$`$PcSg*!I|J3%fNsk;LyOpz<{m_oH@Y+*hH*q(DE)CmjUcYQFMd<|7T!euwq8I z56*<S4_yFkH@YC$X<!23K6Ew6MFKLL0UYk4=*l53gXL=mMm;za<}!2vu*=W|L8S@^ zL*fV&w&*J0#Uz};-~dVkqUZ`iE@Fh$APoOS;en<o4r4-!U`9|G3@+!u1X8(2LP3hI z70L&dWQ+zd3%TJHm2Cqun}NaMJSa_qQmex`P#Od!Sa31uZ~?>xlaSO3GmC)<T=v4a zpg;p*Xvqr`2A9TQf`I`kFdU#E-~i5tpkRh+fW{UBqC7^h7#J8?q@g-Nbu_5{f`%5z z`7o_qOyH<RQVEs;7u}Eoyakf=LH1&(0u|ek!n*}rHZU+c^e`|m$Rg|&Lt=wX23v<N z2rmDT4RUxOz`$UEu8f&effIxsB0<GRJhK1;8=D-$F0j!^e6W3x5*u1@CpdsgD+We~ z84L^z=qec<W@8myf<^TIe+CP5{mh*FoFMEF0didjGpNW41-Vfk&51~Sh!epTMFJay zasZX3P$#0Rgg6mX6y!v7QIHeS#X!yjVGJiKpg9qV4{;*6eoA12P!6Dy9_mDNl@KRl zih`VoE(&rYx){iLAdKNeMKmWO@gYtGSBnX35Xu2m4?&%Xt`g!zOi_>%(M3T{L>B`& z4}>wCsD$Q3BtFE6;MzEW4MI79YDuUQ(N#j6h$#wkBDyHZiRfY==YcSW6P3}Nh{T6D z5!?t!V1rN&;5r<VUC>oRoQNq3aw57Y$cgA;Am@QFx)T{4su&m;RG{wUgfYOb0~KVT zf(TuZyQ8zY!?v@pWns%AWHtjMxJ*D-j#;{`VG&?pV1blgs!*#L7#PHm*kA{MjYAg% zHA=xPQ&$IY+X!5Cp(_HFTOf=myBHnf85kIh5w?L1N8*ER1UF<M&Dj<QP^*hw%LJ;0 z(P0h)0|Sf)Rt;*dGx78DGqHk62XHCGhNJ{+2NEBw3ws#}3TO<K$W3Nf2T%i0%M`^q zFdo=ouyYi^&H<57=O8HoI|qpm)&(sHp%kn<1UUyoB^KwHp*RP|0~-u>4!;68bQJiR zpw2;30(K4(AFK;n=s_u1*#~kChDt2XF-LI@j0ZLt>>LHKb3i22IY>&t&OzdXbwLX_ zC<QC$K+eHXiN!e<D9(ZLzy^bz!_Th(PCE*)&_PlHb`BCBtP5J0K`B_7267IDN-WN? zL~#y`2R0b&90jm*KqNGDkd%O(gTx2xf)-p*3RZrBoP(hfi*u~t&H<HTFdo=o@E8RH zqeBd+Q4duHk^s94#Nd{K^?n%`7$I#YJ4OZuuqzQVAe9UZoQ*A=z3sNmix(nTkX|iB zo`Hcu3f4mgnFj86!A(QRKvW~lK(NqFgY}|8rhyA7xM>I(;!T6~wLzwV$|MJnX`BdA zh)H0tqYDZoCuDheW+MhdFa*GzYet7;(5M1ZK!eP5sKp`(>UV)9^+CanO$A66guy0* z2~dQ9L_h%z8x8<@22`LyJcAI0r~`WjT@b_V7y@A1Af7?j4E7AVAgE&mvd{tU8FUpO zSr7)B3?`600~#j)VUTA)ZC!^DMg|5>kO&Ba^nozgGavyF1_^*LhTAa&z_vj=1JVe> zAdMgl_6$e>gh2uzjOiJWEC_>Skulh0FoEnD*nkSiGoV&6#4`v{hzVfNpbKKS9YX+Y z8^kl{n!%ny7sT`ox(bMM7#P4Ng9&8Mz(#yPo&mL=A)Y~qLUe;YgD!~Sb_@ZqZ4l3( zYX*A;T@ceV=qe!2!Q~m)kQB%>pq4hoGYC<LZm?(21u@)?A%Nr=bj@JTpbKJp23-Zj zIk-Fn8#4oW2Gk~pcm^Q~(GB(tx*&$zF$9o2gRU9u8FWER&!DS-I0u(!V1s%f&wyI* s5YHe)A-chyK^MeuJB9#~XV5i+J%cWY=^1nt5a;0V3``P1JAmdL01Dn~O#lD@ literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb new file mode 100644 index 0000000000000000000000000000000000000000..ba3f70a52330b0050e2ca267ac7d0fd9b9a4ac07 GIT binary patch literal 22373 zcmWg2k!R*+U|7Jwz`)?}q)MKd!@p`xI*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`S*T^y5Lv!0sIk(~B(=RAif1_p-zP+;HOy^61+vtd!oV&29@3wgU6SM)Y1 zD)sR!?rUgiUa`1?r+0C0J5T>&UO8DoVF?-D6%Fkj&5BA5ybC*edb@ck)z8Mjz`zOe z1A_x21H%RmCPoHpCg$eF3pu=!9liV=vocoLsJ7>OMVx{Nvn9A@rFmw_Idd2OKc1ip z^7UFdYbF*?Pfu6ARjU^+bntL>N$_*<%F1}W>^;Nb#flG@86m11-tSv0$Arv55{EFM z25~npUTE9cvZ$kHMc=YzDi?TC^-e<+Fyqosf+b*gK#a3yLW?R6&n!pZgse=*(@z+h ze{=_YqP2yb3p*AqUf9yvu_$xeciw5!wU}saBcGS6pJTQ|a;9fil5c`Xf}T06OY)NS zw6>OK=_-eXFiS;aI48e1zcZiKma_M(?6+<1XfJIs)mnC^ZZ{<A83Y{s85kHCp-CT< z+Ci9s0Y#X_CpjSnOrT4E(mKn`nKP#{FgPq^U|@jdA!t5_QVa|ZObh}H3=BLDc~F}` z8I85Iz0tM>Oo}+PGYc><IJ7VeFfcJOGFUKxRdPX9vo2n^$hHMc;!w#ARmr=gp}l`$ zOS3K5Y!n_2ojg#T9R3MeS((lbt}w<_>~7+Ps%Kl|;+gD_0HHQG%tmrQGlL1l{d_RB z3n6O36b`lgFtv*zYQYo^wV<#Bg&^Ash*~g(L#-gp+*J^@U<!v?A(+~g5Vc?mhgxB% zTK49SMYip>y-->fCDh;{Ap%v++1Jsrs1u|f&cdRDkwJjL;Ukv-gTp5f@fk#X0TEw8 z#5WM}9Yp*95kEo1FA(t?MEv0rU|?W&2x1ms`0uck6-2T!2r&Ey2?j6=FgPq`6<}~+ z2HEfdq|^aKvO3fwWdjB#hqoZ3-f;;qFrvyZI=lyIvSNbzhk;QK&IH#T3=9|o4h;+p z4Ct!B)dZLTn}}5nS}lUcWdQq86y4ze{}~t<te6q*gEL|7Ll*$sjV=gw8kj)14_ys% z<%G;;0EfFMx^jriU_}H2qaK_Ia~Zk-*k$N~paKenA#nr>TXYrh3LDN~Z~&zNQFMhM z7cs(`E)4(0;en<o31dPU18h_-p24N>sG0lL%7H@y(gXoB$!onpv{JW41U3^)K&mfU z2TukD25D%*fH#le3<gM2f%-*=TY!Oq3tWrILbZTvI_&kG0i?c=gQ>(_-$7N%Lsg>G za4jf2^!g5}Qvs?IR?Xq8@1W`xq3XFj!L22KR|i)F6TOav>QRE~VeM<_vTXsAI9#I) zRmtV);s|bpIV2#M8_?<!sOc(DJpx(&p1z*RSsAV_p2_~64hiT2IP|MR^+RhcTs0Te zHZ`bfczx9iXQ9_z29R>hK^PMEqTB)u4q_le97ITf2uTnj1tO$Dgbav~1rc%}Jr0#f zDU88E1SA15ObV8W7#J8Gzy{kfGBAM4M}!Q-dd|j{&fa$0=EVyUEJ#2?<QW(kq+kgR zWE$9oaMKVn5Y-4X5G-`lV2KfA8rZ9F(-1Pmn+8juAk)BM1~&~ML%eCQ<O?zl9Qbh4 z5HiG@220o=)4;I^Hw_^}ylJq+4>Ap$9714mijX1RG+4F(nFdZ*aMKVn#G3}oBp}nk z2^4M`LWX$LV3`PH8YrbZz)eHQ5N#T~!Zv`_(j1Us1qVksgMq;TR04w=cMgJ}d;}`I zHDP%f-ql2OI<XYr+~DF{8>)$L@vQ?>NwE0Vg{ovpPH}Jr5jYA`J*Ya?Ma>PiEnrdv zrK`cn04=8Up(@!NvmCt~Tp`p{>}o;f6uA3<uL}b;*AQkdxbKp{2By%v1W>g`Fty;m zO9C61!lBj}rWV|HNr3cZaHutbsRj3464<~L4z;E*wcx%>0vnjZR0~dQ4yK?&m)pS{ zls+s#ge8cu0uk0A!Ujaxf(SbhVGkl4K!hVmBe=ANB`F36Gmr$tL@8LB0i}1a>F{y_ zAp>>-1EN$#u+Ym_Sh@n426iUgG=vQCroqx4$TYBj;ie&Eh&K(ELP4g10}f;wCqfir z61bv57ZgZN$nx;aMhuf-2!N9>qeC(S0|UBdhgvLxpuP~uLI-_NL5fWUNEU>_CW8qM z5Ep`_V3`Qy8E^ssdj=s2Q3m!5x*&$zF$BQ2K|F)58SEK!K~{)u3<%GltAHwC0GkXZ zkUay-<si?16EdjG<wS@=bb~#EE{NfF3<0oh5YM1%273lw5Ysd0Dj?2bz~LEKF$D4q zI75OxgAj%2273lw5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6pauVbjaKQrh3_=v58|)c$ zK@7KJ2q1X|T{GA-=z^G@L018B4ld8Y3UZKVzy&1OGYC<LZm?(21u@)?A%Nr=bj@JT zpbKJp23-ZjIk-Fnt7$-<0T=XO&mcr0y1|}77sPNoh5(Xh&^3cSgD!~a8FUp8=iu@T ztSSU~22^2z8(o|TQHXA^XV3*P+>RlD<Qa6$V9%fnVtNK$1;jZxJOgj@fji+A&`KU{ z03VHurA5aIZqZpmwG(d9S;JHkY|+_3RU&$?t_UWM)}1X>54c^|3npd3;R+iFXNI-z z>|iQE9qL|ihZ<Msvl7%aVRfhmwMJ?{L@kJ@0}=HgDR8R=7Jv*6RUiq79w}J3fD#N? zKdAZxrw@b-B$g0u6$A^t)dCAUkZE9tz)eHQ5N{eRd_ksxeFQfRAw#@ru#g9t1`Zl< zK1YZ`OaglyT@WKDU<iPt5K=dyYX)ZxbV1Bk3%Ux3b8uu0DOlnFc?J~4;Cv2tJ3<Cx z0wS^yEVO7t&1jC$bjQ7DMaSZXMGgHuy^Y9hELn^loW-1>8X?mot`G`Gcf<vz7TmXR zg>*4+sC9*@1@|pnAzcg{YTaOJ!CeejNEZW#T6dUQaNoie(#61`)&r_mAj{t|IWxh- z!86&}H^JY*6<q*_eo#*o)cryXqa`4im}6GpsB`EC<z04%iJ<H{2}Dc=5mP|KR1h%@ zL`(-M0%veo#4$Kb07*b}OTl6Vlv2PZfie*%LKJKjWK;=V5F?di2p}bUbj{#ok1mK= zBcQ8*I0sj<hb0@3XTTu>_6$N4q8pqK&;>Evjv;{L8FbBH&!7updIntu#5uS;154K+ z&wyhV>=}e8L^s$o=z<t-#}GjB47z5pXV3*PJ%g?S;v8I_fn_|9XTT{G>=}e8L^s$o z=z<t-#}GjB47z5pXV3*PJ%g?S;v8I_ft3s(&wz6p*fR)Gh;FcF&;>Evjv;{L8FbBH z&!7updIntu#5uS;11q{fo&lE_V9y{#A-chyK^MeuJB9#~XV5i+J%cWY=^1nt5a;0X z46Galc?MMSA?iJZ48#OPZHHjtt@nJOMLfz<4HOQRnvVrs^Z7xw5^h|AR$hSW0r0v8 z?5i7~18V_LGZ8IH!i~#7s2&ba*R14(42J|51J_{Keo*DX;&2dDUmOAvhe5;<kSMrH zfrSZ!!vT;4M7b2qyP!w_YXzk!Z~{We5FH6pupj}M26hA7G=vQCron;@WE$8raMKVn z#G3{SP>^Y$Pyr`5PJ}4LB(T@f1u?P;h5%CDLDvk<JLrO#c?Vqu#5p+f4r(he1e#P} zYlL7lmNd==PUB%v4TRHpI7}tM4panGC0nwWr=No>+h!1jqvI3_Q=1J^<jS@iMBz{i zT4D&wWn8`~Ne*DM6S(GX+2jB=AG5Uu_FpvAe6Sv{9S}WWdocBYgWBN|D5tPFTmfZ{ zt03YUh`0_SZh(lJAbD_3f`vDO!)1^JM57ceP(kSnYzREHB4ofe5jAEE3wV%eVAsG+ zL&y+s8Z2@^rh&Z$Hw_^}ylJrL1DOU6AGm1<8RAWYMKH)Ta6p6la^P%;kRjeQSk!|| z1H~9PmxE11$PjHBJez66LsJxoZ-R$svV%tklrdumq#gpVd~-O!z`&r909DG}(b=#V z)ZwyiT!>%`8X{}B4bqSZ)gZXIucHUHhO)22ws9f42o}vrP|e&O%`NQ>tNL2Nw!zp~ zG=K)<LHUWz-__f}BLhsK`U32z6sWmu$zF~iWgu$C4sbCC8QpLID@%nc6I|TauxLeZ zqirvEsVl_oC?bM}7@E_dnpyc5*)}c&kysRgmL-GS%c=lYq_D^qi=qseNlIWvN>~+T z!W1cj6)9p>lm%0y3|6F!RZ%ufkp@_i23AEmP(^In4t}5z-0k4!fF+=FVak#r$~I$H z23vT^y|B5X-F9(*BPgEXY(YbZC^pyvOos=cRFw}km%p=Pku6+d<3dzErbhE(s79`Z z4zMM}NK6I>1|66RhaaFKk-?#pfq?-g2FY6t3=YPO3=Dc0qM+qo=z`#tsbInZv|<mO zS<%(NSLwhR3=V5SEBUx&N}=wB+YGV;T@a~_*5a@Uv^bEUD$vqJ2AMK!rlSiY)uSyA z8)2s7RRvld$skjX&2)4@lvP2fYlK=HHp6TqNf&5ECWA}`Hrvq!G1eKmI&6X2NrYO^ zvQGvX(7G5<CPs-PbV0-*3epNCSBI@I+tAfxFY+1}I=liUOPMNczC#yeZSL!^ZGn&u z+h8`Ks{$92(6Z7a!{Ig9{Az6GqYHAm_`7ELg9e!rkeCkJVK$+wM^qHZl}6)2hZkTw zYp~ggF38@osCV%~+g=#$umffrx=Qr=1+&I!T<Gu;Y%^#w79$4H1<_Vy^*Zc?*^I7| z4O-ekD2K0LJL<6c4qXtwdJD0RtJh%{%pP>ru)-Ho20MHK*#jv!%$uRMaVy!vmy;l~ zK_wkbje`siXw5dbq=SjU(=xcE!w?0PFX)2cLJmwIN;-5kh!rge7K6iHP+7+<(+YJn z+-{I9=z=Jv(Lq?q;8g`FjoPr8jxLB&k{*JYj#m|=ByGoLI=UceEe&`<jjO|9nAzxR zNJzCE*z80X1W(*R7U#4$9D&)2t_ry!<dNa<29%OyKs6smu0R)rtmT0&>2Y;93bO%S z9pN@q<3fkGV0*i;`3qeTGH4Io;gH~P3}!F7I#9z4()?;%=<p6~em6Gr(FGv`{m>;v z2@c0$=A)}awA>mOI=ly)--FG3bV11E0CX8rg2M@z`RM9c;f=n=g$^IU=J#SVA6*bK zp#WXFl;Cg@W<I()R(N}{aiPOUu=#!1%tsf5OhQ1HJ0&=rf|-x5juqb2Y+UH@32c5p zHuKR1A#0<cOQaGUPQ%PcSH}u(r8X{f_zW^1Qk<AihT0;q&;h(Q7`~GVLjY73!c;pr zBg#UU7`!|JmxUOjpi&B55L^s`2}D_lt_E#F7>&!|a1K-|vdT<_`UCC?kbUTaD5c^h zSXkjz1t}G$VKW_F5T#VS3^N_CDoCj~9h>Rsf>_55T^+8#>_JygLJ2tooBim5;1Uut z!QJa{6=o~CDy${sOl;<(3xX%aA=Bc$4%cAjqpQN!3WFp8^SMx4xE8|GFcK4#dSNOY zA`qz;CI(M8;M9vD3QA4rg5ZP;CJ?C?T@A{3GYW^n;U*~MvdGMbx*P5SkX`74C@J?Y zEOhXyf}~u~{$7mQ30)8+<=%stj#m{V<%0GLVwjFDh$H3RhuMR!o<RF|5w`F`7laLz z!$-^$93H^zLsv_DoePOF^JP#Ma4OhBcVr@1pmYY4cgRAdGng1Wmci)^LlhME=z`!R z1||^c3|$RuxEDq<I6MZWE;gAJP`ASE1=)Zuh?2UV!@>!#DoE;DiOqC$L6p?>0%kg1 zRgl!R3Y+QZg0Qh>@UXC}!%LX?nCcwBLog`4jn&v}Ko?{Kj~=^1DTh}u8!**DY(Va3 z$gII;1G*r1j2i5L1SF=zYnV;w>M{CQpk0#;GHbEfg)RuT0PG`(T@a?j8<<__>aq5< zz#B9nNsNJkffYOm1nwV!8wTL=6`c6lz?+60R)Y-R4I<8fi0dHYA&4*mw?sfBWRE6n zGXMh<c=Ixh3)(6O!qBbDFkx_>022%hNLv8+L4&~oyag4M-eDSGnE<+{8A>sTJII5^ z@SQ+J1BjRbB94NHTOa~d%)$nL85kX&gTz3_!o{HGA|@sgEXYVNg9FG6*r+fABe*LM z9^`_H5orc&pqPOX+$jf}0T(0E4A^)v10#5t1Z)Oej7T$JL&yw_;Gqz(8E`Qo&47(B zGcba?Qk-x;R6p2IbU}=1Hw*#r7&Bz;7P@BeJRG_p=GrZE6;St}u4@KIDQxVUff3v* z<An2}TEJdF7sPNeh5(Wm&^3d-fG&tRm58na>K-g!fQ`U2FoHXNoNzu=3)l<jf*3Bw z5J2(*x@NE!&;>EQfUW}S9xPsf%?~gzg8P=7a6VKE*bC@_7%s*TK=J~*X0R8~1u?yV zt^(>FEM9=kUNA6%yRV#ZK2!_X3+RFvF2)c*@&dYMuout;F};AU0_q+tUVzQ5Fff99 z$eeIKR14S(=z<t7#t=aA0=j0f7tjSUy@0L)>K-g!fX)0cFoHYboNzu=3)l<jf*3Bw z5J2(*x@NE!&;>EQfUW}S9xPsf%}X&bg8TWLa6VKE*bC@_7%s*TK=J~*X0R8~1u?yV zt^(>FEM9=knlUhf2W!DDhKoV9A!=9z3%Ra^O{p<3f(MqtX28XWGy^u@#=z+Cjaz_$ z6V8X~2OEkm$l>Mb@8X)_p9yDxr{y5^5xQb<(4h;mz>J6QfI?RRRloo?8BB06K$;k^ z89xR_hwmUK!}(AxU?-ys!d(hyfX#$B8C@~h$>@TZPDWP&wI9{VJPtoWZ7EqE0R{&b z5D^I?vOxr>lePickOQ4i1!`|0@xjL7pZsB9GKFbiw1Ba|`WP4(m^l?VLD(UZS%5(d zU6vXG;E+Z)7-N{#;Q?sI7MtgY?v*-pf=)zH5M^Kx+lUA-ut&jeKo`Uqibc2qT`7rf z-~%1V0(QtIYz{#e#2B+hI0RiO*$&x^%^~Q57=ybAhoCDZ+aX)9IRsr0V-y(S5Ok$v zIK*@-)D4UlFcvtKfU^TXCo?BMr$Yqj2qXpvQ106fRSnC1NPMt1w5bKqu|5n8jHWPU zjNrrv<AHU6x{B~+9<X@^h-Ev_EJNahwW7^4d;<+`Fff|JltC<m@xVGjmcf^cz$P6a zmhD8d42ciciZ<y0I;IHZGng`nWiTFC2govv83>4FyU;8{;)AuK%|L*TLjqX_QwFgN z#sljBS%xtk0kLd1nq^3QuvWC`2+*-hAj@FNAeO;+U>zXKFy<y8mhC~a42ciciZ(X^ zI^GFn8B7_(G8hl617sP-L<Iu_j}&N(5rjc2x<DgO4)E1xAW;y8=|{8%5G-iB0H#C= zb|e|dG|)&B!Zd^oL@~k)1Pk3X*s*0G(?Dw~5T+qyh&K&(lo`l0(7FkPX$TqOO@keD z1~LtlLBT8GI1!={lfXF@T@a%Mh#`Q~Bt+K?ZX}=!Vm1lURY07BW4)^s>?AgjXFvr2 z#4`v{h;FcF&;>Evjv;{L8FbBH&!7updIntu#5uS;13M}X<QY%_1Mv((6rvmK8FWDm zw_^w(c?MlG*fZ#Yn4Upb0dWp4&w$oNKr$ht1E>Ilcm^Q~(GB(tx*&$zF$9o2gRU9u z8FWER&!DS-I0u(!V2AC2Vg^(|LOg>Ih3E!*23-)t?HB?`o<Y|P_6)iprf1MqK%9fi zGqBSDL7o8>z!1+ML?ODto<SGHa65(ol4sC0gFS;Ti0K)06%gm(@(k>_LXc-bBi>-o ofZdLeftY|Otr0BravOG>A;>h)cs9Z`gbdN9K@=jB4xj^e0SP+}z5oCK literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb new file mode 100644 index 0000000000000000000000000000000000000000..50c7bd8962fdab50894b70801ca7247d9e4d3136 GIT binary patch literal 10348 zcmWg2k!R*+U|7Jwz`)?}q)MKdV^ZasbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexuyxOux++osJUoGM{{rE!oG$@i}!|e3)qWIx!@4Rz`*by3LLx`7#O_Vvima~ zyc{x?BxibhC3s}`J0@o>@JP;B;O3E;;Jjk7e{Yt*qpMeDmS=B5R)Sw|Qr3d`8)nR! zId?&(qo1eOip7o#GCX|~+!j#TI93J*27Zud85|fH7&b67F)~;)F*h$>$l;aj=;iO2 zm9fG`wLRY};uJ)fEx|P_%`;2RnY-}+@dQ;+@T`@yW@7R5^mOH0wR+(~2M<@51V0C_ ztc=IY-ZLCttoVSL5u(cB{l2wwOvoH0aR?J?5O?$9g|>|?i#mE%^etPaa)BpR?=(aK z*bD{+b_ah31_nl`+d<9+VFm^iVHTg{gcLA=E&*~Z%gmWGr!p`&EM#C{fCU0(jADu~ zFgP$V2rw`(FgxTiFfgz~-N2FT>Fk^7@8Ak!Om&#eEWp6v(84Uhz{JGJV8H-Z&jD4> zmgVZ7>5u@SHlV0wW-x)M<%Ftb?dw=<+Y2UTF;y}$2rxLT=MrFW*Z?9natVOk7Q`&T z@ZVu6D~M!e5McNZ5)5D#U~pK>D!|~t4AQg?q|^aKvO3fwg(m}(!)lOGYq$g$7*S;y z9oB+0SusJK#=xitXM$4^0|SPDLjwZ?1G*}3f&mj?6S1m6OHXKA1_zKIMbQoZ|DS<@ z!HOB-J~$KRK6C-F-ROc~r-2EC`_R=OCsSlL13278(Un7721{!UjCyb;%w^~TV3(l_ zf>JsNL*fV&w&*J0Sr^V=Z~&zNQFMhM7cs(0JBI(<us~x{<b^RI#R4O!Tma`iFoBd8 zNyyyjTA_SUZerB{l|dlP-La^tr?<~`g>B<P1Y6M1A&L!_n;dR4FfbUvT*%wqxTwJv zRs!=%3mOT)c?=8=$3Y1hl*Ap5aS1SJfHE$~8qUQneJjA0z*v}8Xb3_Bfy3W3%OTUj zBLm8qu>;*T=HgJLtjk)OZTlf410?LAfdz61G@c#Ka0xJQvB30zxeN>rXTjMG6rnH~ zsCouOKp+Q7<3fiMpv=hV(8IvMAPIE=0|SE?5*us;I31x2;x*La0w}a=QOyOL#RVoA z7#udU3otOTNg=ES+k(UgD})9aJnTF&98N<VJ%fRP0bMnt!)&agOR$Lk|Ic87uAiBc zpA&=~B0weGDppYW70N8Yz$T66Oe8+onXpvQxDdf|I0bPiC`QoL;|)A!hbjgJ1{tW8 zVlW2SB2Xg(WFWdAUIQKAv4O5l1ZpTWHe{i8!fZj}gB9Y84Ni2G;K)Q5<ZkZh>ug!r zx5Bn}F*2Kh$pMs9(3OMgXOOj6B|)VlSkjbJfPsO9O%BcJNPLho21L9dSkM>}LstuS zJh~wM5N?2_cXVy=^uC5ofB_t_@=%wG!5Coo!GauJ5WltXh(*^1at6p;Xv8W&?S$Ea z#0RNjz#XyZD#2lcE{GhN$ZTlDqALg0xgcx75sNN~9<hpOPDkQ{%)lG5=xV`^M;F8& z!tjVi*9Olz@Q77{x>O9t0J{$s<miI<t%XM{x;71{q0op`hS~|U1&I$<h&y7@Rf59? zT@X1kk=f9QMOO}wJ5YAUDGAN7Drine;)9)z$fpPvEXSg&1v?&H5Pt~6BNkm7JnMiW zmWfRb&6!AiurqOoFuF>xBhdwsLlv0~4PkWUEMPks7{FNvT@oJr&=6Kfb2<_qYz!h? z5iD2;qpJlw9$k>g5JuMqPs5-P2DyY0+=gKU_lz7)f~rk03F$n*T51eT;O-fW3u?oH zFtl?969#wKzyt#WQrpxH8WaxT?hdF1g=qlU55kyTHB1o(W{3GugFvl721alTiW9^J zVURH(3=S)h00@HwK$yeJ)8EB4!#@+w0Jj1e9g>kv1gQk&Wl#nJ=>}n_7`U4WVms(F zLK6T;7KA~f$QW!gnBZVw06Q7haAjZwM=+?)g!bDZ`oK<xD}snIz+DPwfX#$B8C@|r zMWPFWdJG`L5Kcx{0g?q_u*qNo=44p&nSl}9<l}_%LCQg41d2s;LAXod46vCHC!;F{ zI~iRNUOB--8C?a`eg?3~V1k2zfyH4F0|SF7G%jJzL*j#V;mt4%42-5Q4UC*H7FZuH z^WeUOGr;a)1V<;j39z9B_!xsLq~8zf8iBPbFfcfr19f<O85kI}5nka$VuPInHXdD& zE7{Y<Gt1xAAt4Ki$pCQ+x?)6ugJ6MErh_&pZL(ms0W#VF9rQ>5k5@1-a5>~NFfiyq zUCZb&2Z;xEECT}rClfyyC@>*d;E@nUa1wxNhAD^9SWMQ1+5=O<$)v!~ub`j+G8x7~ zG8tVtyh)4cW<3m(`T0R6@`K$BVIi4}t{k3hF-_LTVlv3l5R)M+B$LsV!)p~xlMOIT z2Dwv#A7nC&g=8|ia(HEiX|f@P$&kPRnG9ngnT)O+UhZI;Y=qThez2QCCWBZ=CZj87 zgT_3Tv|)^CGAMAtX@eiiLNXa$IcO*lWH=U+O|Y2^O3~o70b=koA(@P>95iT%!(>ye zCPQKnWG;vWH5t^2Mpyp-KZC;qMo^jV04g)g5vFk>u|ak-fO9muAW}hr#Dta^=!$W) zw2(^-bS>aPPsq?`uLF2!lYtc+z7|lILdpvm5A0CF<psKOm^LgXTSD!DDIri^pesiy zFJRU}+-!wmGT!n6T{%j5foiff7L!5A7o7LN2^l4|p({rzFHlXk!7v$CN<hPfA8s-z zwV^9Vq&7tdxJlr72U-s>vS>I#E#zL@*J2AE{cBu^U<(>Lq(QO)cyJNsIv!AU2%D}! z;V>{bWO55IfJe_AGPng8G@PLpaDnusyLvfzWWbp-b|4$C;exCbG;07=3Sy!v1tmLZ zvpSm_G(-U+A<b%-8==i=7#Gx}24QHk8YT>GQG*GTW_3K&C5UD<OasVf5XNjoV~RkV z)vzFDV06d=g-tpG0|Q(PWEKd6ixG5D_C+0?9gF)~U^F<izzu73mEigwT@Yk02s`A# z8rJA4KvOAT70_4)^#WiK#=z*119L8145S!@!Ole&g*g*OBb<w_66{=bL6Es1jBqZx z3V6ke<Xl*yVPJH~g*g{41~LwW!Ole&g*g*OBb<w_66{=bL6Es1jBqZx3Q+A0RsnG? zE4V4`0Zm%4rY{m7q?Q4kIY22MDe-$`fc*w)NW+vfa>7_(T{um{(Rd|JH@x)?XMo$& zkftxX{e+w0&>D?_0UQvXXaRx52YU%o3L%$BjSIn<2ow}B4UA$i7FZuFD9{D*1gygc zQE1N-Lle|UhvkrB5Y!n0O<Y5Jr{Hvj#0RSeTZC59d1Qby6Uf;x<&0u57FZX|+312` z)3B!phXtUb57ec`&;&KoVKKznphk=jT6iPz!K(3wH`t@-I`H&cKo)|+2vVoRcwl=7 zw?ojC!?c0hAuvgZ$$kh=fmK24TX5SHTn8&CAh#gVm7}ynP)!ESq=S3_HWun;kcp7i z0)z!^L4slcT{%iS1l8mK3^#*YqzVv|AuSRllhKu<v_nu$4#Y4S<W5jq7aA^zb_mGL z=*r>6E2wvYYH|>U$)G+3#AFx?$<64>QTi>YCI@3R8Pr?hWCEECVj-D~t{kP`f@*RI zrpchd1*Z*ga}PCbpesk|x1gFFip^wDBx3Kkpesk|x1gFFhSg+fID;IGh(S<G5?wi{ zB?+l)!2Om8glXUmjKl{gYjD|uy`;jb9%r{B5^517Q^I&)JD^zy6gr^61Q5;7kCG|T zmBX}wvpY-@Vlrp}5o)FcCvb3-!dOU&1zkBxc1JZi8tMa><)8r-kcp5?31K0bjIJCd zyQ7*MgT-V}I)s=EVIi4}t{f%1qnaFxVKT^_ki-IGK}`lF7Ifu^#KOQV1xoFZkY$97 zp4l-nFmNJ7A>jf}73hKj$q88=p4o^MP8b4EM}S6z(KUmcR_KE8;sQQaiLL_T90mrk z$zTGOsX$ZWAU4c1;MG4NAkQE~A-chyK^MeuJB9$*Hpsv$x@NFv&;{Wo6x=iDDj?3m z<rz>L9p)KOSKk5b8H6ZAH`p`if*5Yc5J2(_x@NFv&;>C)gRTPN92}m3Ng`+m&^ki^ D90GxX literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..309efec --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,19 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd,1739356744,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,,,automate,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,,,compteur1_49,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd,1739354474,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,compteur_modulo6,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd,1739350592,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,,,compteur_valid,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,diviseur_freq,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,,,led_pwm,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd,,,loto,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd,1739350592,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,modulo4,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd,1739352786,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,mux6_1,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd,1739350592,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,,,registres,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,tirage,,,,,,,, +/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd,1739350592,vhdl,/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,, diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.ini b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..e8199b2 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.log b/LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..40d8324 --- /dev/null +++ b/LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,8 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' diff --git a/LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.pb b/LOTO/LOTO.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..a49301e53ce70fd1b6466cbfc68f8cf1d2c8930b GIT binary patch literal 998 zcmd;D#>l1Nn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms<jm!$pOK%NTCAUFWSo+klUS<n>+0g{@26i<pqrCllCPUu zQks&Pm{+2kXJnj|Uz(huUtE-|pIBOwpPN{cs#lhgVkE%DSCN?$pOTuESejFklbIC7 zW@w;mXl9(jP{3NjI)RZvfQieCOEeMg($u_?%#un4b=6{Z0ahs%BQr~LRCjT4alovI zVmCC<H8wObM>cH<r5<<WvM0;qC7DHu=_GjDgCuWraIrxw!0PEmlzQ5p%a$xpgAxMq zG3`c@m)W>jK*nSB@KQ=W?8N0jwuj?Ol8E=SCrN(h<YEW;Ild$btGD^MxLos66oOKd J^NUgh7y)rsDPI5p literal 0 HcmV?d00001 diff --git a/LOTO/LOTO.xpr b/LOTO/LOTO.xpr new file mode 100644 index 0000000..b56773e --- /dev/null +++ b/LOTO/LOTO.xpr @@ -0,0 +1,323 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="5000217eb5d949a689c08e2b7c50b9b3"/> + <Option Name="Part" Val="xc7a100tcsg324-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="14"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <File Path="$PPRDIR/../src/loto_tb.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto_tb_ar_cfg"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 2 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/docs/.nfs00000000004d6ef90000009f b/docs/.nfs00000000004d6ef90000009f new file mode 100644 index 0000000..2d6ce0d --- /dev/null +++ b/docs/.nfs00000000004d6ef90000009f @@ -0,0 +1,67 @@ +# TP Loto + +Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) + +## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? + +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + + +## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? + +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + + +## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Ce test n'est pas complètement concluant. Le multiplexer est fonctionnel mais le programme test bench ne couvre pas les cas où I_sel est égal à 6 ou 7. Le when others du process permet de son côté de couvrir ces cas. +Il faudrait modifier la condition dans le test bench pour que la variable commande puisse prendre les valeurs 110 et 111. + + + + + +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? + +On renseigne I_clk, I_rst et I_block. Le processus doit être sensible à chacun de ses signaux. + + +## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? + +Si le test est imcomplet et c'est la cas ici car on ne teste pas toutes les combinaisosn à chaque état du compteur, on ne peut pas conclure sur un fonctionnement parfait du compteur et ce n'est pas grave car la valeur I_block est indépendante de l'état du compteur. . + + +## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Le test bench teste toutes les combinaisons possible entre les signaux d'entrée comme par exemple un I_block =1 juste après un I_rst =1. La réinitialisation et le blocage sont fonctionnels ainsi que le comptage de 0 à 5. + + + +## Question Loto 7 : Combien de processus avez-vous décris ? + +Nous avons décris un process. + + +## Question Loto 8 : De quel(s) type(s) sont-ils + +c'est un process synchrone. + + +## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? + +Oui, on aurait pu utiliser deux process, l'un synchrone pour passer de l'état présent à l'état futur à chaque front montant d'horloge. Le second est asynchrone et suit l'architecture de la FSM. + +## Question Loto 10 : Ce test est-il concluant ? Justifiez. + +Oui, le test est concluant. On teste bien tous les états et on a vérifié les attributions de chaque signal lors des fronts montants d'horloge. + +## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? + + + + +## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. diff --git a/docs/.nfs0000000000ce1ef60000004c b/docs/.nfs0000000000ce1ef60000004c new file mode 100644 index 0000000..8a71903 --- /dev/null +++ b/docs/.nfs0000000000ce1ef60000004c @@ -0,0 +1,52 @@ +# TP Loto + +Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) + +## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? + +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + + +## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? + +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + + +## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Ce test n'est pas complètement concluant. Le multiplexer est fonctionnel mais le programme test bench ne couvre pas les cas où I_sel est égal à 6 ou 7. Le when others du process permet de son côté de couvrir ces cas. +Il faudrait modifier la condition dans le test bench pour que la variable commande puisse prendre les valeurs 110 et 111. + + + + + +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? + + +## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? + + +## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + + +## Question Loto 7 : Combien de processus avez-vous décris ? + + +## Question Loto 8 : De quel(s) type(s) sont-ils + + +## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? + + +## Question Loto 10 : Ce test est-il concluant ? Justifiez. + + +## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? + + +## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. diff --git a/docs/.nfs0000000001b52a4d0000004b b/docs/.nfs0000000001b52a4d0000004b new file mode 100644 index 0000000..df35c9a --- /dev/null +++ b/docs/.nfs0000000001b52a4d0000004b @@ -0,0 +1,52 @@ +# TP Loto + +Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) + +## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? + +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + + +## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? + +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + + +## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Ce test n'est pas complètement concluant. Le multiplexer est fonctionnel mais le programme test bench ne couvre pas les cas où I_sel est égal à 6 ou 7. Le when others du process permet de son côté de couvrir ces cas. +Il faudrait modifier la condition dans le test bench pour que la variable commande puisse prendre les valeurs 110 et 111. + + + + + +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? + + +## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? + + +## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + + +## Question Loto 7 : Combien de processus avez-vous décris ? + + +## Question Loto 8 : De quel(s) type(s) sont-ils + + +## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? + + +## Question Loto 10 : Ce test est-il concluant ? Justifiez. + + +## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? + + +## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. diff --git a/docs/.nfs0000000001b555ac00000049 b/docs/.nfs0000000001b555ac00000049 new file mode 100644 index 0000000..5d0c7cf --- /dev/null +++ b/docs/.nfs0000000001b555ac00000049 @@ -0,0 +1,49 @@ +# TP Loto + +Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) + +## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? + +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + + +## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? + +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + + +## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Ce test est concluant, on observe bien que le singla + + + +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? + + +## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? + + +## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + + +## Question Loto 7 : Combien de processus avez-vous décris ? + + +## Question Loto 8 : De quel(s) type(s) sont-ils + + +## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? + + +## Question Loto 10 : Ce test est-il concluant ? Justifiez. + + +## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? + + +## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. diff --git a/docs/.nfs0000000001b82afb0000009b b/docs/.nfs0000000001b82afb0000009b new file mode 100644 index 0000000..2c7e12b --- /dev/null +++ b/docs/.nfs0000000001b82afb0000009b @@ -0,0 +1,52 @@ +# TP Loto + +Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) + +## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? + +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + + +## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? + +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + + +## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + +Ce test n'est pas complètement concluant. Le multiplexer est fonctionnel mais le programme test bench ne couvre pas les cas où I_sel est égal à 6 ou 7. Le when others du process permet de son côté de couvrir ces cas. +Il faudrait modifier la condition dans le test bench pour que la variable commande puisse prendre les valeurs 110 et 111. + + + + + +## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? + + +## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? + + +## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. + + +## Question Loto 7 : Combien de processus avez-vous décris ? + + +## Question Loto 8 : De quel(s) type(s) sont-ils + + +## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? + + +## Question Loto 10 : Ce test est-il concluant ? Justifiez. + + +## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? + + +## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index bcc655d..ecd5437 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -4,38 +4,70 @@ ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? +On renseigne les signaux I_0, I_1, I_3, I_4, I_5 et I_sel. A chaque fois qu'une modification est notée sur l'un de ces signaux, le process se lance. Il est sensible à une modification de chaun de ces signaux. + ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? +Lorsqu'on retire un when des combinaisons d'entrées du module, la commande when others attribue automatiquement à cette combinaison non renseignée le signal de sortie. On a donc pas de problèmes de simulation mais deux combinaisons qui donnent la même sortie. +En revanche, lorsque le when others est supprimé, il y'a des combinaisons non traitées, la simulation ne se lance alors pas. + ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. +Ce test n'est pas complètement concluant. Le multiplexer est fonctionnel mais le programme test bench ne couvre pas les cas où I_sel est égal à 6 ou 7. Le when others du process permet de son côté de couvrir ces cas. +Il faudrait modifier la condition dans le test bench pour que la variable commande puisse prendre les valeurs 110 et 111. + + + + ## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? +On renseigne I_clk, I_rst et I_block. Le processus doit être sensible à chacun de ses signaux. + ## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à -dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? +Si le test est imcomplet et c'est la cas ici car on ne teste pas toutes les combinaisosn à chaque état du compteur, on ne peut pas conclure sur un fonctionnement parfait du compteur et ce n'est pas grave car la valeur I_block est indépendante de l'état du compteur. . + ## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. +Le test bench teste toutes les combinaisons possible entre les signaux d'entrée comme par exemple un I_block =1 juste après un I_rst =1. La réinitialisation et le blocage sont fonctionnels ainsi que le comptage de 0 à 5. + + ## Question Loto 7 : Combien de processus avez-vous décris ? +Nous avons décris un process. + ## Question Loto 8 : De quel(s) type(s) sont-ils +c'est un process synchrone. + ## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? +Oui, on aurait pu utiliser deux process, l'un synchrone pour passer de l'état présent à l'état futur à chaque front montant d'horloge. Le second est asynchrone et suit l'architecture de la FSM. ## Question Loto 10 : Ce test est-il concluant ? Justifiez. +Oui, le test est concluant. On teste bien tous les états et on a vérifié les attributions de chaque signal lors des fronts montants d'horloge. ## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? +Il n'y a pas le bufr présent sur le design du sujet. Non , il n'ya pas d'erreur. ## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? + + +On n'utilise pas de latches. C'est positif car on n'a ainsi pas de mémoire asynchrone. + ## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. + +Il est aléatoire pour l'humain qui ne voit ce qu'il se passe dans le compteur tirage mais ne l'est pour la machine qui suit le cycle du compteur. + diff --git a/docs/question12.png b/docs/question12.png new file mode 100644 index 0000000000000000000000000000000000000000..f6ab83958951f2e6c49993added293a971ab3073 GIT binary patch literal 9292 zcmeAS@N?(olHy`uVBq!ia0y~yV7$!0z|h9Q1|m--#4<22uoOFahH!9jaMW<5bTBY5 zNS3%plmzFem6RtIr81=F<>#jA7AF^_rsfrA<d?i>-fzdiz@Q0I<Xn`RSdy8arx22v zo63-zTBN67Xrz$#vaCo?!N|bKRKd{5%D~df#FBUV%he1F3Jjhujv*Cu-rlXO;7$L1 z;N$x_ldsM?DztHl%0v#SXjLKaH!cC*x}hN}uVvS74OyMP_0)PzFLCd^(;i*Tnrapz zn4KJ-E$;n>Q%KSATX9-l{S9wFq30?Sci3N_`udSgaoW7kcRru7{d{KGk|j$rW=xnc zAz@VnhhmF{h>VQPyq~+RxUV^LD7I86Mm|kRl9rx*XI1+NRZh-M3yUdd-e+~lOqe0+ zBecCqT~TqOlIt5?BYjIFQ6~<?Gb$%TI22n9CaVN1wg?>ZndH+d;KX6<3732_V_I3u zPUn3o*-dTJHcmeOia+%$@7s;%b@$3l+-=mo^5pv&GxT<U7FgyPuWg|-&ByexrC8Z& zr>nQ>e*C<8Tz`eI^Rm|BdtZzdUF<INw9mM=ir+rY!0wOFWe*;C*Sq}NCoIa$nC10n zVXn;X>la0C&*J%!XVNP(<@mFyH=o~J=WRA!`Q0U+=aE+yYuWxUms^|`XFqw{xmx|8 z_{%)gBW%rDeto@Vn0B?&$b{GT@{XN9?f00zmdH$7xBk5HX}OuNY$W#-Snn=>pZI%K z0Fz(snI9WYr<IpXJio<4>Dig*0gIw6rUiRQEIt&u^xDxM$JrM3MEcLSR{0qfqWCiV z*a-tMqd8lpj|G)H)R*yLzoZ=b&3@|j-QkDBG;3b_uH*gus(YvKjSJ1i;>i~qwsgP# zndKlY^}}?@-2*Rk`ux}(ysgjA(!aOJ_wcVPtL0s`8)|nL-MQQCX}5jaN#>@^D+&z@ z{0{%DyFGPxwZ-=9pX=@xx-7Zpdui6RgMI$54Yh0pO>^0WotAA#d|odpbZLUx|C?uI zeU+d7sanSW%3(6w*USr99H-Ao9dOxuBzD$Ew%g11oK9bD{;~2o!=G8T2fy96o@dqa z{e?luv3Vy=@*lL%pD$ncH7np~GRNvip(bY!w0_W+xU!U$vGnz&Cto?&SkCWTHY=|0 z<X6RHb>Ukz=RVo*PjT=N`1+dlqIn7P#kNDcg_mc(l!@LhH9b!L;^CkChm_y1J3s3q zllQ$0w-@)WuwRz)JHI&lgSm{)>58n|&d&~SntAlK;#6<x{R`p?lwX;@IM7k~d*?#C zo9`~2-xL<{Yu2*NB{4f)F20&KMdxAb`q!*__e_L#*XjM9^+ox_#t*7NCzhtH%G7#U z$o{kVY^c=UAj#M&m2NlR$6@`ff3{`|7hA_AK1yHRVVJe#&CK)Z7iE7Yp3eLBjwAV$ zHE+RH=9lg>&HqFP-Jfy(nC$IH<Gq&Y$NE!mwyr5Zxb=mq&;QLP|NmJw?YGPJy7(pe zjJ)C8==HV!Kl<+G#Qw9))p~jHl7Cv1e&O^*<w_s!PnLLbyQNUOY(w>Bc9t8dcO}=n z-hcks+}$^~W?S#CyU>w!*IM|&3Wh3~Ra;Vz%(<F#Tm0ahWydA=7wE6-TKw{9%0{~k z!_PlomIUwnQ)|52tls%=?fgwI92D;*ESF1)-68%~I&`sThjsRKIknKs4&jZ*-fC^k zyDS)F%Ij-fpqHe(^6jk0$!n{#ZZDr9V_8+TN3KS_R5$w3Eu-927VB$0%TL{^|NQma z?TmhvkeBH<gLnLz#b<Ki!UYr7N&Fk0Tvz(BwBGypfn{H2?rZ+B{7s2y$~50)uQJoV z@E*CpUhL{0^$8d18Rgk57tOnQ;(eRn7oMVnZW|9SjpShby}dv|Fz3{cz<)>BuD#iJ zK-Mc@rI+O!QP#&R&#u|_*5`a%>c&8kH(Fbt_Ldp0`SV&xGLl#Nb=;!h`2wp%_Wu6d zv)pXssa?kF&C3pk1#ZxK=TNB4`uOG7nb*7{+6=2y<8=xWj+{`KQ_9t~DB#jzasBk@ z60g8Judgl5h_UgEY`JpfS3-d4hHs0Lk6T$pYHA#<;rOs!@z<-|D^3+%j+ad)eP91@ z{jGy01y>%52sFKaX8LC?PuHU3TRp9{+VdZINF~^~AGyVI%l!Kxx8>&xZ>LM@XWQS} zzPht{YSX?Y`g3=OB|iS<!P9@n`B&t<inw317HQlFU*&Y`;o~FsEl&NL!L=e<ykJ|V zsoq-c<LdSQT%NC(*)sR+_G00kO77>Mot<=U@<Hx!<27zFiO$uVOs~pWA1L^271$nj zLAyY&ilIkhi`5mMpo`&ePtRF@dc%+3iY))<v+uQ+I6S*iZ$d@$j!XIvKPcRNtr5A) z<oe@0+tQ2uxyD~bHD0f&{}69#I4`^Z?CX2a)n2tuo+sYA=s@uA=`)|3nmF^Uc77h1 z^Mh%Ywf1+r?$q~_@@MYN(N=#H6mU7e=yLt1%hUgJn6Ccn7|(TFh@W}Q<=25%4^_SU zDz^Lok6z*WmWxVvxC{!c`ED5V{9o|sMU0ftn~%1$`*@abb7slTJg>t4*LZ8~wEGvW z12^6H`_;vr(>;9>-yOBN?dPqh)_uFsed+oaIoZnPR|<BOpImV9z&DwgeE<FYiPr+- zS54FDEtZWa(3G{&nceg$c-<c%*YLh;%+`kA4<D7uF`6{p+u~!;0f~L_Kdkb8sfSA~ zfAv=KTd6_*yqHrr%I(tMZp!B@D_HhsYee3P05A50Cuwg&PfgM5Ty$jN;f{}vfAWfr z?H+%$viX!BTj)OL@w&+$65_twonI8E-!{p@GG5&-ko8^ujS!(n>jE$BRJnHEBHY)w zsMJ@Z_)XiU1+JMTT}{g}Ro?#STey18&$NG0ahkc^h4~t1>rPbFSqZ;pR$<gXx76TP z@7p!Mb_;(t=R5lS-fs1v?|uufznXi8C#!XF&@{J$ac6E8%@BD0HDc@R6W&%+m*iSz zSNjHU?wfAdH+y?%!8Jy$&X0v6r8<3Qm5(pGbpBm+;jdYX^qnUg+Hb5Zv0DC&KPm3J z{)esiKlJO1tqWWnvzy`Mx-@^b%73TIwe=TPnk`N~bzY}$N6~v5KPmG?$)1-?jMmM4 z7!t#!Wz{vU^zc=?=90y2_cp7pe--xO@wC-TGNo3E|9etjAF?2JF;~RR=}T)?GQas_ z&A2%2iC>DuY4c?%QQ~{k1r$%7j@+d@jmP)!3=#L5Np1I5S1$WnmH0yYg2ob){r}4? z)rEL6W_g`D%6lfhXttm3o{d2w0bN0t9x^T0yXSa1?e>c6*NjrPi8i}$UDER|k>5z= zzS`apS1rq%PM=)O{_X7i@q4q$Mw!X4o8KM1>5x6k>rMP>i+eT_|Bd)8tgIfrc+ugV zU_DDN;^Z|8Ue}9(S{r!dqNf{_FXlbym=~dGbvbu_r_TP#8{6-P%c&h)kmzG`B>(jJ z%Ssz=C0D<^bpBl><L_BzwaTB%ST5|Z)ckTTFJxcEoE1x?=UAj1ID6I1{d3j5r%^_s zC8-&P8_dnq&ZfFYmEN0WH))dgKiAm@7?`9=6K*Uzd?(1U_wKPN_k33bpPzs1ZhCS> zwMcGkiN>~jU;e(bl?mVD+ugu$i|2mF@8$6q&ie9K$ye3fnYQBp7L^65;chxxm6zN< zonn=c^8WPg`2F4g+V`38eo$U;IX$P-c+ritxb@<#iy|Igd+V)s_1A2FYxYO)?>B5y z4hw$u_q{~K=X<Btt#;^URGcG!zpcS+@{KC(?%6+0nV(<(b0A;c%wS*gfxD}Dr1zfQ z<gKO3{QGm=$D<`5zb}uxA9*L|Qung&<tKf0jz9VJK`C(Y%{`xV)<$1D^6uiogGyU7 z?`lojaMn=5l=rA+gW1&U!priV16=>!{hYq+);~=V*Xd8ozt}au46ajcZk84lu$i~{ zV7#Hu28mP3(y=eo%lBr~`d*%7`RVa_k(akyS6yqUjonqg^S}+Yw=-W`Gzjz`x>`PU zipXXEP!A7}iVyjf^D_@BzmL$VP)TE*d}Qr|pU;2$$jZpftBm_(;fTHQh^1xu^aZEK zdfnZVqQ464Oew5(Kc{N<`{_NU_ft1}Pn{v^Ahf+{`JC9SYr?6)ee&u*Qdeh*MejHf zyZEsGlXB4(fk&+hhxa&fD7MU0naQQtB9JyIMXN=?X@=*dl>$y2iE5t9oNSbRgF9nw zCtQ#Ib>Zv5`Rr?Jvkl)DupK+1oHOI2nX}cE1;xhlzj$TO$BXNhe|^yH5}aRT^(NV_ zX06(Kv-JlXBC8EEqPE?R=#BQx@D6wSzpHmuX2vYHPb*b@YB%%Eo&EdMw-@;q68U>} z>j<YF`c!o3-mJ6-&+gy<n0UJCjP<7vve%++sq*Pm_J7}XWl3=WFI&&7L-$ro`p<RG z+ZbVVwI^`R3;7fL$L0Pr&U%<%_u|gXsng}n`j%zhxo6SQCu}w$$>QYu|DX2mR?K?i zm0%ifwe8EoZ14Dc@l{-pyT6@t4ouMK>N9%#?8D<<kN;G?e?R@GaOKgx%%wJK0<PSa z(E9rPaXjDVn#XZ=xn=X-@8ePqn_6n6ZgSS)%lqb;o3?A!roBx)+NOL)_6t{7*QB*( z*RDjA)!Dau>n)YvH{0s5#{S-Tht7o$|7=PWpU?8=?3esQo&lzpQxARGbo1V<vi8r~ z?gsDn8(RE-Tv`y7Wiq|jktgnh`DydF2{~_VYb=vxJ1b|{fBJhO<YM^Kt25hwl>RNO zi~AtB^;PYOEvCnRl>Svz`@W(puCg%hj}DiaulMsiw^)yCfA7azeZi9L!m_>gsc%a( zyaG@C{uTJ`)>QF#w)4`w?;oFUZ~5WZinxmDo1DL&FjHJ@cFkmJOYTCQ&2lc=Z&kg^ zkGk3|_(tc}<ZWB$Yz%s%d4HAu*6(Yce8>g6`~E$%8&lpNdZFL``1O~HxC>LiEO(wS zpf!Di)5WO=&h8gnsu%YpP43&)8vkWZB4Sae@~*X7UfS1de(nDaPLn%!XSc;4+K_j9 zPFL&exhHn|?cFl}aOxdTUg;wbCP;mGadW=v?)7$tSzou9T@y0<bXZ9`PX5Lep<9Oo zH}_-*#*|fe27TY&uuXJj#6OYg>!f_Aah^V%SFPQ}`}DQx(jdVDM;MncTY0C;$|WgO z-|Kl|+vF6j7S@ber_QeJzVYn#^fo>I-BS&psUO>3xIDMn;n}@6KK}*sb5ggcx?h=X zILCU!#M5$*XY6{VP<-s?rvH;Y-}vo`o);;d{i^g^jXm@0?e`YXJAByey2;cpS5nsG z<W_EoUwk7Wxw?JImNTb=E~n18e#yjlb4B&)>xUyP^c(Zmn=i_c`)2C7%qgPDuuFHp z%;J};eKkIMuwUE#E#=QGjcc+UDNd(1*VtA_9S=@jJV$s|){#@|^<|l~5|&2<?uinQ zs(SnEh${1kFJ^Yrk{(=JxAM;-X}A1(b-BAsw7U4DcPmL;x>Ql{X7SR=^TOsRZJRm$ z=Is-H6P9XrC0+a$mjCwga(?y2e_u;G#ckS_5xaSk`N@!zPgcZyyWpxgvFwR@nB?yZ zo7(OMpDs1?+re7;XlnFrOHu8^t(FV*Lp!_7AA436{5#!oF`>Wrs{OgywzrO5e`G0O z*6+N!?ZW=j(zxu<?*6)-q_-CK_kO;w6A|aXqc`XIufLVc;vZc)s<mU<#pKv?#+Qu} zE2m}UoBq^Dl<$9dX?3y0r6n?9m2={Y>}P7J78LCAb9%H`T3~9l`MRJ(8&l57^XVKZ zb_-s=x%_C+ow(NyOMgFqWzsuk#^X8t-iH=sW@HJ4D}`;iyh`ugQc!?dZNIi<OZ=ft zzT%#jc~s>7&HNfZ`%{ss>Sr^($3740{Wc|CHG8Ie``Dh;**{l&_BQ#QARBzYLQ;CW zm`PB5+S!8@JyBd2A9CM}U2SUW)wC|?v(M?4r!%wiO)KqB+sQcosBAu2nUNW|X1>9s z!;YIJBx6`-*H{E>uF(q)?KxI$A9JxWXkyh`Z{xCyK}_~lvfm$B1uee5;m!2hTcqM` z&wrO|*<fOtrkeOW>SAum&g1J$Ok?^JK0c9rV>Q2RZLFc!^_r>X%lel5y38NvswSdc z-gLa)?a`BcUw$gFX|Y|-KD7MGhV~~bmTxcQt+ur~Dmc6P^Mx%XT{m8C)O_Y(Se-4h zR7+8Q|LHrO2TS^QId7EOEBP&v-Rq^ug_#<{V#^~^@7-JVP;8^Rvf&I1(?yw5+m)PV ze)=u==G)#=(#G#aU)a9<__k<Q)w8pTvnNfOl=O+k&&lafbNzR&?(<*P8qPB8y8r8X zYS59xuV=13)tmTiTIZFpbwwYRd;ZywqkK^_;jxg%?MC^Fdl%kLt`<19LXt;%y<yhD zgjEqIyRz3^e5f9A=iWr^Oue76q7#{)=B)QR!==y}eK7dkH!Z8XhZ&>T+tce?=N%2& zwy1phXMWC-x~xpgtndG(-P-GW-ub=V49RZYP-Vpy0j2yCR|!;6p!%z*#`OJ*55hYG z`4w9P79BWsv3A)it@nLGP8@+1Pfph^TeoiA`8^5~?URy{RPtDRj;u+Tv_sU!(9lq9 z_VhW%L=D|=Y)&aiJ#E5fQZ1>^um5VxfAelWwSw<;#>$1(>7Vktr+@sk&Ud!r|J3|F zhVx(lwlNmk{yMtq$CboK`+C~tm&d6kebcZqUC}qaoxk^&Pg?L7<)_D3`2Je-$?>j! z{PL;uV*PIg+pmP=n7osTK6GN+)(@Xnc~0KGFRl8`-y1Wugr`lv`|Vtg)zlfO`N5}e zOTTBY4=pIHn0fwtnoX+R&cj6~uT->I=FZg!{`ru9)x8p<-$C>L{rtD*cdyeEskh(6 z)cS8U|34!;$D+8!RQb(J<19gSo(0F&CjR;G_R8-n>Er$G23O0Co$VvN*W6k6SLH3A zdYz2h`jv)Lim%(pO)2Wsa2A++P3F;)m3PnNZD>~%zAm_)QNm((ih%OAu+%SIf9F_L z&RHve<+#-4jf#IcirdZ|F1+K`=lU+OZgX?btPOn?rrkz~l{a(W`z*iw@Yk(+O9@HO zCwuolmR7%bXt%QOs;6hW4<5YazN|{$ZLP(p2kf%9|JZ$9Gyh*@Y<9O^WJk@yrT3H$ zo|^nhU(~sscj=y*6*DF|&0^DRH`{b@J-_X$=??3){l4CF&fHsV8NL2=*iX(b-m<B? zKHdEz$TBTRPQNI}VZY+rmCq7bcRi{w&1}iO!ulvgp;W*7nT5fp&*m$FkM+6l&(tzI zwu0lD=zCB7`t&s-)pxAFF8mm;eRJZ9nL3d0uZy{4xv|DBy!zXL^)sB}CML!yo>`!M zwP(Av{DaB-VnQ3sZH<rpcUxMxcSYjbh0B&Nj*9;Hz~b~Om8D+uo)^tgxvYLOU}s|R zDzVTDK4$%=dQUhXx&C3%9=@LE-!@;~%5~EF-qKK6`MA7aVwaWHC8>PAab-)}mlqm~ zR)rk<T37H!>(RrqNyZo5CWBfKx9{DY>$iLJ?D_wmADKOO@5YmVU)d|14B;r&=(|!? z%W$>K|7r8V_Xft69eV3`B=kB7&j0lz@7K%?D^x;~l_qLG+wjEVMQP>s?J+kb*0%{; z=}tWO-elPhrem)SUEbX|GBZnFc-wyt!Qk4PMiojA&A0d@C%3vqc^QR$+s0pBvf=2t ze|(;rS<6ySt}IH)s+@WJ(Z_G+-j!%=e8?U7_WtxTQ91kA3Ac4-`}C^MytVULbMfNR zV{4vI`}O5~{^=@BF2=97vfRGMpVj6r-&Fft@zAb$&zsHnJ##R65&!Dkbm3E<tK;iy zn136X@8r|9)e5@iIqBu*3qs$F{>}~9vhn_hw5!#}WmXB+KHm2wKTfdPwZAz(eAo3G zM;kY@32zMCuu;FsP_?)HxJl-cWg^RG?A5!xX;GPw`RUU(V*T68&6XRxe7yZ<amg#u zmSnkh?eOz6<v4OZIqzP!*VlW!RO5rmB)&C?f3^2kuFVP*sV&@N#kYLEv&3vaX$I?6 zTmH8!z1>%k5-zdO(M_rQ=f@&VNr6+Ex-T_~*?XUrtl#OwRaW~Ups@C4SH-;@evg_L zCMvTnwlxb|mYf`YQTY7k=N&uur~2(aGIR605WVH*k!m{nyWh^8`*PCvzbE*Q?JHTI zJ>Pt4ean|D#d$NEaxKlh-rhLSm;JKg)Li4)Hw#v2g~pULv@K&?vBc$l!nJm8jo<6_ zDo&YMrU#w>@VVpR8=iS*!|&eNSiQvK{l&JtZ{9P09%l_QW&Zek+NLi-#!^+cX7u#l z+iUr@*M4(KxQ&}`>x%ng8}F^>{VFR8N>x5vw@=$Le<pML<}W>luXn8Ym=pCyJM?~) z==nXnJH9h|E_0f3@L0Km@jbt%v-`Gw`1Ebz!w0!n=KYg=d-|0_@6+w&s~^9N>}9Kc zUbOmnjMMF@Q~jnZOMQIpB)RPe$87boCFlPB=EyEfxMs*4QF-C_ZO)LGi?hR5RSAFb zG`XABdt`rx*v5^Y9-Yl>_TbF*{km%F%dMv->)XF}u#{Z}uA1NXM7zk_9^9H@dU}V1 zev4s#boQ@pMJK1*O<VkZ=B^z(QtTFf<SDlL_Uu^;$FVEh&iyK$sQzSY0Bb*@#Q*u( zE_m`6Ii5es>EG<doj4S^I3;%o5HolW>VF0Iy)zCCt-ReT;M8$QIsTpTROw0FayuvP zc`y+=C7`BuEa~&4#5kh*a0c$LQkByB7X>%Js;K;NVa53rGuLz*qsEClV((u%yqr(? zduoc6^hEA?mS$4reY%M$x1%a5uP!+m9lzQ3+KlU4^;^#5<_BMXT7O1oicjdeHNW{4 zug)#_kau>4=yOA+jO`ZtYLxP~uIk-BT|92<tjq5|Kb6^^`srGjA{USDQ=z~%1+}%7 zM@|Oso_RNc-&t*)avbv(>p4FPCH5{m7H}?h{{7I}hc?AIm--FTU)abT&wUc{MDCfn z^@$anuREsSelvfr>aE*XO3piiY%iUX{g?A{8|wq>0<MK~<DL2&H+$b(`a6dCZn}HH zn<t)rZz4SGf6ssM^<2`T6!lmUrmke>U%Z+ArtI1mM31lbRE}A!C$O9ex!nG=dzJp2 z^xj@Wt)|kW)t64+m()Hg_ua2H<Ii=kGL=(~2aGp6RL`FEuCgQY9q;F5?@}*b$@o=g z*mf<nYcYF?tJZ~z8!l{WK8g3vEs2TVBJk7ssoN99N7)sDaqJ1sPuqM~Muj*|UOcO2 z+TR;X+}#C3mm016&c6Uw%o<(!{pL)5e(+^me#6sSQ?m9{OqkoIz_j|NZCpYu>;DBx zIk(Hjobz;JI%*R3Ncp|k;=;E7@{C7C3cq4b*5#O73YxTW)Apwi6PD<feJl6yo2ncP z>aC>P#Rhf#iaDa=-Y<NH^Q)hd`CJ*ze=fVO1)e$B`QwH4iF3O&bhfnY^{H1E$$cYq zZ%x+Z`&R|~PekU3<h<!l@bP^7(9l<ErRGu1EcI#+aI2tZSJJm#&y~`4mZhxH4ij1~ zZNB5L%dwE1a|>kC+mnhkH)@D%S+e+ytjVm)d!HF;-Z1_CEx@(U);lLhyXsNpHyOk4 z+s|Z9w<x|g_teuTN}KLXxckk_^zLVY%`vCbe|c^Fu>IDdZ^D`V;wdg0vx~KOUx!ZY z?bG;yTHu`iC9SmHCOPNJyR(`3e`c!geq@-X>GF45$Je^t74J3Nm+d?lQl0Jjd!}W9 zh4*<0U%&4*M|8gP@x6^(czy+!&aVtE>2voFa;!Kx{pPQ;7SF8qx&LQ#EzZ5@^n|zf zN9jVI=$e+Z!q!2@Z%gOr=kEa*Av={6>Sqc{YwO>eW7aX{!nKg~QM2P#+x+~rnQzME zg<I|<uG(vyCs_N1<@%)1%a?804NqVE?GqalV>Hw2>8V}*l0WWk&pBJs%J~1g?ZUZn zPV4VJy3v(C!{~E(S&X0K#`O*H)9VeZD$-X?pRjk&9)<O-TCky-&d$z_H-7u*PVqVV z*~_Zppt7O%43#|Ae$~k<d15%QkE4JZsi=c2n(o_=typE_8_uEV@-T5_baK%b7yF&< zs~$|OzRxAs8F^5pP`e^2DM`mnU-B7IE!2)Xld5KR++6(P$^xr6IXjbA#xs2{O8$)1 zW|YX6dv?8(IV1KwyS{(ERLTFAsp-M*#k}r(nrGPPY~Q!o{qU=bs-G8Lblcioe6;M* zqGO-3<;7!c-tFCfZMT);eOXog`&v0WQ?hIh&)McTW#yaR{(k;@SO2~=sIZ!?xBR~D zd5Z($|K>&%ocnQNx{1)gis@R)*Cv1JudKLVJv0C7vdK5+GbSkfd%x62;zC=MU0g*~ zRq*ae|6^-yrZ4AJb1BQzoZex?VwibW(Qn?Zvv1g{C5#GXKdQZdBUb5Xcu(>0Ui;76 z<eolR`B#U_^8AhddUdy{JD%Dyr%&feI&y7QPu#}&!Rq~+zc&Ah_@Asbx$vS;r+>z& zC%VTwdU{lhK1tN`tem*H+Wu_H&D58BCAKOx8E##xXglp~@cjkfUHreD&1t{9`OIU+ zsXzAHExx>h`^%hfw$b~_7tgqNV6OJ^ojuv}%w`DOTxwyq;QoZ)|F!$VC%f(VSJ!AE zI_K-pNaKatq7LWfzW)!OqV@If3XA_-<{<@BcRXEtWv|Yx#|KVqFkkU_&d-l?PySn? zCLsRWXYQn*<~L?ldvDWp{wvm7*s;&4X(zv*m;I?j|NnR%UU~mRp0at@OU5-CVe6#s zJ<ZV#h*T_^4R0yViK$$dqB1Y->z?}?UtVJBSO0cKHE-wHiadMk*wqvEJc*6E`tjk@ zW%-7>kF?w--~Z(v)1!H(GxjX|+E+n$cZ;3<?fa;Ig~+EO1I6oM{H9;Itgq!9-WtlR zHDjlF`ko!Lea)LVKk9cT&3wf<ad}VpVa~bJPCO`=3XTbT^t|cgBmXa}BWHdRl{Qe^ z$NhL$b@KP~nJRfZ&sEsAosgYv5&Sm!&^LL-q*eOSZ2l^L+@7uc(7EG=>66E`zi;gP z%5a=7KdCA}B>DcVqZ7aE`7JxmciFMYK5t`$^Yi_+c7{EUS9g-Qepz~U`mE!3i<gxi zTciH);PL(M85o%7g4P0r*=$_u{jfk^bM?mk#yh5&-<y}WqjZ-0l$Ws*il3%V+c5p* z`<6w$f7{GgKU-nm5wm3dmC3B`=L+Bc(iAJVIsH3!e^ULa!$qCZa)G@sq`xn%|J-#$ zyGo{J_ocnZ^!Vn~{<>=5-{0N0$nTuUR;HXeD{dAu%*}J#V6XOmQgiC$mG`;>wfh7l zD`%bFDE@P8v5Is2{DT*(tJ%8_UFP2{`c(6Chl-KWB<6*>g&OY~itm&Pz1!No?#${l z77`~f#<xF8GkErL;T{3Yg{rct?-pE~^Uid-=fR@7|CLK_SUkMD&G@X)_Rrs^?v|G9 zjJ|hq`r3}?y{}d5EMzl|By2f;?bpeL4LQeMgD+|{?ajT%tN!F(B*SW<5Z-ql4o}SZ zj!8L&3oXA?7~@}2;_vu0?M>($FUuJ*kzYk$3uJ7c=i5H1c<nByh?rNBb#jJt<{sSq zbJgtM!p<E^`!iHxZQPz*U9!8JX@zGDNBp^{*SoG1T=c&4X~w<9ym#MBH~8Goy(Ek6 z(Rba{mrK)Fsv;JuzD<92;GvFfvWcX)={Jkte#a*-V``f%^Y6aQwJSOP4m0;yUz}U* z9W>ceeqR?yy8NBFUl)`wj$Cf^=hNMwJ~au3sY{CMjf(&2?qa`Q@yO`K@f%m4p518r zGxbPB-LKzLE43QjqP(~yiz2k<d80HN_g)seXKHQmdd8hIQ}6SHE6@1kR(t2J&4*8% zPtGoNt<1l;e`UPOqiN6A*u41rmPKpbi?iCHdpUop%*xyB_9$ND#17Xl7lmVdjb`<9 zPm?;je(|lq+oy%sPmlim@nYMV8TWd<Dl5L0_T5W=_2|*0pDo9X&v33hzvG0F#m%O) z3lBq{hqm=eJD;EaKGtGNVe8srwNLfjW(D8)ZiHrdtiLF4yk+X`yL)*aOFk=kbM`Ff z|2?K`7baQmepkKhT!hn)jkhCizrHxV;Q0R6)jl#eTWszyY6hUSNyVz?uY5XbWrdz6 zyFRRz*_dK9^|2*Utw_)slcz7PNraky|EllAp?Ku;;h^oup1hbixnB>o9z~$svrGi3 xg&REWIe1}8;w%|l<NlcS71E>tlH{-Y+gd6GAt5F285kHCJYD@<);T3K0RWD{OECZd literal 0 HcmV?d00001 diff --git a/docs/question3-1.png b/docs/question3-1.png new file mode 100644 index 0000000000000000000000000000000000000000..918e065e397e7c330d5a300782332990b4682541 GIT binary patch literal 20293 zcmeAS@N?(olHy`uVBq!ia0y~yV7|`4!0?5Gje&t-;_|CH3=9k`#ZI0f92^`RH5@4& z3=9mCC9V-A!TD(=<%vb94C#6Kxv9Fv$wjHDdBqv|CGVN{+c7XOXo3_u7o{eaWaj57 zgk<KXGUTQf=_wc*DWttDE7DUiGB7e#Ff_0-HnB1?p6M$h&cL9+;OXKRQZeVv-O3u_ z)PL+B{BLb}S$n}L`qrtyg)vVx+zTzYDJ<Z4aVgXxVWMl;g;24bb?bskL|s`#R-X<E z2n-X9%sKe9J!opyPsM;IpPVLKV$v0P=@>N2By-ZwzmCzD%{+_Kh3xX<&UdFgn|X5b zPrpkw&u3P;DRDG02&<*c%c$Cu@}+diGCq^gsV5fp$=kODE_O4WJ#GH{^R*W|dvfzT zT^=pFHbI+{=|F(6prGJQ|E$>3$(0rpy9?x1ltAF)My;J@caQr%k-Ed)+0oI_;nB0k zXWh)36RHcLDhxkuT(#}Mp+}37x}<L{TK|uSd$Q8REfw-2RR{mxJ~s9CY>=)Foutqr z-5Wpr*V}epzm$AYPfKine5C-F<9&m_8gYKzuG>XfS7gsPR{ux+K*_fB)4RUh`dpEJ zEBA%L3(G(AKW+cJX5S0hJNGTc%GX%2ES>rH{q{GP??xZ3{0}z#gxxjO>rK6`_jj<F z`tAR!WoH$Azh>9k*Qp<GJ-SePL0xeF?rZ}l{pSz=*M&F>Fzk(M-=rM>V1=6C<NEvJ zj1y+W?Ay3mifO_1-SxM36jg%)LU3a08XMg^^Wxv{+mZXGV#z{=|24((r%J1u<G-4} z=GZ0mXUb-we%k_dw(mYp|E<#>?3`=A&~179zF)>RH+4DtbAR&W>z_JsqR!Uv)4}wQ zCs#hc@$ZXC&#U=8TTY(d@c0Ywi?3B2RknF^ZuVX+et&4elRSx%@5&Lo*Gp*SpY7YT z)}lau$^r4YZFhSc|A~M2dC>U6i?0p;wEEutXPec+DRI~Qz?*&7*CdAvEZF^x^WUs1 zd?)>%J1@QNyu!Z!`?3<JKNqHdTzky<yREqp%fiUQBSqWJ315D_v)g!^|7ojpb+*SA zzkTX+Hr?jT=P7RwSKQbq^ElDk|E66}``^=sa?5r;*;2r0{#m$oz5T<JJ8cU}udlB7 zep~v2`P>P&0{oSnSL~NNs44v6!=qLS`8pZt>1mJbkKSsVb@j%^KbkdyR$Sljms+oT zw!hJ`{Op0Uy8(CV^W#_W@9jQQU)Sm7*bw)xKH~fIpEoMj3Cl%nVF|YW-?QdtfAZ<f zt+)LTKHb0Vzh#yDmzOp&<zK%aovF{a&_mrJzEtFA{MChze+0ge)`-~feqo}%7{iO` zEpGV%hmF76mJ6~l3Uw}t-1vn1(w(B*v&<Jv?iNHvuTRgijDFrZ(fHBDYefu?6T3D) zO3bNyT+;B-p!I(Eqep8h82awo^|Ze|{qb|6^*`Hk`RjAU_!urYF8y@;K>NHSwG-`= zKEIZKyyqCZ-984XSBya}{O1lVY2@UX-m18;Sm{rw{emA|>sInQGCx!NJoEU6>*;dG z_dX0dvFpF&d7JMn&;Hj6%Ds5PeYN%Uj%Cat%k7-cMaHXqecgNG07J=(i|lht?Gye_ z^j>kDd)t0-vFRf1@0;yn4d1-8JHQ|R;DoE}ll#w<<0YGSU;eFp;ZQBVdHL0}x7j!2 z80YPLzjKoEzCTj?J=V^>UQ`!dsvCRmTIDi{e;*CD?LAVq<=Uk0SAVeIzO$*P{j<IN zvPTIyk;e}I{J0@4^4MY1j|E@8ZA_oLy~^gt_d8bWe(ig7zxeZ-U82*^?mzUE_w>sx z4rgL3{#?BO@UnQ^@%{TEdXF$L@ZQ|IErosFkH_~ntPaWEP#}^}z_oKtHdB%+(*yaQ z3k(PLd)p+{vN~`$Ma<A&aMk(3>w?9vr1CPRYW#j6Z}HRd@vbI?)q%V0W-A=uXjmrn z<I%Uo?+UKpx%TPVv>$o@{c+WAPKmqr0zwS89`)b4^L;`4LDm~TbT5mQT)29Fj>3x_ z?{_xu)OQH}e9Oz|%-Zu;ing80UcGPS@uaIov!gGi9Xnj<^S2=*WzFB7_Rm}HeA9h= zxWZ!o-rI9N7U+0CN?bGVVac(>g=da7*Pp-rY}UNj$7);{zI<@suE}k<`g7=;nEjmh zS4b^;U8<q~hbMj-+oN;h-yWY?s%^3JAwS1{%ir7QxV<Vj7H7}n>v;C~_KexmPi*Ws zc>0|tn1~49x9L0`UU&5Br|cb3b&T`>nzHUoV_Tmj=w5it?!{yip~uxP3w}H(t0`Q- zb+NqPZNEb2)8BE2lWl7h7#D=E3Ruq0a(2CjZm4PP5}U&N#nX?;Px~gLYGPx0Vpiq0 zy2e{oQ|{inp1u0}MM>Lh=Q`S#zD}^|*}u%#PQKS(L8^P>S0lM&@s}m%^B;~dkh`{b z+1if=ckcD(nuHy{^J!axjgS8AFmNQF>tO#sFZ$T3dD+qH&x%CZaqnd={%0m=End@8 zqbp$gD{bz94FxP7raNkC<o_+c-P$Z)+gY`;zWchE)V#mn_WYc>*j%2KZ$^XW-uuVx z<7;I6r}{d~zhE`xZ$#H^`D#XfmB{Of{*MyRe?8drrHn`ZzVP~#+6LLui6<iFbqRDb zFbb{yX6xypllNM~`Ru>7H+~e_?h>i(m^*!o!}HmtJKjewe>#1RX?)f;wQKX%q)xh0 zG)wt<{tu~X8&u?a`}eBq&6D8IzxnN0MUu^)nKK?I?%+#TF;9B3^5chV=lhl)U;J_7 z_9I6FKW-@6QXuW!UFz|myYI35@jnL_`rh2jV#gtG$NzuETsGf>(KkMY+P;h4D>iv? zjnBH}p}TqFl~XkSZ7VeXH}74u^80-)&*OeGw0`C6;QzewZ|#O1>t-Y!w^IG!{9W+z z#kKpSw!S@<Sdt}CqtM7A^!l6a{(Un(9y0Rj`pFp`zQ6DPwVuP9_VYF6AI|B&^WEjp zjp-%P%Nu7}&pkg$<@}u*@9CRM=k1;Im;J2Z$BdiW*DcQ8_$9&rS?24{jMt`T*3SO; zgZFWw?8YOD*M3hu|1Hs`?(vC=5AS#Wl@a9sXS=;1@}N&Pyf**x=Hl&V;!2y2y_{cv zWJTcOS-z*wpMP%mLgm=D?VI<#;NAZqy6*1`?<vuK#>Zm#Tb8cRe5J8w=hK|I>0YPJ zB6go$qsZa#SZj`8|F&uAHa`2OZGMypvhvLO*&hY!ZEi(P`?z7w=En#3KfhLZ^!edC zpL&k_>RIZ}IT5&nziRrneLH+=1!qUETU&a|>i)IsTb`c28S#F-?d#27OQScb%H>{7 zwb7}+J1wWT-Pq2)hy8Klo1GGmFJ9Z!)1EsA?3uZn?c|@mGL$oqw2a!}95?wy*&X(L z&2xVaJ6}t-Inw%3K>V0<j0AsSB8XEU_quAGY>l1u#H~B{g#-l!1t&^G&Wy2ME$K4t zp`C{d2qdLEt!<ofbHZ*|gXH7G%!f|`ckoY&m?6#664Q@rq=2}8Kf6D-djFx?fITvQ zQ!`%vx)XXns78L>%5=?r{MWB+7P;S^{VKBS{bAFuI!C`hoK-dL(eDCZTkp=VwI8+= zd@_JFglcXS`^vTcRscCmuybPQ$<m8IH=oO5pXdsAt0#-GvWxEtn~Ps6-k%DYZql(L z@O13u$?WDISe|V&XP@*rP3S`rH>jO;+w@=FwokLNm3E0*emZdM-{0ScRbMjN*Y>|r z=CCPHRr^!Z!TnfKucv*7f!w1*6&=m}yQLjK-gj|%@^VHDxXmIcc(e0*%;b!Zv$C%j z-Td}#+3D)N3DZSb)>lZIS00vr_#6?ipima6IBP1n^Pc;=yoR}Tj2rIU?JZD13VV=} z7zzIE#nnY~KeVf;`0U&J^5@Fs{7#`|%#9xvUwpr`A?$MJ3GbQ*@7Qi6cI=jZzyfz2 z+^Km_zh$pG{aNq9kw;8(>Yhd~{@i{4_sM<Y9Z?<UMUQ{D#E^OTv2w+}{@eYU0^o3* zC|+1yv^F$r;kp3F;@I4hy1h=x2cwb<vM!3%d@Z(IC2Af}y_jpd-@bnLYNO75TU(MY zEPnsE{k7-~Tk}hIdlf-pxhT5h_T=o}Ck;$K+uzu@#@cVM38(F?)&;fw)f-f*`TOQw z-?h8&iPj|*n-9P2-oywruj1OfJK7l>n%gA;)vt^3id?U=nr1hx=g`#68!BE0#$07O zb?NYr7xA}0uKjrK!|Wy7AN&9RCprDo^yG*Y%~C$^7KbiarXkM#{`8T=R&(Czkd!&k zVajvg_ICFN^Q_-HK3}E6vv0F_UQFP_E%&zvEd1J#wy?bCKtsdiinsQz8}`h%5=svL zC%yVtZR`HKn}yi3Wo}j#^=JRk$>vq?K5W1*w{Lz22-r-1F)6#=zTRiHnP~CzbB+7= z+cP)>E^hcXM=JXMtxK!+nP@Q`+#0%K#pXYzO8+---V9!!_*MR2TfevL)gn;nC@Jj< z?R>Z8!@iTXACKFoIjjv+F29~v^DR=Ysky1}`H8@ee*cWn_~^9_MixSWjAHv5{Qb{V zB=IcTdiBTFLw714gTu+iWtw1Y(Oik?9pBzAE_@lJsinO-AS$eF)haEOBkB*f`EB32 zD!zD&fc?u~$#3?q70zGWqJ6`%oGC1RpY-Z$=UiT!g0r)rV5ED=$<n2pgr<guzPPoO z+p7Fki`>bR9esVruEwu_^lAO{X#Ie1jQ9S0kh&DUr+fOl_st#*6Sld}w`Nl~c*FDk zq0%Yh_03!BquU={)PH!jee#j=JR5LI608)tsCwPBoLj@<Up+&EVX|BDj}P}9^}-*7 zy!5~D^`q&g7Mb~WmaLz*tu8p9&0et5jnVaL=9|b;`(3|h*U5f88NTtu)5{Xy{$y9E z%zSAIG4rOMNn~nlrLLcj9s|Q4p&FUc)X<LZ-sT0u0Yxh%ZkOk}&vnYINqn1`6K}<N zd%D!j<uUym6JJZuldxmkSN~S;$lhl+zB}eEvuj$)oOI_d7dS$89qmxtDOSRCMO2I7 zz*a8Ndolla&5ni!bBg4}xnh10&XY`}oPHAOq=|D~iqDz~cFuV4;Ok$egpTIxFZufJ z>AgEtfm&j4KYnO8sqH_DqruHToHNyD$-R%A`Ra?Apk&t0Y%did^Q}*=Jy`X2Z~gze z9y!}vny=Mfb21(1pH}hVGg7g`{aBIDMt2S<A$D|}@bg&*?h|%&ygBk@78XIqvmRS4 z7R=0^dH3Eti!9|x7l+FX(?Nbo>28w`-Xkh5xV87@^zTV!zAi2<PXzM(*Bw4|XqN5z z)vKG`7Be#Z`2Aa1L1DqonpUTWo3=q>Krr&q+mm0LH$PJ_uv+)B@@Q*uMg8eCh67V1 z`4x9ve(U==L^OQD-)B|Rw@>Wo=r|$k6T2zdV(xTbLBY=E!|W#B-rmk`Zf!@u{&iBm zQ2b@dvP-!yG*rI7>U|Qo_hRmg6=|RUI4MWz=pNqiv^p?kt>fN3Eb)75#G88db%}Uh z-V{)<Pl(Ia)T=-DuyAw!wJBxsjm}x7Sx>JQT9(TlO3kcznj-ru>&b-EPbZvS9k}JI zV@vd&bLS#vg){D4B$oB`d4xpj$7Kq;XY_saT`2M8#qS>vt60m<_m)I!cXo8>T%F<I ztuiUhbL&GBB_$#DcJ=E^moD}2_C9=Org3hR-8Of79)J1fhl`IXY;)glmbJRD;Ax1? zsl6?$=iBxEo_C9V>Bg+L%O4#zovc`&%OiAqX~I8q+YL2;g?J|1ouhk2^uf$^f-fvA zrHlSYxUkPZ{H*%1fSg?4hYubqXMYJ4bzhHcGJU*A&@{ue@9OO>oF~MdCF%GcVqX9L z@Htk)6{{}n-o4bt#YN26XTeM#VZqMXhbO<@w8<zXHC0hn_2?s(=-5iuNr!Jv5KD8e zDiLNYosb;h!@I=Y+%akf@5zTbSHh><y0h%Um)(XTUnMu)w`JV9O{Dr-?%{r0^@O5w z<@BT8)(ToajoGQQu5GT;J^Vae|H0vz6R)kEJm*}F%@(dJB2SYZ*3Pt8^I*eu7ndiM zXYSl-?dWhhr1^d4HnW4*va<~1rnSF3XxXv;T>Gb2`T=p4%`;Z5H?*~2f1Fw=aAm96 zc6FPT!i!FI7i?OV==4-lB)rsRyWPRH+UzX~7CZFC#HK8citFq?Uj9&CSU4|k&bn0& zktbPW_O08RH}^@&v&V(J^HbLzT*u8+abbQ(hmBzM+Rlz6=QU5778oBqck<-H35w1W z=FQ9dSj3#1B_O-Pv1N^%b5hjYo?K>4rKXe%*H<pcnzLketCzBKz=nz2>r<JZ@kuu} zp1j!c#!AXx*6&DDZ?@r9+XcFH?3*vUC4H2eTKZZ=eY4RqP-by);d{2_jIy1boTQ|r zhPL+MiOTLi*DjyX4vhIxdik?}y{^-r5{{3T6|cnKHre|lg3;uYmvq#kR;QJ1DhdLP zy_0L21z)Uh+jQ;5*X>zT=2$f*SvH&szVYqNZ3~epU4kpynv)}U`xWUOYwqaSGx_1m z2R5d2Iy~;onG|#U`0?a}O{^<ct#S$r6ARIrdUDrnvptQq^Pg<lc~1Y^n`6s(^KV?4 z_saO_4zbxS{}%EJD^0$rx=QA`e{{jBo&EYX3))tNJ>O9}>9C=ki_4Q-9(N9N8Pz>c zO%5M-ad~3L=f7^P=bGM|b!L3l=Z`9F*I<kGFE8<!o%QYgA;$ZKGt8?QoR)IV*pXuO zYu@?e_b%TGD@|=)p73t(UqNMNfq6}m`ezOvxTL@F`3|W|di;;~9%8O24O$WUTIG4N zO-IKGY14Z}tDmmj9_9Dk^rnl;yXPMkt`!y%TI0Wl^}+5_94$Y7EzWL{`mB6vR+{L) zTYTmvju*I}x`|Cmyz%L6gt?`lp`Cu>^;KRzKXy;NU3jv1W6i~YoXFYjm&E@DmK(~y zmWyv~esxXyfcYB!WoxUMv+wh_^vAV+djGHcjOEN9L7X1Uw-xma1?v)nHosOePp|=( zk0*Lnnr43PfVAezQ&(M<Qk?1~C?b-wtuJV0OW5llaUPl9MKvs}n5=j89j%Jids+6e zDqmH%+*fXDxnAD;=*bEVi(i16dzK9vZ9&(vwgza3q;<P?e$Dls5U$uBlNX`2A-}Nc zW$G8JE06hX>i=2kJwNBX<nH@r#?zjKF8K69=*!j21Cu8~3hq7gHLBKi_9XhR$}X(F zKkG&@xFD8T^kSzPxN1`Rl!7SZpM1D@sPhE($8Rg|^?p=UQc~I!dswyX%}%vN+dO5$ zzde6n{^D1}8y&tr{qEY<`TT{V$DCtYKMI5=+8ha$;5VPnhA;|yb%Cfugd7EY`h=|& zKf7FhyjMFo_L9OPH{EjIPM4%bYL-nm-=4j=Wbd?ww8sUYR^!C2QguEb!(v>nn5D(v z>%Ix97$-XKu-(bW&7J*i&$@MdQoU>p58l7;Z)j*p`z*@Udis_dBx9v;Zdbp~e{NRu zffqZLJr@-}_wcXoY(|FQG@F(ee%sB@28YHtew+O|_MRIkILZymPx9%{S5guZZ|BdL zHf>s4PY+M`>))+z3!cC5^j&)ULZHXHtI{X;RxQ4LA@J<8pRI0NBBI(7Pk&#uBC5Hn zl5=0xPd%2IHA@3{s=n0zDcH-j`?;H$?^MFI$o8oD7}ZZRL&>pAd$>-BZVk}3KYmD~ z+nn|0(GVMkBfW<vNAEi{^HY{a*1E@^PR@-mGrIKWRYyn1oi&D)(>zpsJBuHiI10>K zW-exY_=SMrL~aY4oqD>uu2E4^Y3Jr-UjDSv_E%!XF9{xbQ?`w^zqSTO_cm)UPYQge z8uRx{=AG|X>@<2qC#yYdy#77$d6Ps)=!@vHVz0P9_*~~GnKOrXXT8p%=JSc4_ZD*S z^Sf76s7y+$<)}Q}ddvT4cea}IzgrS2+q@6{$*)TI`AbzoLHppW!iybJTcnP^%Du&s z!aeyT!;*`(6W>HPJY8(9tW(7yHup{M@^F2Z`t9?#JG!`}%uCGQvhui#iwYl~|GLws zPED9PwROrAk#6Cf(x;*xeCf_nGk9NK^p&{c*ym_yIzjF(OJ<^(O8e%(_`s~{#YlBY z#^V+1wlFU`B(fuHS*Gpk>qa$oUzzOYNOt0?rqXiWCFsfBdT*ZaZ;j}W^ZrE+wPN$% z+45D!q^q#*+I`i<#bsLN>hM{6uYro2DZgW)XD7Ti-72Af`tX+rGDpInJ6C=c*H}Nt z(dX**8FF))KW?(&cok(GRRXJBZrNOr7HE3#U|&Q;Kwnm+s-E2a)_NbG9hHT<Rxzcj ziWmIcCHByBu0Uv9%VbmD)1mVm3q##YUJK{ATb~S@cHFs5Zl$e2Op(<6)iHOyl$4Zi z&v?Dk%%*luN5`Gxge$AJHQ{Cn?=}cZ%#i6lv2O9lD-T_CZtM^XzRi5=QMrM2u8P?E zKt&}Fw%WN|zCUNK6VvMDaXjdEdr8WqD~~E#?rr2*ozAM5{`a=U>e5Q*&W;Y5u1-_I zi4_*Q!ae={?rv^ur%s(JcydB;@>i2jULxXkcfZ&i@$VL}mj%^dU;pd=*0c*_=-qQE z@~r6sx05S{HKsAIuxZUbzpvNXaizq>EQ^p?Vq8n5CN(&i%#C~_-Q!SiI%VtH^)J=Z zH|xk<imN{u{oa=8<T3XRCD%>2Z<*53aYCwXdG&UiueS>VjFps%?3b%t|M>A^#D)aM zRjXD_m^zhp>Zvb3w_{Xk7Mo^H`}nby|4P8sSF?QA*#-AxZ}fa2f6GA8NHTWOsltC- zY?rT}y!KXC`<BzqH+CemTGfBjSY}(l;44F^i;Ig=vV~K{X}PS!frojy1qFBZAD*0@ zEtH+SZGP|JbHy7^=yn~vc3D>T?jEy~Z2kxDRR30XV^gqUHIAFn+_*G8>3pH-l32N8 z)vfFwUM&f@^5%Xk^S;Lh`QI2?yo3!3PnLYKo!`HAdF8r|)-!tD@2IS~xlxMwllX&1 z)#`$ycY9Tplr{yNzMVUB);YNxC8h7h6-9F=Oh~9r(q*_G>bBs+^|BXI3y=NTms+tU zUb4#N>cS7#%YLjgVyar~o}4PNt;YJAub<1AAJaEvPu=-DCi)n-cDvnfd|f0U<_FXH zZx(Hk+D&1D>?0vzL1{JCCp#ndWmq$bJz2QrmHQssUrN_><64`utfd{c8A|eN{<7c4 z)W7tYLglsHKjQ8c{QvYS{=>T~*UI(PK}D+4rdAh&V!n$lXM}zGzpwKtjZ$6`q}kMT zX8BRCr9x3_A6K_7y&JyZ!3&NzFRa8~%T{=9-+ZlFJ9B6Dr;a_vpgN0%G55Y}BdBt{ zrP8%<?baw=5w6yoNr6eVw}qaBntbswo67YjdQL)><X*n7JDAVU-`{t7=VyV;@4H|2 zEUgq>VY7>+wp8kaN+H-eO7GRX=4C4--<bR=>dw9GYTshOb!{7{ngrR=(P1MwIUAx> z$=dVoT?VC340}pfl_%GLYSf(T1)Z|h>pEBD3LT&N_vdffU3OyD-OZ0rC&hz?Ul6rn zq3E&0XO}V}j537PxSNEr2~KtqV43{*h#%TmQn1p*87n;d63VKJO)}T3F1TqS1FG0k z*3zqcrL)sz)kbigSfo!uohVs7aYtZ9(dlrD;5c5_v!yY1JB3fXxiK*;xf}jqLR4?m z&(fH4bK46drQ$3j+jmJ>J}I(%@+nIsw^rcc+AuBGOIvhA=B#gV3hcd<eEY+Z2MP>x zH=3+xDst7ma_am>gHw-u9m@<w`=6ya&p&K_{q+amXK&xxZ*p;QNoh;GbZ3UeY)P&5 zj*dHL9-b`C%+$Pe>5@V5GoRVh`rlsUKYaOk!jBb(9d9qL@4b~J6JsgbU8Mi;)pGyG z`<8!m%v>_fWS9D+TJ8-sCFw1${0A3J-uv;(tJW9yC&Y4=vQ{k1X0h@+*LKHV?Bc=a z8-CuiXlZX(wy_cN+-%pf=c(6iVyi@h70S{*|0`439zD_OJMZe^B6o0u`K^%krqydY zJB}QDc(ODyQgYL#O%o<fN-AtxyKWED$%i=}+?y3`cX2jboiNl`#&&7(Yz5sjZBGi! zUTJ&f=1u<gn|FqNHtWC7lVt+tA6;JE?<{v+(zDS`3u{k|!*{>5bLf1<-*<&4WP5K+ zziamAMlq9Gd3yZ{>n*bUl5D!M-R&mPAKzcD>bvja;v#o6qTf_FTS=*~@Ko)(wZ0p^ z&Wh@p{xq?wfbY@j&kOhb;+_(IPGQ-rY#;t}2P-1wSW4G6N2xpaUy<X`v+R8z>XwGJ zXEx7i4XkJ8S`nn~GFALxitpi~sp$bRR|W6>VzFK^H>G#mBSq;Q64`0jlP^#5-J$mI z?cL6f6PvgAEUK)s5S;kKLie<WwzjjMA72}fWYd=~CEe4dC4!q@IPfZ^e3cNo{3<19 zcPnyjW*YSH6x$hDGbZNAiv@3%n#{AB)4?izJt?c&A^Hzr+Vb8bk8HJESWYfjAG}c0 z{`ys?r4to3W^~Se?RoaNvl+uX3%QA~wE*4M&a{<X7FuJUsq@0c#butSK+7_h*_`Hr z6RRzWttJJvAl2kLGtcV3toeJtbErtmAG{QM-RDZs;wM))MNTt@%saF#{r<6q3qpE4 zOl4%exm$yHJslKgozwZw>$YIO)TyYwvAHVIGy2@$@-Fy)$n?kNce*;Ye^su$%TW^) zoH&(7IPLK9-sU-yd}YUik92n2IjwoJw5G;JOedmY)hex&)Ktf%MrHrL`eM|bH}0rq zPG{d(c$}-`-;+R}>VFQe8Bh7(uT2;G#j9t}=sA9Vu3_paj*?$@jeq<Kw|w&HbA_cX zPxCyhwn=k~1z%nZ6BZPlnP%Z+k-y#5MWqgV@6l)RBele{eODzW>}TNDx!J6pw(1lG zwd}2p)-$?}|Nr+vIBvcbhjX2|kg|K<krNXifB3Xe+G1;>(J}qAu3L9Y`+<s*jdEGR zUpqQZ?3J<GQtIT?^tE#<Lru73qd<IpNpC=MolE59HHYGFKWx+Es9JdEM3WIu^gh|w zYU)bIo~yoz3hm^q&#$!BgVmS|_Wj=dqwd;nbM@EtuJ8Uh&Zu7WQ>ymxuY_B>%r|`d z>+s`6sK)<y`%lc7+^Ijc!310%KNN@7#~Rz#oOOQL{cpwY8U3aG>km23D}N)W_NdTf zHQTw`{?)#siw%O-y6=6Y<R^Z+d?q7!Y%Nf^Ga>CuH|Na#sq?DCmszb93|cAT=;)aC zT4SnL#@4>~qSMOa8=Dp@Z&>^~VoiC%x~FTt-t&rjH_JxreGI6wbYzgo0#%K_JCic9 z*M@PoIwfw4m=bY+8%w2V(TS&ROPPL)sn3XWTkmZ9?!f2g>mL`rvtf^EzAfqfSu3Ej zg!9)clLwxUKoyva%RPS)+v_gJHmG0Sx?^v??;CLSSi}?f1zaHu3JO*lsa=OCbtx<Q zk@3*wNvltsjZ<9XXxB7@+^(r)W$%J1k`<}nw`=SyljUlad20VpKW;{W@xtlE^ifw6 z?4zFEE_?HEhz)~+&|&fVj(q)4$H2u7M|ZCOcs_FPo%)o{j*b&O$DTwgO*BzG*L#`8 zF=N)fh%-Ekon2h?9(;JRYs(gq%*@Ogmc`3_PapsGqW$5^WP_S35=XwhxbBu~%C~M# zm+Q{y1z#^OFT5Z8dx1%i_j7s9|DWF{d~1+s+4{QO{ag8w$E&9$wY+&&vv3{5`I26T z<0o%U_<dZ->W7Tked+cO9|~I9-IX%0wNKa{llgmPMd8_$6Y<i`N6Iv3{P*S8iQmt{ zoT3_GtS1%N9QHV3ukY-JoJ1qJhAqEq_WUT}>eH9$`L;0Dpm4L)<Z!#@o@?$t9UUDm zM>m+yvc2r$^61%zC%e|H;fail^ziiDXmKzsJg)Icf!UJQn-k>jwm8Z@kq`-HetFRs zG=^GaA^lZ!so8eV+Bd#BHAT$(v_hG02|aoLpYOWz;|T%@OE!_zbN$x7t9fU|k2Y5Y zmwLhF`!t)Rrv7+$;{1wF+ztZyF77Taa))kcK4?-f(e~K~F798pZspt-7u@}ElZ_Am zImen(yD8!Ijh{|Q9to-KeR<GQLjQF0f_0@Hx0R~zwg0bt^!>uK|KhA`cP(aQ!8W2w zu-;xWH$}GiW5E0x`S&tD$1e4oJvh1lkL>L0eMhhM<|}N!wboZnNoiBW=_50jw+ah( z_Fw+>?$oJM6DCh?R&;Jtu(iGW=qcN=D+*Qt%^Z=oZAT<d*X0VAJg<^jzpwGr`|<}9 zKm3iD{Z;c+Pw1XajK%G}2M#>Ra4D1RUCyVTbnw{L8Movvi0yB_nW?<7qMu99(dfnN zkN{J?<&Y6ugWb-t{#kZ%x88639WVbd=zC_wwoj5iQ)G|2|F2&3b>%-uIb>E8r6wUS z-`~^2GiB=3hbJZ~tG&*AGF3zG&#sr19rMK$>#SOSycBq~K6h4J%^C)&%B5%D2G;Eq zSUFLVqfffY-TrOMnb`b?4_T5;uWDG9G94{qn7Vw!+nd`9H8ez(gLvD$E;}s@aTXHj zIB?8!-$9Nq;m(n(x9{vt(f<5#0&gv!e6C#g<j?F%8@;vC{^u(hmFFFrpI@9lyR)O? zR@x2ev-2{QlnRTzie%;G`&qfg7OYyu6&V>BurfsLb>6KHY~N}pU4CY@|HfwN*=BnZ z%WrSF?)UI<>HmW#5A5@JEq?18lij`#jZ&+kVmF_>n%-5YYsUFkI$UsOk6z$Yi~3uJ z%hyjX^*Oru#qubXKG}A=^zDgH*Cl04O`rJt5BH5wYnjLHMJ~olN<#XF1rPDM^X31U ze?3N6u(KO`=lFt>rqz8*(eL*!K7ICfOUhisclp5v9_4K6`_^ZMMw?Y|J-c^X-q48Q zq2`Q_hh%tk{t8w1tyX;d$>5&0O+&bP>!P&(dNLnm+bcJ-D}>By==5H;`2EkCH@0hY zxB3;?1%LeUC0%!wevDi0`Hep=U(jGMQn~xpou_|i)92@}?%d5$Qc~I+6&IfS(nd+C z$hMt77pV`eaOApttn!r^@*i(sz0kUU+hN{VfiF%wC$hr3&pqe8Z){y9B>eB*ud<j% z&&MCH7)yVQ+ahAMmhICc=NrGSx8A4`bol<Pl<eAkt7&w%%&{1oZK+8&AJi)qmkWlm zcm&k{<@pp>CA6B~I0Bp^pGXKDJi}@uY~*iZdvCYV)y&=rUQ0Q+xQwFRSB5yPF0EO= zVasnW5gFM=+1TSrd*4nk*`2d@yHN6WS;glMzwI+cEDIHJj?Ug1r6Iz#`RJk}zqYBK zSUtg6&s<0J#`YU8PNbT@EM0M2w&LF(a3{@U_kG`VowKVQo?cXavHEg@dZ#eBc~NN( zs=Or+&kMQ!=-WQijn6?dh9?YNE`kT0U0htAoJ34-o_x5tRd}MfQRPRDO4ei3K~s5U zywh)hG~H4wjJY{~|NVuplQ(X*u-K>bO|;GqG{THD;Cv@W$^hJA0F6>(?NB2Lda^hg zSo*zz4?%b~cSvo$W2!3D**WV8N7{CC_sQR)m}d1Jb_9j$wX7e8N23b`18>i$t<+Bg zw?X(GetTl2qqFAb=Uuz3GEAfx8ustEXJTTSbv9f>L@!$!?0><Ds(0qa*jr@tF)e;P zYhHMaMf>;dIcy9*Gd~I}vc7xI#6Pr<^=AIM@=9sYP(sYv4@I)c)7?IP(gby)el(<I zW@er^abm-ceeoGARekfWgzVy#ZG95AcjBGZ=DT=hujb5)&v5Bp3QC6hU8_u2OrQQ> z_4M~n0n+Q$rGLrn+ZorMZ<OCI(y-+>mrT&=KyAoCh@SUhZS~gy;mYkXdhIcBy^GfJ zEA6>DYl+9KX{}A4rv_-O3arYJo+ly0vH&!L;IM8*Yt&CmF}KJ)nkVv*CoxTC<Y|Su zxVSu0o#^4CcBZ#;YoaXK<I875r}G~BzsHEVuqbr%$C)j=m~1YaGRdl^JHM0fe(|9A zMoqrVp~Hs-<>We-M9MqeD~iQ2^Eox)?2Zf<-l*Q>uVy(6n|e(+`S<MOw-!ILV3pJT znt3N9b}nD(;^NYmFr#Ag^;sP!{>sdYnLc%@qP8}-rlzKt`i)nov?s`%YujQVeQEJ* zgB8s(%}H4bw!1hl9m?_GOJBTi(Uo)4P8wvqjIvpBv#Wmowb^p}-ET1lCUV7SU*0nB zYPO`^{whZKb3HB@zwSz``o(ke{k(>l=q}sW+a4aDCv32D_wDmr_M2vkuFyPn^w4jk z!ibB1PS_^9y13lyvAyQv^5_Av!^pdDsUY`y4L@&Vb`p@eBhJlzDo}U*k)w}yf9UVz zG}reF4_&b436oy@btS}<=+0Bd8tYzl<kdF4%~HSlO3LnZRCBC2%NCo8^AUoA6U~#? zn+i^}uu$E}mZx^Z($Z4GD23zF<;%Kpc?mwei<nJ2CR|PS@L4^1W3IAvgKKu0^X^Q} zYxA$nT3=0Ys#6p;K^o&1&OOzwZ9&5F+@MXJQ#OLS5=u(Ti=)Z}iKtcoM=QlZYE@p{ zi3^eYw7J*9v383YVBKOSY4x9M$`|5!Q`heMs&%qgLR(4clV98N=Fe9Ol$5>~pK@J} zHf-#by6N3A-uwmb+w~$oRWzKmV)$@$-rXPXo`1e(?skhicv+9ba=GS@U)P_%Ge<;# zY5&9H0hjU(gXZNWSIWo#aNrGHyYA)_(6p(UI_F>K#!FHR6HmSn3hi@V{?@v7u1r73 z@BS$|m3cO-F-j911X|4Uue-Xqtb6=n9+sixxA_yksqe8X6=?gDr{dNp(>YQ3_`|2p z<^@@YQ+ncOb<YyJN_gH>1J|r;?wm3|z2l%%pq{no?6gEf^Z6YecTPXFz0S$Wc}@Kq z(}&np7N?rO7fqdde+s7hZsw}bZI|Aq@S?RynfsJM&d;(nv*&b3%%8p?J5*Ke&)%nR zcU;*~^{zT5w@R*cVwUYIQSriEJA_``tLfdBSZk1bS32T%okGnQtts{2<5SL^JTl$O zLFxuyVQ1$D?#WD_Vszq7M53=fTlmGb{z~kb<GYTBKTNp&eD37chM>~N#pOwg(7`jV zR=8_D|Ha6)p6>LL-4%PcPnxz{t^bs@`1bE>Jq0dgwbX)A-==vQZQkV0u?qKtit&yP z(&kvF{EiWxINfmM)_Zpj-chyQQ`6Pq5hG)_ATlaS%E-t_XWe^osm6JW)lH}7IlC+F z49^Fx*~xQuH=X@lT<T&{revG${q99sysw_B{&@9tw?N7p*Ay(PahNBd_G8ap$@S}6 zk{PLC5zpDWNNVZvZ4WySIx<)#XU4HU>55u0b$)W<6jAB^9C33l|Gb}E>8DmNT(Pt7 zw0e2c%@ZfuW-d!|b#YONYf^AfnrNck%USyPfA3}9<${6}tI3&D{oL<b^1(7^pE=*d zhYvaU`&}-r;aAL`fwXq#li4e?OnXuNbu)Q>W+zX$p~w1p|I5awucs$8tYOJM=Bk`^ zAxmcU_Dtakyf`LNHP0M5b$6|rl9JKdwevC#|9rDmO{vJfUHy7$YU+tor<}aJx{h*g z+x3Y{rG2xa?wPhPFVuKmDI8xgL&~E+uW5^c^rXW#SFG3Ax;O9=Uk6w4gQ%Iu*6q3! zclyK6tK2^d(o~!-zdsgmHT^{0ca|r&g5E@K=e7Sfr{Uz?yLHR{>U#eE%XVh|R;K?y zJ^5Cge{^~8amD`EJxd%6!dzUQi28i>*?fFfhlk9ZqWRg`!Z~}Fi9JrO1WlCwcvYjZ z{vXrRsm2{Ee@R_#E;fjp#_kxKUER!trH(tMZ($xD9@@BR68Dap*zONY{5rJs51pLV z_EhWqf*q@rcYWpDws-Cm1*0z>ZyO&>y8I)K^;lO&ht0f)nGbX~UI)8iQVg@X@S8bv zWOyWvSYEz-DW-33u#D|uqm;{uSB5IfuAaQHZ724g<h@<HFs4ga9eY}`H6SyEgRAV8 znkqNS=<-w}kmoO+QN8Bkq9W&aTJO>0#}g+`Y}~ZTNXD}0NQ!Om9-*VH@#ePtA1^B% z@oxvsm;T-Ad%3$`)IoXumW`&^*7O`>+q!AVI_PXEi<#di*syPJu8RAFZ@;+jRlOJV zFtck~x_ezCxVA~NSTS>B<uN&7P})`9+1KBHyor@NAT+df%^IB*AzG75&2uZ5f7_kR zES?+x=4P+2^t}Qf=GvNv%%&k*t!BRto3D9n>kXAJ{JAp(V4cFg%hylpUDD%EdfX@a z;?K#&Grs+4T;})!T)(=sDK|@tF`RYdNI!1|YFpUs+@`kCEbaGAi#Imn-FFsA<k;T5 zsF*v6cV5%Z@88^ecpNIY()JjzGkfnpGVgKL>Q`R7ZF;_Q@jtlgxp2kJ{oL#|#}n_p zVdR*qmhfoO%NNyl^40#?(Q7BiDC7xUdlS*i_>=p?fl0PIk_@`R9=`I)EJn9_gRif; zlG67AqNhohTc3p0X)`|RCtlZj{C(#)-q+2UufIJ!W6CNbzVE<h+djo&Kec@(MfdeK zmqL~SZA=VunAiQZdz*5Cqny|3x+Aw2>nGiP6l!t9Dqem0<VF7<Yk!Ey;+d4bxBLB5 z-tQXg|FORJ<4s=!o^(9%Ql)8TcM1Bcp%fk6f4z`pL-X8FmJR8@EPIHyY>0seye{Ui zi($;Bu+^<j3k{-myw>DLH|^<ai#xq6sIj(N*hhcy^@Vcf4}N|IFKASHTW1cQN1N(C zeZhJ^=6Mx9pdOIRlfR%&TMAEgP;B?@-`9@J15bz^7jQifvI4Y9Up=`9w2}xk!KS_v zv{GH}z>}lOPedi+LDlMQ)0m{=^Rkt)W*;d`t^ZfozOMi1xknFvY`PKt<0ovr5VSW} zP@MVT+q~EL=U?Bs%W_fj%GK+4eLrw4HvjkFom$0~zn_2a*v$!cRNUdWCru~X#z|hk zeAoAb$LUXgyJ0e|pdll0AM9o)V%cm(l!f5dv-d78QB8YRxFqX3=Jo@D{<a7M(DJ64 zzdz-5tH!_kwr2jC!x-yo6}|HO*X3)hnq@nkmF3`hdj^Jp^(&iq`Q6N^^N6sXzO}bQ z#-V9;Jws3J!P&F?&fze?{{Iu%mhv}uzQhFFJ1|!`otc5_(>7-zkxM52bvs!mr|T7Z z?r!<T{NwrE_Y1$DJ1Zf2a_{65GLAbce}pJ~e0SdK@o$qSZ{J70i8|Kuu|WQCgaO~9 z1Lwip1xi-`X%p1W|DF5v1LN6Cmo811I5DyC?}9Q}fqS+~SN_=Yx`Er({(;j){tuak z`YU4F(@t|W$%(R_Pkgu|qeseGZv9*B#&aGGZ<g-=s2L}Bhf(<0w}XfOYq`|kRh9Xl zz&Y)YA*<xeT7&YBQ8K#g^S91X;0T!am*I8Va;3-T>VBNwq%&vD6-R-r!jiKJck0u{ z?^wRclv)4WRq&h9*0r80QvK_sK3!P63bcdoqeq+&|6zHT-i-#|+@rqiQIV7TJJaBa z>PG>Vg;&3e+RMK?TrnZI!qaBYqwS&0?P%*`bvA0({d>q!_wOUeA)(!~)@o1up^$Z? zNN1L<$aeo~R{x&YEb;2BE<%Rl`fvWq<=b1a?0aQ2<<+H+*LBrDeEgUH;X7k%#nbt} z74m<oM*e3mnt!`#gZifpkGl_7d@EPqV5iMrq{z`cy{=n!w|PR$gy31#uMIb@UH{tl z1ON2)%s&Smp76E(miz9!=>CV#3VJ>579Y)dEN9_eCc7wK#<ApWAZpFJ)3<3CNBn*> z(fWP6)&CVdSFri`#(l?%y)#QPz3h+Pu9xm(KlbhLoz~TbKf0Ek{ra<o|LES$YKy#Q zhzQL$D3P*ax+t!{D2o4u=<knd$1HNJWBLCcWs>2l6L|dF-BF9v<<E|Gg9RUiYr>O1 zM=J{NSbO*IDtoUs_i)<}E3%Xw1!jr%KUMvh@#Nx0hCO<6a_6}pKfE|!P`}gt^nQ7{ z*;gt9ZEVcg+V&{O#eKfb<+tnnR`h}5yqJHI=3ezqD2tqC-(GCDPcT1jr~LXiKRGRb z?>lh+zYUXY4Wr{{;i7qwt<sY651aQauJ|}FC@12t;ku}cw>3GcG`jp8@7YSet!3~~ z7P!6Gd{MK?iv3Y7m;B}m-rX@ZwbP@=zT5k$P3+B!JJ!aYzr6oXPU4#_OQQ>|J0^zz zaZoA}iVN1_`}12-?#{PI4|DggorAwxcY8Nso5$^P$20oB0}9r#Xn9&#mA&g==w#Wo z$p2$&oz^?qdxHOj>PsYMHZtC??UY|z5n1ahcdz=l)iNLX#)M85JI9qf{x7|5@Xahf z<JFW2r&2!TuF?6}zW)u|E0cM<4|hyd;J9-wFQsD2#<Tj)oCZ}_GWu;+W%V5YA24;x zkNI~_pSF{iJMaDIp=syV=|>g|K33!l``adHtl!E0;OBha<-KBZXg%SpF}khq+*k!F zK0`;CGrkJ7{K}sFN>gv1>)GCI{x{8znZFcVQ<G_4w%44UfB!An`iLo=J&GS29)-vJ z%Cnxg=l6VGX{Yw8zX~eaUmZN8wOJR0*L3sGk7Itgu|7E|eSg|#6(P<)%uNeIS1)9K zz|PRbCRM7z^w+j}xuA4j_V<jRPoJAE51;66c;~&%uXe$S!XK(5Z6vr0ALdIGiD9m| z0(G7L*4IgepPSEAS9AQ0)$gzWZIgEMZd++9)F~op`@f?->@Q>epQpBKYKttn?$xrt zRJ{1Y;!EV3KiB6AzQ6p)`C8S7_i0~F$#1a!Twm~#fA)qS-wP*5{dD+swA6Vyx9W-u z%$)leOr{sSObY+<`oZn0))eu)>>}3u-LWmpvt@FpZ*LG{KWtIqo4=CX_^R~r89UlH zUOXttt@IvLLS8<EbxHE8^>bZ4Te+%!D6DW6vSPWiI8Ob*_iwum-M%uG$?CL52`F%s z?x<TTbIPpBo~2aKuKuBC&GdizHkJP2KcwF<R6RBP^ZaG&32n8wnx_m5d|jY(0WKbT zzRlXu>A#?zZ6xR11x}Iosx2=4*Zaa*e&V(g^POw&(l3fHWO#G_{{3Iu1mzYzEp?pt z=PCb!p9~sur*1zwc)q=(BGJaiN=;6Vzu|s((y@vi{o%F#2RY##^MFNfo3uKmR>n45 z(R<Y<sb~>>UzTevPt!zRU5=^{xrom2?Q+K~?;7oy_(NYu;`Xnym_u9FZ?ODqI%kiX zV?c>f+y9@gf9#I23wd(MMSQjY@#3rHkI&rU0q=$Tjug(U$a)yto4!)I(wDKT>1xF8 z*VQaFzuC{+xnOX3yY#ZX9}SI?M1FidbN<3L&Ium7larp+?D_i0c1BbQvtsSP7FPfJ z-5K)d?`0n8xD6Tz?&!F4^5My^6P4Z1#3kqYwEOK{ko8|~)!D0@T)C#cN*^7+oOr&W z?n!al_4oH*3-0;xQTf>Oqy2~H$4R!^Z=Lhdn5n<sl8Ir#{=^@%gj)LVww(9RH~N^h z_`~&ncT-#L7jqhqo2Nf0+^nnO{$QKf&SSTo-yF~1-uqx9|M$#0|8)PWe}C}YIR>`x z5VZF#WRBT_nWi;M>o_>G)4x}-Tn)eR;Xv|<aA`l@LocSXe~6g1a$g3wN6o|b{ttg_ z=PPJEmQ6V?pYug3yZg50l}ER??mzx&kJO5#mkYPQ)l~*Hdz6#}1zd~XYD_v%@~8B? z|Buzp*Ix_U@O9hiA5vmp>#xLl?7`CeNza4W*G}+w(<AHp^kV3D@HR-0a}aKmU49ol zbl%a?@gnTtlUW^ePT#qB`PkysPpmLE;4OL*y1Z3*;$(~8+_UN#(Dzpgh#xy#D#ce~ zuv4vno6h?!yJr_<7d$Jq-lOJ$uumMeDew+x=goq3``+a-Rjxp4dATrvb`pZyR}=3d zhVYS^T6gNsnhI7jRJ+eMF<-&vzNy3(W+uW#A0K2qbV)I+cAK;1$?3VuQM>paD<bwg zLUt5t>?E+Ln@{23S$l?K`yTlEsz1jPcB<QnUGB}q@^HKJ5+Tpm`8kJwE_+p>Rs8<! z?EBjzX5M<1`*!gYnLjlh-Kw|uY~*|Vu;x!q$MLm)tle_<{49Qm)W)jae}UtRjP1&m zCDK-0C-+t<?+ni`k+xcO(`Nq#j>p;))sj!Wx@!>@#JJOm(e<gT%>BJm!ISRRyir)e zpSEuwzkSTQHm5?_>BVx6Dh!$5MJ<+uEe@-bonHK0F=kp@xZkyf>)iNu#_;XjBjp+` z?^3Z^)N7)NE;s9Q?S%m$i@)43voW;ba7foVySgDJkbCQ=Vx69%pVoi>e|u-AwaTjh z@sDb`Nery+27(_J+Dwh|O3U14yWqH~ck$It+rES>-x|D5mT&E}YpWu!?b42Nlk2;+ z?Pl|>dAeICPhGSqGdoG?T9RD!>5CEvMbl<H^h|j0PTs<T$Cz2`@SW<v`_BE8eGvEV z>AyPpcXRK*w>z`KVZpYKFAnJ%+bvqKrse#y=tJA4Mx_}@Fv&gGW1aPn&B`}De70_j z+PPI)U6(@i{Xbq=d$nry)MK9+{^!cQsSmkYGRu8Kt^3uIS?y^rP55uxTisLrnta8p zx$?!P_@_(nvR`j)ZRL@-o0EBYS?rZhoPUFND3_X)_sIA<{hwl{BY1jme%+x}nrdd} z_VhlPA+d|Uat{A_KZVzqw@b)xD-6+jJgw%;qlf=fZ!T#p>3-?;=*d-!b7w=(y?b{4 zdWmY`C9OGgg*y7}j#&#=2}w(P=d5Y1>tB2P<?d7O_&--2Q<;<Bw=8_Sqs`{+_MfMn zPxEM*+GF_f$lXuopI%vQo3=`DLoP$H{jxn<r}|&2O3=^b_&)8`wC~*0)YvT;w}grB zy%v3T$=R!_0dkXkE4EB)T%N3L)%HgCmSSWn&))B=Z`Bs$ZoYZq%$bx63mkLh62fnF zYg%lX*7SeP(gg{!%kF)h@moVgWKH&*vJ73(vf2MzColW-Mp<mz-ajJiYYTgd7Cd|6 zcdzD|s+qg3(=FlJzxv|S{mKf>W_oWcShAvrt9|O-({fK2y2cm%n9|(!DT#00%ySN( zcWR#%jW<?bnVC56_PeC}homJxJ@WID|7$J0n>j*adg+WucRx$avYBe{w=UsqPvoBI z(Jj^y%rn+2=^Z;N8J&ANk~u!%_DkV4^V1f3zlz9PeecjJ)1MZ1TXW*J^&MtDX83Z~ zhWmHr%N%bXd%Nh*^WG)@^Xz`d+_L(;)RpnPLDl@&(4Uc46PT|0XQzjKozVX5md%XQ z3jDrLmtM9pvEFbkQEuMq(tEDPH(x09CzzD3VLJPK;q1DrmWn^;yylio*qyz4<JUio z+4q?vc0UU&Idf{|{JLYeO!>3#GvBEC=MbAa^?vH^J61i?KKD0gdk21&?2CPKYW?H3 zhueSe+`DU{;<LPWzA>kd)P3Zs$r9%@zMp#X!TK3y2b|_}%3m_joVz@~pKF7O1P||% z{U2?#{rb}{HdVhc{G=K;H{{r<=f*!Wk8tE^{GNJl^Y;W@&BOn)<zCO1b`-DI-nGg2 z;>Bjq`>{QTBTt=do?VezQ}mem<5krgYt$`5pQ&w&mw(h%|199=&Zl1eMR(?%*lO;^ zp8w#q!-s8_ho_z4F`FB3;neGC(=wM87YH`lE?@DyYW37DJ9n|1cAod~ZN<LawO9LA z#r-(#Jb8O-JlhkCtA$@~tmiuUKuU`JhO}8u!i^1yOO`F$R(sG!m}f=%Y$>Vz`K>pF zoKu!^yLWf*%X@Q<Yf+L;<Nd8`|FKxx{hG2kG|w;Z_CfwWp>ON{H`J(4d6t*_e`TJ^ zx1CBq?yt8zFiAWt@ZW=?eKCfj->ZuwCPh0hKO$GMze?k}$eE{oEpd0YKG1L$*HN`S zdj6V7s^MSra-%Q5Ds-;*B)!`gw`6V1sl#^<*8Y*VG5n*Kmb-3Qb_fe^`s$T=xBhvp z%2w{^;*0E&N}RUsA{TRyl%-d3dZ^>_X6EMe;ev5e_w1W4zS+0<u}#hV{3|STpDm1> z)V?djfdA}1vn@&~%Kb~0sHpkR>p7dIY;JD;;QduAZ_9o4*MD-BF~=-*FlU|fT>5rZ zg89~Sm&8u*mepIeD0ySar@6^$dfSXc_1qssZ<<;pv)p!Zk$LGmub9+%?bBYbSZ|(S z@-tuQ{r~1!wX1&`yw?g6`utPjwe%{6#V1>ea)c%Gp9H+nTmE3a_Rmvgy4&x(dVi~w z_uBi<mhV`+_NU)H{r*$#m9uv$H-8T;Hea_&iYMGn=;h3mF11a;yrEO4|1Lgq$t>%^ zUC+xQ?Q41WtT*^E`}zB7L90v8UJ2>+C;aZ?=yj<45vTgqM|h#?aqYJg)>!q;`yHw% z8KbVMI&s>xw!3-i#>SIhy?WKKf49}^+--H+9{y?ZV3lgnQg~F;ocI5caaj7^yGcJk zz5n((xt42Xa<$3)L`m0IXTu9DzTcjf&+}}l?!=w1MMZjM*oa>`yZ7b2uYW?n?)d%u z^P3CFDgP~I%>1k_z&^(|bMca58%|&O%eAa&$+D*Dw+(I>&oT&dE={f7e6nxxam&dU z`e)lbE<XJ=MmGG6zPr}#0Pfn!+c<6Sua^C1cj-{|t~jgQeWl;R-EFT$uWi~|x#54H zpw!x@4{iO8IBhM}R(fx+G5LFDt6S{4zY<$7R_|YRm;Ji9(S~o?4$MzxB=$?PZA(<h ziay=-waiUF=Iov7UxKVIU*tT0ow_a&zO(O)>2dv4a%XS&7p!^|^{&dGRb$bPJTEC> zcC&l;-fp{dTDx04XqM^Z_VjfNe*D|NAtOfS>Fs~6Wp5=eJ^wRJrC7&VZuY?&dF?-b z&7S7`w<B6U=~v6_7lMpO_>x{NQkRU{(R`LY+aUfg`@Q@7+s)s-cd9(6_HD+o4_}0x zUnKdZ{dwaq`RBCXJ{zGgZ!hU_Uou<xy!z_l?+M~Lal))cWzV*}T{F$=$Lj6xts}QZ z<!=qD*_Y)Kz4=0tT-0s*2Zugg2vM^-efa+S%r3sq7vAh+oONb#>@UNtr3-CJ?ygs2 zX@33W$rEn*2x$?XR<)imW4FnI$z4r}1<Y>Ef{U;AEWFSnR50i5lhx1VQjRVEaKZYw zX4%dk@&A6?$o;f5miI{CtbCzJ{J=-f)6?FmR=$(#@{><|9DFtBZd^<B%Of*d>r=gc zTAzt5YWU=Qy0UQUWOgzAlr<lV<7(0@kA7df@Iai$xjAwv`weD?UYoYs{OFw%@2BTJ zeIY5nPWX1^&U(4e^XHuJ(^A+ZZCm|SN%0uZx!yCsFCUMYIn8(BUxW93Rwp+_cK<B* zwZ0PgdP#1JfD?yei@?JrEQR;?H2bz5D^^t0OxqmU+1be%btbLYWBWqo?w`9@PsKeq zQ?>Pze$5;HQS{y0i`AT!)!M!B#YIK4{_3duxPE+c?@zks!?Vuc_@|1TbdGiX6c9eq z=1Qmvf2e5ao~fH|zdiP}h*dZ5yX(Dsx2w%=s`}0GdmnV@TI9*M(Vg5E1N>!`E51%Q z^2@D}I<2~XgZ}yS=>fm)=CEDA&i3CYR}t#Ww|WnjhrB#?a%oRzywtn38OLhhl)me$ z-79-NLp9mQM)K_rH^p01olf_+8?emKbMpTbAb!$0R)xQGqRkaoFsC%6@M#pt#~^QC zFp`YnR&>(7aWnY*&CrX5$O<94LOlO(Z{=3hi(SEg;S<vWy-Dr6Jmg*}Rj%lMvJk?N zeSW8t4`fV~_^Ib*Pi870?1C6^#q|>h_fFjyn58>hjCP2DYzKwB-b9{ttsq8=fK!L} bmwI3JZ3zx?=Xe+x7#KWV{an^LB{Ts5C!?|G literal 0 HcmV?d00001 diff --git a/docs/question3-2.png b/docs/question3-2.png new file mode 100644 index 0000000000000000000000000000000000000000..9f956f6af85db29269df32eee437cf1deab5da1e GIT binary patch literal 15251 zcmeAS@N?(olHy`uVBq!ia0y~yU}9omU^vXd#=yYvA+Pca0|Ns~v6E*A2L}g74M$1` z0|SF(iEBhjaDG}zd16s2Lwa6*ZmMo^a#3n(UU5c#$$RGgb_@&*njl5aMX8A;nfZAN zA(^?U47sUAdJ2X{3TZFPiu4qW42(<_3=OPIjI2y87fyP?#K54y;OXKRQZeW4-O8Ad zr~eLoJfGN>7$F+qaHQ4M&{Nmsv}{yN-preeQg5C0S~EZG+SMzs%2r2j-*qeL=H9MZ zmBw4I1Q|~~bHv5a`_vr)MpH&Xmno$ZX%_qK8Ds_91XCye+!wDOum5mnx@9`wbDQUu z=PUPhty-mJI>S6)ZnC=nI?YH9#TJ3<mhH##8U&m;6s7u0SXu;}IINdNRGTQY2sm{- z^L2xWsL3)awg?>R^K^IMP;A+d9A?e*`Ptd*6=fh3773o{02z>Ye2Fqh+YQ6Y+5oUJ zBP9s`B?m}dnU58d6Nh4p?Bwh0t-L)duKf$%pUIuGHrK7waN0{duC7H3e)y<gi%`{F za_YU#%JiFNoAs3!O<wU*+4Oq#992d8Psc^mMVvT(U;E?6a%Q7Xyv^K*;$-tBKLd*E z67DJ4r`gzZ=r5hd|5|Cr+@*`A<s{EKE*iF^!tljU$sfA2j$fOjJ?HSM*C&2Fx&3n8 z?n73s0*B6-?(b{ZaqLXW5gp~kBa?YPuKj=b=)rOh|Gpo+srS<Z!rpJ?RBYK$l{Vj| zvdDSs<Dh3HN#*`2AJYGA`F+3kj7`A|rm0=K|EgD1f44X!&UPXDZuJ|TH9KNvB+ryv zyTHZW=(t7ui40cNU2^VhJ;x)3woD8yHa9SS5S-R~^X$Q#ubaMX_1<o{`+T}j*7ixQ z+M5km1WYS!+L}Dw_-Hz(e*fQ@&5>f$ZIbQk&)hvCo!C9I=(D*_>`dQ6*Ns_@Vz-yC zO`P>VDa~d}ckRrnKSMr!Q`zugUaC*#S51Asn@4V~eXiAZeeKel3v{O2+RG)sh&5lh zKjru3&bihT4<`QGviql9+PXDA`f}0~Ue?zyJ^$k8oBb+_=gF1xs+GQ$J9q1nuz_59 z=Xs_@Q!><kgw&c8OuenwB2cz~vyVf<)2Cuq<j&?9p98cs`OhcsD75#SD&D$@_s^RT zOwsc82OgPy^tmnUuh^j0bTd#Z>x$X3m&-Qldb#$_@@+YGEbH|rkA)h|BEJ4{esYIf z_RU=L<A(~{=`T$sb@y)1`n_NBF#B(1&Mtq&okva_<bP~Yl&zBg_ospXommGr@%x9x zyg553=8tW>EN4Q@o8&$6<<@&YZT2u`oZ;~|U#IZi-WgNBXiRQ<zUkRvVUd*$nv-?U z%N~9`_4kb0ESdWG_j|=|2YZ@co0N9B&hp^H=DRP%-ei3AR_^ScpLT7c<C#uhRn<JX z!=JxTPT5p?eCniaiE939_cVTg?tby?+e^=RcRk3vQ}Mw-J?E!hiLB&&(}^!89sIKP zm&T*4?Xet+ZyL63lrE4g7MdM2r!wtD#;)C24Gh0p`&W4|Pg&T*`?_)YVrJb#au3@| ztu3?O9rxW9_hG$iy8W--PlsdIKQPl0xzB#d(|oJ?j-vIuei`P3NgS->dT?5{xOU+e zk=4o3m&$Wp_g!4LWvBk!l3;eHDKg*IT@u=<(sSS%p9A+HwJjR@r%T#urSD37Nv+;< zS7gt-*FLv@N-mkr^m2)Y#;u^DN#`a!ygti}F}Jfud4baWsV31YTA$1<J%0D<qoiD~ zq{~|A^CFFAy<4ljZ!zcM9Gwe3Wp{4x`Mb}!KHhGw-Ms1FT}8wBZO%OlthnkO8~bz{ zw_=NIMwKjM(McngkP@a<3%49Qz4FhahYy}~rhDrByuK*Pmu2((o*jp5&Q(-QiB}Ni zSC84uD-z9@o*k3<FgCSpZq||yYwjt{m)pKsRU*VeS55!&)V`~>-TK-K6)bya9B{44 z3ky8kP|i^sa^T|hg%{0*H-BKzewJEnba>{6oz0bh81-eghF!|J>2{Qr|Gdp7uFwnN zIo^?akuw=fzOQwDzdFIvc##a}T&cqj`(J%t-CFsivg$$5?ITBS#k_^MzG*#w)uYA! z%6m7o6()Y=*{S+8{Pw0vF-4`ig=;?vx&~%WD4l$k?bGK|*+HMZG;*GnJdCPbs2loO z!zyO4&Sy!D6=$A5=xL8vw2ZuJBU66iv7FSABbS9E5;~N2nRLB4b1L!^m!5fx(Wk%F z6`ALg|5WF?Z@O>e;gy-2X?CGxf%gr@Z_6WkmRnamdOY2&YTDL~)$B4RX=g&sk5+QL z&+C2Icz6Bgg8IO%mc5$w8|>F`%dV@M@>~m?(i|?&R$lP(%!LO`&)&x!ZFFK@dW@&+ z|F&5zr?UH`4vVvYFFse&$J`!PbYj2$T>YC|{5G<aEv5ulq;5X<+Q@x@#pKx4e!&R_ z1vRBYGX--qqi;)eU+X^Cd`>Wa;`<xno^zxg#Lx48?fauyZQEJ9GaqGypB<_Znl7WX zbBoQ|;~PHb#8yn2<0R~wDf#D16jP_$ff>ij_0=}K_fTY8ESbEKbMkCutEJOSqAe;4 zU&}Tg$+=lx_^)}Jv9r8R!oN46GA9fJqGlX?r@Gp6!=3|KH5u|14K2>B)9d)9<fFE~ zJoWg!&7q0gpMCVMyQ&v$_&do=xS~3L&$@3f_T0)9cjB<V@WqPh#STTAS?19>Pj`mO za>jf#d0lGDu{LMrVS}n!_f?ZT!~e~?Hv8t@-$f^5jD5r1%D$)G++}8Q^?5WigI-;U z!JCKk9peq^ck}oAWVRRQic22t+_3U5=e?~{r~jG0+2CHkVeswnCzmh$TWMXX@quMN zZ^Wr9cF{K;KlE-8bMSs(EcoTLZ-U?SrF)Ftl^6YD7hJ+zvhR-3l{52RW>;R!m;7J1 zeMYqX3&#c9rkTvn_#ki8_o1}=X3efolh!?MTfV5$xIp_n?+cHF`O72UUu+IIZDcyP zvY<+So8624;H#~z47mq5;^v1Ox%2(eiLEcVr=;ekJ^VGVNBWl7Y&I6H`%(qJ=KYwG z^2{=Fk8QzKP_caW{#Vi33o@s_oZt8O-SPDgavOdn*_@lSZsix76#niT5|%=L1s(@4 z-}Lb9iCf~LtF%qq<<svSzO&$bgsI__wEfYo0*g2&R4XSk%PtIjeIxY#RgHUh{^i+h zzg}+Z#G$B{(bUfdY8hM%ShwDt8B~YwST^gy>t+xuL&Nv|MTgq9$x{QiI&ml-QGJuW zX~8<>$CIti%Ctd^2(`tTZt@~d9EvW@8MBm`QrU$5Z*CMV-W2e=JoS$IUe3>&?>Dbt zjr{ZF7T><uIzHe1(h{FP=6?CG+T*n4$zPiG8%wQQ&hQ+1_&147?VewG-)0qswjVjH zTT404FN@ssJ0Ijv&mVi=p2%Onbkf31*C+Mw9{u~LH(j4!r$xZY#Mn2#kHI03ZRM?b zd#2d5t@t-n=l=?$J?p0i?2+*NqtSPGyUVArPt11~&r#c0q*<N4FpH7vlH~-^Q%m;t zfBbcO-Jf51N;ALiR9YzD#BtHZ<ZR!8)(`utnA}?*Nze9+(iC_8Xm?XC-`w^ssI;AL z<YCUZOos383&;J2xu-tcig=}`OTRAun0jD_*_I2|k7NZsE?oTl!~@)plFgX4o<EH9 z{p+%2N<R6n8u!jKiyH>YpLKbk`^HyyVFc@`9+8yS`e)N$8y(@Riiy#dW3kA(Fzbpo zcZ5odfK$x!S<hq|Pkq=|wbW)$ezxs7J_gZ+71!^1?uedhqb8fTmiNir^=Hx*;@`b$ z6>#EMJ?q)xMy-dn{_flM3M|`xEMQMm{;qrTj-L}<enMYM>E~)?8Qr`$yn;>~inGjC zD>L<e`?bJZ+G-jotK8IRJ|yuy(E7`P)_^_lj~FgLE$QWS%izJ&eRq~IU$~g+Ro`dx zTUq~X;0qJ&4!yjm!90d>HQ5Xb_cVV#y3O3XxfK-slP}~X^RC$GKBNA-<hwif`u+NP z?@B4Q2rSCXn$^$tYL!XzTW-GzbK{+!Efcx)d)vYNndh27?b2B-&q`-r*`~DODc`^9 z(_x=>mpq#2Z}mWHH4~>|i-75-Ul|Ga_Ec^<vjG%CJ*Kb}s&q+kMT)7*#+hqMqkp<T za9UKeE>I%*apANdIthoSP7A+Y^Y!Jd?I%5gzHt0Hcjws6Tgx^{CC_}fc!362*ZGp9 z-q1@<tNxsuR5dNv__vXgRcH3)9L{3hmL2~*z8v)0)z{;{jl(VFWJ%lH_s@%8KRX^d zA@p$i5!1!b{v6ojT6d<RP9SdU*^d`o4XnLgb#ETq^6HUC#A4*eXicS?-Y=()G$%Kf zoWQU%KY93Xv026K?r0HhoFEpLd3@2jpX;R4jFP5JG<f9M_T$?Bhc6#kx2U`SIkxG& zaY|&SVoPjRTt4rW){WByj*4*$zTFhlv0__yf$P24V_{!rEtv4Dl5c0~K_4$(CB+v> zGpA2m9yF(-CI7ih!uxB*PagZsZhZT~UUSYq^SOzY4!l40u3VjU@4Ur?PnBk@acf_g zKlgLvEjzBL-kU%F_PJ^AeJk$YmpXfD@}HHjLw=V@oS$r9-naGQa*O05#TOe3<Yo$b zMT@h3UYT5DtJ?S0I^>Uhc5}1f3JveAVe+QaIUOSPs_SfyU05+&OKjq*9B-?Q|7)A; zUF%OL#^%e-{b;tnXCI^a?CLbON&n0z?ytMv{^F?c%QD5q;O3}l6@R*W|B}$$+Wsi< z<wg_t<w;Ag;>?Uq=E&u2)osaeF;Ys<b=l||HUEKt+H{xLpK~h`^(^;oH!x1sS+Dob zfqSp(%(bg|+-Gn4X_v(w9hNPzB~hnXSpLEPv~7oXXiZFxI2nF2pe6Z;?4D1t{5AdQ zg1=8O_Dz?vG}52O8!yHAH^o9Yzx|C<v{c@+CyR7-{`{7_weP#Y|Ck$XZ_3LzJecg` zbw!A;W=FGb_SurZDHf0OHJ^sK&Ri8$T)Qf&c&519jkD?&H5;VwHtB{p8co{qBQs4_ z^2nSUAwiFx8QRp_d#Xk6Zwb0&cIL^-spcR4{n6<5bFhppFwe88m?6CF$g&l0XRI(> zvURJ#t!tk+6<z*j&AP}wTe!Sl=@|Qim#=&dd`Pg0l5P$>J4I||!imQZZi!3^@+y2Q zb7I2Hy)TlypC3Lv{oUWYh1|KW(Y7n<#ex%TPA~L5y5gPP9hrxn@hJ+5@64v8o>EM7 z)l)N<&y#x5_&xV6$0v=)UuFp}kvvya(#?4FZu@Mutw;2YIv$)d@(p{nIV|m|Ypkxy z`!&|@rg1yWm~>;yshR0%J<F=IZLO{|{PLA>-Qlb4HtS?x^=$R~<<H*F_gmio{Av51 zN3R->-?ZUce^hF=Y3r74VotZC>=av8q<_j-urG6N!Pe40w=PaU`2VHryKMF!Z@12^ z`EklmCjZmpbNzYG_c7*~@!Ze2V8vuHh2M2LV;1Mn%&bc5JE9_U3N}8C*4KXar%+V& zxk|UK_$CPk)-6XxX7q}rF-FPi<#BQC>Q0SX?Y}iB?J08=w5@o0vB$LSs?*n;Vc7Ni zmQ?sHr-MalE|x-8rfrRNSD%M-e?IZ~%Ymm+J%_K0T-v47Qs(nO$<BQBinIgPEp}PD zKU)MA&GU3$a8FaiEIvWz!wcDSExqQ!Rqt$8-v4{((uxo>`~U2BAO98#nm&WGi!a<> zVQb4GCecvcg(qCz-rv(K*U!h5RamXIgm2mQ_M>i7<~>@$UvS4GaADUfDg9}gYweDD z&75i?`$DL!;jgCKyU%5-GP>36>N1z4&s<pRJC_mCPFz3loO4C_Yo2ra|5$Oe>n0YN zPd{BG75p!u{zh-fjze{Ai&osQ>3EQ!+Olm=r9w-F%coxn!AUkJ+r+<3Dp25Gd~a@< z?cR?iEJrUgw(q*PHfzsIBbWY3^N;3le176iUC)#n=}(!_g`bt@WpEqa*r+tw##!^H z`lXv6uf11U6<4zBFsG`0ckS)-#a7oA`DA~M)cov`c{E*S&yKHs4oTbI94=U>J6G~o z0^{fO^^Y9-8ZRB<DbtVibz13KZEClL-^St4!Y1$cOHA#y<XxV6{C;JNa{k%J)BmiR z{x0d;(Hyl8-|kh!p6ro4${BwC^AcIpTh}Bvh&e@bC`wKA5nB_%qkigT^`qcPGoOpZ z?(hB@ac%nA1u5}sis!%b|6i!Lq;AvH@+zH&`GUVK>(kZc{w!gaJ-z11=1XBm9o?@# zXEXf5=+0-qx9?|kNYu`zpx5T>7and=v@uq1n8Z4J#>GO`&CBH%YADT6m@91V_tyVO zyo|+%FI8giuRVAkRbAT^R6Mh>PyF>)f(^SsUf*=NIrrMv^8b1qQktmfAZ^feU0u}h z`(BG8-F0_TXU>k?u}}SR+qS1&Y%DU-#kW&zKRQo0y14A|Ql*x%Jf`AZI!~t-?w@?w zzGFe!Pp%aLkE$-^92V$)wetC-8S<NY@82qUn$OA1vG%#Z+0xbLPfUE#E-~L~DsR5~ zwS6lB=1NaL+;99oG4De9npqNEF_T*bzIi<3xY2wm_)SFClF!X`8qxiQTO1BnPKf{8 znz8TIuaXwGwaMYOrtg`K&c1!W_xZP9>)bgMXBn(sp4Mr1!zW`eXVzci)9uG6)r2g( zy7;c7W$fXs`>f(($2O*XUgQ}baR0A9>qEWOk2w@wCMzd)w5~{c+4lMRo-_OJU4Arc zx@L>O^(G%NP-F1Tj6HTYBGzfW`6$}*QuI1IXQxg}hKiBWg@|=ZZwkLAR-K)5cY9N~ zysV4(e}-Ldeb4XD?hockIF)dF&PN`ej|bIL6dvF2emaHI;F!_f^Zn}AQbPAs$Gcsd zrT*db>~q_iW?k0XGb?=B$?)X8AEbVZ&YYV6OA=JOFMr)_Ulh0XN34hIOgpZ=KDi$( zUz2W3UccGqA<r}JpCTelPwmf&W8I_H<38ivXQ{69=1-qS|Eak#;n1m#@*jSD;6MGp z_pZ;Pmr4EJrq3tuDAUb%uRXIl&^vOA+0^1R(W@z{^QZnw>h<tQQFK{6vCknm#T4uv z-gfbiSEg7vEz^5G{qf2_e|{Qoxhr{ipOA6p>hupmpJLDaf2yKh|5LwQcJ9$0)66OQ z#q-Y}Gqs<P$aY5Z&xSN*@$1r?rm<xmv@84fs`vVO>zm;Tg&!}KE_VIHbnS2C*`%Lx zmv02k@V+H4{WGJ+{n32+)9jqBPv`RXXXa=J28MRmM{e%Sc<}v~NUi|Ce)T-n`#k9x z-QN1ARz<nx{rRpFG0ShYyZpnchH^47yE^qBf7ZBsWzKmqA)!c)ixNweFI><P7YSMw zx#L9A#)YvbPu2w8edIPZvFiOMj?1f`ZA`ux#1#Ki{Bd4p_in%TYKMHezt3IriqAY* z{&ji9kDGxdUrP?1H0}vJZ|C#u*ZfoOAI*KAIHOF~Q2opd|05d?ma-^og+JUnjctm| zos;YS=^HKnuU96gvvbA*`2)s*RnuzrO<$B%-o!R{HvimT_Q!7Le|z%Ue|zz}s>&k^ zpB1Nsv+dk<t>x|u*VWS2LTijS<zF}aw6028%SkE!?%~MjDyFS^ZJ}~PKjRa-XWprm z&3@FSx29=@SjxuQTT;t@>ZcuZ-hCt7a!Inyxk(e=-`uk5SB+E0GxtUOW?NSCR>#E7 zhzsW8v~Ry?f9LThL6%~xlb#DpvdRnZ+W7AFEAjGDyUUZoBw==^`|E7J;*4M(M&<U0 z@s%MF-iKX2y|b|5+cVjktwbfS+(>*eQ+7uA_uw!6ksfC<v%^hTuWz__XU2y9WV3dW zeQqTS&z!5`HO)@>+0lITeC(F6d&N4|=U)n^6_gx3>w56uRaSm?gLxL&e;?&8wL2}y z56&<dMoI;HSL*q!k2<i^SZ+(f+GkA5q%=;IAG`T7Y1X34i_hyHTEJv+q3VF`mgvt~ z>yO_#(6LWf$4WTt(wWRZo17QcpD)|;{wja!^Yu$2V{}Be+u4|XGvVH6lT-R>_M=B_ z)u|j0_E@@D#Xgj2Y?~L|(0R#;fqjdY*ln?QidPv#X6+EGm4vwClHiVe5p6H`ey|RY z+IH%3O=&p4YkzmO;Zaqw$#;9$c;4xWFZ!c3@9z%lH|77{6zlI@b>z`n&9!fGm<rW@ zU$%DXHhU8GYktS$?J=jLlXMUAy9n<26ud23@_TqU*NOE!j4{(KnRaocrH7sjUSG_; z#bOuJl*FLgjyV@*E7(3*wO8$QiCK!r6SV%5lM;90^e0~rzV3CMagTe;!ItlK4lz#m zo}Mp~{lodLX3CSv;`uMnsP`9b3FgSvo-d}MEBUQZt2*uLk(F8hq%L*+yK%CA|9;2# zy-kbWpO)UAubOv%sWFpG)ZLT9-_<rec>P4`{j_ere}@`BykoigRqNITWhOq|4{sMV zUUK5<3OU8qeL|ZxmVLc;cU(mCrwJ!wrPNeDz2wv}a1o4^axHllx<*B_WyiXc9S6QH z+hwrVi<`~Ha@v#neMdIMU)D5`I$^tUdj7rGQ*y=9Z1*mAiOyf6_HmBj<DG}s{CnbE z^G>!SUUq+NsA-W#ow}g5UvgMz$kj71MVW6()^|+uwp;mpnW6up-hUmw)4vFw($GDl zR(bt@#mlSll0Q>ebYEAo-?^a7)MdS}_U}iNwBi>JBG1WK`E>=l_wQ@0i1`}3r^Q9g z$;9R*hsl=JeBexQq22cEa`}5+kE;1EZ>|-nmHXYX<X!WNWtT6!TX=Ji=#GiY5B>ef zKmWk81KTUUH#Y3zPn{inQ}}w@zlZlk*(WgHd&vB;`?hn|)bx|H$_~%0SU&UdRA;8j z;vJ{2&NVaVOZ>Dbr0V?7IpT+6PoG|STBfloV5i)H9~19y`niYcxo~CrlNdSq-7}Tb zSkK!v&-@WyziFE8^RuayEq_ZT7XPkzkl?r0aK7$s1;vT20=<rh1mdSlY51(4n>8hZ z8C-^WsJxgU5bAM3QL)8#B4h|dcDGo*4d=x*C+_YRI5h8s3}lAm<YEZz>3%@ZqvKKb zvTX&2mVM5t|If`<TE#uz?{meYze_&-^_0Dwwc4LUaowa6mNyM88hH|{qTGI6e_ioq zsr}0z$5_ht-JA42KI(9H{QSc%v6ou}7S(xvIrz-aNhI1ttNZWlMKewF_;$Z~oELTW z@$&WmRbt;Cf55nwL+VDS-7leizpXkiFSA;9Qt7`_N0pi~)7iOq+cm;8?WP|1RjFT) zKVNarmYoygCc9leyz$$dtDA)UEP{%sE&hFWLGj_;OPOa)%lq+aLB@Z{OFgCD-q&vk z?A!6^W0#GVfYX)M5|&xk)qH_DcBfux9`305BK&RV{j<BH>z>`-dH(Z(+_wd~YuhW? zS9LD>{Pefm@{|n;ugc2An|{`4wr#RncGB7w<erP{vq5I?Jaw$l(*HD#^Q+(*kBg5k zF)iR({ObG>e)huI+8I$6i^^9d&(b~Z4w{X*v*npLhvGVUBc%&>ZWebW`KrtP$`HP7 z_uqck+~3n)mft<LH`qSq?0I!fjg{?!jJ@J>cV!-ka5%Tq=*)Aj6Glzm+rwC1yqZzg z`mm!_;E|W7`+}XDp9>si(6IR3Zk%+Ay+(TfOmlYY&!>-T|8xeKz|PNh@BX}PZ9a7! z)`vIlSp3GwqVW6QxgW1yGdw%(z2h?u4#jm%keFNd;ED71{XS3Tre1y-t$+9h-<G}g zEb)I<%WAH7DZZ`!e8=l}{txeeM=x5NJWH3EsqF}h5c?e`bxB(Rrz?#v@*<C_!ls=x zKX2n0bVVxdOG)0FYaEK}oP|m}I$qCgmRA<f$$1mTp|~#jQ-%Y0PE4p4b#82?@`Wi9 z{m6q_cm2vERoplfU2G@0Gy6{bXyLtU*`?1Dz>{-V^gHb|S_GVK7*?8G;JDJHQ&*MC zeqYbNec659o}WL=s;=ysGT-aoy!$^s^*X<~pX+jeGjDDDo5S(%GCJ3tOXX5**-&1z zG^0Q*=)bi0oO1m%Rohcb9`0Q8^8<_PR@()JshgheOs?2lB){3e_woDByDuhHYpp!C zS|gZ4vE}aM7tOq9p)(9A)qC!K*|K}Rac=!7g}uv8Sc`+E7|yB9RaCy)k?atybf05+ z_q(i9B2FBM@1I<BaMs2c)X&;oef^h_$*;5|F}Z}Jdvg5JEQIaK4f^dLv?j-%25I~b zYI(?f+0o8sSon5s%kHaR6j@z^-6C%;-Cw*@amvK^?M6bzKllGTbX=HkQqg;cT{l9O z2{>^STFz9yaAC{6FA`<*)^ht#3eH%hq1!w^&RgN4QP;d<Q?E7dTq0*w^l;fdETh&( zj~TTJICW&5c-_qFhh?NUWoKN&vDy1B*INE~5Ty3&&k1=qhv%ntozK3Rxo?{I-)SG; zmv`^{+APPCm8$~^wH0kGiegS2if?AvNP$MP-ptT31Px5yFq9O8@WBzwVGWvNm1_QI z;awwUu<+Ab0qyynL5n(r7Okz{w(r)3HIklPa*p?B-nNLo&+j3f9e+I{pE<8Oc;UR% z+h_Lmbof1*Rm=J{Fim;Fb@sPSGdKSZ`|xUU^NUCF4%bh7{8Rn8u#3@0GH15&yhcU4 zA1yp7f2udmF=9LxvF!KHk4I+doVsqRrJQ|M_{UG*^k~PknH#6p^QO+MF}=pUeq;Q^ z$ejk0KvT3;yY~H1ejeI=zcpma%f$~~ylC_f&~LN-X?0roT*AHy{*HmG{(RoJV*Sb; z)6{EX-H)aFs_aTJsExXP`sS{#syz*>EbR^QC(0YolW&<`lzTew)vEj7((2{~?2-8L zhv$#j+2riL-g^IOsbS^&R(j9$ozTN^gYob~R~u8+z6mQ&|9o1ZWnce~C%63AhV@5$ zZoQJ+Go?oSbG_xslkxvf$GWE*Ci_Y~P2~D?bH_7wqcaVb&GOfBRQ@}yymrY!_r~#a z@q0i1Dpmi@rSs3B<Zg!G{)W=}S^D`aj+<-~c{b_WaW^}u`xAdWKmN(lDe<T0kz}dc zULhe@AH`qGQA%0cvTOB=44pH(=DsW2E`Jt2U!2=;Ibrgx>sRx4+s;v!scHZ4q~m_X zw_3K;>C<a0V_7zD4!JTZ*XQ$!f6C!8X~HVrJm%$Da@JzHsvoBM_UvBD%H`mYvcYT( z1B28P&{}|exxeZ88s6zYe+Aq8`J}O{Dyz}J&Gxs!{J*c&jog1Uf41ehyiWPqkqkNY zHr{p05)Xp{*KIXf@Z^DC+1L7sk}jJ+CvZCddU0C#3v-2<R=eu__fHRoS3PzLl`6@z zD|z)_B7gtPBM<zye?C$@y?&LS*QOi)A4g5oKcD>LQRbJmmpLPsT72ZVf6ns2y|vFz z9G_{%eEXvQsrD-qZ{M7}mVt}w<e6eGw_Mpnn=_xP*Q1a1?YPzLbkb7j*}Igthk~Cx zaC^~m&&I}-Ltb`;WoFIqJ7wEy@(=y~cP%4q-V^^=b+zSe69q(^Ys!AEU63lxs9{`? z^mcuX^?wP^{j&>RUTwP<ocHvd;yjD1CC_v04woO^_b|GB-k-m_3vNw1d~AQs*(%dH z;bvb|4%EzR*;%-z*Y2p~WNyd)*3Tz;0&eZnxO%Pp^nca~zmNE>{(bq@Hc-pHL844D z`eJsI)2ru}Po}XN?=;GXk4at#&vQ<dvzmJS;L-Wo-*4(AXII%jb~yF?rbxxsMfc}e zS7vOGUV2qV_^*2F<?qXkb@*3Q#$W#?8ybFp#ld$OJ*Mx^NA+)hwe4=+IpM0RJEk+P zys(`kYda}yTCGE}&gI>8$M3eU`@(kEYvy&%PP-d-W(Iluu<ZHwP%fs7&wTmhIU-)m z%Vu%!zqIAG%km{Dm(Dh*H6$M18?pbTLA%*e0fQome^cFiwwm~;yzg85_<;4!WC!<0 zW$ML-0*l(p%I#hM&uBd|XWO;<umaxKXL~t+Ta<+ciiCFgt8S>f$9?B^I){F)-jeG} zE`BLklU%qgXJ7xVgVU}`vE@pfzH@~A$NrgbHDaS3{%`3%{3Gi%c>Ht^bBMRahlhOI z)|g)YI{9JG{-slbTf;ZUGNk$G{cCJppZ56sIp#lq=ZY=aw`(eE_a@DwCni>I%=vN2 z<AwU+nHAP4;a8SN)IJGtyB2Q$;opnHOWN-q>h^qG@whwsMTJSP{n}c7DgV43r$xVB z_wjqOYQ@f*OWv@)-+k-Ra>L@Hi(+E`{_^DBukNVV%W?j;+dATdr<$ti74Zt6)PP-6 z|7ND%*3V2s9^Y+ne`=Uz2bs&SX=QI($Z@>Gy5i|>xgT=pmA*}7o?{SF?;{beTEvt6 zd)Kq~C!%VPd#`=tAKeFvvc7Y|yP#A0t0Z$)td=^xreev(Cvu5lg|gpbkBA0xTa+Jb z7F&1q&Z(7bU7zL_ZrgD-xTNaKPgjW$2KFfa9qnb=aZkU_ke0Z(z4fT)A+K4Mz42ul zU*6yB`?mMj!Z&}WnsnO>99_%b-)noeNuNJ@Zo=XnJ08}V^*f24nC-mevgkQM1Nqdi zQxaY5esTW3^ZwagUHi{(?>w)3u&r#zv}=X;8nc#d@nCh9W52#^!jzz{?B@<`XWoU% z3GGr_wpr$Gl0)hDhszvwKX&sd-MD+|d2ZsV#P?k>K6+16fAMjt+*sPPMRX--7&jx> zaMf;pbN7lz;*uU6x?g7&|FHZe;=0a`=U;R>Q&iT)$|~W1Ip$W8d(*NwUdT7N7n+@H z_m$wEue9|>=Z1f4FU%_Ph?v-Y@@)HTwyFoU(bi2ir!4k9W65l@x|W`MTUVds-9MKe z{sWh<&TM#iuw;!4b13&o>(_nNrS;<1Dt=tryWcu*;o6MV>|f6(ah)l(SIhd_w`%qI zjNJNL1#?2Tzbz}77Z79nVa1<6ra|(lW-2c^Ov<~ob)%ma?%Smta`Ew_IG@6kM@_C~ z9C+}qY2Tyup&#EIzni%KbNc-1mrsjcdM;YFWz%NPBXi&QzZcNGH6i+Cy;9%(BHik* zQBCq4N)=C+=h%mTj_->KaoD$<U5~4Dp7H{wka+?+!dmnHt_+V@wphRU|K;v`+qGq? zbJgYB);?&Bd;PP@z47bw=2a69={T%DeAL&m@bbf=t^ChUb)B?c7Z<jyYEK7KFz3%q zt&F(^rQrd0=H{$EALEkO#bp(4v%a7w__}U`bWM+n`jZLs3T7qU-_}xk*(uWSdH-q+ zOZCV%J11y-m%llC?UeW{KBa5U-`W;mw8HY?tFW_2eG3mBHtye}ZC9Lq!HS8GPop7< zE#q~C&y<^=WJ81JXQkH9%C$JR>UNp6mH&#?$|s?9_lk@b1gjJW=~xMc8%X|~WTg92 zX~x_;U!EI*+RB&Ngzx=kDCDe+`L_JY1OI~Oi&f_Dxxs5b-{#asKgBI;WmrR^jNUvB z`F7@2XYkcOrb|TsZk#M%U*Ej1iq-Z0bo09VUU&8_@?M=+s_uVh_JOALlUHWCtbg%9 zUxwqoUqGz>j9Gp*UoNU`tNmABV*OqB^7~!A)ywNn%$n~X6cBXXTo5`u{&>c0+pf&h zOqnxFy3U^e@0hi6YEBnlxcq^XB`X44bq#`2J}dLH_D*J+lDN&r-^$|gMaP{HX^E9H zz1YR?m{`U9WeDbUW!1{6>&*R{8S{ZB>_CLayP4W^em%L>wn33E<-OIh{N0}}^5wZt z(0;Js_~PcKS9cqipYvCU>ec!`UC@*NIXJ26TdvmBxO(?Xw$%mIHw?dnSN+~}^%M8H zlQEAsR(kTD3Y8Hq{n;m?{rmFCw#RFB<!)d6xyA4)|F`zih0Sf=`5a!`40UfTQZ8hj zJW*fsvz5wvezS5iy6(F>R%Ja2_~WM(Hs{!yRV!A_ysXW($@rgu>hzkR^S;URT%`XB z&er>RTYvEugV|4F|36+DUZ478vf!3ItHO7S%=vp*((ozszTW$1W;f6M`SkJBKMSuE zx*VvO#H;^C^`V2D+g|IpRaK8)a&#?b=Hq&*US7}Y!<v#F)l;0c_eDv&uveYf?``v+ zm%K3y`joxqX^>QT%`VM^6Hku_c3-#>m7iC)L-p38Pez-!$*V6t(YTGDU1-7gWv2yf zz3RmFADyRMyu0^n=AM_f2l<~L(y9Al(D|iUjVChl&CJdH%GdO=7`o>9O|;X9<`drS zs%Wu^H;-#U?$NXT6^nFFBpmPZ`NXsI$$XFW<I(#kXRKSgPwM;fskz&qHa&Q7bZt3{ z(B@S6Htzk!hZZk({#oZ|RFHRl{*oI8{myIO&REg8t?&NCt$$ZS%Z%cA8fo!o(wOq! z_g**M&ATmV*%?6r-&VUfnRb6agbCfRo-yxj^NY_n+*L~DUCigUmGPf_w)Q};jp)1n z`X4N2tox4c=4ZL`w^XQDtNPKc3-)(*KYCDfz`91EuCUr;t<glc%Xt>U?@fyLY+60v zynT1f-OS?00&4nROTW)KU#WO!>z+Bg&IqnJb+Y|k{mc{Ar<Yy-*vshf9Q*u(lFF9F z`po|>c0PC@bFC!KC*<kx_gxs13t7o?rTl;GEi<;w(^7hN?Y!Q!&Ne>bcaK(HkD6$h zYr5Xw+Wq)-rI{87+1*_+=P@2JWIc6q^}8=Tf9--4b>s6Ziw-KW*SOz5%6)qGgWtF1 z?!DX5GV}X?r(eFZ9BWGB<~p6e_ckLwtZU(!Zt3dXVK#jiFC-okm^V|{;bfEVnHM{n zZeH#W5E1m5ux{F~tXuQLw%y&99NzqYLSf7L=rhkwoy>@mXWJ|270_OsBekpRr{U5q z8&dTaCZ9d-a@<J!_pU9^-k*3C7q;dtsItx4Ci~mMA9*QD-aT!3*$6wc6-D<phAmSx zY&^oa{ld!5ei09eB*ixkReGI26>tAo>l^-WnnA;bUHeQgu0dYN;uasWB60uXWn16! zI-PxZeCBrp^B2ZpzC3$hnU`nlZq}KcKd-WB$qLgmFJ9K@X00|CstxFPw(5(B^)#uM ze-_A}x)yP-@(ate<w}*GAN%dNeYo>~mBRgr8B8gTii%RfN0rQ`a-WOXm9ucZ_R_Cv zE54rBk(cxS6xbTpU-tap^rly3jVsTW-P)Y}Q<gK(9k$SQrVg}oUi+ac6*Qi#-EkuA z>*ush*K(iAH*)KyrE)8_Y+yHXKM?oA%E)eK^4H8|#&2ru=SQ2{pW6^{{PcAxaVL(8 zIVTr02JU0YX=Bf2UMj56BJj;kjoXZAt3vnuxZ4M}pFY3vWjS-Q-M(JAI=-iezsFAg zP<?yi{Wxz`=gVCu{@*$J_it=K!=^XFYpV77<m-btXNh%uTP(z;dd(>McG9-*shfAN zp2?|ae4tg}(7qEL4$a0Bf8CFGw5Qro`(>aS@A=fT?dlq<-t>tsDOS*);#n>K`0MuA zpI`5~oC*&!Evk6uH|h7igSSue8^jdPI-;a~HDnWq<?ZW>pKY-_7<zb5*bcvGCq$e$ z0?jW8W@IcgeslZ9u?6b4AHQ9;{BG^s%-_LmFQ=YP-}S>N!ACmkh3v;Z4wV-#DvQoI zWb(BqM_)*vqMuil-sE1su=sM^8ZQpTBc%>%+-6%g32{xj+`$=h<awItRi29W3(JdK z4mkFEr}`AQ^F~dMk~<Y*8dTxdzuUcX)}w{T_bOgnf6djv+Hw)2mXH(2MTs?KEGpL= zYzrWZ^tP4@y$e%4d+WpQX1?v$RG&Owxgb2?GtZORYir^_!!(UHQW+Uh`BgmD=dZP@ zpVTx7oHFg8|9aI45x0#i)|$sEofF<~)$P>Wcx8QG!ImA2x3r5{S_BqFPIhO8jv7>} z_gTiC3lO^0#;E`OshR9Gca{r<hd0++e!3%;wr8b~jcrH3bfIj?Q=yf{pmj1`_jp$D zEVkU**?timc%ObPSaGvd#4VUZ(d8~=z0ihaBk;PR4at|FLkBM4g;j56^l-#z3yDR5 z0?Q*#K1T=4b`ee}b#Vw2%~64HnI{S|u1kz~U?i=zyJ^FL-RlZI$}6@AJn}jz!^p|4 z16|*JhzXQLlZ9#npe!SI2Po@P28<Qv&NAz7G9#3mE>!!WN-43iRlq3*?zA%)P6V$3 zU-Pq!Wu1x61_39I#CDZm3pV&&(-m;)NL%}}54=cxQJC*0<prpdGt(ml?_aN&qpEm+ zbLLgEf1=xFoV<H|quRQTQ{UxJZ}R_ec7}e1S%ReeAN9FR(TADXb5pL~;XT9iV@hOl zS+PO%UY**vmvYa%`z$&6*4mVZr*e3-rlihIczffi&&S9^r<3cSB}{W|Jy!E?#_mq@ zLt@5HpXEpFGMb&Vb=q5J)qH;@?)Q<$ri9J^^k{<bn!8o&l46y!Gq>g@TWu^>H?lZ0 zzs&sp@iSA~H3KyF-{!As+Wx#x*+!uuF8N+^dfC%`eW|<pn;waroHNDJ#Y$7d&d5li zMc}Nj@EZ=3f{28MMLa<!ww0EZJi@=yHa&VgSwiPB_obhe`PuLOr}4<Y=~vOPYkeZ5 z%x>TF_{7?Yd%iwDY*U!ccG}J+vT&n$anZ-`HKi&Ig&X@n*4=sg<<xnlvmvLy%{03C z>5k!^xSjnsmmmB1{pa0`jkZ%ml65n~Bt2vPm~8IjN%G6l(k%QeF>n9;C8u6=xk~?t z{LB<RnSaBMe4ER5eai(-HA|<iT%me<hL}xnuBuknwHzfCl}!RpCfdI5zaBis!Tsiq z`~JYX9k0K#^l=?6kN$P^7emZn2{Y}U1bf+C)Arpl{BD+a<w=3cHq~19-w)T7t7VjE z<R)o<npt#Xx0m;m9s{Om>qVuxjyGBitSql>tL5t2Hf6JC`Uwy7M-msNoiIQ2a?xtt zv$y{FfLA5YG@SKr?bjDE%rDFnMIQD|y=^yT<Kn6ZEAu_K2lf{n-ZodpG*UN^L(#?f z(w9a2W^NG)y~c9lpDZ8F%3W~d)5fM_Q^oF`T3Q*R+?xJX?B|yqb9E0cV9GMAoVl*# z%i3l0Q*(dI)JjU#8XI!+Z%B$WQ#YQ&Ip2E5arqC20<%@mzWR4YRol4X-9FPdJigg` zY);*N`QTw^dYRtOZ8kxSp!qL>vksSEbC|eABy2vY6wleZcFu+Ho$s_E>x6lxUkMMG z>0fy4@cIdJ))-~PFEvic{T)z%bpX>M%6s#+c$<$Oy_IcG^SoXCl4r*G3A4l5s@P9` zDms~aRp|Pv+v`@Gu)5;JanZ(f?{#)*Wu1aTwcTNJmmNrW@Z*(=k@Bre+qUUVx<=e$ z-f3@*mwmk3&H2VUsN-P9v51^QPo8!7tyDdmWo>Qz<sj3u^KnNTWtf+?O1_EPG^^#( zsoegD-J!oN(=CoSUe&e~xj6mYwA<?{9=x1+WZ~l07_$HcP&xQ$*L4<k?ywftb0~{s zL$W*WecEu~p!GHb<qXl+-nAU18#j81+gnL|`*41N{*3*1+ufIKIlOZl_nJp8H?I6+ zd4KDa_rKGT67P@CSov1_Q)b5hD|2l`Dw@u->7-`O*M0NxgQ`RH>2EJ<cBZbFX?ywl zr$zUT{{77}++KH~*&$aqbL-pb7>jK$oT||~{35erddGrwe@d(K)BIm{&wjeix-9ic zO8hk5+~(k^+u5|7mC7egIN`)mcz4o^=3|d_Ue5A5@4Wr;-fQRFjFWp(tFFJ_@jGDZ zcE%h<(2Ucf$c(CDmLp#e9_*jUZ3bEQ8nblS_g@P@BfL^QJsgnQK6(41!V|CSoj4M| z&w7yJs0do0DgtXWU>Obu70D-GgO}OLP8MWD*@E*4Y<S}F6c-0jg#|BnVWY|G`MDHZ z1j>BScJRQA%ibZ#__%Od%byeS$JkbXJoPH?%r{f@V-d^RebmFgEf#Q!5r1;gK{eL> znEHLc@>tW%uNOTh^tB5(b*MQ&xAAO#`PFf&LigLt*9^|q8yd^qI=b)7rceB!$&4q} zW@~R9+kZ&Rc;|1cYez%_EnhCs`OR{_=Vwzo^R$l#>n~|)Y+mY_I%UaRm9$G<^FIHV z|6lPc{o8z_cpo#lbk7TJ*Yxfldt*1>aOGVuv2|yTEnvbZpC54>EBGu~zk1o+V~=${ zMmkIpI?FO&tNg{(Oi%-O(ixlO-uA(d>kfKFubCQII%UDG`PnzhR_CsqICIgK3Nb$^ zj|KJOO7nj;%=eqAvrTc@OGp1t|1L$^Tv2D4U&cIRlhH&cQG;c|*5yjghyP@jf37_f zQ#qrF`}eLHOG@<%{b$JkyDOhm7Q<&&`xUfzY7Vb56Shf}sp@~5O88P*jWXXS@A<b) zd;h-K9?K*ToOrm?+VfpCd%n8;fr7J{LfttT6F;il_pxevw!ZxH`{czPQqzvFs+V~> z=jqfX3UlXw-}dZZ{;>}S|9Lb$3idFYWx4Z5^*$@113PXUudshtR`oP}V%n}5%Wsvv zvNE#ER=TL#{PK0+QeCmk+9u~2F+xWVtvPj^{o1$gsoNrSFSA|EtJb|A*!#Om{Jn2f z>$~;wMIUaQcj31_cKZJfhij_8t5mhWeS7dU|65_v|N0lA|7G_}_NwUB&5CqqGgLR5 zI%P*C&-wfQms)H8>e{E+9!Rh5|9txP+aI6quD49vwkG_UAcvw$@WQoUg`urKeNgM~ zL1}#Yt#juU?uvM*Gl<8t^<+A8?`0BfWcmBnzv#?`WjgJLH|oClyj4H1s`bIe*cX55 z(%$M`Dt+*<R95fLjc@t~ODc?egO}-TS+gesb$86cDMxRGMk!5i5xjAWF~jjji`dio z=24l;pPee3;rrY5WMaN=?#`&IdDUw1*RP1VYp1?s`|!P#S?|w{m-^p}PS3XXFudD- zzO}Yi{&HIHBQ<;W1=9Uz-?A-ZuGw&EX8JVHx<+0Bhy9$3Pub_Q#IPBc`B<#|!sWuV z^!@#96BFAW?0T22*dp-tSeARjrza;9Y#benqzoIof81HKXcpspfnOo_a;;tz7KWbs z|9*vIcF^he#!%(f^e;QAr!3c$tGbiBOJK#E`+KL0{<k>NSiyX(MzqGdu=}aI$+|5c z!t~CDh55uS)0VBxmUyya<=oQHu%OR%f!Ud-udXP&y>`pdUv33y-)rLj9<Ps-p6^k% zkF#cZ@q5*;KOaAiO|?E%bn+C>g5CMN@hhCYZS>w`%lfGaPh)0!p)_NzOknh5Pyy6% z%bf*jjxE%4v)Pu{3l6U><@{Nx^+NQD4fD@5?fL6dtj=D`RL}T&&g0pgXKj-eTVK4Z zkNal0^p(EMoR1&0Rn%AW+=~Cry<yRcn4;LN+v0t;ZGF1QDDXnY*%@ApvMX9uMM5t} z@2mkiS?Y4#G@swozP&$E@MW9X4vD0SZNI~$UwuAuT)+2=j`5c1w`&wzcFen4cl1it z<>VWc+w^Qa{T6mE+QL&;rQ3Mq*1Y#wdtV9_&iipSQbdYpNnL5n;-h9;JWPYL80PL) zjtUk$884%BF~Qkxt@%U#SvSmn8yqk8nZf<pGp4BHNs#oXYi@;yu70-3bng|{zjN(d zw{Gsrbw0h;c5^rV_`AHO=a^E^ek-R*x}UXP{gPej=EV~==Wc9)$=rwA_5U4DoBgdc zz0EG-z@M9|EhZ!{*kaIp*E?)-!s~t0ESa1*E~eZlc)dpT!%U7}Pt^>TpAL$X{BCXe zx0z#AY0>OH>3MIxKlJII;fOl=D@ficHYa1^V)=>dD>v4EF<SQJ#tA<2`rDrtF8mu@ z{wd%Ar=9eMDJyHYZ8&~-dc&<_Hd&R%3-<V~-I=>--j9XeGb<F&-m2TUQ1|N8l^>V9 z?@=g<`_m<!ck77Xd4^rLO4{R(zd!L&?bzOHy)!P({rLW)M*NPi=^K21M;+(&cm169 zAZ(uWg^363{5RiQ%)W4IzdmDvhmS(x0o{^G2U!$bGD^axOUyIRkNauEsJL$GCZBzk z&p0P7x@NF=d2#Y~Hx9)&^LoD*IK?RQ)%C<|*tjuTu|;4}(;8^+7dAzWR-=9UKe>Fl WL(;!3j~N&k7(8A5T-G@yGywpXpN*;j literal 0 HcmV?d00001 diff --git a/docs/question6.png b/docs/question6.png new file mode 100644 index 0000000000000000000000000000000000000000..2b8fc3f4447b20c20e44a9a67800e5eb5a9d8ded GIT binary patch literal 15893 zcmeAS@N?(olHy`uVBq!ia0y~yU}I)rU|7b%#=yYv-RJ*81_lO}VkgfK4h{~E8jh3> z1_lPn64!{5;QX|b^2DN4hV;Dr+*IA-<f7EnyyA@flK0H}?HCvsG(n1-i&7IyGV}8k zLNaqx8FEvL^b`z@6w+Rn73nD$85o%=7#dm`8d(_|JZLU{!oZ-w;OXKRQZeW4-SQfd z(tpQ4epmBmYE*G(+Tt;lkEtu^u=2!3NzATqetq^k*yeHh^MuR7UjqX9Z27*11UPO` z?BHlr=4evVs%V%JoTZT9G|AV)>DIaT{UVbLZt9#&D=+(8_34M5N7=1%yZJNU`#jri zoP7Gs855Q(O=oADYnz#EyOYkz$+_!66L(F>;sa@7`LoYHTYQVb;clwP{K$(epm1SW zqoAqjdGX@KP2KAlDx`1AFhD@X)VmK(>Fnvr<%94U_MFZuh!u-FX2uQ`xR+|a!-!iy zc{bP>1_p-rn?5s?SI8rb5boz}y1wx&BSb?(`NtUw6|xBF@CiJfPAjJdNy=Y2I&+)T zV=J@3<DA-4ADYz2OXnYxhUjvbE1q&<Z~eKZwN<4F+@`%*3+;VwFW@;G`R8Za-@WzR zrL%VVsdw8ymjBNaT35Qu>T{9Uo2z@GZ@pMk=l^>4o8a4#|MK6zSXQQ6@{#+#*6pL; z%3o#eT)#M|`ai^ch6P5BZHhZX=crD8lJ$Il{KZAKKcCu{m)lLT3f2l<`;&F<TJN^F z1#Iq*zMk!ik!*WXBKGRTva7e|ly6)2>+sH1`q4`-Prt*X;9O)?i{xyErgLwyk~iGq z+2{3ltKa{c+NFJBR;OcHb)=4KoVlVu-}iE}^7;#`cdb^P7v28)rGH&u+QQ&-TSTkY z1iRb*c*nKZwys6*{axSb_kV@!{{5-0Tk}cm=(m@P{vB3-y?CzrlM<^`i@8=Ct2>wd z&s_1V^yQMe@O$g0hrbK<TM&1acT3RBtTU&VC2ZaM#cQs7k;7`UH(~QGYg+baexB8P zs#|i|j^fw5?|eH|TX@ePWX7Hl{?eRPdj4t}$6rpFxIg@JUf$|!;(uf9pZt8ejooun zqQuj#-G{T1=Ut7BQ&5t>78ogWqW$~DxJdWV*M95vbk{_5?|$uWx99NOua6_%+inTJ z_W13O3H;Yjv~S(9dES%5)vKcX{pHVl@Ax}I!P)hC@Z7uauO!#MT9rJ1|Dv7tb0%#5 z!l-)m@l7XDxkn*~;|_m0&iS|`^ojp0<CmJXd)6<gIAc<4@|1HeU;kBK=kpggwp=fH zf8qAl4Ih;5mfYQW>TlV)dB2|5m)tRUQc|;6@_X;aIq|o%p8V*Px|%mVt+z7b{kt<? ze=0}*2>-g@%luo`KklsZnQh-Vuf4l^|J8OU=W|!idPP(RtzFl3btf;6`8He8{G)9u z>8-acPWL>Ve_O6g%4PQ1uys>RdQ|^UuZjD%dDXMXn<ZCe**-ngPW$a~^10B@4{Eox zvo&|W6TRy8=ET(O(5KC{$9Km(JuLbu(0bJ=_TyFW7wz3N?bWe4HCOspeLDE>VeGo3 zxT_^XKR;|)^(ip=c=1agIbjt~tq?!Ij&u8e8^+#GX}|aD`0`t`#g{Bd@I3n1v&=Q# z!0Gxt`NbzLa2(xY9j$12d#nD+4J8W`Yu#V3+;6j@{okhz>$<mSZ~6THrM|!YBEx#Q z^%HZOrxrixn8dww&iwEfn{5xiy0N=G%4Eg*&AYyZ>^}51YV*qppXRnze_i%tO~^jR zu+1!`7nX*9o3d^FwPnq%MSmtNXnFH&S5bnzX+iPr;ET(m*42r=&OTwkeebSSIn7~L z<yWP)&p#=@b=|Vn?>3y8V#_eg^o8pDaI-ttwN`Jw`D=3jt@JXZK*>*mzt1i4d$Raj zrI!4YQ^t2<^^RA)x48VYXwCZAcY4#4_m)>=?R;|B*yihZg`XdI%0D0cc*pu&%6|>X z`Hw5#7Mja%Ew#~4EdQ;wXmiD$Lrg1w2H5^Np!)08&H5MdtCzoi^J`L9H8a<@`X%mp zH6ioW#iq=(@Y4G1vG4+)VOFB?k_l~G>-Af=<gXPw*p||wsiYL4RdA|6?3GK_CO?ss z*B}0*Zz-)ix#y6`teLUf*Vp~po%QV8vV^(CFR#7|{jq4@zklI#_vZFqn#~&=GoNjf zr`C<_D^BJ5`%SHEZ1DTaBhjjLM*r6Vjg;HmB2!I2gqp5d_beQgJi@O2nELmP{+*S^ zKR>Kn<>zpsz5GVb@_OO+nuz)8$>EnPC#_bJd0pF|y!UItn(b_TU-yO2O=kJI!gihB zfg+pl`??IDSG<d!TADEB!;_DP|5`J9t(z}3DOmAU$!CkZSKrOBoB4B@*)x&)cRE)t zz7Kz4-^p8YbaVEW-vN`ho!jR)(Y4t>wt4Gy?N`<ly5byUM0BRcwy8KfW(i0xHK^XP zuCjJ@pUU5L$?lU&-@IU4Td`t#Q|um{X-U07KiT5`3SIeF6nk}V!2R5a6<1#ei`J&j zscOG@=l-{@`Z6sKGtYBxWagH5x!rs3<#R^!rtY7j+s>7$y=kF)Z>2BVbw9`A^3%|( z&Tm%7y)k~8XM3{!`;<94Q$#lJn#})x#SHFG59Mtnch5REIi_&UcBa0s*A8#IXJv8i zcUWn^;FHB=J5I45FMhvTqPXw*zSM2}SDt;m^X}c|W4&GbTjSSM%kOoY-}5(wlQk$L z=As3!l2YjXdCQgU*Iqf^IzRjHqW>EA<$`Rc2Bzh??Yw{5{^y<-%h_t%Up|wQe=+~O z?HYAH{^0W;CR^=M4t#F&V~tzcmhkM>ht;N)`}QW<yHAh3>Z^Zl*7|-wvx^;?&sR=7 zJ3;ZbWN!5~H{C1CLRT1F-?Q9u{<GD8^W|Snc4iIQy{PcUw?DI2Pv)!-`*<MWqw#93 z>I<LR<tod6Cfluv+du2W@fsGRPY><?n1=rgxo-UM{ZEabH|1Zw;j2H>e)V;@@V^=T z4rxzoBG%g7d$9iJhNxKEqxU}te%c)RDkk{fjQ(3|;sZWzy|~JI=PWVXO-rwa@@Jo6 zeW<n5BrAA=lD_oX^mi}U?<xI%ZFlzP(!XD(o7!ltxb$<%@12L<Z*voWdC@Ze<$31j zEt&5=SN*#B^Ov2y+gjzOJFAV4ZeMC-I%B!-*DI9=LSIdpziiDiXOZ%_mZp@+zT1p% ze|t4|_3im{*JRKBzv%n2|IYC#d>5az|I*x1u^~RMdcu;Tz01=MpQ$VR8X0;yv^>H$ z^67!6UPtqtrq+Zt)kJXr+#vQTQP;FncIPLXzOUB~ZoIdD0)Mum{MN<0j&ndu!{Ya= zbe_qauRVO#F5qfOn*HuyYjmP7O-zn)b6I<1XLfs1a`NfgX%i+a$cwH1vBItKtj@i# ztS4FCH}AbVCAH^NTX>Ius_lJ9p%b#EdG*)LNp;%Y3vU{L3L2GXPYxG*tqR)6_2h8W zDyzB)+V6|Z<z-&)eeCnC|I{+$+0$x5_}_~DT=AnaSLeKs!V~AB_Y#hFHiz%l6xe+4 zGrnoBHTzsn)Tcn(eR=CAO`0SkEBp3eaub7p;%w*Km8%@rzbgp899lkO_vI;n?{<k_ zKG(9kv^c${e3`QRx~nR7PY!=R(O!Dx$q&bMHtWqE#a=D=6u8rPrSS1zx7@2!b)OuL zI?=whz-GHx-}7say1%VznfrRv2dizC(6-12t?Jb6stj9ObI(b|*q&pB2s<QfERGes zhurddK2!ID9YUL$oG{D!<k`%A$CGETX@$oF#5!;UTywI6OFWFUQR9d^ZuUI>PHsu9 z>Ac+${O^07>s>o+{di~h*AM3^-X+=YF(y?wt9qx68i(BhMEmf_Tt;NS>HW^H{{CYZ z--_fL&mbOSIIuhC(M;VHuA=c`XSnUNrmwE}{3wm#!?a1;rk9C>)I6|Oz0Nin91XfF zU+9N&Ee-Q>-M7wv!Sr1c?@hC>{n*1N@+tAElU+?P&*Bvm__M`7C9ZX{TN8SseJj_` z4PlD%*R-A-j%uxmSUrJ1TQvT;vZm(A6X(yHH?e?>2Vo|uxzGkG0|Sf2?)BOX3Jwzc zB6H?EIx{_Y>h<6s8hTTe^qw)9=uLem|Bmazjw=#{hR@RrSAP8WP|v=*`?`R5u~?^f z%$n)n_{$?z^ya(|JLGk{%OyrjctPRAn(fmB#Ea|1#Lt!2p3y2?*>H}5VczBwzq@;S zdqbU^4z1+>r=HpM{q@61{Ev#H9wq4=cwBVr-@|nb{oY#z#f$5trf0pEfA{I~jw@D= zlKOVcP}ZAdalcf$&`|tA;lrBihda70?R&YO@3u=ix?{@2iRT(-NLy*8{jglR|Noa8 z*H3xduAH#!Yw5k!^%if785nc+m5ZCN_^qINM!&dV_l4c3%V$r2OqsP;cZhsGVa?ok zO#Z?&&evNW-}GH}`1_u1SB1L`#ZCQI8`WL;T>JK@eg38URYiwkJp~3;n@f@b6Ji(K znIiKv?9<x+HSX)WS2~xzobvRSuCD*jzQ0kwzc1wa?6UMh`<qu4r*+oeu8xtE%=G$G z*eJKNVJ$4evQ8<_Wi*|<n)k9>=ATE-R^P5p-u16@>Zxy~Qy)m5b$hvUdfVxbuN3Cq z-7@`H&YM-5#_Fr`SFG0t^#nmt|L-i*T*i0ZJ72Aj+Vgcn-|}>gzc>7=-tPKv%6;4X z#n$)lFLmY&E?L=qF+uhr^ZF~UmfByA-O())QOUa5!+Pst?u@qbXB+)NCNVHD1PIIR zJe9Tirb*F>pIH)V8djDecmG{*Zr$0+#m5^~QnX^%x7X|9xtp`MO_)}mbxeBk)fZ=@ zuhibMdRqE&X_~vSc4V`fl+D}Py%(z2%I&S1WtMU+=i-OH6Mea&r6oJJO?&eCN8VfS z+@*fD(q6Y^?AAj2M+`rV`%Bqk?pwPk-;%$y?ut%sw!EIEhpf`((z?UCzuxb>ShYuC zmD}kKnVP+N`Y}t{|8Mj=u9ErPY^mp@lR2~Rd{}l*V(OZg<~FPRg|oLrRP@I79(H<a zGjs8rN&Q@#r%V=K^`fn=l$jgq%ZR??>o>JsE!O&)Vk>)M&H4{jt#Y&TPel5PzOz_% zWRI2`&#Ps(<t3NAXfpXb@6oHHM>kAkN=<owDr|qF)RY>LI{~~-MN{WK{gQeVYT$wQ zm3xdA_*V4oGP7LBCusTVj>_sg8!jySn##ZW-dPUTl`l_q*?6yYUL|qS<C1#4)UucE z>#lI?-(P5UHA<>RG55Qj>h>2a>{Q=ZWL$E6ySOjw{W+cKmthGc=JTu1;Z-(Q<8|A% zti84+bM^G><QD?AKOQXYnj~^<-~L~vCNColE|r$tcia2t1<%{=>bUiPHZD$|*V+;_ zedn{{wfu66E`I+Ky2IA(y3Zcp=kI(ke45L;Z=Dp>^*>De%h`^|_gt&q9hL2yG-cY` zTs2Q4o|n_b&9YColv+vEFE}K!a97DGr?V1u+h)ao-D6juxZ=>6w3>o-$LzoC<9h$k zW&g5tjhDM`?phqpyxCCIb7yq?#U1<KUs+mT9s0d|q4fcM3$g4|KU!DWo5gjmwEj|d z$MNip4^3ttwx~lLu|lvvR`vf|mtfs{*&dVDy_}%uc`AH`*!$kVBFC0bm%eG5+a6Cj z6_Y!|%;&k!>MTyyTibsW#%}%bk!$|>D-Swms@Z$rj+0$AZGBjJ?gQ;kZ@KFaR7Hz< zV5W2Sm#|sP_4A#(_l)r7Nw<7L{`mW^tlzsy{@Lr@`@deiAlW%D5|*PFN;|&Jn_v7P zmAA9*=Jl;mBN!ACD!#HFg7FUgegQL_LE%8;dqYQttoDbksek-0wZ=vGN$yrEo2h?U z`t@r)`6Ek%w#rPmIJ$FxkCn)D<3|T~gzT!{q3!TEDEZy7rH3lgx76=AE&uotZ{Crm z$9}i({-dK8_qg}(;aIW0Zht}X;yLeUt#-c6{d~9FfuHMkGTex>xc^n@T>06^it3Ly zf3AD`rJsju={D+ADHT2fFds7Zor5tMm}HRa7b|!X$Wc%hAI{LwDzR_fftjCg-oBB2 z>PhZ928IPve?HnAc%)NaceGNNfuX_uf+WL&J+2^Co!~m7Y5nSl`~G{*di2_hfk7bQ z&znC-U+EOXR97xBR(RId*Cko!_wv2H?YFI;g8a3XWY*68{z~5Ow@ky)v)@Zu??5Vn z?FZynzuR@;x+nvKg2T^?KfA9+KKs-4S&e~#q5l*1LLHW|7_L5;c&?%84b#(QPc*hq z%K!V^x+vC1c9r*Xo$L9Tm8Z(zT)(xSKQy8@TVz$;cfTudZ7aDZ)o)z=Sa<W5y!VBv zDN8gz9%rq3(ljfd7aCLn*DIG8FGwrens`g2H@?Q>_`a&3>-HOiZko<b&9J}w>%?9u z0pUlTT!*4(^<FC0=bires-xBJO#R-QH$sEc{6g*r#D~Mu)t}j{R?K%qO#ME#Pk*(t zQ2xd8!<km|J}j}?w#V)AwEv5iY~e{wS(5R-ExaT)B;NN=Nu%5MS8I0L`%O}=+$op+ z^7X01xQl!~eyEFAaQ4TfZoQc!W%;DWl*d?PuFR^u`WFii-Em@VXVbQ_42k_+dVPKC zLDP7@lsU)l7`XGtTyygN{&dyZB`mgg{&>e;PMowaxIETS!OL1Eddrt@>Lvfa?LSp` zzfx-RqGR7B4|pqCZwtM0@#(Euk$(<;ynAc<Ew8y%W>dCV)<l^@-S8n@?lsSjedQf) zTkMy_u8h2O%`PTrf|X0!>pyMLU+xz#{#xNUg?(B{%<A}RH}CJFdz%kz{J-E*@}n=u z|L^wNzyIshFukt_lpZLTneuT@{hZQQ<YlLICde;t#Ukln=XrIY)+D&f?LQ?Ic7ElR z$zMwpHt#$1TC}R{**Ou}o6%J(Bl`M}XZ>jTukmQx_AhtWy*I5t<Y4;wjnG3=)>9|W zO<h~ZY^w27W5+_NmM2=)r(d4#f~KN|`=2Y)9cF)!Da|c%l2t0YvePqcXW~NN*Qe#e zHqRF9DcWzj<!STDT^r-mW6ct~t89L*Qj0xvJMC}it#`Fvc^3m~4|UrUD)ztL__Ih) zcHQh>$2ELzT5T!ruRFh4drRDgDf3r-S(8!v>gqY;^Nvm7DeHd5yl!sK_+nctn%95P zR5<_g&T8Q;HR+A(SHnuTy0eexGJa%V{Po_tYf+OWmZnyB%iT(rxTLS2b8Q;u>k^ZH z4y~F_r7x$n&NBRyW4ixUW$nL10j)cCu9?tx{nh&U{}(+G=9BG_*ZqAcbJkYF=u>g4 zE8pjYtoD?iT2!)Bt$dj`uEPGwuU$#dn0RpCrflk;?ZUoWEAlTo*AOc1lNgVuXB8Yd zQzWmtw|sro-tzS?ZT44xv?{Isc;-6uzNtH&6!OM>+Od8$G)@>8k1Ee)^jjVroUZ@* z$eJZ)!lM5#2fvD6|EB%tuXp*iCM9l*(x<@`JIE&Oeg0ggCenFP{I^nFs1q4DB;slt z4Pd+vcF3iknP=O2?ZyMC5>L(bLBr9ruJGzTe*11-+||>2bf2BGet+fn`~B}<XU&<Z zxo;gq!>y1@cZ!ZM?>TY)T>P4ILC?eIm@_gkgv5W8U*_rQ+11xKE#!;2c59IKQl<Fo z>KD9Yd%n*y&8~@K=lZ!JOHqEGW?Nv$iT17Bn4JMcPoZo5=ZRCMq&#{4Tw1QO@dJM~ zx2>V{{!8nfH>_VRAn@o}&i7K$KeuKX+SbNGyJQS?2bAYBI{5CfSTcM4>Ic)+j=%p> zDhl=R56(CRSV82_@c0}9!-w>?_1Xb(a~|o0FW=Qu15#Ia!vFlkpT7@BG1<wR#fKlT zFH8psgE3>DH8X>PfX=5<)~+MRj%g_>9=v|l!SK^V<;69zprB`A>HahKx!%8j8>Tg! zWv|b_+Tm`+JWYPa`lsyAr~mu+ruFj`l`S(b6;1wn<$L-3Z<Y)T#Lld*nsUnw-1g@` zFqzlh)vl&xaxen}Lq!+szjMXn_4N_n2c9+W-=1|uxu3Huw|4KmoeyGtU)UZl`7wW& z|H_X>J%7Gd{q3^XRDAoTJ$9$mvwwSzXT-WG*n7a*h5_NA0=#kW#6_>n!yjMBxcqRU zVz2hxYX)<?BEN4r#@iY8=E3SOuTK5dGTEUKFD}`(@Qv+f)5r5Zn>^~ew=4gXPOfd- zi`Q8o4>B+?G~ECEle;B%n}RFvj71;6$1Ew%s|@Vt$y}Wfow~mHndwDF-TK{P_J7wY z$#q_LU%mfwy#CEaGdDk)`ff=v2RIlR7_tsMpUarO%)v9>e(g!+-x)_9Xq&uk_FW#U zVaDUE{=I(TcDcPV+j-tCz5Q-aynLS3qCbyz^ZLm}tt&qszwkC&`Q;OW|Cc}g&8_7# zf2Hl`yel)FhifgJ(0$?F6Pq1=_s#Z%hJWpxboZLW+V(qZ_ubdOT5nt%YYesfL%v*P z<Ay|uTl)*=rKDsy6s@>qUcX|_1GZDTXD&8837GriqxVhGn9r<p{{+qM)4#r%dw$qq zVfz=&8yA~ycW#oi+<(UP_n!G*KhBR2yXVaP_1;q5r#639rr8;V#s5uSxGr~}^L!h_ z*vQ4=8Si?+xU43wyTf)c=;f22R#N|4;%*i7{`>S@v5W7SA4~oz`){*_Zu13~c#4O< zxM{WftDLM=l!j|nN-#7zH&~r?p3CTG>e+dH=~wetbMCa=+Ggr$ISEva<fo@*Y*%*u z))r_QAF$V3#C*$orKVgXrE`}rygq+%@$9;b&ClmcE&Z_lYLswM_rIz_@75bRMp5z0 zU$gCBWNt2V;X-lvEBkNJU#@#cYaU6Pd}#Iwy^|-VtIYPxsdYWCpRuz2U*Yy!SC=av zn9XxI;NHoco6gSurP4Q@M1-oGGAr*agr)}u(}y3Av$P_2J>=?sW*1C3Bt0!=34hSx zV;4{Pm22!jqjBy`%2GG;V3(I$|0KA&&0l(DONnTjiuTew8;m|(f3<h!`xgZsQw~XA z5PXnpHrrS6>*0_IvsYhQd+bDTT;2|;mI+AJdpX+{&hvrS)zq&jD!I>{oibH=+Pv9| z<}?XkonQITFg3-ZyT0(1%PqrJ)4q_sMvGZo=PZ5k<o?vaU#Xv*ma403YOUJXB<;G= z)2qwq?7j@SE56(JO<Lgj_F3k$rd+Gc54k1ztFLdGd`s@e`YDq{p37cZ*erYHl@7m6 z5@`Aol#pH-tl#HQaqPVCl!6M+ujv!^2d)mw33OYinEuSaD}I;%^q4D)@7(5SvW{DM za9eBCr?Y}jCEJRG?XO>&YA96^7~ML@*khxmeAdlni%*&Jh^EO0N0oha{r_O|l_i<l zU+X_q&i#CpMfcV`RdrdWIMFN5xOfZnE`>+;ufA~N;=>=6x2~kGsB-(YD-Glp1_p)) z_igS;3e+1!y?>jv*0eJ)YTNdiDksypFD>4??Db6Ud!ae6U!U&Hm++H~xA$GY=lk5c zSc}N@YpoU>^3prRUOIEZLtXoemz95KJn{8^ap8gGuI2SRnwtD<-HwS}a#o)AyIX1c zy@k8mzFgYde|+`X`ROlTnH>!J``Pr>`+lv8e;#)Ym+W@C`*Dex>h$_46W125`S<=~ z_m;9-KDp};`tn#5n^mXBe!e2{^xV#<#p^8Mga2KQOg<jJ{Dp#?Fl@ZX^x;Ht4)06< zCZ}uOC|jvC%lo^j{?9F)`%b@Ivv?=(Rhum<m0p=!=UUCy^|(0Qe(lWZ_HT>+ZL4Db z^1zx|#j|<c?6|sw3k<e@<})tc-*$xOs;zO`E4j%(TS_Gs8vd7AX!z7l*S^-}fBM&V zO4F}8>aM(>*CJP!-6Hp`)D;?r3Jn!Yj1@FAB5K{vt|_VbA$jKXiOAJAmE}X6@^1Pc z7BSD=EBEI9f?c67Coeen>Bd~fc}dv@0sOkZUHO0RQi2-Az{0V9pMwO9S26$TJ06Ar z?lbpK$_hLZ5x?-7{Xl<c#_Wvs@Ug!{=osV#{snxWH`m@ze>-*UpY<hd3=RrE<}cx{ zjpfV?KceS-h1vB4XrA}Mah*@)^LGFEeK_g_`<WY08tppezll{X(E7JDzC9s6{Gh&s z+t0J7)3oo)ikheIHeW4VaINQM<h$>y9?5oGTNAl>#}145aOR^Y+P6;Nzps(mrEPW) z$EYOOWi@mAmn>0nb$73Rc8)=>XYnqrxHGWUSB0Fu0F140;G!hV*A5MfVP!5u1H<!) z;vCFVS9a@f`KlWJ(d^l5|K#0wukzRiXfmuw_L_Ar%JR|9S(&kUn>)LAI`nxfte0NX zntN8J(9k{RjG%b&5!Mx!-xjYDJ$_=bz5rW7ZJPLB?biw#_6v`%W@2buyRC}(j^)g? z-MMCkhT<m*IiHj^Z{4tVrn26%j&4iP03Czr@wyOQxtBa09UU6qf1jMJK6UzZnFI5A z85m^KKx+ZiJ-IkJ1ONW|dYbqAi4z}=Br#r@@b=pxKE}(tnRzZ|Ff~k_a_4;JHM8qa z&1UbI!Tn?h%corjqi$RSJMJjhoei^+?N^EQm$2Qby1q9{;O?d`-={F|f7M)mf0D}G zn%{T3@~Zt_ZkRJgW$(vdKK?plPfM-@OV?eSpuao&z-RW_SV@KrEOMc2SLSW{E&e;K zvUKO$m8W07(?2os|DwBVWo~b^eDo;Fv!;VvE4Wa8(v7$P)79JeF4GrycYptWw;qXy z-+W%Rnul%Qk?rlhygTXY(rW(Fm-n3K>UHUwuZgQj{yg)>?ibHqdVhKRPsGy5XiDLq z1$9wIvF7C~SM6W4GwJyL)mwM>Y3V+0+2pt6)rD*PUmUjIs$vRS@$0U2;P&rpp8Ywz z{_5>&?vNRqLKa6)lTUeX87jSb&Z)oeGOzCVm1tXf+&(Pp_e7J_lqIiEq<>%CsZ#xX z<u5by@W{Rr*+`qHiMq*OZ|u(A`a5Hmk<sPSl-KIBYAS^%OWwNraav^5_U}84j4n<2 z@tDcB$Z^J!vRNYYmE7H?6>SdBj0y48ioIzRFx%@LpGLb}2us5z_3x_e@tY@G9#}tP z@7t5^xn(zNPe0cY5kG9;l^h&YbM0G&rCwZYIrq~$^9yp;uyN;RZ`u9qRnhL(T!kN* zj~vwxxznFuD0aL5&%>D0TZ|P94FmuF{(fDy{7&H7ncH66ik{9Zv*dIV`%2gA_wsxM zSFVox>yqEYbL~;PUXYJV%dD?^qu<Zp<G0!VZ~HpK-DbL;TvuKFYuEIvm0vr5K7RE& zU+I;R*H@c<>`lG3XZwPv()B^`ZgSAyN)fe}0b%vA^~tk08Hc@(ZeRKJefyN)v$;>M zq)e3h_Q+}Ph5z-l4EOAw<z^DLS}<R0ZOWd>ufI&^>%E%wL;6(LyJdO@Uz@0|o2#@) z|H_ZGwqKrnDSQ>Y(Rk5K4cqUR3M}Jfmu#N>?b3|iSku&JE?;@?uAkoKqP^;V$=@0A zd#l3t_DG!*cbFUcEu~l3oZ();^xLy`?RodFC0Ut?_x46`3QOl?sF-#2{8k@pW<Ghl zHIbXsR)(*S%PZ$dSt@t++uF}tYj4-&-rwhNFqbPeKRe_1H&)mAxpUVGuWUc7o>#kD zZr|3<u)kmJe1CrmPxU%wJ7YokahsK?iQBW@*uFipJiBbRn(YnO`~JJVh40;7lgt`a z_NYAS%lg(|is6&qWEH>N{443V;L>HwHJ=_x)16`95UBO9?N8_WuNAK+&2)Xa@v7vl zFFxg(S#usueq{Q2XX>qaGZyvqh`jyvN%N@N*Q-bT#WPCQpWF5Ov5MuS>903V3p%|p zcxy`aq)W1yR-4`$+e%(vQ!(waNPWf1c~ajS>#XK1d(7#-YO#u><gc=~adXT6`nvA- zcAd);Zg$Z;`@7bP66=+F{!NO#`@Ygy`rC3%<+m%ot&v*oSGL$>9)F+3!kzp+7k1B{ z^kh}^l7!niy*sm4+5J1J`1IYy@YD5^7N=U5<|y3U?SE}mGW(Z@vc4jAAG~6xY<9{& zb63gTanZE15esDRwq;hNpTFDqus8KU%+B4+Kh&6*CS0w0)F`F-`>pt`H^Dkm;`6uL z$Mtn5N<8&i`;Rf@;+)^y^Ydr_Yso!3$Mx}}&$+jq3O{DejuGuXdelh#g^q~$jMY2j z4>sxSl8-E{Hhjx7;oKhQ1q%A^?a}|jf8YMQ;BRkz$ffnaOH<D0-@5<9{cZmDX1?uz z)_?lE$#*&L{s&H9IsQdvhpSALpRg?~d;62s?*F|-GR^KDWxlfgU)b6oKg>UK-_y;% z+;g)&<i(%aSC<wVf0dlO;M?T;SBejxc@{QLw`f7$i(N70-#WrJ*9m_u{(ju#=e5OE zKfkGealN#DmieZoO0#3vhI6~UTxyvtvM*zbm22s>-1sdQ790OxbYsJ$pGPv!@VbBf zlBz2r`g>2^WEED;<f}z*?Y>*}>eTTB-B8`0krB~kcRSg7sz~_PeDf&%y%lpe{VQF1 z%k1TX*Y~qmb^jK*yH=p?<k43X>pXhZ)?NQMrDUnxuRwXbvW<sU$Gi?GwOzkj>fQa7 zxt5cPf=Vj>Gs=4E2c#U|)@Ai;_O>*!{;Hbo-<EC*T0W0=^_<na_iW^0D4m?@_l@z2 zX6eVsBcl0>x4)8iToHX+^;O@l8}D{G&91RZYA{ax)}gRXamVRZ#}|27GcQ@h*LdFU zw~u&S#X>ednHT?J>UpN^67{N`<7yv%@Schj?<S)u)4~fq8iQojMZ_*1`Qm&0+lpgZ zGp4SZGWpr(UYVM?dfE|7>-YZaofNv@i0I|7@AGG8edsuSb-^kxQMr&m*2P;vNiA~G z)&IHypUn)HUsW!8(c8uStN)*A@!Uz=leU(9OZs~$uc2+qzSj?Sgzf*<Gwt1JThocY zMt${*^tY8>HZ?Dw8k_j*@Y?2-HNOI8bKhNE|M`aY75(a|_h#(%%sh4NT>rf*pZ<%M zcD8KET9@|re0cj?{}-FY(qDgCxx4h=mFcGIw!hB5(H?ITdHFrp<#glw*XA*;Ot^2o zYx)1Dvo`3s!{#h5{YcSN*AGeLjm>jPKk%+K^}y6iyP4PA3}a+CrS<b0cWzmwSXcK_ zJ&&IndU28E+)rf~`*P3DX?^6h`?XY|=K43=_te%EUUw>N%$|0mKcVmMiCn$)o3`cM zjZ${&x$y9C`_|0M%e;=CE|$5z@BQ_8zZ9;j%}w?2<vm%b#r?ZJV!6%nkC&I}dz=m^ zJM3X<`PT4HnX9W=P?_7Tm*)Swmt`+n@$1=FuFPv85Brk6bl#?%xH$2?Y?esrw9MyD zJ8N$hy!bIOc(1VE@`Vc)TwczVDYtgn+Po{bJNYbS_$<q8mU8DPW{Je^F#-*{%`U!G zVkGqS<Rx9J^cxyqvy4I(v!!JpZNI<jP4f5HtM*$mlTCfBS2e31F5=g;T>FASOhiWK z@%8gxFKK5_iI}kRZTGVms}Ik;^1kWRd)^J@`~CmVE8m|}{O<aa^OLoCbOYSV*5!Pa zp7Y9uGj9c(;?7g&io&eU8$a$%Wmu7YYTk|Ce^lfh<_3OS+FQ!`c1`_*8yyZI;kRSM zZ|pxiv-a}rw`>jSk$+=WUpm)tZEbY+n;RRwe0{I3iQGJ8a&nR5?i;f=$6r3BI)Co3 z1&xZ9s;S;wwp%Z)-XF8bJbi!JtuHfY@4v3!y4Uo>sb^2wO*$_h`1~sK__F+`29bFe zOKMGi?2@=#_4IFDjAU>0irh?fXXm3P`D=QfB%jhXNzw2)sA{fv<MxWk$Dhg#cT8Tl z=kZFf+u!%)<w>qQal*sZ&22?sg}&{kS67rLo_QX;;_rODi~PCkuf;BQ&vbYd9`z+S zZ>M3*HT$y**K}lT)shsu<ukFjtInqK!-gO!*VV3>>YlbYn?FshzVv6&uDyTP&iZ^Y zWNY-VhP<D*t1d?@e|vBF6EWGV%a_<M^c3IPZ?$T#_2yL_6MloUw)@T77P?=34HX<h z{<zH*^)IPinB?;+C@05k_SSj+y_XAezU0GN5V=MH#iHL8zbS_tl|KinBhM{SJ`iHQ ze9JMtwcEY#-u)5w{o&85zlTG3tA6>~8g5y9NTqdK=hYpSmrZoC8`I9-eV(){g?Els z`1$)+f_+s^-t)25f45p<_mhtQA)Ln)Y%SgunNE*247XipA3JH4srSCHvR90<mKQyz z-@g)_$QAs2Vsfc-xp`d3Y}h!dUzum$)b6cjPqKRJb;8!Zo%BZcs`<O5t>w?>Zg020 z{OEnu>wEUcwO*cX{k5|uzTxyQd4G=!(@q@R_5T04@Fh;A=e{PJtjV_Z40^VzUv$2? z%VRnDHP^m*eBJw+G4^K3>V5x?Ju#|3o&EKa`{k?ViEG^aVwP>n&)u;7|Kex0`{UNf zNUu9%IzPMigFx@T<?9c<HQbf<DA%eyziHb0lCM>dKuL2!(Xl^^+*x;C<!1ZAxjFwI z1LMLcViEG&Zi;@__kNQs*4uqP`SH;m6}5YHCluEwugtwXKO!M|bIfg}NHaaV{$AEc zN|6Qi+dX1VDd`m)Ez0HAN?-W*#H-sqnduHbK4)f@v)tghDby^w<^H+_4-{Cxnx$A@ zU#Sp1q3PT0RBxVXftmXooa1fFCd>T@+4Xbn`%)8S=l%IJm+zLYS=gQ@vph9(-Ll=0 zi^ZS4colyAbwFC1QBZl-O>Xm*#T`qMxlIed<Sf0r!@>G;@R!fCH{SaG=x<d~<gzK> zI@9*-2{iOy6Mkvq+^CxPzOZ$EL5Zo|S`+t%eZF^dMc8CBt*0-%eEH&+eEfcPV)7B2 zzjNlS`|?CL$|Q3_l3(?ci8GUb{n`BW)Fu62Nf*~$mT!Hs=pXCvwCqcdKX%RA64ic* z@6~EU^({$X4J}nqer)|`leVlc&NlpZu<+JrAD?y2w+YODvwjD|?q4=Gq5Si%$Hcyy zwK})&k-O~Dzy8JUu3o&eRz1C$zS^}Vwn*pNl#kq@^*MWlg3DjL-O)VD@F8pNiM1Bz zr9K=pg7()I@O_Gy-+4DLJR)Mo>B3E$H-`os*uJOs<h{yO*K*|+^jq;<J99by{)3M{ z!}i!-?y^m4T(aw<-d^nmUzk5$5@lGiY|^qF*Z3+$Z)e<kqjcnGjKXo%3D<eA9om)# zULFDNh%sG}`8_cjx_svs>!I{T3l=y?N=oW^Z?$H+<r7ll^>O<(Z||-!KZE*khL{2| zt$6X*`PG+8Q$D^}<gR_y!`ipY|Ki89!likM^Imq(gAGCLOP#n}Q!$`0y`el*{oeAs zq5)P8UzRXAm|l4`mvQRWv!#2_s_8vrveawblea-^n`!Y8NRRD|p!kAkH~Q~9`)CcH z|7$S4D9Laoaq2x$g~Iy+3=zGn^S56i)ae5)PdQ-S^YiN53yZHZH0(Mo{#HGAo8_Y< zy$O$nPP}HzPK-8&c4Uvr6f$P@{XMbe@R0=pS*t^yy#B>fwX*wT+~&02^RFEg<->HJ z95z2{(8cw0LmApS8N|>y*Ut@MA4}~fO`6p6=TA-ZaTZum2s}WZ#KqMcPY=H6&C(DR z_=WFwaE{sJ@QB07F-LFD$hYf#TNZBo#bGJKf<DbmtL&$E`5?!+5<tHNX!Lk0&< zzq@^l;e*)b?tfED1*Xiu@HmvQ;XqjQUdDHG&Rjc6xZmvJ;xgs;-zO(0pE`YdvPx@9 z%Zj?czn-?Gr=(OQZDhzgQC1z;-th7+V_Sv^;{h+Pch60-XTL6*?HhB3wJ3(E@@|4| zPBu85L005AoZToN+U;(|oVWLNoM}g1<ge;ejqzU(?#}m|l(+A1p6hno<s}h*UX$)s z)GkvO<7Q~^wpqoq!Z!80{<pQCUKQOAo__sq`~>CyuDhcxw`CU}Il6Vy4*~IzWsmGs zHtt=J6_#J^8?SI@e|>$ggyEsLGp}&xugNRCK5d$x_|a9~-{oFi+S_gwD-xX-vG>C< zwc?Cr+W-D+th1T0=*t<Mxfc84?f?Cj+d6T{hwQK4?%6z@S8(Fti3!S28|r@jk&G37 zyvpsYsP(_twRcNHG9}fglq!X`Z>w9tzU%6>;E7IG-{0%pwKx6iv;6lqq4%FX(>gtI zopQIk_NLS|a@FN)%jA87-O_gT<@|M-te>A`w$$pLk@xFwa^Y@nvxMqn7P#wiOuphb z`BCtb6DNZ5zG?M#uex}uGBEXZ^R1AgatF&V3zQh9*zVM~{4s0p8OFM!Z*u+ZvNoT7 z7j2imaEC-&pw#S1k2Y^Re!B4Et6k9=&;PX~C!0oOL@&N;8b1AYY<Nevyn<fc!bf#1 z-5YPzJ>h>IwQMe9XJ_ZD`}_C5+wr(B>+BZS>-To$JWz-gv-Z&4^=)0zpAFi}vaT)J z=Cxz}_C<$huY5gK_{)0H+a+sKSd>Fg%jGRUaf0J$jFsd4?b6Gq|Fdy<Hoeoi^kT65 z>%}MMe*OIU`l{^9Zdup9X8G16&oq0lyW-lf5X~*~^J<^O@pf>o{HD`GL?3$nLL&Om zi%mbT^SXZgx+wF5^{3{oDSX6sK>AftG$^UeFUWCOkZ5h@9uuSeQiqYjfAg=M(=yW! zJUrZPnte^@>#M7)qhy&R+rO_&?S7VZaZ#%g-}}`?e=eA<6`8x!`+jY81na4=N6-Af zzW1*SS$A^B%-z#pfA&3I5_bEW(O$PZZ_IqFHgxZsUp8HB&-TdUTua^@dB5dFJoi`U zbt*TnKD(9v_2@TcFJJ%QQ;lb%3=$g_h5X_DD;)p&<26;|?n}w5jkmm<`7Y>+#ZmR6 z+0TkkZ?QFWm6X)H_4R3RSMRIUo%8iByoj4y`u(_Pk&6EHWZk9HoR?>v{HC(h`cg^C z?er?6b&(%+j%)t=5M*omj`?qih3|3Uc_F*GRtIdz-FEDbX{h}FM-z&^zgYd${^Z3? zbFIuKyt%8MeRU)AuMd4{T=Er5^-e`DG=G*iaj`<+=`@`SeR+pX_H3@-CvJ7&+VS~Q zc3U^(D=9EUu2q*?F>%xVx`k)$jxH+vSo!<$Q&5UqcjcA5gV@=f`#=2L_R{~xwKI_> zdUp4>>57Q2-*z%|V?>NjapO6s!p5ClzXf$pEc!h$bi4TFa||ng$uec`t_xXy?4VTT zUxT|fcdurNr@i>m_deEd^3J@e`?z;Hp4YF4E04Kw=je=uS(O)KcUf=SxGn$A5}(P2 zhxFwZ?EAVs>*(?QC%@P=OxvD$?2Wxv$-lF&F7254tH&?k_sRTMI};~{uA5EWF7LP9 zF<C2i&AT4T`~CfuZ8DygnpS)MH}owL*VvRC?JE1%H`<%25Ya`A5!dG0@|7_`cADi) z_JHMAj;0HS@9Dj_s-9(GUhec2)~}LwzvjyQ^s<BTT+2=6rW<V!^j6DtYrW-}&}GG9 z_xp`;Y{kP?DT{)Hsm7<zdIYcT_?2~i)zWQwe<P!}<%BLTOMktl?dz1;6T@DXu<k#5 zaj*H`$ZYAmYp!2=d+FVenY%WZ&unM7IqTJ|>)%gLoVbkn_56^>mF2I_iEp13_h!4h zY1rX4Axr0&#D_h3@VX@Opw{2lU-PG|VNzW;V_kjy?T}{on00KSYZD$i9^G#o9xW5} zZk}q?-}_9a`NGAwqGu?#-&?U`!T%TW#@97>Dnw?d>tEA<%a-9WeUf2+_Sfw5887WN zSIeK`-e0k4*?rMv=hODBwPg)DxUam#|Nm*z#K=CW_SRFCM(&nNzn%sypExA7%V)Lg zP3D~1KmIT8GG5DB&A^a4wdQxL*=`%|qep|pm(+-euV1sfwWyEb__nljPK68amiF$L z68<gvp56W(*$a0ZF!g@pZg9NriP`k<$ms2PYXz0vUVM0X_|}%p;H8hBTJ~k1p7edo z``PQStP3rcwVbp>zV45w{l6`)$NOZ1Z-<@zdi#2O*6B&#r#!dFv+92={cq*(<nw{g z8I!l_JW2ced2i;@`%Cm|(;YT1oVC@~$5(pE>j>5U|B&9RTGy(4JDVku!L8PPnuhD2 zOqP-Td3T{(VEWv$!1zh0-ac=0KHfjYPh<JzfPf1xgIi7d!!C!Jzk2J^F2mO@V?FN@ z>ox(?F1@<t4aTnS-=57ei*^0>?)%I8pWjW-J@<Fkm&&4}rlGJt<`E8fAJa1bH76*E zv8MXJsjZvDzu=t4?@PyawUk}6FUWCGShf1?I_)?2pPkwF@@yINfyry?)`e!KJG{QO z*0k(R#L{KUvaYR(JauyApGRLoUCcR>_18b0w+~Ev#_8&68v6KHuc)l-+GW18U%5Qv z)csqs@Y$IkyYJ2UJL@!;>i-Y>=KcB1^!D|Q@V|$&dCtVHpSiwv?TqUASMI(&v;6#> zKYQym-z+|O{pbw7^S}S@Fp}CHaQjmEU$YRO&&N)A*e$!`<lNRIA`@eC;-1@CDfa2J z@68o?ChH%1Yv1?M;?tmxr<ReCQ}3bbmlH#8=AA!&?_b#2pBbxe>DD@I|C75{M(y#E zx6sa`il6VU6}49a-`<`1)J=D-`h{!k4f#{|_FfLUFT%{^ay0)+<VTn5;#$X+=p8+l zwmI_k&np(Z$KC$B?ap4Ow|)1z3u?@!;f3-^_D-RHz(daue5{yG{klKTHfnp_-lpc} z(#ySvOAmj&?qxRHEa%P&=HK7LtztKOw`V_TIM=nwn=5y@qq1J;YUkZs&OTYn(D^z3 z(w-xyP4g}Vguc<9pdveO{dIevYX?>qfBoZYd(~yqo3mFwKib!|(Yx=5|I`GYOU;k< z!i#T;7GHh*>$2*~sLfBZQ<uwKm?%8A<frdm(Pajua+YD{CFPHwZvDB^>wH((^0>Dt z)1O4_jL3FgckRdVq^q~|PTmWueXJK&U4Fm$_NS=5UoMt>^_5sXQFyxM$}<YpzwNW` zeSiJ+)YgZmVm|M@#?F87MfESOJ93M&)$T1T{;rns^PE@S><v2{qUGz8&*)c$Oo+CP zYnX5F`x(E0fLC7Q*TmVeh5t7lu}izS<A;q|Tu=A)>$jOKznf3HW%jp|b(`twt-6y^ zA3yqhBSJxM66^Q!?juWe9x;XMT;H~!NbFYsntf+yl(8K-a%4`SdxMJQT)(|vpUsZi z@W46u&o}GZ&u7_mXY6<QU-w$qa_&_7S4$JO3;ue&=zXci=N6;ByldIa-(DVNUzXvz z?c|A__g~5zhp)J(>;Gb5Tw3^b*<Y_V&Ni(|>|0k?x|c6CO+&eK`Lw+Sldal4thNOR zyPprc)yuub*w)p4zvSv#{d?=xr>A;l&d)e@T3Yn;kt$W$+bfTLf12_1m5ONC)<-w9 zP2bP$%`(kg9Mre&%99VSQuX&`EZxprK7aD1m;b#*u9Mj`)t0Q1^qdr1D>rWy`}yp3 zdgW)Ynz?^m+;-{T@|}y7ma_R?{q$z@Ri#6EmROgehWyoH$_CX6(C%ILimi5&A3REb zD<T$Fsk!&u=JI)~N@ugbSj^XKdil>(u4%L0&s<Say-c+F2$N^&<JhWjhnMV+mTEHu z_^SFAu9f|ywe8}To30(WXXli+tEj4KT3Oxt%H5K^Xv2mLW$-@TGgzOlVW}ne)b-t8 zx9@#vwW*@y;^N+{C3Dq|E`RakS*O)@1Am(o(CjA2$*;`1ZG1T!yR4b_thV}S_jX;w zCB{YSq717RhP~%mu_kxjYrJ!PPqszvhtEDTL@>`~bdU{u8Otd2otLrU>W*J!-UOx^ zvo`+T#l*1Z@QnDooVHQC3@fZ_F4kR2o2`teAL(Q5!!vNpR!d-$unffY0o{=oHW9Nf emJ$oz{b%$@?|t%be!vb;?0UNTxvX<aXaWGx8RSm@ literal 0 HcmV?d00001 diff --git a/src/automate.vhd b/src/automate.vhd index 1ccb931..46b3183 100644 --- a/src/automate.vhd +++ b/src/automate.vhd @@ -36,11 +36,12 @@ begin process (I_clk, I_rst) begin if(I_rst = '1')then - __BLANK_TO_FILL__ + O_l_green <= '1'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; elsif rising_edge(I_clk)then case SR_STATE is - case SR_STATE is - when st_wait_success => O_l_green <= '1'; O_l_red <= '0'; @@ -50,10 +51,67 @@ begin SR_STATE <= st_counting; end if; - when __BLANK_TO_FILL__ - - __BLANK_TO_FILL__ + when st_counting => + + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '1'; + O_store <= '0'; + if I_button = '0' then + SR_STATE <= st_compar; + end if; + + when st_compar => + + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_invalide = '0' then + SR_STATE <= st_store; + else + SR_STATE <= st_wait_failed; + end if; + when st_wait_failed => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_button = '1' then + SR_STATE <= st_counting; + end if; + + when st_store => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '1'; + if I_end ='0' then + SR_STATE <= st_wait_success; + elsif I_end='1' then + SR_STATE <= st_end_red; + end if; + + when st_end_red => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display ='1' then + SR_STATE <= st_end_green; + end if; + + + when st_end_green => + O_l_green <= '1'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display ='0' then + SR_STATE <= st_end_red; + end if; + end case; end if; end process; diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd index 7962a90..910b4a4 100644 --- a/src/compteur_modulo6.vhd +++ b/src/compteur_modulo6.vhd @@ -20,12 +20,18 @@ architecture modulo6_a of compteur_modulo6 is begin - process (_BLANK_) + process (I_clk, I_rst, I_block) begin if I_rst = '1' then - _BLANK_ + SR_Counter <= "000"; elsif rising_edge(I_clk) then - _BLANK_ + if (I_block= '0') then + if (SR_Counter = "101") then + SR_Counter <= "000" ; + else + SR_Counter<= SR_Counter +1; + end if; + end if ; end if; end process; diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd index a689bef..7222323 100644 --- a/src/mux6_1.vhd +++ b/src/mux6_1.vhd @@ -20,8 +20,27 @@ end mux6_1; architecture a_mux6_1 of mux6_1 is begin -__BLANK_TO_FILL__ - - +process(I_0, I_1, I_3, I_4, I_5,I_sel) +begin + case I_sel is + when "000" => + O_mux6 <= I_0; + + when "001"=> + O_mux6 <= I_1; + + when "010" => + O_mux6 <= I_2; + + when "011" => + O_mux6 <= I_3; + + when "100" => + O_mux6 <= I_4; + + when others => + O_mux6 <= I_5; + end case; +end process; end a_mux6_1; diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..88b4798 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,108 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 09:58:57 2025 +# Process ID: 6007 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch +# Command line: vivado +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/vivado.log +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3491.038 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :19413 MB +#----------------------------------------------------------- +start_gui +create_project LOTO /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO -part xc7a100tcsg324-1 +set_property target_language VHDL [current_project] +add_files -norecurse {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo4.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_u.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd} +add_files -fileset sim_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd +add_files -fileset constrs_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sim_1 +set_property used_in_synthesis false [get_files /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd] +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source mux6_1_tb_arch_cfg.tcl +restart +run 100 us +restart +run 100 us +close_sim +launch_simulation +source mux6_1_tb_arch_cfg.tcl +close_sim +launch_simulation +launch_simulation +launch_simulation +launch_simulation +source mux6_1_tb_arch_cfg.tcl +restart +run 100 us +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source compteur_modulo6_tb_arch_cfg.tcl +restart +run 100 us +restart +relaunch_sim +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sim_1 +launch_simulation +launch_simulation +launch_simulation +launch_simulation +launch_simulation +source loto_tb_ar_cfg.tcl +restart +run 100 us +restart +run 100 us +synth_design -rtl -rtl_skip_mlo -name rtl_1 +export_ip_user_files -of_objects [get_files /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc] -no_script -reset -force -quiet +remove_files -fileset constrs_1 /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc +add_files -fileset constrs_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc +launch_runs impl_1 -to_step write_bitstream -jobs 2 +wait_on_run impl_1 +open_hw_manager +connect_hw_server -allow_non_jtag +open_hw_target +set_property PROGRAM.FILE {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +current_hw_device [get_hw_devices xc7a100t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +undo +undo +close_sim +current_sim simulation_4 +close_sim +close_sim diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..f3714ae --- /dev/null +++ b/vivado.log @@ -0,0 +1,1144 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 09:58:57 2025 +# Process ID: 6007 +# Current directory: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch +# Command line: vivado +# Log file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/vivado.log +# Journal file: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/vivado.jou +# Running On :fl-tp-br-664 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3491.038 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16648 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :19413 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +create_project LOTO /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO -part xc7a100tcsg324-1 +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +set_property target_language VHDL [current_project] +add_files -norecurse {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo4.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_u.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd} +add_files -fileset sim_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd +add_files -fileset constrs_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sim_1 +set_property used_in_synthesis false [get_files /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd] +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 8254.766 ; gain = 87.168 ; free physical = 8452 ; free virtual = 16896 +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 8289.652 ; gain = 17.965 ; free physical = 8405 ; free virtual = 16852 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:25] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit mux6_1_tb_arch_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:25] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit mux6_1_tb_arch_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:25] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit mux6_1_tb_arch_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8323.664 ; gain = 34.012 ; free physical = 8419 ; free virtual = 16851 +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source compteur_modulo6_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8495.492 ; gain = 65.781 ; free physical = 8092 ; free virtual = 16737 +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +restart +INFO: [Wavedata 42-604] Simulation restarted +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8543.516 ; gain = 0.000 ; free physical = 8105 ; free virtual = 16693 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +update_compile_order -fileset sim_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur1_49' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_valid' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'led_pwm' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'registres' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'modulo4' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-3219] choice 'st_wait_success' is already covered [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:76] +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:44] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-3219] choice 'st_wait_success' is already covered [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:76] +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:44] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-3219] choice 'st_wait_success' is already covered [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:76] +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:44] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-8554] case statement does not cover all choices; 'others' clause is needed [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:44] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit loto_tb_ar_cfg in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default] +Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default] +Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default] +Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default] +Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default] +Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default] +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...] +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default] +Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default] +Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\] +Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb] +Built simulation snapshot loto_tb_ar_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source loto_tb_ar_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8734.340 ; gain = 62.820 ; free physical = 8003 ; free virtual = 16648 +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +restart +INFO: [Wavedata 42-604] Simulation restarted +run 100 us +synth_design -rtl -rtl_skip_mlo -name rtl_1 +Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 +Starting synth_design +Using part: xc7a100tcsg324-1 +Top: loto +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: [Device 21-9227] Part: xc7a100tcsg324-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 53197 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 9461.027 ; gain = 419.711 ; free physical = 6947 ; free virtual = 15595 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'loto' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +INFO: [Synth 8-638] synthesizing module 'tirage' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'automate' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-256] done synthesizing module 'automate' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:21] +INFO: [Synth 8-638] synthesizing module 'registres' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'registres' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/registres.vhd:23] +INFO: [Synth 8-638] synthesizing module 'compteur_valid' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-256] done synthesizing module 'compteur_valid' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_valid.vhd:15] +INFO: [Synth 8-638] synthesizing module 'compteur1_49' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'compteur1_49' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur1_49.vhd:16] +INFO: [Synth 8-638] synthesizing module 'led_pwm' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'led_pwm' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/led_pwm.vhd:18] +INFO: [Synth 8-256] done synthesizing module 'tirage' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/tirage.vhd:25] +INFO: [Synth 8-638] synthesizing module 'compteur_modulo6' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-256] done synthesizing module 'compteur_modulo6' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:17] +INFO: [Synth 8-638] synthesizing module 'diviseur_freq' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] + Parameter n_fast bound to: 15 - type: integer + Parameter n_slow bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'diviseur_freq' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/diviseur_freq.vhd:18] +INFO: [Synth 8-638] synthesizing module 'mux6_1' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +WARNING: [Synth 8-614] signal 'I_2' is read in the process but is not in the sensitivity list [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:23] +INFO: [Synth 8-256] done synthesizing module 'mux6_1' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:20] +INFO: [Synth 8-638] synthesizing module 'transcodeur7s_d_u_transcod_int' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-256] done synthesizing module 'transcodeur7s_d_u_transcod_int' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/transcodeur7s_d_u.vhd:64] +INFO: [Synth 8-638] synthesizing module 'modulo4' [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'modulo4' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/modulo4.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'loto' (0#1) [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/loto.vhd:21] +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[7] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[6] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[5] driven by constant 1 +WARNING: [Synth 8-3917] design loto has port O_7segmentSelect[4] driven by constant 1 +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9530.996 ; gain = 489.680 ; free physical = 6870 ; free virtual = 15522 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9542.871 ; gain = 501.555 ; free physical = 6870 ; free virtual = 15522 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9542.871 ; gain = 501.555 ; free physical = 6870 ; free virtual = 15522 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9542.879 ; gain = 0.000 ; free physical = 6870 ; free virtual = 15523 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc] +Finished Parsing XDC File [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9672.621 ; gain = 0.000 ; free physical = 7134 ; free virtual = 15804 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +RTL Elaboration Complete: : Time (s): cpu = 00:00:16 ; elapsed = 00:00:12 . Memory (MB): peak = 9705.465 ; gain = 664.148 ; free physical = 7086 ; free virtual = 15778 +31 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:17 . Memory (MB): peak = 9705.465 ; gain = 971.125 ; free physical = 7086 ; free virtual = 15778 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2638.097; main = 2627.608; forked = 10.488 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 10012.020; main = 9705.469; forked = 306.551 +export_ip_user_files -of_objects [get_files /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc] -no_script -reset -force -quiet +remove_files -fileset constrs_1 /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4DDR-Master.xdc +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +add_files -fileset constrs_1 -norecurse /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/Nexys4_Master.xdc +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/compteur_modulo6_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/src/mux6_1_tb.vhd:] +launch_runs impl_1 -to_step write_bitstream -jobs 2 +[Wed Feb 12 11:54:19 2025] Launched synth_1... +Run output will be captured here: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/synth_1/runme.log +[Wed Feb 12 11:54:19 2025] Launched impl_1... +Run output will be captured here: /homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/runme.log +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +open_hw_target +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210274674965A +set_property PROGRAM.FILE {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +current_hw_device [get_hw_devices xc7a100t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1435] Device xc7a100t (JTAG device index = 0) is not programmed (DONE status = 0). +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] +INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210274674965A +undo +INFO: [Common 17-17] undo 'set_property PROGRAM.FILE {/homes/a23delau/MEDCON/tp-loto-etudiant-n23bouch/LOTO/LOTO.runs/impl_1/loto.bit} [get_hw_devices xc7a100t_0]' +undo +INFO: [Common 17-17] undo 'set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0]' +close_sim +INFO: [Simtcl 6-16] Simulation closed +current_sim simulation_4 +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +exit +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 12:17:49 2025... -- GitLab