From f69a23d5e5c48687d8b8940342c7051d219ceb37 Mon Sep 17 00:00:00 2001
From: Alexandre CARNEIRO--GILLET <a24carne@fl-tp-br-519.imta.fr>
Date: Mon, 5 May 2025 16:18:35 +0200
Subject: [PATCH] fin de seance 1

---
 src/hdl/wave_generator.vhd |   60 +-
 vivado.jou                 |  123 +
 vivado.log                 |  894 ++++
 vivado_pid82280.str        | 9841 ++++++++++++++++++++++++++++++++++++
 wave_generator.drawio.pdf  |  Bin 0 -> 63964 bytes
 5 files changed, 10888 insertions(+), 30 deletions(-)
 create mode 100644 vivado.jou
 create mode 100644 vivado.log
 create mode 100644 vivado_pid82280.str
 create mode 100644 wave_generator.drawio.pdf

diff --git a/src/hdl/wave_generator.vhd b/src/hdl/wave_generator.vhd
index 310c78f..8254903 100644
--- a/src/hdl/wave_generator.vhd
+++ b/src/hdl/wave_generator.vhd
@@ -64,12 +64,12 @@ begin
             G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0)))
             )
         port map (
-            I_clk    => ,
-            I_rst    => ,
-            I_u_d    => ,
-            O_val    => ,
-            O_last   => ,
-            O_middle =>
+            I_clk    => I_clk,
+            I_rst    => I_rst,
+            I_u_d    => S_u_d,
+            O_val    => S_addr,
+            O_last   => S_last,
+            O_middle => S_middle
             );
 
     -- Module C
@@ -94,10 +94,10 @@ begin
             G_fs => G_fs
             )
         port map (
-            I_clk      => ,
-            I_rst      => ,
-            I_addr     => ,
-            O_triangle =>
+            I_clk      => I_clk,
+            I_rst      => I_rst,
+            I_addr     => S_addr(C_addr_half_w-1 downto 0),
+            O_triangle => S_triangle_out_lut
             );
 
     -- Module E
@@ -108,10 +108,10 @@ begin
             G_fs => G_fs
             )
         port map (
-            I_clk       => ,
-            I_rst       => ,
-            I_addr      => ,
-            O_saw_tooth =>
+            I_clk       => I_clk,
+            I_rst       => I_rst,
+            I_addr      => S_addr,
+            O_saw_tooth => S_saw_tooth_out_lut
             );
 
     S_square <= ((G_N-1) => '0', others => '1');
@@ -119,12 +119,12 @@ begin
     -- Module F
     F_inst : entity work.module_F
         port map (
-            I_sel  => ,
-            I_din0 => ,
-            I_din1 => ,
-            I_din2 => ,
-            I_din3 => ,
-            O_dout =>
+            I_sel  => I_wave_sel,
+            I_din0 => S_sine_out_lut,
+            I_din1 => S_square,
+            I_din2 => S_saw_tooth_out_lut,
+            I_din3 => S_triangle_out_lut,
+            O_dout => S_wave_sample
             );
 
     -- Module G
@@ -133,17 +133,17 @@ begin
             G_N => G_N
             )
         port map (
-            I_din  => ,
-            O_dout =>
+            I_din  => S_wave_sample,
+            O_dout => S_opposite_wave_sample
             );
 
     -- Module H
     H_inst : entity work.module_H
         port map (
-            I_sel  => ,
-            I_din0 => ,
-            I_din1 => ,
-            O_dout =>
+            I_sel  => S_sign_sel,
+            I_din0 => S_wave_sample,
+            I_din1 => S_opposite_wave_sample,
+            O_dout => S_wave_value
             );
 
     -- Module I
@@ -152,10 +152,10 @@ begin
             G_N => G_N
             )
         port map (
-            I_clk  => ,
-            I_rst  => ,
-            I_din  => ,
-            O_dout =>
+            I_clk  => I_clk,
+            I_rst  => I_rst,
+            I_din  => S_wave_value,
+            O_dout => O_wav
             );
 
 end arch;
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000..3edac90
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,123 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 14:16:34 2025
+# Process ID: 82280
+# Current directory: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne
+# Command line: vivado
+# Log file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.log
+# Journal file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.jou
+# Running On        :fl-tp-br-519
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :900.921 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :18706 MB
+#-----------------------------------------------------------
+start_gui
+cd tp-synthe-etudiant-$USER/proj
+cd tp-synthe-etudiant-a24carne/proj
+ls
+cd proj
+ls
+source ./create_project.tcl
+update_compile_order -fileset sources_1
+launch_simulation
+source tb_module_B.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_C.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_D.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_E.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_F.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_H.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_G.tcl
+current_sim simulation_1
+close_sim
+close_sim
+close_sim
+close_sim
+close_sim
+close_sim
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_I.tcl
+current_sim simulation_7
+close_sim
+close_sim
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+launch_runs impl_1 -jobs 6
+wait_on_run impl_1
+open_run impl_1
+launch_runs impl_1 -to_step write_bitstream -jobs 6
+wait_on_run impl_1
+open_hw_manager
+connect_hw_server -allow_non_jtag
+open_hw_target
+set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000..c8f84ed
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,894 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 14:16:34 2025
+# Process ID: 82280
+# Current directory: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne
+# Command line: vivado
+# Log file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.log
+# Journal file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.jou
+# Running On        :fl-tp-br-519
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :900.921 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :18706 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+cd tp-synthe-etudiant-$USER/proj
+can't read "USER": no such variable
+cd tp-synthe-etudiant-a24carne/proj
+couldn't change working directory to "tp-synthe-etudiant-a24carne/proj": no such file or directory
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+docs
+proj
+README.md
+src
+vivado.jou
+vivado.log
+vivado_pid82280.str
+cd proj
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+cleanup.cmd
+cleanup.sh
+create_project.tcl
+tb_wave_generator_behav.wcfg
+source ./create_project.tcl
+# if {[info exists ::create_path]} {
+# 	set dest_dir $::create_path
+# } else {
+# 	set dest_dir [pwd]
+# }
+# puts "INFO: Creating new project in $dest_dir"
+INFO: Creating new project in /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj
+# set proj_name "Synthe"
+# set origin_dir ".."
+# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
+# set src_dir $origin_dir/src
+# set repo_dir $origin_dir/repo
+# set part_num "xc7a200tsbg484-1"
+# create_project $proj_name $dest_dir
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:15 . Memory (MB): peak = 8203.977 ; gain = 248.922 ; free physical = 7096 ; free virtual = 16568
+# set proj_dir [get_property directory [current_project]]
+# set obj [get_projects $proj_name]
+# set_property "default_lib" "xil_defaultlib" $obj
+# set_property "part" "$part_num" $obj
+# set_property "simulator_language" "Mixed" $obj
+# set_property "target_language" "VHDL" $obj
+# if {[string equal [get_filesets -quiet sources_1] ""]} {
+#   create_fileset -srcset sources_1
+# }
+# if {[string equal [get_filesets -quiet constrs_1] ""]} {
+#   create_fileset -constrset constrs_1
+# }
+# set obj [get_filesets sources_1]
+# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
+# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
+# add_files -fileset constrs_1 -quiet $src_dir/constraints
+# add_files -quiet $src_dir/hdl
+# set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}]
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_wave_generator.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_ADSR_module.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_B.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_C.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_D.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_E.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_F.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_G.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_H.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_I.vhd]
+# set_property used_in_simulation false [get_files  $src_dir/hdl/audioProc.v]
+# if {[string equal [get_runs -quiet synth_1] ""]} {
+#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
+# } else {
+#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
+#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
+# }
+# set obj [get_runs synth_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.synth_design.args.fanout_limit" "400" $obj
+# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
+# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
+# set_property "steps.synth_design.args.resource_sharing" "off" $obj
+# set_property "steps.synth_design.args.no_lc" "1" $obj
+# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
+# current_run -synthesis [get_runs synth_1]
+# if {[string equal [get_runs -quiet impl_1] ""]} {
+#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
+# } else {
+#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
+#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
+# }
+# set obj [get_runs impl_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.write_bitstream.args.bin_file" "1" $obj
+# current_run -implementation [get_runs impl_1]
+# set_property top tb_module_B [get_filesets sim_1]
+# set_property top_lib xil_defaultlib [get_filesets sim_1]
+# update_compile_order -fileset sources_1
+update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 8252.008 ; gain = 48.031 ; free physical = 7055 ; free virtual = 16542
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_B'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_B_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_B'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_B'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8278.188 ; gain = 0.000 ; free physical = 6665 ; free virtual = 16217
+INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling architecture behavioral of entity xil_defaultlib.module_B [module_b_default]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_b
+Built simulation snapshot tb_module_B_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 8278.188 ; gain = 0.000 ; free physical = 6712 ; free virtual = 16312
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_B.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:23 . Memory (MB): peak = 8372.191 ; gain = 94.004 ; free physical = 6760 ; free virtual = 16380
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_C_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_package.vhd" into library xil_defaultlib
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_C'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_C'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_C [\module_C(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_c
+Built simulation snapshot tb_module_C_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_C.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8525.070 ; gain = 45.816 ; free physical = 6208 ; free virtual = 15967
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_D'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_D_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_D'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_D'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_D [\module_D(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_d
+Built simulation snapshot tb_module_D_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_D.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8566.898 ; gain = 21.750 ; free physical = 6167 ; free virtual = 15938
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_E'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_E_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_E'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_E'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_E [\module_E(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_e
+Built simulation snapshot tb_module_E_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_E.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8628.727 ; gain = 55.742 ; free physical = 6085 ; free virtual = 15874
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_F'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_F' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_F_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_F'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_F'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_F [\module_F(15,0)(15,0)(15,0)(15,0...]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_f
+Built simulation snapshot tb_module_F_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_F.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 8697.367 ; gain = 63.629 ; free physical = 5986 ; free virtual = 15792
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_H'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_H' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_H_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_H'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_H'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_H [\module_H(15,0)(15,0)(15,0)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_h
+Built simulation snapshot tb_module_H_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_H_behav -key {Behavioral:sim_1:Functional:tb_module_H} -tclbatch {tb_module_H.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_H.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_H_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8769.004 ; gain = 63.629 ; free physical = 6062 ; free virtual = 15836
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_G_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_G'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_G'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_G [\module_G(g_n=8)\]
+Compiling architecture testbench of entity xil_defaultlib.tb_module_g
+Built simulation snapshot tb_module_G_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_G.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8838.645 ; gain = 63.555 ; free physical = 5968 ; free virtual = 15761
+current_sim simulation_1
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_I'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_I' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_I_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_I'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_I'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_I [\module_I(g_n=16)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_i
+Built simulation snapshot tb_module_I_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_I_behav -key {Behavioral:sim_1:Functional:tb_module_I} -tclbatch {tb_module_I.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_I.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_I_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8859.723 ; gain = 0.000 ; free physical = 6153 ; free virtual = 15932
+current_sim simulation_7
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May  5 15:42:37 2025] Launched synth_1...
+Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May  5 15:49:20 2025] Launched synth_1...
+Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:]
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May  5 15:52:09 2025] Launched synth_1...
+Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log
+launch_runs impl_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+[Mon May  5 15:54:19 2025] Launched impl_1...
+Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/runme.log
+open_run impl_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+Netlist sorting complete. Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.07 . Memory (MB): peak = 8867.992 ; gain = 0.000 ; free physical = 5570 ; free virtual = 15489
+INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2024.1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Read ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 8956.586 ; gain = 3.000 ; free physical = 5479 ; free virtual = 15398
+INFO: [Timing 38-478] Restoring timing data from binary archive.
+INFO: [Timing 38-479] Binary timing data restore complete.
+INFO: [Project 1-856] Restoring constraints from binary archive.
+INFO: [Project 1-853] Binary constraint restore complete.
+INFO: [Designutils 20-5722] Start Reading Physical Databases.
+Reading placement.
+Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4944 ; free virtual = 14862
+Reading placer database...
+Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4944 ; free virtual = 14863
+Read PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.34 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849
+Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849
+Reading routing.
+Read RouteStorage: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849
+Read Physdb Files: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.46 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849
+Restored from archive | CPU: 0.570000 secs | Memory: 15.365479 MB |
+Finished XDEF File Restore: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.46 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9597.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+open_run: Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 9854.168 ; gain = 994.445 ; free physical = 4731 ; free virtual = 14673
+launch_runs impl_1 -to_step write_bitstream -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+[Mon May  5 16:00:34 2025] Launched impl_1...
+Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/runme.log
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 9854.168 ; gain = 0.000 ; free physical = 4347 ; free virtual = 14344
+open_hw_target
+INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79446B
+set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9854.168 ; gain = 0.000 ; free physical = 4513 ; free virtual = 14492
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
diff --git a/vivado_pid82280.str b/vivado_pid82280.str
new file mode 100644
index 0000000..811fe59
--- /dev/null
+++ b/vivado_pid82280.str
@@ -0,0 +1,9841 @@
+/*
+
+AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
+SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+
+Process ID (PID): 82280
+License: Customer
+Mode: GUI Mode
+
+Current time: 	Mon May 05 14:18:59 CEST 2025
+Time zone: 	Central European Standard Time (Europe/Paris)
+
+OS: Ubuntu
+OS Version: 6.8.0-57-generic
+OS Architecture: amd64
+Available processors (cores): 12
+LSB Release Description: Ubuntu 24.04.2 LTS
+
+Display: 0
+Screen size: 1680x1050
+Local screen bounds: x = 66, y = 32, width = 1614, height = 1018
+Screen resolution (DPI): 100
+Available screens: 1
+Default font: family=Dialog,name=Dialog,style=plain,size=12
+Scale size: 12
+OS font scaling: 100%
+Anti-Alias Enabled: false
+
+Java version: 	21.0.1 64-bit
+JavaFX version: 21.0.1
+Java home: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
+Java executable: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
+Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
+Java initial memory (-Xms): 	512 MB
+Java maximum memory (-Xmx):	 3 GB
+
+User name: 	a24carne
+User home directory: /homes/a24carne
+User working directory: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne
+User country: 	US
+User language: 	en
+User locale: 	en_US
+
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+
+Vivado preferences file: /homes/a24carne/.Xilinx/Vivado/2024.1/vivado.xml
+Vivado preferences directory: /homes/a24carne/.Xilinx/Vivado/2024.1/
+Vivado layouts directory: /homes/a24carne/.Xilinx/Vivado/2024.1/data/layouts
+PlanAhead jar file: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
+Vivado log file: 	/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.log
+Vivado journal file: 	/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/vivado.jou
+Engine tmp dir: 	./.Xil/Vivado-82280-fl-tp-br-519
+Non-Default Parameters:	[]
+
+Xilinx & AMD Environment Variables
+--------------------------------------------------------------------------------------------
+GNOME_SHELL_SESSION_MODE: ubuntu
+RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+RDI_BUILD: yes
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1
+RDI_INSTALLVER: 2024.1
+RDI_JAVA_PLATFORM: 
+RDI_JAVA_VERSION: 21.0.1_12
+RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o
+RDI_OPT_EXT: .o
+RDI_PATCHROOT: 
+RDI_PLATFORM: lnx64
+RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
+RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
+RDI_SESSION_INFO: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne:fl-tp-br-519_1746447382_82209
+RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data
+RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64
+RDI_USE_JDK21: True
+SHELL: /bin/bash
+XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
+XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1
+XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+
+
+GUI allocated memory:	512 MB
+GUI max memory:		4,072 MB
+Engine allocated memory: 1,491 MB
+
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+*/
+
+// TclEventType: START_GUI
+// Tcl Message: start_gui 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,509 MB. GUI used memory: 72 MB. Current time: 5/5/25, 2:19:00 PM CEST
+// HMemoryUtils.trashcanNow. Engine heap size: 1,626 MB. GUI used memory: 69 MB. Current time: 5/5/25, 2:19:15 PM CEST
+// WARNING: HEventQueue.dispatchEvent() is taking  1001 ms.
+// HMemoryUtils.trashcanNow. Engine heap size: 1,789 MB. GUI used memory: 69 MB. Current time: 5/5/25, 2:19:35 PM CEST
+unMinimizeFrame(PAResourceOtoP.PAViews_TCL_CONSOLE, "Tcl Console"); // R (PAResourceOtoP.PAViews_TCL_CONSOLE, Tcl Console)
+// Elapsed time: 12 seconds
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 282, 74); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+// Elapsed time: 62 seconds
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 222, 87); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 209, 55); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 209, 55, false, false, false, true, false); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) - Popup Trigger
+typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 174, 68); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+// Elapsed time: 10 seconds
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 18, 91); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 59, 44, false, false, false, true, false); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) - Popup Trigger
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 48, 66); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 48, 66); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 55, 47); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
+selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 55, 47, false, false, false, false, true); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) - Double Click
+// Elapsed time: 12 seconds
+selectMenuItem(RDIResourceCommand.RDICommands_PASTE, "Paste"); // ap (RDIResourceCommand.RDICommands_PASTE, edit_paste_menu)
+applyEnter(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-synthe-etudiant-$USER/proj"); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'cd tp-synthe-etudiant-$USER/proj'
+// Tcl Message: cd tp-synthe-etudiant-$USER/proj 
+// Tcl Message: can't read "USER": no such variable 
+selectMenuItem(RDIResourceCommand.RDICommands_PASTE, "Paste"); // ap (RDIResourceCommand.RDICommands_PASTE, edit_paste_menu)
+setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-synthe-etudiant-a24carne/proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'cd tp-synthe-etudiant-a24carne/proj'
+// Tcl Message: cd tp-synthe-etudiant-a24carne/proj 
+// Tcl Message: couldn't change working directory to "tp-synthe-etudiant-a24carne/proj": no such file or directory 
+// Tcl (Dont Echo) Command: 'rdi::info_commands {ls*}'
+setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'ls'
+// Tcl Message: ls 
+// Tcl Message: docs proj README.md src vivado.jou vivado.log vivado_pid82280.str 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,864 MB. GUI used memory: 71 MB. Current time: 5/5/25, 2:22:05 PM CEST
+// Elapsed time: 17 seconds
+setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'cd proj'
+// Tcl Message: cd proj 
+// [GUI Memory]: 88 MB (+89657kb) [00:04:05]
+// [Engine Memory]: 1,864 MB (+1802967kb) [00:04:05]
+setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'ls'
+// Tcl Message: ls 
+// Tcl Message: cleanup.cmd cleanup.sh create_project.tcl tb_wave_generator_behav.wcfg 
+// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path'
+// Tcl (Dont Echo) Command: 'rdi::info_commands {cd*}'
+// Elapsed time: 17 seconds
+setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./create_project.tcl", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
+// Tcl Command: 'source ./create_project.tcl'
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// Tcl Message: source ./create_project.tcl 
+// Tcl Message: # if {[info exists ::create_path]} { # 	set dest_dir $::create_path # } else { # 	set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" 
+// Tcl Message: INFO: Creating new project in /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj 
+// Tcl Message: # set proj_name "Synthe" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # set part_num "xc7a200tsbg484-1" # create_project $proj_name $dest_dir 
+// TclEventType: FLOW_ADDED
+// TclEventType: FILE_SET_NEW
+// TclEventType: RUN_ADD
+// TclEventType: RUN_CURRENT
+// TclEventType: PROJECT_DASHBOARD_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_NEW
+// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified 
+// TclEventType: PROJECT_NEW
+// [GUI Memory]: 108 MB (+16758kb) [00:04:32]
+// [Engine Memory]: 1,975 MB (+18655kb) [00:04:32]
+// WARNING: HEventQueue.dispatchEvent() is taking  4225 ms.
+// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,055 MB. GUI used memory: 79 MB. Current time: 5/5/25, 2:22:48 PM CEST
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// [GUI Memory]: 115 MB (+1786kb) [00:04:37]
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// [GUI Memory]: 125 MB (+4093kb) [00:04:40]
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: RUN_MODIFY
+// [GUI Memory]: 132 MB (+754kb) [00:04:41]
+// TclEventType: CREATE_IP_CATALOG
+// TclEventType: PART_MODIFIED
+// TclEventType: PROJECT_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: IP_LOCK_CHANGE
+// Tcl Message: create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:15 . Memory (MB): peak = 8203.977 ; gain = 248.922 ; free physical = 7096 ; free virtual = 16568 
+// Tcl Message: # set proj_dir [get_property directory [current_project]] # set obj [get_projects $proj_name] # set_property "default_lib" "xil_defaultlib" $obj # set_property "part" "$part_num" $obj # set_property "simulator_language" "Mixed" $obj # set_property "target_language" "VHDL" $obj # if {[string equal [get_filesets -quiet sources_1] ""]} { #   create_fileset -srcset sources_1 # } # if {[string equal [get_filesets -quiet constrs_1] ""]} { #   create_fileset -constrset constrs_1 # } # set obj [get_filesets sources_1] # set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj # add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] 
+// TclEventType: COMPOSITE_FILE_CHANGE
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: RUN_OPTIONS_MODIFIED
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_OPTIONS_MODIFIED
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_OPTIONS_MODIFIED
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_OPTIONS_MODIFIED
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: # add_files -fileset constrs_1 -quiet $src_dir/constraints # add_files -quiet $src_dir/hdl # set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Tcl Message: update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 8252.008 ; gain = 48.031 ; free physical = 7055 ; free virtual = 16542 
+// Elapsed Time for: 'c.c': 23s
+// Elapsed time: 23 seconds
+dismissDialog("Tcl Command"); // bj (Tcl Command Progress)
+// TclEventType: FILE_SET_CHANGE
+// [GUI Memory]: 157 MB (+18931kb) [00:04:55]
+// [Engine Memory]: 2,076 MB (+2210kb) [00:04:55]
+// Elapsed Time for: 'L.f': 22s
+// Tcl Message: update_compile_order -fileset sources_1 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,086 MB. GUI used memory: 78 MB. Current time: 5/5/25, 2:23:10 PM CEST
+// Elapsed time: 24 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// PAPropertyPanels.initPanels (wave_generator.vhd) elapsed time: 0.4s
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// HMemoryUtils.trashcanNow. Engine heap size: 2,114 MB. GUI used memory: 80 MB. Current time: 5/5/25, 2:23:35 PM CEST
+// Elapsed time: 117 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 6, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 6, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 7, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_ADSR_module(behavior) (tb_ADSR_module.vhd)]", 13, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,157 MB. GUI used memory: 81 MB. Current time: 5/5/25, 2:25:40 PM CEST
+// Elapsed time: 13 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+// Elapsed time: 19 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd), uut : module_B(behavioral) (module_B.vhd)]", 10, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd), uut : module_B(behavioral) (module_B.vhd)]", 10, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd), uut : module_B(behavioral) (module_B.vhd)]", 10, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+// Elapsed time: 16 seconds
+collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 9); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+// Elapsed time: 21 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_module_B' INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... 
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: LOAD_FEATURE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... 
+// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design 
+// Tcl Message: INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj tb_module_B_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_B.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_B' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_B.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_B' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8278.188 ; gain = 0.000 ; free physical = 6665 ; free virtual = 16217 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '6' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Built simulation snapshot tb_module_B_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// Tcl Message: execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 8278.188 ; gain = 0.000 ; free physical = 6712 ; free virtual = 16312 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: LOAD_FEATURE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,192 MB. GUI used memory: 84 MB. Current time: 5/5/25, 2:27:20 PM CEST
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// [Engine Memory]: 2,206 MB (+28006kb) [00:09:06]
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Elapsed time: 21 seconds
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MODEL_EVENT
+// Tcl Message: source tb_module_B.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 2,247 MB. GUI used memory: 88 MB. Current time: 5/5/25, 2:27:23 PM CEST
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// Tcl Message: # run 1000ns 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:23 . Memory (MB): peak = 8372.191 ; gain = 94.004 ; free physical = 6760 ; free virtual = 16380 
+// Elapsed Time for: 'h': 22s
+// 'd' command handler elapsed time: 22 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 88 MB. Current time: 5/5/25, 2:27:29 PM CEST
+// Elapsed time: 12 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:27:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:27:43 PM CEST
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 89 MB. Current time: 5/5/25, 2:27:49 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:29:25 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:29:26 PM CEST
+// Elapsed time: 103 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:29:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,240 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:29:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1292 ms. Increasing delay to 3876 ms.
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [Engine Memory]: 3,246 MB (+974195kb) [00:13:04]
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,246 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:31:19 PM CEST
+// Elapsed time: 112 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:31:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:31:40 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:31:44 PM CEST
+// Elapsed time: 25 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_B.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 1", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// [GUI Memory]: 170 MB (+5082kb) [00:13:43]
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:31:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:32:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:32:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 58 seconds
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// Elapsed time: 31 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:33:39 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 140 seconds
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_B(behavioral) ; VHDL Entity", 1, "uut", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:36:03 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:36:15 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 25 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 46, 130); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (835, 399, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:36:27 PM CEST
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:36:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0], [4]]", 4, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 177, 135); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (962, 402, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:36:43 PM CEST
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,896 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,244 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,157 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,505 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:09 PM CEST
+// Elapsed time: 45 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:45 PM CEST
+selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 265, 217); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (1045, 480); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (961, 474); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 5, -8); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (801, 281); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+/********** endDrag (1147, 561); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 578, 275); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (1311, 536); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,285 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,505 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:37:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:37:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (795, 322); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:02 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 8, 46); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (803, 330); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,257 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:07 PM CEST
+/********** endDrag (1320, 431); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 19, 72); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (812, 349); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,241 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1628, 388); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 55, 90); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** startDrag (855, 355); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,933 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,933 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:19 PM CEST
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,805 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** endDrag (1357, 400); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:20 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 475, 82); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (1262, 343); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,242 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (956, 395); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:23 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 23, 136); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (815, 415); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:38:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1078, 415); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 94 MB. Current time: 5/5/25, 2:38:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:38:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:38:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 25 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 369, 137); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1160, 399, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:39:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:40:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:41:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:41:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:41:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:41:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:41:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,896 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:42:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:42:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,635 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:06 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,026 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,159 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,028 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,767 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,506 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,634 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,245 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,898 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,376 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:43:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,637 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,243 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:44:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,255 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:44:57 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 88 MB. Current time: 5/5/25, 2:44:58 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 89 MB. Current time: 5/5/25, 2:45:18 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:20 PM CEST
+// Elapsed time: 391 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 141, 132); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (631, 413); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (673, 421); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,808 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,547 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,547 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,675 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:45:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,069 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:02 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 91 MB. Current time: 5/5/25, 2:46:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 90 MB. Current time: 5/5/25, 2:46:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:48:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,936 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:48:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 183 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 161, 139); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (664, 401, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 184, 75); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (687, 337, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:48:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,805 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,808 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,808 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,069 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,808 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:32 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,414 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,069 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,808 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,416 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,199 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,938 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 93 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,283 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,327 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,677 MB. GUI used memory: 92 MB. Current time: 5/5/25, 2:49:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 86 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 122, 133); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (620, 400, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_B(behavioral) ; VHDL Entity", 1, "uut", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_B(behavioral) ; VHDL Entity", 1, "uut", 0, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+selectCodeEditor("module_B.vhd", 750, 373); // ac (module_B.vhd)
+selectCodeEditor("module_B.vhd", 23, 382); // ac (module_B.vhd)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 109 seconds
+selectButton(PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR, "Flow Navigator"); // A (PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR)
+selectTab((HResource) null, (HResource) null, "Protocol Instances", 1); // aa
+selectTab((HResource) null, (HResource) null, "Objects", 0); // aa
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 24, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 24, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_C [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 29m:32s
+// Elapsed Time for: 'L.f': 29m:34s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj tb_module_C_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_package.vhd" into library xil_defaultlib INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_C.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_C' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_C.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_C' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Built simulation snapshot tb_module_C_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 2,399 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:52:33 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: source tb_module_C.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8525.070 ; gain = 45.816 ; free physical = 6208 ; free virtual = 15967 
+// 'd' command handler elapsed time: 6 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,399 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:52:34 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,399 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:52:36 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:52:43 PM CEST
+// Elapsed time: 42 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 9, 49); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (650, 332); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (944, 358); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 104, 131); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (738, 401); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:36 PM CEST
+/********** endDrag (778, 400); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 48, 122); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (687, 401); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (717, 402); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 160, 141); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (796, 403, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:41 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 206, 142); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (842, 404, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 78, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (714, 406, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 457, 140); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1093, 402, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:44 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 558, 143); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1195, 405, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 777, 138); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1414, 400, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 689, 146); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (1321, 405); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1300, 402); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 97, -9); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:50 PM CEST
+/********** startDrag (721, 271); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:51 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// [Engine Memory]: 3,433 MB (+26576kb) [00:35:38]
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,783 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:53 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,653 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:54 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,175 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,651 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,175 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,783 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,175 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,653 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,781 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,783 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,305 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,653 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:57 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,044 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:53:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,403 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,914 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,392 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 12 seconds
+/********** endDrag (647, 305); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 14, 81); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (653, 357); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:07 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,044 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (650, 357); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 13, 81); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (653, 357); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:10 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:10 PM CEST
+/********** endDrag (654, 359); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 323, 55); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (930, 331); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:14 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:15 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,653 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:16 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,781 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (934, 338); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:18 PM CEST
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 11, 84); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (651, 357); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 104 MB. Current time: 5/5/25, 2:54:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 104 MB. Current time: 5/5/25, 2:54:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (661, 352); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:23 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 12, 82); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (652, 359); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:25 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 104 MB. Current time: 5/5/25, 2:54:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 103 MB. Current time: 5/5/25, 2:54:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,166 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,166 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,392 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:29 PM CEST
+/********** endDrag (936, 471); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:31 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,433 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 102 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:54:52 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:55:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:55:03 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// Elapsed time: 48 seconds
+expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:55:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:55:28 PM CEST
+// Elapsed time: 11 seconds
+selectTab((HResource) null, (HResource) null, "Protocol Instances", 1); // aa
+selectTab((HResource) null, (HResource) null, "Objects", 0); // aa
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,389 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:55:33 PM CEST
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+selectTab((HResource) null, (HResource) null, "Scope", 0); // aa
+selectTab((HResource) null, (HResource) null, "Protocol Instances", 1); // aa
+selectTab((HResource) null, (HResource) null, "Objects", 0); // aa
+selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SC_sine_out[15:0] ; 0000 ; Array", 3, "SC_sine_out[15:0]", 0, true); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) - Node
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,434 MB. GUI used memory: 98 MB. Current time: 5/5/25, 2:55:40 PM CEST
+selectTab((HResource) null, (HResource) null, "Protocol Instances", 1); // aa
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_B.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_B.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_C(behavioral) ; VHDL Entity", 1, "uut", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_C(behavioral) ; VHDL Entity", 1, "uut", 0, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_C.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 15 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed time: 23 seconds
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Analog"); // ad (Analog)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:56:36 PM CEST
+// Elapsed time: 50 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 120, 213); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (982, 475); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (982, 472); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 69, 200); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (933, 464); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (995, 477); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 211, 213); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1065, 476, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:32 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 239, 0); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** startDrag (1088, 269); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,892 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:34 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,631 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,023 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,501 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,022 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:39 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,023 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,023 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,153 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,023 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,500 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,892 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:44 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:45 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:46 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,153 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,764 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,761 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,025 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,372 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,155 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,894 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,283 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:50 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// Elapsed time: 16 seconds
+/********** endDrag (1081, 420); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:50 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 261, 0); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** startDrag (1119, 269); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 101 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,414 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,286 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** endDrag (1382, 348); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:57 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 12, 129); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (874, 394); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:57:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 99 MB. Current time: 5/5/25, 2:57:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:57:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:58:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:58:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:58:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1114, 495); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:58:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,370 MB. GUI used memory: 100 MB. Current time: 5/5/25, 2:58:01 PM CEST
+// Elapsed time: 212 seconds
+selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 25, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 25, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_D [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 38m:51s
+// Elapsed Time for: 'L.f': 38m:52s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj tb_module_D_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_D.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_D' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_D.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_D' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,435 MB. GUI used memory: 107 MB. Current time: 5/5/25, 3:01:49 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source tb_module_D.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8566.898 ; gain = 21.750 ; free physical = 6167 ; free virtual = 15938 
+// 'd' command handler elapsed time: 5 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,435 MB. GUI used memory: 106 MB. Current time: 5/5/25, 3:01:51 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,425 MB. GUI used memory: 106 MB. Current time: 5/5/25, 3:02:07 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 19 seconds
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Analog"); // ad (Analog)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,425 MB. GUI used memory: 106 MB. Current time: 5/5/25, 3:02:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,425 MB. GUI used memory: 106 MB. Current time: 5/5/25, 3:03:30 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 79 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_E(behavior) (tb_module_E.vhd)]", 26, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_E(behavior) (tb_module_E.vhd)]", 26, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_E [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 40m:50s
+// Elapsed Time for: 'L.f': 40m:52s
+selectTab((HResource) null, (HResource) null, "Scope", 0); // aa
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj tb_module_E_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_E' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_E.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_E' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 3,534 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:03:50 PM CEST
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 2,753 MB. GUI used memory: 114 MB. Current time: 5/5/25, 3:03:51 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source tb_module_E.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8628.727 ; gain = 55.742 ; free physical = 6085 ; free virtual = 15874 
+// 'd' command handler elapsed time: 6 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:03:53 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:03:58 PM CEST
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 6, 63); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (923, 329); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,523 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:04 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,395 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,742 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:05 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1212, 509); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:08 PM CEST
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Analog"); // ad (Analog)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,523 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,523 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,264 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,873 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:21 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,523 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,523 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,134 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:04:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// HMemoryUtils.trashcanNow. Engine heap size: 2,479 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:04:55 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 45 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 27, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 27, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_F [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 42m:12s
+// [GUI Memory]: 192 MB (+15101kb) [00:46:46]
+// Elapsed Time for: 'L.f': 42m:14s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj tb_module_F_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_F.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_F' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_F.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_F' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: Built simulation snapshot tb_module_F_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// WARNING: HEventQueue.dispatchEvent() is taking  1055 ms.
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 3,595 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:05:13 PM CEST
+// Tcl Message: source tb_module_F.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 8697.367 ; gain = 63.629 ; free physical = 5986 ; free virtual = 15792 
+// 'd' command handler elapsed time: 8 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,551 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:05:14 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:05:18 PM CEST
+// Elapsed time: 34 seconds
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 23 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 10, 29); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (983, 296); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+/********** endDrag (1055, 355); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 9, 68); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (982, 330); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 120 MB. Current time: 5/5/25, 3:06:17 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:18 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:19 PM CEST
+/********** endDrag (1079, 400); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 119 MB. Current time: 5/5/25, 3:06:21 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,550 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:08:47 PM CEST
+// Elapsed time: 149 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_H(behavioral) (tb_module_H.vhd)]", 29, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_H(behavioral) (tb_module_H.vhd)]", 29, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_H [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 46m:04s
+// Elapsed Time for: 'L.f': 46m:06s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj tb_module_H_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_H.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_H' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_H.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_H' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_H_behav -key {Behavioral:sim_1:Functional:tb_module_H} -tclbatch {tb_module_H.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 2,616 MB. GUI used memory: 125 MB. Current time: 5/5/25, 3:09:02 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source tb_module_H.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_H_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8769.004 ; gain = 63.629 ; free physical = 6062 ; free virtual = 15836 
+// 'd' command handler elapsed time: 5 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,616 MB. GUI used memory: 124 MB. Current time: 5/5/25, 3:09:03 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,616 MB. GUI used memory: 124 MB. Current time: 5/5/25, 3:09:05 PM CEST
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 10, 38); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (964, 329); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 126 MB. Current time: 5/5/25, 3:09:11 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 125 MB. Current time: 5/5/25, 3:09:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 125 MB. Current time: 5/5/25, 3:09:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1056, 379); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 125 MB. Current time: 5/5/25, 3:09:12 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 125 MB. Current time: 5/5/25, 3:09:14 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 124 MB. Current time: 5/5/25, 3:10:30 PM CEST
+// Elapsed time: 79 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_G(testbench) (tb_module_G.vhd)]", 29, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_G(testbench) (tb_module_G.vhd)]", 29, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_G [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 47m:46s
+// [Engine Memory]: 3,666 MB (+63616kb) [00:52:22]
+// Elapsed Time for: 'L.f': 47m:48s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: xvhdl --incr --relax -prj tb_module_G_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_G.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_G' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_G.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_G' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 3,722 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:10:47 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: Time resolution is 1 ps 
+// Tcl Message: source tb_module_G.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8838.645 ; gain = 63.555 ; free physical = 5968 ; free virtual = 15761 
+// 'd' command handler elapsed time: 5 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,678 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:10:48 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 9, 90); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (1123, 376); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:10:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:10:56 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1149, 380); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:10:58 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 16, 159); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (1131, 421); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:11:00 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:01 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1657, 496); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:03 PM CEST
+// Elapsed time: 12 seconds
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 192, 55); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** leftMouseClick (1291, 330, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 21); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1255, 298, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 144, 23); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** leftMouseClick (1245, 301, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 216, -13); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** startDrag (1309, 266); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,584 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,801 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,453 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,062 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,670 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:20 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,581 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,062 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,801 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:11:22 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,931 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,670 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:23 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,584 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,931 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:24 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:11:25 PM CEST
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,584 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:26 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,584 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:27 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,062 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,801 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:28 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:29 PM CEST
+// Elapsed time: 10 seconds
+/********** endDrag (1140, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 64, -12); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** startDrag (1175, 267); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:35 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:11:36 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,668 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:37 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 3,712 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// HMemoryUtils.trashcanNow. Engine heap size: 2,801 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:38 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+/********** endDrag (1168, 409); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Analog"); // ad (Analog)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:11:59 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Analog"); // ad (Analog)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:12:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:12:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:08 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,713 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,713 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,324 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,063 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,713 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,713 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,583 MB. GUI used memory: 130 MB. Current time: 5/5/25, 3:12:09 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 3,324 MB. GUI used memory: 132 MB. Current time: 5/5/25, 3:12:09 PM CEST
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Digital"); // ad (Digital)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenuItem((HResource) null, "Digital"); // ad (Digital)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:12:19 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 129 seconds
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_dout[7:0]]", 1, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_dout[7:0]]", 1, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Radix"); // am (Radix)
+selectMenu("Radix"); // am (Radix)
+selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_din[7:0]]", 0, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,669 MB. GUI used memory: 131 MB. Current time: 5/5/25, 3:14:40 PM CEST
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_din[7:0]]", 0, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Name"); // am (Name)
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Radix"); // am (Radix)
+selectMenu("Radix"); // am (Radix)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 23 seconds
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_G(behavioral) ; VHDL Entity", 1, "uut", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_G(behavioral) ; VHDL Entity", 1, "uut", 0, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click
+// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_OPEN_SOURCE
+selectCodeEditor("module_G.vhd", 12, 293); // ac (module_G.vhd)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 7*", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// Elapsed time: 32 seconds
+selectCheckBox(RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", true); // f (RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN): TRUE
+selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Confirm Close"); // t (dialog0)
+// TclEventType: SIMULATION_CURRENT_SIMULATION
+// [GUI Memory]: 209 MB (+7295kb) [00:57:34]
+// Tcl Message: current_sim simulation_1 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_CLOSE_WCFG
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_CLOSE_WCFG
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,632 MB. GUI used memory: 124 MB. Current time: 5/5/25, 3:15:56 PM CEST
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,588 MB. GUI used memory: 120 MB. Current time: 5/5/25, 3:16:00 PM CEST
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,545 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:16:04 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+dismissDialog("Close"); // bj (Close Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 3,543 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:16:07 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:16:08 PM CEST
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 30 seconds
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_din[7:0]]", 0, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Radix"); // am (Radix)
+selectMenu("Radix"); // am (Radix)
+selectMenuItem((HResource) null, "Binary"); // ad (Binary)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:42 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 152, 31); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (1259, 308); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+/********** endDrag (1319, 350); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 155, 50); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+/********** startDrag (1257, 331); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 111 MB. Current time: 5/5/25, 3:16:47 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** endDrag (1331, 361); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,446 MB. GUI used memory: 112 MB. Current time: 5/5/25, 3:16:48 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_dout[7:0]]", 1, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+selectMenu("Name"); // am (Name)
+selectMenu("Waveform Style"); // am (Waveform Style)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Radix"); // am (Radix)
+selectMenu("Radix"); // am (Radix)
+selectMenu("Signal Color"); // am (Signal Color)
+selectMenu("Waveform Style"); // am (Waveform Style)
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+selectMenu("Radix"); // am (Radix)
+selectMenuItem((HResource) null, "Binary"); // ad (Binary)
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// Elapsed time: 71 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_I(behavioral) (tb_module_I.vhd)]", 30, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_I(behavioral) (tb_module_I.vhd)]", 30, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
+// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// Tcl Message: set_property top tb_module_I [get_filesets sim_1] 
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: DG_GRAPH_STALE
+// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
+// TclEventType: DG_GRAPH_STALE
+// Elapsed Time for: 'L.f': 55m:27s
+// Elapsed Time for: 'L.f': 55m:29s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
+// TclEventType: LAUNCH_SIM
+// TclEventType: FILE_SET_OPTIONS_CHANGE
+// TclEventType: PACKAGER_MESSAGE_RESET
+// TclEventType: PACKAGER_UNLOAD_CORE
+// Tcl Message: launch_simulation 
+// Tcl Message: Command: launch_simulation  
+// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xvhdl --incr --relax -prj tb_module_I_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: /homes/a24carne/Documents/SAR: binary operator expected 
+// TclEventType: LAUNCH_SIM_LOG
+// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_I.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_I' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/tb_module_I.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_I' Waiting for jobs to finish... No pending jobs, compilation finished. 
+// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' 
+// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log 
+// TclEventType: LAUNCH_SIM
+// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design 
+// Tcl Message: INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
+// Tcl Message:    with args "tb_module_I_behav -key {Behavioral:sim_1:Functional:tb_module_I} -tclbatch {tb_module_I.tcl} -log {simulate.log}" 
+// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
+// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: Time resolution is 1 ps 
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_OPEN_WCFG
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:18:25 PM CEST
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_UPDATE_COMMANDS
+// TclEventType: WAVEFORM_MOVE_CURSOR
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_LATEST_TIME
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
+// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
+// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
+// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
+// TclEventType: SIMULATION_UPDATE_LOCALS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
+// Tcl Message: source tb_module_I.tcl 
+// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
+// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_I_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
+// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8859.723 ; gain = 0.000 ; free physical = 6153 ; free virtual = 15932 
+// 'd' command handler elapsed time: 7 seconds
+dismissDialog("Run Simulation"); // e (Run Simulation Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,503 MB. GUI used memory: 118 MB. Current time: 5/5/25, 3:18:27 PM CEST
+// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: WAVEFORM_MODEL_EVENT
+// TclEventType: WAVEFORM_UPDATE_TITLE
+// TclEventType: WAVEFORM_CLOSE_WCFG
+selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
+// TclEventType: SIMULATION_CURRENT_SIMULATION
+// Tcl Message: current_sim simulation_7 
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,454 MB. GUI used memory: 115 MB. Current time: 5/5/25, 3:18:32 PM CEST
+closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
+selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 13, 178); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+/********** startDrag (1126, 452); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 115 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 115 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 115 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 117 MB. Current time: 5/5/25, 3:18:40 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:41 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 117 MB. Current time: 5/5/25, 3:18:41 PM CEST
+/********** endDrag (1223, 483); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,683 MB. GUI used memory: 116 MB. Current time: 5/5/25, 3:18:43 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 115 MB. Current time: 5/5/25, 3:22:33 PM CEST
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,398 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:22:33 PM CEST
+// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
+// TclEventType: SIMULATION_UPDATE_STACKS
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: WAVEFORM_UPDATE_WAVEFORM
+// HMemoryUtils.trashcanNow. Engine heap size: 2,400 MB. GUI used memory: 113 MB. Current time: 5/5/25, 3:22:34 PM CEST
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Elapsed time: 241 seconds
+selectButton(PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV, (String) null); // B (PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV)
+// Run Command: PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV
+// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
+// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
+// Elapsed time: 26 seconds
+selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE)
+closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - tb_module_I", "DesignTask.SIMULATION");
+selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Confirm Close"); // t (dialog1)
+// TclEventType: WAVEFORM_CLOSE_WCFG
+// TclEventType: SIMULATION_CLOSE_SIMULATION
+// Tcl Message: close_sim 
+// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
+dismissDialog("Close"); // bj (Close Progress)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+// Elapsed time: 209 seconds
+selectCodeEditor("wave_generator.vhd", 173, 363); // ac (wave_generator.vhd)
+// Elapsed time: 106 seconds
+selectCodeEditor("wave_generator.vhd", 91, 218); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 91, 218, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+// Elapsed time: 39 seconds
+selectCodeEditor("wave_generator.vhd", 173, 351); // ac (wave_generator.vhd)
+// Elapsed time: 25 seconds
+selectCodeEditor("wave_generator.vhd", 173, 324); // ac (wave_generator.vhd)
+// Elapsed time: 26 seconds
+selectCodeEditor("wave_generator.vhd", 199, 187); // ac (wave_generator.vhd)
+// Elapsed time: 14 seconds
+selectCodeEditor("wave_generator.vhd", 185, 239); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 183, 239); // ac (wave_generator.vhd)
+// Elapsed time: 21 seconds
+selectCodeEditor("wave_generator.vhd", 82, 208); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 80, 203); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 202, 324); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 13 seconds
+selectCodeEditor("wave_generator.vhd", 193, 316); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 192, 309); // ac (wave_generator.vhd)
+// Elapsed time: 13 seconds
+selectCodeEditor("wave_generator.vhd", 192, 312); // ac (wave_generator.vhd)
+// Elapsed time: 28 seconds
+selectCodeEditor("wave_generator.vhd", 158, 205); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 158, 206); // ac (wave_generator.vhd)
+// Elapsed time: 49 seconds
+selectCodeEditor("wave_generator.vhd", 209, 325); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 270, 323); // ac (wave_generator.vhd)
+// Elapsed time: 33 seconds
+selectCodeEditor("wave_generator.vhd", 199, 183); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 226, 402); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 234, 386); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("wave_generator.vhd", 158, 248); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 162, 248); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 160, 248); // ac (wave_generator.vhd)
+// Elapsed time: 18 seconds
+selectCodeEditor("wave_generator.vhd", 159, 241); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 155, 249); // ac (wave_generator.vhd)
+// Elapsed time: 12 seconds
+selectCodeEditor("wave_generator.vhd", 174, 248); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 174, 248, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+// Elapsed time: 25 seconds
+selectCodeEditor("wave_generator.vhd", 158, 176); // ac (wave_generator.vhd)
+// Elapsed time: 27 seconds
+selectCodeEditor("wave_generator.vhd", 221, 116); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 221, 116, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 173, 127); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 173, 127, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 13 seconds
+selectCodeEditor("wave_generator.vhd", 227, 177); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 227, 177, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 159, 145); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 246, 130); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 246, 130, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 159, 117); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 90 seconds
+selectCodeEditor("wave_generator.vhd", 145, 245); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 145, 245, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 155, 317); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 169, 299); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 170, 308); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 157, 312); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 157, 312, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 172, 282); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 214, 127); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 214, 127, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 157, 260); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 16 seconds
+selectCodeEditor("wave_generator.vhd", 158, 292); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 222, 188); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 222, 188, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 159, 306); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 13 seconds
+selectCodeEditor("wave_generator.vhd", 207, 315); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 207, 315, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 214, 312); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 214, 312, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 157, 149); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 134, 142); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 134, 142, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+// Elapsed time: 14 seconds
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 155, 279); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 39 seconds
+selectCodeEditor("wave_generator.vhd", 183, 279); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 183, 279, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 155, 39); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 118, 265); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 118, 265, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 157, 302); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 45 seconds
+selectCodeEditor("wave_generator.vhd", 119, 328); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 119, 328, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 153, 349); // ac (wave_generator.vhd)
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 158, 343); // ac (wave_generator.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("wave_generator.vhd", 173, 368); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 184, 364); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 180, 380); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 174, 371); // ac (wave_generator.vhd)
+// Elapsed time: 78 seconds
+selectButton(PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR, "Flow Navigator"); // A (PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save", "Save Project"); // a (PAResourceQtoS.SaveProjectUtils_SAVE)
+// TclEventType: DG_GRAPH_STALE
+dismissDialog("Save Project"); // Z.d (dialog2)
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 01h:19m:33s
+// Elapsed Time for: 'L.f': 01h:19m:37s
+// Elapsed time: 17 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog3)
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: [Mon May  5 15:42:37 2025] Launched synth_1... Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log 
+// 'i' command handler elapsed time: 20 seconds
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 82 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog4)
+// Elapsed time: 25 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 6, actual width = 5 [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:16]. ]", 4, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd;-;;-;16;-;line;-;16;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+// Elapsed time: 17 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_E' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:21]. ]", 5, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd;-;;-;16;-;line;-;21;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_E' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:21]. ]", 5, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd;-;;-;16;-;line;-;21;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+expandTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_E' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:21]. ]", 5); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_E' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:21]. , [Synth 8-285] failed synthesizing module 'wave_generator' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd:31]. ]", 6, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/wave_generator.vhd;-;;-;16;-;line;-;31;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_E' [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:21]. ]", 5, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+// Elapsed time: 24 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 6, actual width = 5 [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:16]. ]", 4, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 6, actual width = 5 [/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd:16]. ]", 4, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/src/hdl/module_E.vhd;-;;-;16;-;line;-;16;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+// Elapsed time: 36 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed time: 170 seconds
+selectCodeEditor("wave_generator.vhd", 235, 349); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 405, 359); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 230, 351); // ac (wave_generator.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 01h:26m:19s
+// Elapsed time: 12 seconds
+selectCodeEditor("wave_generator.vhd", 224, 413); // ac (wave_generator.vhd)
+// Elapsed Time for: 'L.f': 01h:26m:23s
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 01h:26m:27s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+// Elapsed Time for: 'L.f': 01h:26m:31s
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog5)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Mon May  5 15:49:20 2025] Launched synth_1... Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 72 seconds
+selectButton(RDIResource.BaseDialog_CANCEL, "Cancel", "Synthesis Failed"); // a (RDIResource.BaseDialog_CANCEL)
+dismissDialog("Synthesis Failed"); // Q.a (dialog6)
+selectCodeEditor("wave_generator.vhd", 202, 202); // ac (wave_generator.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("wave_generator.vhd", 230, 349); // ac (wave_generator.vhd)
+typeControlKey(null, null, 'z');
+typeControlKey(null, null, 'z');
+selectCodeEditor("wave_generator.vhd", 195, 21, true, false, false, false, false); // ac (wave_generator.vhd) - Shift Key
+typeControlKey(null, null, 'z');
+selectCodeEditor("wave_generator.vhd", 230, 304); // ac (wave_generator.vhd)
+typeControlKey(null, null, 'z');
+typeControlKey(null, null, 'z');
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 01h:28m:21s
+// Elapsed Time for: 'L.f': 01h:28m:25s
+// Elapsed time: 32 seconds
+selectCodeEditor("wave_generator.vhd", 357, 268); // ac (wave_generator.vhd)
+// Elapsed time: 12 seconds
+selectCodeEditor("wave_generator.vhd", 357, 268); // ac (wave_generator.vhd)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog7)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Mon May  5 15:52:09 2025] Launched synth_1... Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/synth_1/runme.log 
+// 'i' command handler elapsed time: 10 seconds
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// HMemoryUtils.trashcanNow. Engine heap size: 2,463 MB. GUI used memory: 97 MB. Current time: 5/5/25, 3:52:35 PM CEST
+// WARNING: HTimer (ExpRunMonitor Update Timer) is taking 462ms to process. Increasing delay to 3000 ms.
+// Elapsed time: 114 seconds
+selectTab(PAResourceItoN.LogView_TABBED_PANE, (HResource) null, "Synthesis", 0); // g (PAResourceItoN.LogView_TABBED_PANE, MonitorView)
+// WARNING: HSwingWorker (Update Runs Swing Worker) is taking 1348 ms. Increasing delay to 3000 ms.
+// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1169 ms to process. Increasing delay to 2000 ms.
+// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 1154 ms to process. Increasing delay to 2000 ms.
+// TclEventType: RUN_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 13 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Completed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog9)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs impl_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Mon May  5 15:54:19 2025] Launched impl_1... Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// Elapsed time: 28 seconds
+selectCodeEditor("wave_generator.vhd", 152, 175); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 223, 194); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 202, 171); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 232, 171); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 232, 171, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 153, 201); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 89, 205); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 191, 222); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 203, 172); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 288, 171); // ac (wave_generator.vhd)
+typeControlKey(null, null, 'z');
+selectCodeEditor("wave_generator.vhd", 161, 168); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 161, 168, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 43, 225); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 320, 408); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 191, 364); // ac (wave_generator.vhd)
+// TclEventType: RUN_STEP_COMPLETED
+// WARNING: HTimer (ExpRunMonitor Update Timer) is taking 455ms to process. Increasing delay to 3000 ms.
+// TclEventType: RUN_STEP_COMPLETED
+// TclEventType: RUN_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Run Command: PAResourceCommand.PACommandNames_GOTO_IMPLEMENTED_DESIGN
+// Tcl Message: open_run impl_1 
+// TclEventType: SDC_CONSTRAINT_ADD
+// TclEventType: POWER_CNS_STALE
+// TclEventType: SDC_CONSTRAINT_ADD
+// TclEventType: FLOORPLAN_MODIFY
+// TclEventType: DESIGN_NEW
+// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 99 MB. Current time: 5/5/25, 3:57:06 PM CEST
+// TclEventType: DESIGN_NEW
+// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 2s
+// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
+// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1.1s
+// DeviceView Instantiated
+// WARNING: HEventQueue.dispatchEvent() is taking  3252 ms.
+// TclEventType: CURR_DESIGN_SET
+// Tcl Message: INFO: [Device 21-403] Loading part xc7a200tsbg484-1 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. 
+// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.07 . Memory (MB): peak = 8867.992 ; gain = 0.000 ; free physical = 5570 ; free virtual = 15489 
+// Tcl Message: INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2024.1 INFO: [Project 1-570] Preparing netlist for logic optimization 
+// Tcl Message: Read ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 8956.586 ; gain = 3.000 ; free physical = 5479 ; free virtual = 15398 
+// Tcl Message: INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases. 
+// Tcl Message: Reading placement. 
+// Tcl Message: Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4944 ; free virtual = 14862 
+// Tcl Message: Reading placer database... 
+// Tcl Message: Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4944 ; free virtual = 14863 Read PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.34 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849 Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9595.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849 
+// Tcl Message: Reading routing. 
+// Tcl Message: Read RouteStorage: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849 Read Physdb Files: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.46 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849 
+// Tcl Message: Restored from archive | CPU: 0.570000 secs | Memory: 15.365479 MB | 
+// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.46 . Memory (MB): peak = 9597.336 ; gain = 2.000 ; free physical = 4930 ; free virtual = 14849 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9597.336 ; gain = 0.000 ; free physical = 4930 ; free virtual = 14849 
+// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary:   A total of 2 instances were transformed.   IOBUF => IOBUF (IBUF, OBUFT): 2 instances  
+// TclEventType: CURR_DESIGN_SET
+// Device view-level: 0.0
+// RouteApi: Init Delay Mediator Swing Worker Finished
+// Tcl Message: open_run: Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 9854.168 ; gain = 994.445 ; free physical = 4731 ; free virtual = 14673 
+// TclEventType: DRC_ADDED
+// TclEventType: METHODOLOGY_ADDED
+// TclEventType: POWER_UPDATED
+// [GUI Memory]: 226 MB (+7288kb) [01:38:57]
+// [Engine Memory]: 3,895 MB (+48611kb) [01:38:57]
+// WARNING: HEventQueue.dispatchEvent() is taking  1389 ms.
+// TclEventType: TIMING_SUMMARY_UPDATED
+// Elapsed Time for: 'o.a': 26s
+// 'dQ' command handler elapsed time: 27 seconds
+// Elapsed time: 111 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Implementation Completed"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Open Implemented Design"); // bj (Open Implemented Design Progress)
+// [GUI Memory]: 241 MB (+3684kb) [01:38:59]
+// Elapsed time: 176 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Methodology Violations"); // a (RDIResource.BaseDialog_OK)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Methodology Violations"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Methodology Violations"); // aH (dialog11)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 33, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
+// Elapsed time: 10 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// 'cI' command handler elapsed time: 10 seconds
+dismissDialog("Launch Runs"); // cL (dialog12)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Mon May  5 16:00:34 2025] Launched impl_1... Run output will be captured here: /homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/runme.log 
+dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// Elapsed time: 126 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Bitstream Generation Completed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW
+dismissDialog("Bitstream Generation Completed"); // Q.a (dialog13)
+// [GUI Memory]: 257 MB (+3383kb) [01:45:05]
+// Elapsed time: 68 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 34, true); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
+// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
+// Tcl (Dont Echo) Command: 'rdi::info_commands get_hw_probes'
+// Tcl (Dont Echo) Command: 'load_features labtools'
+// TclEventType: LOAD_FEATURE
+// TclEventType: HW_SESSION_OPEN
+// Tcl Message: open_hw_manager 
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+dismissDialog("Open Hardware Manager"); // bj (Open Hardware Manager Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 3,944 MB. GUI used memory: 165 MB. Current time: 5/5/25, 4:03:50 PM CEST
+// WARNING: HEventQueue.dispatchEvent() is taking  1067 ms.
+// Elapsed time: 89 seconds
+selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // g (PAResourceOtoP.ProgramDebugTab_OPEN_TARGET)
+selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ap (PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, auto_connect_target_menu)
+// Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
+// Tcl Message: connect_hw_server -allow_non_jtag 
+// Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-2222] Launching hw_server... 
+// TclEventType: HW_SERVER_UPDATE
+// Tcl Message: INFO: [Labtools 27-2221] Launch Output:  ****** Xilinx hw_server v2024.1   **** Build date : May 22 2024 at 19:19:01     ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.   INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 INFO: [Labtools 27-3417] Launching cs_server... 
+// TclEventType: HW_SERVER_UPDATE
+// Tcl Message: INFO: [Labtools 27-2221] Launch Output:   ******** Xilinx cs_server v2024.1.0   ****** Build date   : Apr 27 2024-03:40:49     **** Build number : 2024.1.1714182049       ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.       ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.    
+// Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 9854.168 ; gain = 0.000 ; free physical = 4347 ; free virtual = 14344 
+// TclEventType: HW_TARGET_CHANGE
+// TclEventType: HW_TARGET_CLOSE
+// TclEventType: HW_DEVICE_CHANGE
+// TclEventType: HW_SYSMON_ADD
+// TclEventType: HW_TARGET_UPDATE
+// Tcl Message: open_hw_target 
+// Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79446B 
+// TclEventType: HW_DEVICE_CHANGE
+// Tcl Message: set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] 
+// Tcl Message: current_hw_device [get_hw_devices xc7a200t_0] 
+// TclEventType: HW_DEVICE_CHANGE
+// TclEventType: HW_SYSMON_CHANGE
+// TclEventType: HW_DEVICE_UPDATE
+// TclEventType: HW_DEVICE_CHANGE
+// Tcl Message: refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0] 
+// Tcl Message: INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. 
+dismissDialog("Auto Connect"); // bj (Auto Connect Progress)
+// Elapsed time: 13 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 35, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 35, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 35, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+selectMenuItem((HResource) null, "xc7a200t_0"); // ap (xc7a200t_0)
+// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
+// Tcl (Dont Echo) Command: 'rdi::info_commands get_hw_probes'
+// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA
+selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program", "Program Device"); // a (PAResourceOtoP.ProgramFpgaDialog_PROGRAM, RDIResource.BaseDialog_OK)
+dismissDialog("Program Device"); // aP (dialog14)
+// TclEventType: HW_DEVICE_CHANGE
+// Tcl Message: set_property PROBES.FILE {} [get_hw_devices xc7a200t_0] 
+// Tcl Message: set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0] 
+// TclEventType: HW_DEVICE_CHANGE
+// Tcl Message: set_property PROGRAM.FILE {/homes/a24carne/Documents/SAR Audio/tp-synthe-etudiant-a24carne/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] 
+// Tcl Message: program_hw_devices [get_hw_devices xc7a200t_0] 
+// TclEventType: HW_DEVICE_CHANGE
+// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH 
+// Tcl Message: program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9854.168 ; gain = 0.000 ; free physical = 4513 ; free virtual = 14492 
+// TclEventType: HW_DEVICE_CHANGE
+// TclEventType: HW_SYSMON_CHANGE
+// TclEventType: HW_DEVICE_UPDATE
+// TclEventType: HW_DEVICE_CHANGE
+// TclEventType: HW_DEVICE_PROBES_CHANGE
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// TclEventType: HW_DEVICE_PROBES_CHANGE
+// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0] 
+// Tcl Message: INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. 
+// 'D' command handler elapsed time: 11 seconds
+dismissDialog("Program Device"); // bj (Program Device Progress)
diff --git a/wave_generator.drawio.pdf b/wave_generator.drawio.pdf
new file mode 100644
index 0000000000000000000000000000000000000000..2c7f293e5f5c66d0fcf235e5742d9f18879ac2b1
GIT binary patch
literal 63964
zcmY!laB<T$)HCN&ZES38<l-_{Fi^-(%Hp!I;nH`@%qdANQqXtHNi0cqNlngAN#)Y_
zNzF?y$xtvfFf-(`v*Ri*DN0Su<*JzTHsWlTjJd$R@4;6rAKdyC>bc>^gRPsNBy_xO
zwK*VU-Ey~K?)Ub9pw-cj9r(KQXQs{!yzcRJ`TBiYQm)benVFixci2=J=iG~GJpTOc
zYcbJCnV8?xR|$8t9o~L_`~AA6{oB^hPSDNCx>q{aKTAkr5u03u7OVTJBVj8J{rdS)
z?w{_TZ>hU?hX45VU9E?;=Rsw1rf6@6%KZ7Cb|ya6ns$E85e=olgB+2oqkI=8B=&kN
z+&I~+;!ecL2`7|HlQU&zMay1|mF=0>nL9^6qU(9(v7}=&XV}Q!SQOB}S)_Z`^1GSH
z!d04U^t@J1)vQfp57u#ebVt-+vAcJ2n_8$w(fR~VBevp@i%OcoIt#-NaIF%_HaaIg
zO+zT5QOmdcd7L}H9_yM#oHI)j9lwULtVxW^xw24Ug6^IsVYe&GBpKPmrb#_$Qjv-Y
ziZIr{C&aqS&FWnEnzgeUqt)Eb7G*mxJ;n3x#w_9MieIv}GCO7~ZeF-RHO%IKwj0Y-
zvv1q3Wwkn;nCg5<TTx@qzU^i;;!PJiTbJirirrFNxZwr=>jN39wG(B<xB7;+-A<Gh
zKbyd|f+=yIdcw0qL7D8wLN0t3Te+RtIYI3PS4(NkmhRIW?{`d)<^BBVkhR{L#S7yN
zty{`tcE}Y3G=E_1DXe2suX&Wz81W;-{-MXq10_ozS2~&3JWx`$aF}=K)TI*Ljt}#?
zB?M)TPJYDLv-H#<_qOD<Cq$~YMh0%K3Xb6xZ(VvIc*ghq4I3OpnvOdP?5w$!!rr_7
zH~TK9<w^VxWINt|P>hwBAliHNE@R&GGQk@wnuGKwZ@BKWI{VPKS4Gi5)?6ElcJFB2
zoAz5(rs77o^|aY{D;-LY9`KV`!W~tX=D9<D!!6GY$AlC<_V}B9p=xb&uP@P=aW00V
z@GsxLxw><A>MXq!85(u(d0=pJ!(w^+)A<Ekw{H!4sq<v(75VK`Ip=+PxuDbatcpmy
zj^8`a=JxE#OL?YAh}l^`j$QHI=Zxnh_kVsycZJ`+Z7Ns4xxIDK`PJ4t|Ll1@^_#zm
z4DTbBvo}5bDq_FP5$^P^mr^`YJ~xMD_S%xSRn@u~(biAmOvSvlZBM;k^ir<1;@k7h
z3B3trzmC7{fBU(ubNZk2KNHsdj!3w^@qv|C%<-nz5e2f`>yi(a>in?pWu0%9bBrls
z3#Y=<^!oY_dq33Ff6!`Yc-hR<8`{UgV$;?-rF7DZ-^X74D4ka;CG1(EJ?+=Z*?R>_
zCjV3R-DeQBFw-jh@Rk!My6rP-OaEA%-=}a(KJnAii`Nd9%w0BhS*GooH>)3Cul%NZ
z@gKwF`P=ya|NXRP!IqT9rbj;?_57OF|J^_=UFe=oj`{vWZ{ME%y*vIM)4|u*^Y7iu
zHMbXkz{Nk`Tu%R|L>#AvXhp@nyv`@@Ol|(`+*@70eQ)&*yBzs#^$g#RycXn2%}arn
z7pZwEpi+j*(16(T#?aK<0JXrGdwZSg$-;T>zXxC0$|$CNekOxsLYj-vK7j;JmOl*4
z-c1)w6n_3?RQ~kk@7C+@a(CL=PI;iz@p9{;@VQ2hzqq^qE&O%i*Z;oPJNoX=`DD7a
zV%wD{ySjg;Z|~nXwaRLKpU5wH#U-ylEL`&V{=eh5>;LN?|6lX_x_SMzH%T2U=Xd8{
zo&WUIM%k5fcE%kQwSIi_Qz-x6_xJz5tPuTo+kWrgZ~hN|EdT%Y+1;7_6|(h}#rv)r
z$6bH=_P6-&d*@!Q>i>4P_4M3(zk~WK=IUJ$Sk3u&W~|21mWxZZw>*AsF21fJE?lSV
znX!3z(_fRhic2T-z3?mFY0-B+{oKjE^7OuoH+S9m_%uf~{%Oa*64UKZ-fVyS<=?7<
zEv7#UZbsfs{r={X;Nh8%pH6<QUhvLm>CK~$Bx}EhZ@mA@c)Ip3<z>b{ChR?VXr_0^
z&yJrwcE_I`|M>BY>hGc>MQrz-{Jz~TS@x*NF3EQB{zdN()wEsNWS?Z68}BWvuCcsZ
z^{R4gy5?5aYNqgWuWEGNKRtiyTzIqP>NQo<;3k1bqQ^ddU9<LB#gYte;iN6|w;9J=
z-Ko5E^VX7`RxK-!N%;yooel4K%yKqFb*l2!%~Oh=n$_KoTK)CJ^_Q!(@4Y(}?_O5+
zer<2{_v?X&F170C7e#Q!svc5&uD?;+?Q*o{#@DX7ufvYzPQUSW(a~hvgb(hWnR*AJ
zx--<@c5U>#aDDBK%H2snl64EtxOc|t-^w-idR6ssx!Iat?Uk=x-`u*e{l?P8OV){N
z=9F@L)X3C0W>Kf<=jpBQa?~qodHk!2o4jkHU;f$?vVF-y@oy~ACw(L4<~#B(TCz_p
z)#jj?oG|}U0d4KfH3fm)CW)&GBd=_V6TbeY$l}NW-;Ce#{2!TA<By*|?0Dn2etw;n
z@1^_vZ?zKVT1-9c>zeah?u}U6sb2wK%G!(6@8|KGy-~RSTghj3W~g{hRCw2>Er$hG
zXK#<4VRqX*@%3SocS_dQe;qhhF<(xMaomzxB^LaBZP;bbPByXV!w=U#x)NHxGx?Y4
zeAnf<x__R&vi|!1o{{DBqanM(zn=Y8eEUklfu-_&KY8Ql&Wd`~s<?XP|3Ay-J?O5z
zo#*7YS;lLUw%+|@@jKhM?Fq4YB6(!~$32gJMM%tf5@$c>Wz35!d3EKMzt!|+tvx(_
z^YK^TF1E=k1V}M)zAEju%vf|}`A3d_u`1I1*$YD!-`mo9!NkdLb*HP-OSARS7w)!s
z)ErBAT)M{N|L5EG`~N<^o%t*2|Mou#weK<=Yc4gZ>sBBW|L0$7s;{ul?s{u+`|6sI
zfYtAR9_*g*t8w+m71PvRroTNb{<~n>zL#IE^ncH{4X_N}8S{Emz-058k{kQ)rJP%u
zq9zz?9ow-}{>`lO+vbR+&yi+tUmaq-aR0fOy~crO&VAk5cW<s?>*>5FXY|xgNA9uT
z+if{xP24gEwJgi)i+6qIQe?Z|&zoMjHFff@H*fwe?oao*S{nK3XV$coC6}*C>{|0H
z=Jk#utJ%AQ^iNyF7i~TL#JGC%msjU!G_S4s@|5SCU1<F^fh^y@FRJ3g_b)E^rR|n}
zeO=hKox616ziztw=`GK~*YP&%CA$0nz9`$4bu8ks<m&Ew@`g8<rerM=yM6VPU~^<;
zm%DFz&rzo*=kwLp9Q0YSZ1Yi>D7&N&=_MOm7C$qdHsS55gY(zMoRr@>XL|m|>irT;
zy51dXzNSAV`XnAzE}ifx@b$~0yk$QW=V?~H_YL#%*_mJJ@qFsKsJ>h00`_W`-GAw`
zZdu8e*L!rfZP&}z{F$(6&gQr4W#^v15Tos0oL;c@mhiL9CY%30J9n+g|C_<lcZYT^
z{%L$&=J?dpGo8-;%XrAM^y#zT{MjG($?47u&;3~B&hxcIbw=*-%~sZ%Wn*$fv#g@5
zf=iYj{dYKNTj`dXUsa~XJPT({i@c+`EG_RvdB*b19T!eTW^tt*I4F02)9kSGBE`8|
zPX`%zXTRlH7ZU#b;Lb|!3D-;GwoaSz%l~!gA%SaibbMbPl3MKQ^jTH)s)7H7P2Fz`
zrrR%m-x;>W<R91a@`aH?xA%X0`}UsVY|noG%WEvV=cmO!ZK|97b;rFO=Js!`<ZW8y
z%kEy?YM+<cKEF)%`NeGpA3t2)aJ)38d#6mDR9TdE?ssEd>oc{RYzy1pFT4A!t#9w=
z%Uf>Wds6Tt^H%Pvj+M@@f{fo*`E2zpo11qlapm<?``6Rv{<-2Ce5B?_;!Rb#7pvu`
zy=}_aHh<dPWwAWcA1-hD+_?5f=FNyut3M`h-+6EQ`!n<QHiy%Gf4Agr&9JxcT|E8m
z?@Ax54}x{`cIT!G?aH~+Dr>v9Pk#GtzM9GN{X>s*|NQx<^4Ecf@BhTCEO{XQHzx4w
zg1TAXKHNKf{a5Dgj|bF$Z=CsR&)*wgAMTz${kya6Eb9)ox)p&cSwAvw+?`Wi^6~P9
zw@TVvKUW?QTKC87jo@pyn9sM>I_m3gpW~U5_$M!7rtDs)Rr9}P?2j>f^*HtZule@h
z72dK}tv`Ih`~SOFAD9BqJ$zLnv3>PUtD5e6(@&n^Ox;-@-nO4>yL#!;>TS<bJYyu~
z3{GB}c_t=xr|u^0!z<0q4o`hqoq9e-(r?$RXR|)6TD$&k2vd05<@JlS*Y&(;2<vTj
zmpQqpUQPNTn{8<-i(2{RjLA_Prx*R!J0H;Axx*$YY1hn2p4DGO78h5#++4Tsw3V*~
z^CqcHr<Kdv70lj$;`?O5E*xby;n6qc+QXmE$xJ)V+0LnZxZuaK8?DoNX1w&f{O)U$
z%_p(;<HhZqx(9cjD(fw~Hj#VgV*&lFM>EZG>$8obFDd2Sd^)kCbbk-K`NpR?&(gPT
zHeYyNFSM6SZHvu?snHCDl`1{Yl*-o_CT<hi;}KxAP<7!pL!tdXIWJeSSP6e!bIsf3
z&7pb!v)-7zJTrxJb8N-eLt-CqJQZC2+t9YGzH+j>SI+ceFQx-u^G`P2S)C&Gd!Y*J
z#OK#bT(5PVaSNL&;!)->S@ovwC#{>|LIxKZJ+EF6E84X*RMF$pc9RR|EH58fdgx!*
zvzRou%%_51s?z5rG0j@swR6I`uEdGo^^|$uPE+bWILWs=b8=a?^aY;S7`Br(`%+c@
zZhU>=lT+z#X6u?eiDEJv??j30FqB=8Z=SFzCRK=Ib4-@Vip_KK_cbcrG5Nrm&v)j~
zTWtkp2Zp<0GAo%rh8;O+Zm=UMn8ifs(1B?z)~*X%TpwsX6prv-Ao|DKg-t_vGvgKE
zvh`8>_+MHCpL%QId%Vi`|J<Hi|Cn#epZMqSjeV2-gujh%_*3c?exnQhH&ys;zvu7W
z^tpfEeNmnIsDFw-w{Csq?qB}@{`nvOaln56{~yn{-~YG$aryq=Z}0#66950%j=uZ<
z{~h1|^X1#^^;^^$9-sgJ<JmE3j&~nSORLwlZ{Gj&^zHZSP9MK7U;l6VcKbhX{qyU8
zeBK>wX*t#VdvI$0%&>mH*CFTT8ODU4xGws-bZJHG%5&%6?OJrQUh4j1`Tcc~|G%EL
z+PwVA+J(QqPv5J@9r)PDzR&yX>1!Xq|7`!5zH^=S)Q{1>jh`y^`}Y0h{8`sC|JnOb
zrK<LI*Zch+SN~DI{%XE`<joH!PeoZ>eDjyTe(BGSGVQ<H?4vH0o-}&;dfVq37i+zO
zYR%WVwX2%t_s4$Hy{mZ5+#<{On`gK0LH@@aK{>CCyv>*1NHKaIv`=*Qt_?G*)iuo{
z`%A1V%{yoA+<$eJPgdeOkF_ULo|&_lvDnL;*?S`;sMs|q+bEE~fGON3ZJ+MFEZ>9t
z`YX1oO`f^kr)i4MwZpg9UK9EA<v>uj(ag$M3L3gU9$vn?_FBrNh}acZX8EKzI%WAD
z?9X_Vzg2B=RK)6_Y_7T^>Ws?{_Io_bU#d3w+XD5a%O1G9a0g`>&2-&Tp>4`)uM{;U
zD40Wek*0$AOxG>dD>YjLFYdWA%O_1x*JCY+b7<`i5vTqP*DPPfo+C%R*PcvKUXZy_
zvqkX2Dv{Yv5sbY_!5qp9Ty@Q6UU)Qfa#Aoy>92w=U&S+D-iGCH6|$JDHJ!O8y(Q=;
zXCbS}T9eitO0z;II?Xxx;?K5MK89<pf_>JPE}PNjn!Yp2NB-)}q$stse`n{+FezO&
zW4UkkOwDJhm#553iZk<_c{1hhvaP2?K5xo2(|wkDC1hq&oZH$^waNR|8y)=<l-gDK
zK)HOi(NUWPQzmOoKH28G_==(a>}y(+J==Yg)i(OfUUov{^p?!EhBGhZ-16+zoR)Yw
z>7<&}{4GaBPTQsIZi|TX_ED2I(^Xv-@ojJ3{FF^UeQsCJ%(2;fZAOyh>nBT&r5Ht@
zSD0r!ZR4k}VaY}>?<{)T?Q6EZsQPWhwPo2;Pc8|zEt4>qxiU~OZpH~8tGlOsHtv}=
z)5k2Q%3VvC*Y&#5WY7E4XZn2Gcjii}eyZAJzv3k#G43-puZ5;{eT_@o^j>A_oaQ&-
z>)qy^sh#Lw{6FR1uO&}j=y0a0P3tq7tY?&}R>mxGa2=yXNqwVQ29p3=@4`!4O0@54
z`Fe9Ny<jr+>ZZ4`8MCt9o?3fh3(o=Xg`Ccek9E6uh0oex+t@3y(!pnIdgJ2TpIEm)
zQe1LjOU=pMPM5z~G0pkt$F%9{L{7Qm&pqdIKG!H!`?@$N{g&MHQ;dC)Sv;=}FO_TD
zJ^d7Gq2nqUA;%>OPHQG!;&k*prK4TLs%w1KqFmp2Z9~M8Gm94ndpWs8u9Oq(<DGwW
z%PAkRUHPeMO1tMwdT{=#sqX}7)x|gXT^=d+ue0pZ5q{aDqfyzvW^bCuCV!RSO^Z`v
zH~pTqV4=p6qM)Q(n)5?um{?BX60Tg5VZymQWB;>dNt#PGCIvm&9h7wGN`%i;ov55y
zCDCu<jf+2uTDKoxKH=5lS`m?eL?+vSM5dI0#2^c&R?Y`bt(*<ct)2@7-br3)k!Fv1
z_(8NyP>LZZWQN(g#Rrx!OkfiBnD~Nqk;*yEZz@W8Jzfu3P6;KkeirIxJnoY1@P+C2
z{W~I`6uz>rwD<Vy_=-QMe!{QjEAmVJp$qL74*DOrSK;IR%iKxZ467YaUAGpyq%O<7
zZ{Es~*F9zLVuRcMCzk2$_?f=w<DzoqD~gx-+V1T&kk+o+?=j~tpZyxWw)OYZ{(axF
z=7@k@M&!?|=A-Sp+WVazw<s?A*XO7CS$1xa+nNj076rxKzo_}NxxB>dW#cLj{mGp=
z3shfLYL&X$z3tQb`c-yrkh{s!+ox1?qwnw8mO9mnsbm7%x*&HI{!1@HUvd0;cugqn
z(nR?SHes)PlwTNXe_b42;uX7-vo>38vx?jA+g@*f`kmVDXEAwORLs<QJ1@n>UDSNr
z9A4sMamfh8{3<FtdGEeO!M8lPy1#HuySDlGoFywSq$r=i^6&7aw8=(uyVR=wxAmQL
zyS};1e%iNBZGD|=1&?{>UJ@&qY+mB?;~%f=<ldaQdFL*L#p&nHS2JM<Sn7Bs<^BB&
zhBq~YGcIRl>gT_hk#R-#MY8G4g)^&9ulD5q?vmSY`tY>0Rcu7^neWS@h4=4S7`*<r
zwAJI)Z`ajI<-a)NZ_M~aXA57k<6EO=_g=U^Q_P5YR=D->%+`gHRqN$_FY9di7JgoL
z<G*KjyY_DLtKN28?sr(;+q=QiF_Zm1tTg?*cXsA=@7;gaZmoHhsPn1mTu`{W{tKO>
z`}WRnX8lli&P*ik{J}+EzRKkE^VQ@`39DTbx%=0~>Ntjoe+y5{W;#9b$!%-a(~nc)
z>}K?xbgy(z+_j<n{d1r6=X3UaZwP+!x8tSV@7pYU=5PL!Gp$_j!|~r&d1SWlIn>zx
zJeFVSV4Z48ThH&`mfD+ADo>`kDTqHwog%vW*4wS3i=^~-ym@fE+JMEgHo2|f)Na$3
z*y`WM7JaO+UmhJ$Z?Eo~bM4o66UWGj#Y=u%{B4<;WVCawi9zJLqVJgwn<nL*pQ)yF
z`FimshLn4!Pc3aczV5fwa>nCXsrr`~k|X2Kdop()T~<AtP2}^ZDnBU)otLjIGnqEt
zzHOO#*kFr(u1MRoWxR(%<_A~QTC4MNJloiB^5Od3zDq9gzB+ooA3~29T|O3<bvV;W
zzt&Kl_l@Y>idK`2;k))uo6PpD=u?p2tqa}dMVA`le*W_UvCWGvwZ-k3Fxl)&(DIpT
zUp`e_H<>uy>fx4&(|5hzGEuzGR`*Hke}Cpm)$(qUOojCdimJR1rmB2c(!1NlWohH?
zF1F1k4dIs-OlSLGv|sh1q3@HYDihS!FD$E*-al9WeviL(T(MB+%b53K|96_66s=%8
zsZ|l<>+o&Ygq3fuDlbf&Y5Mu*Z^y19+a`K#JUFR%A*V{Z29KxAs-^n37PRb1Z{GCo
zi{RR8Ho||^mG7oGJ?gT}Z0mkHkvn<elQTCqrCpD(t+cq;xIKHD^u_7f+qN?@JeYET
zbBDgGh=tV#c0R$LO*YT}-kr6jEB3&=E!{~A7QfsSyz8OH9P5s52?itY-Brsa@{UgB
zx}p4sfs-?YOO7-1z!}+}4vSkn9M>KRdZ2qzOv2{iC-bkiHV(fTZ}DHKYxu^zMSj6w
zhPUh)_UJ<Encu#@x-DSey=@b6e|hd%^Hb^Vw$?9S>l%K2t!sGmcK%D<^My}qZy)+!
zYk%PHrnj%B`=)X2{2sIQdoD*^ptsMtw(qe*=b|Sc+p+b>%l!JMVXbMu=KNk^kge!l
zt2UwbP2ho<3ts!%&EeL5@4dNq?Tmt>Q>MIJQn=84zRA+Fw-;y$M{Uo2;S^kCo%u#E
zH!gGg#~nAC<HgI?zmJ)-bjp<F*WWLiC$W6bo;v%IlnZOS-Iq`7*1qglS+25kN71J#
zODj(v5&Q7=-t^bydVPG)Z?ry(T*X<<m&keXMPl~nd)2!VcLv|f4O5d*oqDDEM%DA#
z-HVqME!p#@-oEZ?NYFjsIK8uryiOT2%y>Q{LSyZ}i**%IsZ*zfa}?-(?a`U8yq0a7
zV$Rc7>J!$PPOqAmUT3~u<3Y?T&V{Wv_8f8$U-o3~`$Lh}47aCPrtQBj$+0WP;q;d1
zqTL&sFPO|OfBw|nfBoN*mZrL;q4A!ZJJ)iHv-s`qUOPXb;i>Y}t4SG)8dnD2+}ok2
z`(y4YF8044LQ_09_v`lF=ku7KrW`Hf<ov%Z_>#rTOKXIeY*KQX-um%}wo1uO2CbEA
zW*G3FKO6mHg|<e?Ll*nZb9Ma_<<-q^-Tbt#=JdIBq2UPyo29Roty*^?;gGWL((~6R
zM!(y(zkb$jrqZHIcczIy__fpXy3Y1lw_T=u@==pM5j<~y%%#n{r>~0Hy|-LHbEnvw
zsaMS(W=W<kKDG5vLr&&SGh^A?@#~&)Ec?b2YWT5$Wktx&Y4QSZwXbWf@%t(}D{9@{
zINQ=)@!7$jeZOwctekF=o2;cK*OzcJ#JVfG_rc{KYaCupQ-0B|?`-;U#rzIW@$Fo?
zN&nPLM9k$Ac6L4b6=*2)D5NX8RIboL?N03H+o7V{HN*=u)ujE~zZ>6FD?Ab?wq49O
zW)<i0+o_N0x}_gf{(8UFRn2ThEPvrEH4}?CQw9<CM%9gnmau)y-CQ1E_;A6II}eY$
z=svgMpI^9Y$HL9FmwGbN78%U1ZMB`8d&|#hN$1%lzRsm;tHWRZ-gr1q{Mw9XA5UBO
z+MHg?@;T|l*+Vri->w$>?Oh+frPN0}_u}8uEsW2iCT!l)__Qlp{LQUvY*V^_-*d9O
z<xq6>BTp&g&fAx-ZTofU`mQI7^)$9mJ73@seJ)gd*S4qa*ZXc<Je}CTw%a{-wb|xv
z7T=S@3)ym4<c6j%yY*#pdTE;0jUBJ$>T?S2haI(Qh&dUZ&O4vCWb@YD^43Z7BOGNd
z-U(%|WIh)8F>l6HW0@%Sp3U{y1*^@1*?V4VZ}!>BCn5eWES9}zv(k$)hSGnVy>HDs
zR2tbc?UT>)OBWN~I;@-ZTk75MYiSHSU+#UjpOIH#`g^&x*QS1W@aGj%(IeIDSdJ*!
zthL<PkE>t16vfq)$a<W+)3@WZ)r2`e{#q5x`Fr{TpFrt@9T!ZdTw#2CCjIS#VD`T5
zz@}hXi+88C8-%I%O>PK(on?Q&apSd_#@Q@uOG86Dwr-6R>U+NZ<88S^`_K4Ge|=j#
zf#pp1(&~K%@3k`14D<K7csf2yzE?DLr_4gHsKc8k{#)~Pue#S87R#%*^n=!KUH@;|
zQeR$=NTFRTHUGW{Wu2i_>MgMRU8~AY6)Uexoo3l}UH2xROp>>Ld~||}>9I#1i#Gaf
z%FT%oE?zTNMsB^srvCo{F%64vawP3mE;#lrs>`DwKSBJCf<pSP(*pSw9LKpz4ly=w
zEV#;byx^sRdCY&e3S+k)(jqD|a?W_1xp`vJgC!hOG}t0kZ#8D6$D9fLsLv>}#HWKb
zNdLoM-@W|&MfDSYH{X$e@=xJA`%e24e;wap2!*@6yT5wop;{w>Be8mO4o0s_p5wlG
z?xW@YYE3mpLQAezZM$ba>oPa5%j_$>_PuGWlaFh@_T_mvIlIn?f63LOFB57j|8rW%
z-rA~R#(ur8K0HsbPN2wAQAqK9@F~F{7e#f&=`BZEwtRLg-}EW?q3g}eH6ND0Y59I^
zi&GYlI=AJPg6$LX?q|e#oNX0sQ;A!V?C~RC$GCx6aS5XvNAX!V8S_5r1DzKh<_IWn
zv3xLB`Y_)Oj}sLRffK$l?8y6L*I@jRiRl<;w!qhE(uW0D#S@j8+_>^DuG?`(qi@9y
z4p||Ku#U+G_Lxsv%G<v%naPbiUwFcAw~s6~;#L|Bdj#AYf99(M@3v8>3EADFa3nND
zCQl_iM7>3z+o(+-{cYFr-sJ8_9Ty4%-2@-4bA4l~t=BvyxK1l2VNOWK;cs8_d6MsF
zy_xeV;6m{!CJuXbZ`F{DYR^{V-mBqNre<C<ZrliZn3McF|4-hEu7ZQPQ=5$Vp6=dw
zdf|#gF75|iEnaKvxbx%f29bw{ZnKJ8eAd{(5xQJrmBGivO99^!|C*QC%d{FWJ_>3v
z7WlrnWp0I*qSBG2jyF5M2cPmQoKjHM<=wg^_|E!GWnHVqgxu!r+F&Zgkawhyh5KQH
z$|{b?Cwva(Q72_rwKNpDnmk;o^6jpMF6ZP!b)F8QvwV`?_XbY3(0wx7<;%JAH#J#b
zuiDAO<uBy)+watg$Q3(Zu%2|<X}&2`u4zx${OK$+mMZ$Ev1;ym5jDATvv$gh9QmDl
z-kC(&dofx$RF~a!m9$}$nSD?=hN<~En}OGZosE2d<{nTy!}egwpScb?If5E(7nyEd
z+1FTpTeyMgqr?H%rSDk6^YmH%9EoHMxw@V)v#@bW?1!mSVy~<XD!a)yV-<JZtX18+
zzO+92_&1@pi6vB=Q+*0QhfPQ<M?gsIlvTyyu?t=;dBqg`TyJ-V#L^U}16vny8CKTK
zPMz*}!P0Im!+FaSEE>BrE;-z6{nE6FyYhtA?u<iDH(T$tl`69@db8-JP_$#xR<oWz
z-J80XWlf1a@k(R&n>YE>V@<r5ym4~s?3+}%=<KW|i@q<}JcGSbzio-8k(#?%Z|bD7
z<ioQTCcm|weq3|H=f`#;at|^bgl@|-T5P)?l+o18eB=SUqqRt!h{VIFg8@4d4v3wL
zDwNEV<!JwXKOkQ`PDEHJz@eW-E<jDu>!HTM9Om#OIfm({x*ZY^X)KuOz`ZNZ;?L}A
zH93L*4L{hA*em>F{K(%?@9>BDkvzK4dj3cIqkp^nSX29GRl2Y4owkfE{!x;T)YtNC
zT=P*xKiK4uX|%*Yi%PjP>7z5+3S(a$zn&?z?#xQ5&2JNZue`V;sCIh(o#*P8?V>u<
ze-w1IZ3;hPxqGpB%$Z90Z{@Mm?w$YeW2%(?E4`an%0;DL_^JrEu)A(@OZ1t@BAh4c
z>H08X;+D{tQDsfs>$xjGEUQ;c44Wr$a_zz?6Ln<XNuInhb(!0yYKdGHc86N!8IGPs
zEb#}HD|@g!l6B|~`ogiV@hrOn%j^CGHPwG2HLRbPD;R3DvuxG0p2%R+(Zj-Zs6xe5
zTGf5hEM6P0CYOiNi_#XK@d?^|Q^v2U<spOU6&;lY2eN-GW@&deQJoR1v6AJUbDU}`
zL&UlzX$xv1ridq;Tg1b7aGHqDlrHZsX{Xz&r2>gR7Nr#>Gf)2270~Xf=@xOUYHiwu
zrf>bt;vse1=`-huMH%Nga$a~+_RwpxdUJ?xnB&ju5e74EMMQAiP2r#N%l8}iG>e;&
zH=ej~PO0z{Ve7Lp$qv5R$))vj?h5UYg+V(SwL`efPl;(gV~W*imHy$hv}ke5!ld;D
z%w4I@N*ZwvKiQ5ZJNRnsW94)H=<HSAar)Mljz_l={Eu*JonK_(qak^8>!G_Ec@Zm}
zkES|vXr8MTcK4DIX!GQhEC}=}xH)HPi=ygwl{m-dork4zW(qM(jR<a1m6;<Hrucda
z$C@qkgw`f9Ox=;Eve5Pczg(a<6I;K=%O$ny51;5<$^FvwWWyclm3sV+L2J%?|2lJN
zLfYo0E4d|WJ@0&9HsSu}N(IK7X$pt0u}@&F+T3mCrNR~AD9LD=@z%|L)qQEzM2?1)
zxdsdLw(5M4bmQnb9K~3Zb;H5AhCx6mo$*G9Qec9n&!Pk2Gh7&Vol@aaY4e=2iPvY*
zl-w&TgSOp#H+>Ut+^kK#R$oF{4}1>RR&WW}b}_^0Z{q>yyKM}vcio(CuDdPpWyNg)
z+48$?k2OrimDYu(a-8~nd(CYgrHdaqAHDy@I8kHj?C4hxFWoNjWSLKzxaLvsGd+=f
zkKzcMONw3Qvn)0$K8eV_?QvA&p2sD(w{2hgZt+$=(7UJ6t8r91!{y?YxlM~M&04eQ
z&yuYYoImxSEm>*Q<{sADIH@lA)U1`sUsS$YuvfSgDtoDP?Pm<m+0OFi#yf9Et~x%8
zW_y<J0eb>FTDn<%A9gmz?#OY?uqZzuW5{r2`)czpeok#^524xvvyW)9E^{$4(3!};
z!TYOQg5g0C2Hzd5nf@;S&dN&g&#J%hr}>$@$^QjE+0WW%{B!(_A+)~Z+5Tv4w|`qi
zA2%OVn7`Fx%K0e6Lobi*j8kj=A+NT&Wp=%ql+3;D2}d+HpVz!BaIt=JW{1T7-%sM~
zXC_^eG&m+Qd3vGJe?>`ynAn!Z7j^etJh5ZN*<PW$+P5=G<R|pHZNK$2F|{+V^z`9-
zLg@v&FYa;KUnOWR@AGAKYT@Q%F8>)XW_(%AUbOjw%l;@;#nqj4TSK(g`pzk|=VbJg
zdFlB4aiojoMSV4$rB^zYpT02PQtkNn6@Tjz^<P&#SC!aZTt6?kqCTm@UtcQySBbJ<
zm+|>7Cv%a*N+QkEG}^A(tY&dDTw@l!qVLfe*3AJ*M>kkKZnf5IyZUgq<+P*<RngwW
ztw*&pgL$|QIlUJ-yePk!P3xUYB<JF!h|6K-8$)C&%bzpH+D<7{d2xNNze(Fwf8OPx
zJlVIj&V&~xG1osn(e<#^+obL4C*GG~Jo15^ZqKChq}EHsb-FxmbMfL4JDio+?xf?U
zDk**cSN`Kg{B!541xh||IMuUS^QGkXttT(;sI|EAta)0Qa&)x!qAfYaPd62{oipt-
zpSOLhq#wt_8zvo(uYGHiK6odnMJ1#pIKF3XQSde2vqo*Mirb^DX0)>L$F}P6uMJDG
z{UXn0cP7QS_1Fi&K#ewbe+@P+Z-r%RYMLZ$&oo=GTR1&tOY{=lwfF2Zn<MIuy&d0!
zngagF{8{kB*+TN;oKt*V{jD-0@jTKhanUOr3*Q?w3$dCRzUUM43ik|Y6rb{7bEjkZ
zgrG$}i*BY}QF-CWWwkgt!`v_6kw(hABhib0Z?Ul9aMU&9cM34?-NSS4!meKrTEn)i
zv#U^LDZRYXMUkcS@XskaOO_q>zFM%{UiRM_OOVj}(n+UWIbJPm)+v8>^`+Nrfu>zA
zi*Bp2l%|><(pFp{?PsH*xI%iLownkN*?|kSlvm7t=q%|Nd((KYx$=tHj3+f!SKOZH
zJ)`jRk@!OkteFz82U<AZ<(cUTBG<;2n%C#w`|<kN*WFBI0dWt`$b3D^{pwR&f)~##
zqr*4NjaNuVx|W!;J)YPgvN<}{`W$n|PHE#$K3VG)$(&ODzjE$_;2+bBC%=4{HqSCN
zknj1-+NEXBtK&Lnh3CDPcwy-(!-Ktd^mi30&yllSWd5JS{ObwT#kuw>*NortlrIXH
z9^c_~_e`=i>-R+$&T&t^dt%#Xw$wwXZHqIePdR9<f9k@~Uw@za)@iJHFem88;qO=T
zLmuB*zG2e(=Yrpg=KVQVa!!j=+v>IEmzO$!do$<e3Wb%Kh5WdE^N;lP-`9i}w$A6e
zdeZB9_l4l^pRAYtT)4cp%6QF}j4dCoFaB%3u3E=6<KsrnAD@^1Y?Yq7B4X8qPsx{^
z?)U$_zvACVQ6sSgYtfnV8}6RZy>j47aAcd%nd2Q+yAx~*)qhVhWG^nvV-|d-<u-TD
z2Jt^;DT&tUGmmeWyMrh1#=C!Jj}zNjCD{Y7RfqKS&e%2WJ+s;=?$sKN-Ib!&hweU$
zdJ~|bY*2P$N~EOqj#nYQvaPBAj`dwOTCw<_{Tb%U2S1t5%UAq6@R@y{{f9q|&-rcY
z(S`P#*!<61EA;mDTA_W*ZdxcA+t>Z5`rf#I-@V!g$#&}t*Il0}@5H|GgjSXLp;Gmw
z8}2`oSIcz$^~BC@rtPE43QH^IGJTStHRX`PMU8D!Hg)MPT{ENM)Ra$AIhs$Kle{&J
z<rc11lPct%t5?cuarIwNe3$Ohee%1qCQU2uG+(S;+VZfWblNYgqODi@)z-}_`Qq?M
z!fWo@Nk967>{o4_vdc)IX41)f=c9B>w`4z`J>B@_@4(ed_paYM<(uQ$qb=``ubK8O
zD%<d8_S&Ue7@wBB3eM!7CaC9QmN1iL+NHExJDDeV-kTofV-_&;*p^Fi-nwel_Ay(x
z9OYY~cy-BpFI_b$j@b&aw+=m$I_LYVZo{gRV8y2xX8BI}7L;XpafSAT1vAcQ34D(^
zwj^xzg~l|s>iDdeoBF1jOf-7=JIH%)-s5ZQLbEpdFTP@A@0<KgCZ#FHce2~N?DXk(
z>>@SzSH0Boc1qhF-p4aTby`g2yQ8@!uWlLp?mzx+pZpxFuMf=3OILZXpZ{jzoVSZN
zt_)^f?9{b%mEVTrL9e8}9Dg&K+;%IQ%Nl&iirdY7F6(2KvczLu7Yr&6+$rL5eWi1{
z`HuQhJ^#s!lP)WMeYo`Z!dLFC(_TKw@hw}(n$B@$Zl3Yc=!L02uj{mm{V~vx?$>P<
zi?MDMQ#zox<xD`K{+2Zzi#`XYKPWU(D0N*?w%S49blJ)zcN;EU7dPE40?wL~1hUum
zBpFtSMD6<f#mT$t^pUsKU8nz8x_8NoMqPTsJjKep>-Oh0v;7lyYSf+**=BsZbehQz
zhP~6RyrpUyuGcnRe!#pY^p#Gg0aMy59nBgOansi8J6CA#Ip^y8uv9PWR!zf;xLY+1
zQz9=tW1eE<&9y#t+LQ2t=wlQA@H9rHc-9?16$>J-<W9>hW?aRnzW?zTv#(FeZSJ#9
zdlLTS`Y*3f+RitwPTg{n;iK!x^*zpe!*1m??AZP!{`exb{}&^!fEoKQFMr!~IgL3a
zeXg0bLY}RznZus?PufQ(8%+G;#aeFRS@*Qt`o)s7tScUB{`~62)~NII>$_up<tNu~
zw=pqL`)?&~TFJZV^X(sR*DUJsKiy@?zHrX!%nQ%AdGPP3y~H6GBHXuEDMhXNu17*d
z{MnLkZWDwy#xL=EvqmlQ1;a~;U7I$WP4T*HeWl+;z*IHSWufU-m#>~q+gsm+h<Z=z
z5u2?1^{9#GM8hQCWrpRK^6oIb{P|6O*71Dv&6jKE2rgh)zw`s+f3^z;_?bMW?cXEr
zc_}H1_3;wl6I>#*)>$o;&N#G`d40iO>DHxZC07^Zx=!X=r~D%4gL_HP6b}hz|92Ve
zZ&Y-+Y*g!-k~=p%xZB#je`WJS#;g1*>Kwi@uaXb=+wh7##D2jqbfNnD`<UPFzgIrt
zF?%h$ech+`e;>a7e=omo8B20b<y-3?(-I}G_g}02P%ky3F!s9t{5$W}58H0~?SFf|
z0o&YZo1V>?bkDG()nr1Wu1b&5%~bViJ`IY0?=&Z^Sn|R}QcLs9yqil!+^z(8UraPh
zxm5jvm(zT6;fXV)U3``{pUcX0TQ}NV2`Xve|Iip{e<etQ(?!>{ojuSy@zjNNOAWd+
z#DhyR1doawlWtu5O+>|EMxQs+I>QLgh~o@u3=8_QZaGYx>G!}h%|6igqGn)7@C<cV
z)7G8AA;Be1qF1Nbp6trvVqU*u*^3OFRhm2(7tK1fLU+MFzNfcL8JCp@S|ywkcV(N)
z?q=DvGciPWhQ3>^v--q9&WSTqujj71xpmpJTgwf%l+;C?efC@CjNjudtJL1#Nnx7b
z&#wrY7os+GlL}AMZSCWGET%=UoeuO(WRkrqqINaV_hM&RNbrnWchl7V6N_go+WbXh
znL%lP64&LQWrnWDe=PjN^XInNrsGUW-bS-U7AId&IJWb-VA4^m9RkJaM--QexG4sC
zU0k_jN|3~Q58c*<ORfZ!XlQN~Nt^VDN7B<v*HwK|kayw|mAV-hCs*Xi26g7A&rwxu
z*f@RntVN#<S1vQ~oSelaY_7TdMULMi$zYrE8_74+9|j(867rB#@H((naIsj&ae+&D
zj$h;aH@<(;Jg50c;QDRGK6%|PQ+BJTUVW~zJ=IlX#fBo0kdoe$t8Vga$~Wts)Rm{N
zDk{=AiHmEqx0Y42h|b3J2~3tV9JwqmDlR!7v1~%#hUTd`0ZWWM9Q`HaG`N{r`&Vi<
z-`RGS`9lG(g5zArU4oClnLhBc5#k7`6^{^AXJ2+yvZ?NBF4N@g^{l>++Ya7+wZZYl
zj&HnAIvEt|I7N3GIe6Us%FM*y$~M=*%d<&v$rL>aN3V&_i<O@WJX#^@)3N3AZJm`p
zl1m<6?z%Rox#HYalTXF*PdM(_?_}{`6?r^P=+fl91u2F)8<tsDtDoq;Vem<PTbQx#
zl!iGxu{T#rdo8t;d*yD{F>8|Pq6@R)7yU|EblbrrVx!90oQ;-62|kl!B$cnNkt)9b
z(mbwFr*=2L@AK`m72h70ZshwA-KG4YK!Hz2@kGm_uA~{UA&hSVLKuYuLju3FM6;(q
z{AVt+c*UX}y^FXw`cE(msJLmSsLaaE*_kZIG39}V$5aijC93C`YQ<gtJqv4PkGKEv
z>)>_%eRUtcHm{ej`TO8Cx=`)m>-X1YE7o4y8gOpX<d<=`W4Y&_$@=hf@;cUR%}=)3
zMf<PBbbhTY)w%I=+8Mj=JB6$79ajJT_oPkFo9jKCr<wnA8LLH={Il3x`eV-Yzt>M`
z={s%vZf>Tr=6dKIQ@h&A&Hs1V>8?5bD_ikz`Qyv~ZkN899(Y0XuhzwL_f22wy_oK^
z|B34U*?q>&M>IU%y^*{wnZ4zoi1t?>+3kBj7t60Le#T$lYWwASRKRZ&u`9o~E~wML
z_h-xhGTGm})jzyrKJ9*+_&bIF?#<`c`)=D6OV(cT`I(&)e>XewdQ@BX+SD7b&FtUq
zk3C*@`rBWo>3`yGi?3Use?R|E;>%CF&;Cw4)?oH`#r%JNnCJg0&;KvY<+sin+v;m0
zVpm@q7@_a>INcRvE^zm?c9v{`uWhIh5A(+xlA8>Ud~>i%=#V|+bKu;6XN{M3S0=lL
zMJ}Da?Dwh(UP~`oF7N7IR9n-~@PJp(`F-2n>h&MK1nrxeapKVftA8PL9ZY2Q{ST}A
z%lJ>{epkZkoGiPVxr@!ULIY-s*laN4>ig2UH>mmR_sh(GF8}zOy|Xgl$FFd~V_a?@
zf+DJ%m6c9@Ebg;5%S*CMQ*{&UWOF-l>q?Xqt9G`FRPWp^hl3MUot3)3^lUB8@GA`|
zFEwye+qQFG(%N~iC09$Prxn#E^m{R?omgA;e9rB_mRZX-?$lTszN}}atj|WN&d&u0
zdgN@)jZHG0CKj`1PcWRZxGT(ab`tMauCTzRQPX$c+u$~pfji`7l<jk?s)Dr%yuK$=
zB(zt3*GiE6oVM7Py)ow@?;L|grDuf;5|T3+^*k0GH0{;>{Kz(OLDrJu+QZjoOYTTb
zx}vkZEF|m9gTB4ig|prAujw={SUc%v$pv8@xd*(;O<D7ctKYA6RDE(xQF5w4$hjNU
z^EYxkzUXUxzDb8$c0xeJpLX7aFQ!vAG<IM69*}k;ky-q1g8c%nmY>raY{jSfu^W`J
zE9XzFV>e*=#uDASp|QKJQ1T(O_@;%4`*(b3<d)8m2tUL3kXd{kyUZ1Z8m8oR_Zx-x
zJqB&}cvsi1oXSwG!F=Aj<gmKlCl>Y-mv2PuTGzrMzq6yVso5rT-hqe+F*{=!ZRN`^
z&X&2oedAlaI!08iX}RJ1`w4-KD_H!46+V63d4f$U{<(ii>$^VN26KgP%56M}PGKh3
z3e<PR{yKV4jX!I*&!J;8?_F!jeFNI=(RpJ>{GCI`ZWeN~%b67&FWNktZ^b%^8!XKw
zj;ELDaDHbFo6Vui(R1eE746#_P953sa@G~r%QLwZ^VUDGzjA5jYp1CTS6%vS$M5IM
z*thY|lKWS(-fIWHRQcrfb@?W(gT;M(Gb8T2X?k?JZ}+z+ZSEPny(D$gBfh+`d9PHP
zp5!;t=2Lms%V`<!#dq!Qu8mjuwP#oG>GRfcssCLL2P*honA7^C<+|%x%ZWR#EU#p#
z3_bZQar2aQq4|%SzHN2NTeC63>!suR?nTuCAJ?2$PwY)t|Hc35_fJa`9;xoXDgWmD
zV%-hd(FM8O>v9in)!ng;_4>94x5WO;ztwb}b@xVwu(wPeKdtY{?=hSAo<E|aA+>}n
z>$73Dv+9GJ`aIcl*D}xTFY>uzYjggX%CWR*w=dlId&r>d+y8{;=bt{_zbF4<56hlK
z75lOl8a}<ue5T!4HDCVpS^a|Q>dz1VJui1CDF6QLZtc#WE1AqI{sdL*6HiJw`6x+L
zF8-A6M6QXP6aRapxIOvHC--0Dz5Si(-}|2?|BU#@rspy>0$Vv^Xn|CaKz4{3Di|4?
z8JQ5+MP_VfYKFS2V-IIdaOf}lKeo^ByzwoXyv*~~mYtrLOT5i5P1zPOEBcmF6c?*w
z^pZ=PLIha67?1MaaXZ>8d7QPtEyhi@w9TZ{pu=1CyQJuqzye8!wYynm3b)(Vzw>oW
z`SbU_{rlhl?EioNTl;KT<-F%}(k;(dp0hk}`~BX%1_l961%_ZhUEc35+m|z|FfMq!
zrR~|Z;QxD$@Ofl2-p+qM@AA$b!!mw{y9{AxVz(aJ{%G&}I`#-P#$SuprKZmf{`vc}
zi^F`TjK%4t-*lRp*&3E;tevy9qBQ*f-sO9kO=389#KjoApY!=w+6&eLm2wFe^k>|+
zeE)gQwW|z~+zDTrSU+0_v;J*nn8~V;e$Z0;-0D|p){NH}CLHaae(tSJ@~b`Ej8(b~
zM=a+)*EPPg_Abu^ABKIZbDy7?pYc|QxkchYnr`&1%}viAXf|BY_>+42x&GqK)}I;!
z`4mbS8Q5$!&M3@jcI-SW`6cv9g7}sN0)btZ8UCvMGBH_@5WxQ~Jm=pbM~1oeI|P?^
z|9JT7z3-x^&zm3fY;|M5W2#_dcsuIzRJ+*qM(=9&Cp9(Q-%;~@j{G{gS0W4J`Irs|
za`(*jm2YLMI_u_Z>CohP#%yu-t<JU}+sm0IQ#dWwU441>tKE+RqjOhvq~<xCDy`cT
z8)kKev21<XBq7Nqj0>`C7>;|W$Ov3mm?X%<<abQujGOwiBs;NW)^njcf7X-<PD>Vj
z{^##w`~PC?%Ew>)_npsP_iMu2|KjtnpM0I8Hd|AIrFp~e<^9)I?tQtu|D8_rxq^ug
zm6Er=bk5ysb^l*|@dh1{KS6u9N$LLgf5Y{l*|R4y%ldlaCysfax<A=wEV#<?cTxZK
zg`KI1T6^VpDw_qHo<F#3-L#pfdPH~_z9uEKsC{^JQ*f43|8e(>w#*0{C+-vOhdI_Z
zO1mm=5pz9t=z!Cl=ASPPrkpvxb4J@z3--AYO=5Gpl6sB@=^V2$Nw)GkT%+U8FP5Y^
z?LfQNlm$Gg$8UV-jrb^=e7wAe*EL@=EWvbIqTR7S`q{rG^rz_@iP1S8^d&)a*9In5
z_n-fZt?OI(6Q?AKXgxe!qI00;$G@}E@~<|EoZjfuIyb`b(s`jD0qsX4*4&Ee*t~&3
z`^vOsD|NLDBU^vYSnf3|F=W56p?vX%nj_OSv$(wt{$@l^zR-C!qHAgdqr6zM=DDEX
z&kx7w95q^V?9<}hpMBpJ@I3vX_&sB#kn1Y>xe-075e)Kbt8Bw^&KzANcr$o|2=|o=
zzaw5}f*((RGhL{=H7j21|MY()D?bJtOHzE6urccPO1H<)Ykh7-T3rgBf9sT&PI&R6
z_b+D5?e+^j+&(p;sWR(JO+;adkKeHpQ!DP!y{cI?$5;LO9NPKQg1z`k#`)ld`9~Hj
zZ?fCE&wZ{Y_r^CbH2z)TZ{5!{H=^U{3&&2Ix??4lQP%6y-pqKl>Qt9UWkpD2re|T`
ziVX_(`sOo)Pkj5Wk{`BAUHR_YqGgsrZ_O@d87`mE!?xFNwV;HmMi!6Hiw{SOtdpGb
z5*{5%S}OD6%h4k1{*^B}ep=OT<g}mO`O_-I@cwz#r+)EkUR!utYHG?)Ravy2&Ge}2
zzGDsg--4VEOjj^ozKyMB<7+9V+<i9|c$u&MQZ?1goaNS^e~*;rufMo=!9j6l*?q@u
zw1jeBGc7X_5uX@0d81u`{r!lTmbk?$?E><(^qkI!MqKlb+3KRYVs)X9e(SxMm@5*e
zIZRBBU1H|#VmB1ac{4{md*-cMHy(WaeY>kWX-QpPf*()gN5gCnQOCWve0-wiFRwiN
zLriP$LDPNr7X2%|uI6&)CCAb;+-F|Txhj=BH`hygTfiC7jE<e><O}|X=;(Fbd>y^s
zX4>n-*HU-6YrP8Z?$WN^cs4k?|LHH$8`qxc>1pY8U3}2eS1O!T^n9yuclRv6uV=G*
zFKXY4N`BI{?UB;_OTtUTPyRd0Z=z)?oBlhg?ZA%%O{MAOCBKp+UnkAjc1!;Ji3!_3
z)}F}tvUc`8sSn2&&2xxOcTQW+{Ce5iciz)$U)nzx5&zn?EhV8^_nM&6(Hb4Y{~G>F
zLke57W|f(2a`~uHP~`Kj<L9$qNi(iVbzDrC%JeKe@$Mb1{&>q<9)*FyIrpwyJJxFG
zTzlNAuqSB!i{mDah7Y2@izY2mHu&-Le72Q)))vQ)+8RrY{QLa2O1!jjI_|VEB5|RS
zYspu`wU?Tsw#Ed;Y|(Pe=hqRg<*ce+tlu{6n#9W->EKs-dY^tzW!$HxnCw?L(SBQ5
zR`#Yhhh_X_iZWN|x><|({TKAR#nZa^8^`k%RqhAkW4=t-wcM-wWpV$%NZ0hN$4!QY
zkN(Wx@Lc_;?{c<T%g*I|HC^{wqBHmMm-$mC{aLkmkD%7HsQagEN?I1lEh|d?_AAo8
zb*fXgmk-CWmIYyRuY4A=`g`SC3hzhXj!s$O$s5kT`2JsCOZA7NtGBED%HAE4(!#$g
zE8m<yWnZkHDw{n^PPi!Z@|T&fuSwP)n=LTo!p6M2Ee-jHcJ-Cc<=j{1cR-!(T5fWs
zPX66Z$NH3&XFceTdEDu{W1Fs8nT|qoS9g`>vF~grLW8SQi>|ITj^5tc@P5_HwS`_8
zwa0&KyC+k0^~$weJBP6Bl|idRv{>f{IS6OYx+b-}D)ioFU$$$jVjR~7oGun-$(sAm
zL-ybu7T;@~C)<jywxtA|@#XOBt8s}saHqvCa=V%4TzjRp$M3YPD3D`RZ8+s4=XGtx
z{CibzY68R~qYX{2J74k%+CTHn_74nyVjK7w{ycsd{G)%CD+kZ~v)?y{G(1t+uk0=`
zU720}ahgqI*`K*aCX<A=I4R^UX`Ym2A}FYr(INPgV*#hG$6?JM4Kp^fF8-i7v%%X#
zAh<w!lcA*Fm50}F`1n1V|3GMuo7f}99R>0eC$@X0DBbT~nemW+vJgXZA-9<jw~CLF
zo6v*m3B7VLo$eplEYco@olX148D+rh{p0YA*6<TPMQrDfoQ!DdF4TT^AX!2od}5vB
zVe5c}Z<ss!mQ1QC)7;M=I_qxR{twH4`gKG!S%2WLTdtVMbe~^Rp1Vb#!CC86V4vy^
z;pmTig_F6$__>v4w3fwm=<3KP8w!R$HnVZkQ;43?dY@NZ%TPRfL;oE2Un}D`M;A|+
z&u{%n;GoHx!*?r${2v-WQWHK=Qg*Ijia%SUoT5n*r{3}PAJTIU#(xaA@m+Jo-%m|^
zg8E6hPkPaZ?2g3T>2|E?&G)p6^w3)tShZ5kriAl9cTpgtWUqzf@?=9fm7OkX=@Yn5
z<bH{%GK@XEZBuzm%|nLukNh3GgHG%a`JSvDcP#rxEB^_WOFs7&h;Oz#yZ#63hQ%V>
z8`!2l=D*RlzN1aTgR4+LUQ>PQ6PqH&m+J2?=}0YDo5Oc|(ave>pItxLFS8}tPV7NW
zOq=!xgR%#<HEoWQ9BY<L37Mpksd#;&^oh$Q?ACM7CYf_@D%kv?;Y0XC_g>%6*B^$r
zeOC<K8r+s<vRF3OLS9^{k!9YY=oUf#kCTFo`sO%AoyfW5s;Bb$r0)~GBL16R^OUVm
zsy|`)*|+QE)-T&jtZUoeEwGLh(@x=iQ}%7q1Mz!8br(wcQhDtSYZ_)(bWH#J^^e&<
zGx-pAMPWym!2X#LEn9cUye<^3Yp?z&5O?Tx1#|V)^9+2Cvi~@2QJK0#nE#|2<0SVk
zk12EfqE7Bik=;4*j_*9x_mdbuDYN=6ylFC9?9#<AB39jTUiQoFjg+}JSe41`Zryuo
zpLkgIp;;TAF`kV#Vc*KUYr(&x^5(p6C69eL^l)~&`A05;j6_GROM(Y0e2!>Z$bP=i
zn^&4%uXpbF&mBD56S=o3^u4W+(@$bAdyu<l@vqf2b_dffS`>MNy-Zx3zqr^xs+L@-
z^7RSNCC6uzKfBq9Pw+l@^+{Nf_Dz>M|91-CCxo9^{ls+B^gaIj7A;tCbW7hn7ypI2
zUwCf$-F}&4H9gL0{X+hW?JrJ$;r(S++h2FyB`sO#baL+-oifqr<4JE6zwv+DH9`9d
zr*Nz5q2fc@vo@qHdX{oF=WNVb(^=Lg<(dCh{hXcVaCobE*83}JTjXE&?7Fy1>~6{3
ztGn!9ZF_z1VqvY^$BAi=6pzR0-}g8t;PtZVP$pCU<HB7{f9L+2W>fIy>gqJv=;O~4
z&3rc;fAL-~=KQ^6{!8AB3msGYmEHDqxPM&q%v90$v*EnOk~jD#+~4H)%i^xX-4qjP
z?HI*99b#*)-C4S```uEjU91Z86Xnv9**uT$Z@FB+a6Y*`O?IWa@C&(547JndHI=<N
zcDKaZEW!BMWUpOKXAj4Ju&wjmW+;ENOW|6Ay4~@8$?Fb#w;#K_;+k)G=J5$$n|gho
zp1;WSPqOz?`AN}=*|q-HCSN|064X`w!EW2*QX$1j209fVxY#<xXMYf~bCSPz_<oCw
z$_LSH8#&UretR@b;oEC)XF=paJ>~ezRslP%oUwI1d2B{kw4v|W#bP;%;u?`Iu@~n*
zRGq||5qEiCYwr%m{tYH9H|1YMox1tCiGTk=J2TGN1%mrjXR0*)F5nYmYU;1Is4+ix
z?B)t5(V5O^ix{6LDDC+pbEmml!TH(dA8fv})mo;XRGHMK-r;knLe4y!=Wz3kj`jlK
zd&gXgcz?A$UnoCc$eeSMLH9<-vs!1X&i<X%ZqlC}cd74C<qnzIAC&GLR!mZ{GZJ6_
zAh^c)ONz~<z!r{I0j-@GN0V+HlXJE`dBA8-TTMukO_V|K-d{HS(;r3MX|sP|xTmMt
zMP>5`i!x!am?a%&jxKlUw=zj&OIytTU4VIK&zFUKpC7m?+8zFW#A($FE|Ha$-_mki
z?c<JE{}5n57`ngfM8qWrKjq?&y_=?Wdblla{lZkEy~<FM_l;{!gN#y=%}KTL#|l;(
z_{CBdMt!zhs3A4)%<30T-y{l~4mX{h!N-4WV&0X5U1B_ny*`ddKX|S<#vIWtc2@p@
z3kUxslUZtW*3E2`+tV6ZA$ht|`{s)5gxxnB6D1j*$`!Sr%&=H!?Q<&8W?dM!uE~W}
zTl6#+UF5i+Q63ch&-}KzbpLd_!rxX~TbI<<2Dz-3-I(v|Y03E8Q~G%Ji-pa6Cx4%6
z6Z~z(`=v|%(SPl4U;i(yoqe<B(6|2U1}k)XZpd>^_T%2GG(BtUyoTDjT>aM#4skF~
z`g~-G=dJ76i5oP+eHK-K6(3x(?W6H;s~IBpcUG=cPq2Ua_@k7jN8s%MzT!))lO6dd
z7j3>Wd0H9Mx5;*L>k}JYbDb^MoJ{mEluQWxbE0Uc-;IACrNSZ-<Rrf?{?@y}Vv4)q
z%a`g2_L9wyO<g*&<fj~-#<NiMC`;hS!}BK=LQQGrczt4x)W&?%*$Ks3;%1SN>N)=_
zdPA11sttIV!r|)8^`=X{weCOX4gXsfamu`zS<<H-`tcR7%4j^yy+B3%`TaG2uD8dw
z9?#zKpoO_F`K|SvupJ`yhbk+f?tkggF6=k;n~L*{X<5#@9vy#i=#cP+`E!0A&#rjT
z7;PKN7<n?WZ28THfpR`QntFDOzfaDr-{U5>X@a5A>LpJEQg;MRT$*F`T6v=IhWT3x
z_qdsDde|Dil=-AUmGPVp{Y)23(#~++@IQCvv1yTDOMOb%Q+4MT=e^uFa2;4#TB@FK
zfBB)urd)v?3QbzEb9fRjOz%8Y$dKkcr-JF*WaG~jy+TtKBs`fIQEAk|YANJ3<?OFL
zCnx(Ks4Gg7TQBG);rdEhZGqO$B^xx(_kTF)X~wL4YGGnefYXC(HF>X&Z?EFB<*AWi
zllZxzi0R>BuUXFzdl@}*HduXuiCaD>NJBp8lE8mKGtpa$pX4WUN&jc$yYZ9%l+ts1
z4eyEn8K!gH^>}QrAe;A(+33Nu`-huL{@EH$Jh(qxP4&NAT*{~S3X0wJ?DM()e)#l$
z0&i!1J44N%=qCrx?{^ir`6v2`(t-W1a!h}upD4H2H#0r?lpoMx^N-i*#Pj<LI9UH=
zi!7c{-z(Je<GMy@qGZ>PaEaEWf1-yp9>y<}S^7uYCEytULZ-z(6nore$U80NS{-nX
zf1#A<AAw^DCjW(!LnE5K{;3{Y*j3*dCF*9__MhA6*r)o1eyxuK5C3ni>UkW$fN|>|
zZWE<b`wy_3_`^M?#i~v~IJCm()lbJcO>A`nz8O#04Lw`W&N#rIVC46R-GEj7^WThv
zC;u=kdnB??{_=$*-yesF`nen5@0Xl$=KEt4pNGvBv8`(}9yVtj4zcT)X)%@m5zm}c
z_Q!R6p3h$_Yy9K6MR4opLaYBgvKde3FBH!GV|*s?Va%B)r%WH}{L_54cy4`{p6I+*
z<DbhT8gBjx);W^%kMo?H`hS*ggZBESqccw1AGDlt+CFTa&By+!>Op^!bq+`VQ7(C`
z^UrWvGUI=b%0nmZgXYP6?B5{N{i8ji_2?hvIo-uS+AaKBS8r^o@A$m2r@n*x!dd$R
zty+6HPXA=zAz}N6dCftte~j0XROJt-MjT}?&^-5)d52Hy^v5=K4cY~E_44}<vHq!z
zS$Sx6fnvRU_+zDia@QUv{gYdFQ0kxDx}#D5<klTl{SzCr{*ZKmX1)09Ld$yb{l`RW
z<m(n6lK!Z@PkjH;sWtL>heB)Q^NwAu(a$?*wNHHeBdLAj(jhwpuYa_?(enCd+?_V*
zA98>ETK6BX+Q+{A@%0*hv&ZIt=I>xO|5X3T@6WXV!sQRu{`ucod&vHQ*gw8^2iN|Q
zz0=M6NA}M0L-t9^_3Yo1h3lpHAC&*ewOMh<{^9pOx_>0Mf8M^qwbk&>!TT=y@1Eo<
z_U-;L`$h0W=N+^6ckX{6_fKuxqqu)+a>w@8m<#Rbe}ByE&&2n~l(p{kvH#Gs>0<w>
zXVYf?F}5P6)&Ify8u4F(k9U7isro7XV>Q=&r#br@mtQ=7|A6s}$Lk+Q{%PIc_k6?D
z{e90r8q~|`ulSJf@uB{~`-+zT%=$^z|Jmb$9-gk4vcFBXqGx~G+=@B-+rmGv)QhfP
zG=G2F^$#lbqW=RPzW$+IFPi@#^Pg7PL&<+yWsfEQaoszz`H$$H*5^O8+t=@%|9t%e
z**yo!AI<+EeZSN8hxPr=y;^tL#ee$k>7Kr`Xn#KY{l@R177cT2*zFEz*Rb0iS^X!q
zrsevN(?2BsKhS?Hyysl}vE>zW_qUw?z*f&WKiRyVv$<mO{+7R5HVxZ<bX#<6|JnV6
z>HP=skNSTm|CfzV;;$EM-!T2ZZ2Za(*?Ug^@5}!n_uqQo(cgdafB3cDPj2|nYyW`x
zpZT8-{-5^^TKa!*OB_4?hqa*QQ2Y;nzyA=FKjU)#ZzyyA<?esd&%QAKKlu`bZZ7{{
zZ?OFRFZ=12Uk4e)dMuWn$m;1Ke2JxfLYI_>Q`USl?@y{NQ}&5?M;!bZ5^kY5<JYI!
zNn8Cy-b85Ma7+|^)4^4CM(j<3mdXUy>OXsmEER7&K0P_ZQ)up7m&>Q0y0cpQIa<CK
ze^aYh9<lPB@v@fdS`qmHr?&k#Q?&D(!i|DiTIq*ZddlQ$hTlBfB`WRbb?uv}iQ3j<
z>Z`NeTaHihF12jpwL8cbxgl|8!r^I$e=JC77Q1$MY0$y8uT8u$8yo|?yY5IGY?J1D
zohz1eWBG|y*S<WxX4S9oh|MwNx1N)b%M`9t(M+<h>eG&gWQcz0;HvTw{IVnVf}=oB
zq0Y2zAL|;wny#9+X<tBR*W;h8iBkp7pWv}qGuXvgIiX^|!y~``Q;s!%eh4O&gid;)
zt;6?ztufaDM#cq9EKPrwgvHl+{&*nF#F%(^gV3TYo8*7HxJUSHSZJ4>6|qkEW_d>9
z{YIr@RTs}!Ic}U%+iLnoJK)~=ZAZG@HF-Dc*1CB|tP#G+_~QoSnpE}!8)nKf1fDjs
zUT{kOg?FjeGyAUS+*SAPRYa<Ie(8Ky;61l_FY}?eg!M`coqLbRwTcT>_w8NfWAja?
zS}yJC`Q5+QBvs_jJ@Jkyv3%oIjfNxt4xDqB5Zc`z%hRv*E$z;voabjN>JoRHJMk`6
ze3g>z8fig~9K&c4e(!y6-{hs*Z94y(NA2kT^N*9Fei(k^jAmh1F*_i3G<MQg!)+`}
zb2@KLcA0-rCN6&ZA)y;*gceM=v07nAY^zbVX`X@R+rJanwh9Z~KB(s?rBY_U+hm^F
z*ZlPRE0W^1!=AA0HeRP69x(r=(7W}<8?2{F9{Sf>_f3E6R_EHCp@~<XZpsckwvM&6
ze{a9hw|$?O_-^+bZIjo{v7ff-Z^YqmkIS~jSLU5y3p~c=7<lSif@HPy>zlWC%zfK<
z;P=f}J3>R8H(Sk{EPwBqUXu8+!;^N*jpaG?`{vPFw(dtaTMMgKX_YP9bYOA+#@HE$
z${L;0c25=ESz^6A?(L~xcH7+pf4JY7AXL{AJ3)r~-uZLk#~v7Nn-;su?U9fv)5haB
zm!A5QK7Hk>J^Dw*;)0(iTz1?r#rHUGj#b&Fmlg+>IX6u8b=RETcQeOIBIVkp^o?z6
zZ{PF@{rP0a(wM3q-y>3HfxXv`R77oi_1ROoC84)^&%@)QOh;KbxWyc$^`|b&OZQdC
z;hHToU;QMfnQ7j}Rb7*RuR7LpdfVocSvqRRmpW{+nm22mknp3nCwo@CH@+p}FBNhy
zASOR>wX?GKB++k{F^MbvQj)LSo2LE0=#<vO<f$6TQzK5Fx_Xe~d*`<T@4Gf)VbvmT
z?A*!5+{(ue2`I=dI~5_J+iv;$ij=l=uW9@KIlXL$jm)IjZ)T`o-4NKZDR9R_gSw{P
zLw06dQd_q*_gbCV-#E2#LG#o^TWw}nZf(}a6JCm&gGx38tw~*aqh`mQ^InA$raHCD
zu927{D(}aXx<(>9(lBX_M0KPgi%zO*#NjT7#B@8JmyDd*FW4%1BAZQ9cw-M=<H$D2
zK4NONH%3s0>vX#I0vE=g2NxBjwkOU^T-!KRGR3*Ov6F3nqgq9MjQKK~gTGUEm-+mR
zK0Dv6{{ERaQ(td=U0UKE%e664f8#@jjg5)yf*YrISU9-+^xC$#EdOm|)V9Ty`JWrp
zwk4mxXUqO=gY41jZhjHtoi~luVk~FtsAiwwb(!Fy**QtmWyaZQn@r!Zsd=oK;(Aj=
ztz^cunb&4T%=9$dI%7u0r<pIRE~f>bxsrb?^U{$me{apbReP&B%C~!)^KPHrVY}mY
zN3Nb)vUJx9ziV9VuV1~q-TwJr+Ap)DFS!A0mgXn#_3G4GK7U{D+^ovIQSTPyC(n$U
z#$Oy2qE!&F+h^g6+wJQ^%r0-|J3nXZ7u_|>^H&ymSvuTzni;e#Uy<R0x#NbOeVt#w
z_y+u1HfLt+H09?3hR3HnPju#cHes&i@1hKy<@W90uJ<n79jafh>{h-y?%lDfsa!Ja
zw1YKvNi%-A9J}g{-jNr2p?TuhR$tM-T6!TiVp)*U-Bz)wTr$%@inQfcY`M1cg_^*b
zWwQ&c@3!nM5ti_Jspj%hO()cQl9HqOMc)Z8kL}yD=)*0RyAtPhr;ARR>vB)!R7hZa
zm0LyG+^Km--j*nTyVRQy;3agoV@1%Tza`Fl94Fotke}|7eCqqP(9685w52z+<}n-<
z*~Pehb%^HOh8149fvIcUPaE!H{2h`ddAH%L``5J9Q<T(Yx0SoZFOYuewyQC(Vd}j8
zpsIa2iwd;-)83lxwRM#5)ZgOG`76LCeu~f*{mw^RtGt);FwQtxC;Bh4b^GcM+qG<B
zTf=UO7}Sd!*b5unmo~UA`BL*l<4l>5hw553(c7NPus>&LKRbD!_VJqZzDny#`I`p9
z`{zu|{iksI&-t9shi^Xnz4@$p+U)ey*~`;rtEXx&`!uzDTE;Y{lQXr>Oo_Go+;8`J
zx83Lawa=c{KC`ZQW;VaF=X^}M%73RP8&2eE?Qv}t<##_5bYzaGp}mvlnkPLwMBCm@
znPi-hcB~?C?UV#I(ZKpWmKv%VX?u41c?J0Ishl-sf#k<$PlKA49h;p$<rU8ruPRoi
z&M1%daR)U&9`atoVyZgPF0NwPlm)XY61PrKIQ;QY!xRPkBfB>(Vfkry@99*bC$B5Q
zUA!i&7x|*`Qz&+dgHrsF-F5m`B~L6r5q@S;{{(OO1{a}^&sI!P;8%}U=U+IDMRB4<
z%2Do534025PEq)Nl>75imYwEtGn7?N*m{<P?+KVB^rWvMymN}e{{Hg28mcGWKZ<1z
zYRc((t{?U$*I{4Q^ROqYcBtQbGf`-X^}RI_5n}mzQ-xA8_w01?n&A5J*7PMTkrL}=
z147O#?M+cuxzy@(_42uj@P$(pHoIG0>Z_S*%PqR<>EuUey*$)3++LkoQn*B!cS+pM
z?-M^R3E!%8ocr_=mX*EY&%8K|^dxuAstEV+ny{+kpK5z@pyc`gd%tK%+Fo*KG_vcT
zBqOq_k>?1v`~)GFu5wYCcU#TxUDZ(SaKE_5c*2#5VQ*K2&fRpBTU669?!{y|b+wX}
zV!bC+rMG)IpFO=)K1=w?mK|UA&bcso#~0r^C;x3;^Ucpsw9EG6P|>T^Qh&i5y>#!{
z(@Sl)a{g+_nRHK6X2QL9r>6Z2n<3EgW@4O%&cu1AVs%wNZTGG{8@F=%YKN}UvlF+K
ztQ7k<XZ8aABTIjO5}tBGEwlK#%FAnqCX{&RIemO+9?N=Zs+aU8_g#w$ww7eRQ@wQR
zne<Z8v*F&ht&x{D{V`s;`SaAam`jHaWnOA>nqLwY-e|J_wa&`TAKX?hcKZ6`%%SN^
zg0-*MnUt=ywb~h$>hornn(qnU0HNuNxSY*AlXoix?fBrfa!Jx|A!U)tzxGXEB007H
zQqYSNQ7=vu?R5D*<6Y8SnY$%-D`Hpb`HNrqSsFLjIsbZhRkxjM{*~8XqxLRXe#*Wh
z(7oyXWam@d=lRzD+O{<;V8Mb*N}&qA`}lo3=RFcR5)>(%YgJma#^}nF3m-E#t_leD
zxnJa#t*>}}`^04t|6@$femuI*&UAvpv6aTjvkp`UZ8l$+`KzLQjh4vba8-_F+toP2
zP1b$TSf8BBtmqmZ^E~iFi}t$g?B?RoE3#X!-&&<^@`ve#L}M@S!ufpV8ecXCg}r&U
zEI2y(d-~R+hqw-IzBMa}^^|t>?H5T^Wyy!lc6m7FuDyMNY1fXzSwa3{CR?_e9zC`y
zX~oiRDd}wsBSDfcbgpP6tysFbPf&U9irkzRYuEa6`6}-15_q{ZIAq~W&xOB|TW^>y
zi4$Fwetm`0et)wF4{>eYQ(Rfucb7%>IBh-XbT%pU%-W8x&z_l?eJOjYrL_0qonx76
z_wG!bYWr2atZvfZ<to4ZxvU*e`2Xp;vt;|v6QR*3mj2|;&0YAv`S_oY|IMd(+}{!E
z9W^!ltrb)6XKSWj*^Sv@x&_Cto%{H+YWf<fN_PG2$>;8{a=n`)A1ylLzCg54#)q$c
z*?(EfRv(fR&v@aQ{rJnZdtsGz&NXvv))`*7dEuJ*&q=G^&EH!3_2RZwdOul{r)wNr
zHD#Mx`G2O}(TV<Hw_btKEFJ%_+gY>B=9z5W^6i!3zO{8>Lf-=?UyQqd>*2@kz2#k}
zv#u^RzV?3Kjn5qnMe;riw?%j*)SQu#Sih<3b=PZ=X$(_S1LKy<B<|Fgd;Q(U>78_9
zXX8i1=meXv9n#`vCVDw9UcP)8xiRVKsj1q|3j_T9jjp{@Np@Wk`1Jdlh>eRjIyP#D
zTs+n$_w@GSYkeQW6Q26JYfPEq>c3*&*6llYUfjFk;N%B?Yfs&?zH+TOK;veDM$5c;
z0e{zom2Q3?V*Xog?dCsUpYhMypOyCam0rF2#>Dx%PQO=IDiad?-Jww(S^xIjt=g??
z_E)BV-j{Z5fBN%zwbc>zAJ09iJ-Vjo2=mgFW|uB+ezg4jsetodORZe4+*|cGOop>H
ztfk7yYkhyqLif})0nC>#U!J@sJk;4%d(xQ;r<6YzKkeu`6|-`cN_KVKti!h2VOr0^
z*YN!PJ#mez#+4l#6h)Xq>&zV|uMGUh?$!R0Kk(C(u;~d^mZDM*HQ5&XRdgxDuD@#I
zdUNp-J~knh<p*Vj_OFv%HB(1!Ri@l3$1Q0q-2QA#Tagwr^Zv8#tbfhyPl|k4-2e5z
zM)n8h8mIk}K65kdim$Zief=b9f6Pot(_fo1%F5dGPtTkC_G9YAHw`DJr=I4!kvm1G
zw(Y8N<%;FkQbOF$*eVL#O;<^EySd}cjeL<b>EFFqX1x2X?bENWz3IIFw4cBKZaJg>
zJN@_dU&;UK_Ltq!D$gjY{{Cq~g-4_5S?9N1hc8O0N-aL+p)upxdJX>YzI8IOiQP}0
zu`!AM+v2dzZ}sJ6H)Z_NE$`Z!Y^%ueYdiX6&3e6M=QXxmeX?pjA6s+gw48GB-35*+
z`7QrGeqx<y^VDhj{?(Q%B3X?0JYn2f)3`(7YuIDG-|N2ImE3Jyo?4q?{^E|+`tDu9
zF_P=f*cj@Yo}V~B@yj|>31vOjC8|Ge*)<y`$sT+rxW@m()=xIghKoX%bTGZyK4JDt
zwzq75&uVU;@qXd=B{s_|&wa?brnl*I&ax)I^`;rUdXE;Z6PbQ<X6g&C_or<9i}Oyc
z`VtzsJ!1Q=?P+g6$y}N3w>d)Vz#LJl=DSn$bTdoa)w<hfy^elbQsKh?)#P-~hMu7K
zEAF*nyHl2bd***jz58u^xq1DicN5pz3vV#zSBq@U?cj4`cb{rHch|1+Rr~6;#yIau
zGSI$0F`FZ+=(6!0$&hT*+sz+@{3k4V`POmAmU#*rmqs)lnd7PJ=oHj@PQi#JU0`8=
z?+P`SDGRkjmVZ`VB767k*UeG#m$KhpoyB6WGW*TdE4l0a3U9B@Y^s;p9=crR$MeG3
zhyGgRtus^k@qF*IESX5b+$S0D-sh=XZs@dn_5JD7TP_)!N~31KDAKxoI8O0W%rhn4
zC(EMe$?q%tVSfJqyd0&_{t`dS`eSXIrJ|poxxHlOT%$cHKdwG}xV*jo&$rAZHPt7_
zJp1pP{W<qR{#~TtG|ykvM|^y|84j_xt8=V8tlyyM?B_UTA`kDS)?lfrEBHI)7j3C5
z2$B4}{AY0M9r=4wbq|dK&OD0WWcx<5$BB2Y>het2jZq&uuO{wXrdZ^Aw0D=U=$f!d
zuDQOV(W_4$-BsNszov4>kDHI~{);`jTiZ^@EaZh$>GCD>9$D>NboJ`e{hYJ4{yA)$
zwD#AOBH763-HtaRYHn*VZq?mdJXdz>=~(mSxr+4?pIL5(XJ4_7&I<2I75-{+cAndD
zt+gRLSMm3#J}lbnxSm_|X?s`G!bMH9KCz$LKHYd>O-yC+PuHi{r{0hIxBS!bQ}KHL
z(|;!H%#5_ulwa-tLHubn51ZBDh2LL4e;xjcb(Q?;`Ah8M>$m<Z`)m8_`PckcylQ*h
z_xqfeDYGq}UA#14#fk+BR+qeSk=n=lcInb9y5cQLVcVa~dmHy^-`%}O|F%SLzy5dU
zZ+G+J-KpIYg~6WgzSopiuGpdxr6OV~awWPsXabu(D^K3ipFz%7HXQrV;`l-GnuG?=
zqDCp9l-)X~wq|FqjJX}?o&DZhIrEgH>$&`s{YCsATLLu0E}h!o#>b-UZOU%C#YZ_i
z%0yPmakAaItTt;$x7dYi=6rh>@Hyf8!gm)YzfG$CzVO?(rwgSDSNwh5Smv_$+pB=g
z>T-`Yg8aN)&5tfDWGuY!Y*pB&$+3;wUS%b14iE3ve{odG+n4v2b4um<u*%op7mAcm
zo4sgnZb;<cEXx>EHRA-4nF+jUPk%KpyYnih`}#B2uJaF6Z#EjPxx{UF`HkwaoXyii
zIFt7V_<JgDOiMWzU-U!a^zPdm_w1fq(tbgftF`HtLs_0{O34PNlkGRHa%VaJ+}Jts
z*2SlZ%TLcd)BDhCdf3Wyn=>Z|Jx#3o#2mhL&RhjiJ<I*;43v$(KiPOu@^pMm(NVSO
zM_(AESL}J{%BySKyZZREpO?E2zs`%aNWI8>eST$Gs^he~bJbcuxtscF&9AuG^6q2n
zcAonxzmG2XJ>}Q3*&bg#gWbcYvDlodOfiyA*nBgX;{o^2IqSEm9Cx_I^SxsJ!t*R;
zll@OthooPbtQ7UaBKDQh9M!c(YmD^1-<$L+;Z<`VOYM=3SATmvkbK{_fLBFV^vtA{
zHu{TiczzSq5@26=Wd5vYe&zC81aGImi<x}RKF}ai_lS_vsdq|q<Wyg29#80w;JoRR
z)H10@_aL*Q)Rr`_nI^_-q$dV{xb`O?WqF^*k{pkXE+sE5L$9yCX?jJD%anhW=a#+J
zB3W_~>(ovk%-eV@EH-y_-urd$)Xa9Tj;cuvnK|pliBInqNEJp2t_)#Qvec^FS*iT^
zNl06Q$>gOU&+FXXxBhk0-Tie7HfH5)c6?prnR;{LOZ9NG-mJfeY9D4z`uIk8|GiJM
zXI|x<{M_~O<<qnC_y6hMxKQY+`SN8S7_Xh1=Ok6L#3}FUt=Tef`<C{xW`4fAT6Wih
zk8!_rY7c(ns%?F1_TKOJq}pt=b)L#Mi?X7gm|QzLEp7hkYbo)^>QaAR`BOM+VZiyh
zF8Ky63&g+L*<RkBUE?=_^Bl{``V*69uez+#v0eXYO2Mk#n+mF~Xf988pToWIh~6Bz
ztxXy!^G^yj?&94Ls<7C^dX}yAZ-;FY-fC|U>I@JPb5B~AwBEL}__Pw&wBR6}iF1_%
zFaK5Em>;fI|2g1ygV5gqkI1zP1A3+YJ3E`6I+Y`@@QC~FE2Gc1_Cz{v*S_nzb!OSg
zZl3nb-h0gYr|-CA6UUV5+R8O`a-fIglDtR$ea=OtPo9Rkym^|qT(2l)#-)hg0_JCO
z-mIA!Jk?fnW7YFTm)qX$|2Oq-V?va|BYE$ARVg1=eqC;D9=qx1By~I0%=vdJk5^9G
zyC*l8frYo>gZ5dKDHF~=t$O9X`ktHo<~PgcUwD6ZmX33qrn#}TwRONu%fmM3?<Ct@
z-*&BL?(^%<X0J8xu0PW;TYl3!F?%uDE2mtX-P&yLuk&0cdeeVTD{EiVrl3b>H*q=E
zZVX|X9A}gvyY9_`DUZ(>Dn%*t*?vA_d~sp=qUKX;LV`nH2K2hWbL8_nBC~Oc&Vr3m
zN7t<JS%2M$<7<`fq>D+Ddd~Swf1-0@ViD6#wpG)4G8Z{}O)gsEpb#)ac`6H&&u10Y
zDPN*FW<J<zu_vxOW9z(`Q(vAqb!yA3y^%8)U3Kc*=i|iL!?recXXwuRlS|vo9Y3X(
z`}rN1nRX|-Y(dXDP32U*aJQ)DiF;B#CZyJ~x+VEc&@DV&^ieRWacV_sdRn)s_o+pZ
z&#U%M5*5<m;^yX_QmK^oQ+X-tUsh}GZ=xKmFPEnF3$fX&O=jHTyzpfEEoalSj{>TF
zdw-PvK5*f{Lg%;6@?Gs}=I8Q%Y}hS(an`I^U(!BaQ2c(OVbjqN@%8^sO`7mj>0{=@
zQ$pn{Vi(>@TwT`g^hiPQ_rgQTnSt?(*Y6B!b@BYXWOwYz|JzPoT&%6Mvr_b*$=a~x
z-le<dtTU;1ttfF->3=z8>ilQxC2k&h7CJ%w{+jRNC!egFHFJ9D-eT9PvJ{Kc(?fTx
zRoh=?k)5+kcYT=g%j2ix*GoVC_~b>iW7xj3dmkSA@7~-xM`rucQ13O#@1sK&o<4c;
z*PQMf*ETEvS}>{Hnc?h3pQesF%gg(&J?kpF;d#by&8gip7cCLox@d*zQ;%CLH~$Bi
zot$XGCFpT-;t`gc`qs<>3$IE{jM><#sdn>O*Q_bsn+!#{UTOEaguLNi5y7`)my)6I
z&Vw!qLcUi@8dL7)^xuqJX`8kG;Jy6&>DO<F{tTSh(X?G`x=YUF-**)aMY7)i+ZB6q
z)xv-k&t~Y_XNCu5mUrCf>yL={{JUY@wbvSw>t}vBylUrECqKTkWoJ{*pI<3*<(@fX
z4retNtBSwbtRmm5YVKVtcHN&ockz$kf%DzFTHO9{s{XjOBV?i2<~=8~R<B61JpZt#
zL$PRcW^Ko;OJ}!rm~zL7ctkD=*SRpsWclgVH`c1_rQEJ>4w@!)%%Lx2L5rbw0#9^m
zzV8*S^f0%z>6tSYI5%FKow{Y);U63`c^l8vRqV}Mo;SbmrO*?>n=MSMy^8|F6BVL6
z%Ys{*ew|Vh6s@k&nkHM8zQlgE&S|w1Vw*F+MYm2)*9fmtE?gs-WnZ$Qwje+zpjmS5
zN=cqpHs^&C&M95Haz$gJYe&zKn7zkTG8gyTdiKVIF5M}kmu~dK>&@q)sW0|lsLPEF
zt&R0Onlks|oT-5mo+<B|a%olK-5nF|&4@ns?(gyS>E>>){WAr&oO_e;{8Vh{)kW%a
zpFYW0b$<CQvGlYyHIAm0H4XooZCefY#?MRD@)lm)l%ZG95y{$g?O}<HbdA|}-;co`
ztpmdq*tl08x!4*$E062Wl+W&$V;fsuE^P3eyvXo1_oW~|Bh#fBsgvh8y-nnty~3sA
zjYp@@&6NIoejJ_?+$=?BzgfEJq@3noqbJiYnO839;Yk&n_2%MNNfl*Bv6J_{_@2{$
zTjrbRk^cSJE#GfXu1tTMDOl8Ycm9Llaz++gj76Nxq-09i{w$imDtwFDWYbAHsnJtD
z%_yh}*(BiIX6odn9IUii*;_eVDO%}PN9_CH9*qg-HoQ``y?R<LTg5XqUF3<p<>Y6R
z|0wUC@aM~?RqvkYEwR30V{k+66`y9g$G=72E03&*6D)4MytwM-!~R#<mzV-;dyOTo
zYTuRLnKMggTY3H7n41S=0>A#2(G1+G&DJmRb-tuuxYyrWzIvhMtdnj?h7|r;u{Pkg
z!;0N?VXNo(J*g?5a$@E-jft-QQ%;D5PdSm69u%;1Mu^m>s=e1%m><0V+PhF?<IR<i
zb{t*mwQXh5?FA3(C%%o#4teZb=wceT*?3i<jG&;sy~zzZrAH4II(E$0mYuM6>86Wf
zx>I?tWvn#1)ipzD%9NleKC$#$Q&jhF*6;j&vaKZBB;w5`jiuL9nokSyidmn%n9x*z
z@%bbXn-3dqi~FAR`R?8ySKM~%g6%4wo7!8pE4u_SpR$X->%e^~E6|*`F(<ZRs`l(0
z)A!G{Zg*UK`tZrIrhnIF*}mJftI3d0{$%d_n!lM}Pw!FNe_20G{C}R)%^kB?rMr&b
zFS)y9vW?m9%V+1w)P4HJI@NOCm!{|IEOS4d)oop7kh$~Er;V+t!hTk}vu@ov8r>9@
z?QpVi=O6E?%?2$cceJAO4DL>F3Qh2GtZK@cdb#sK!G<lTH7@@0IVGH(7L_+6-!O2R
zf|#Xu@~v5u9x665taiH;;G4M0ICtyeiCW7o7OgyaIlF9$$yWQ)bS-b+^8uT@i?qWo
z>&UJ0oEM(0)*Wxo-_@QaAS;y-{!VA*?u}B5@|t#E^v!vi*|<1dJ}YVE239S%{&gyy
zw$*EPCf${Ie`Rg1_>G?D@pr7_B&7D$zq=qD?7jJ!)$iwhr}xdQ5znZO`q>!0<P+nz
z`FFd0igwOPT)yB4pS9qrJ-O@5%DcZmoKkzQIc{$F$;Z#Q)<3GtTPD(JwcNu0ve8^u
z{g*7_g_U<EaYqGuZ*@`5oSQW3iO+}CPdBeU@ukwpSUtkE{pzYed*06s>pq;dH6@CJ
zW$laNS&J8KiaX^Jxn$At)UO?3+!s@J&GDP-xB6_$$<MtzcAe3Rj$U)-R^HDkC;W;-
ze4Xqz%?wbT_(q{;i^jaKSA9BH$W<{_3a-!D=H`;$UcR|}ez?G1m1njumPX!VNv!>+
zp1xyh@#9Yc8YL@s+loke*<YzUVY?!&WTV>}%T4RE`CH$tdo^+G>8Z1XwtKbQu}E8f
z>0j}ZJ3ic7wat^Cn5=)aCcJQ3*S0enfeoq$zaN#os^t}YVSC|Z)2b)$yl1y*Ox|;7
z{<J+0e0*)wb~~R)KYTvqcg)cz-9fW=XFO&VJ$h9oCM4AKPmNmb-%So}iV|Ba_a0pL
z(AwkW(#1<{q<FI2<L#!b?b+PRpYiBToXEw?@|zC3bqW26{u#GhdC{M?btf~6It6BG
zyzr7;dM+sZieRZ*Y_Lr5oZy(Xv%-F7-YYhVJyyAl^_%NC*L9Wm^fISb?E9<qymg)2
z`>gwwmF83KNZnfdS3*9@PWP8syySkP+T5QDHNvy6%-SO6c;yDm`j|^WmjaU%T5i4F
zb|pl@b#h8c^<~xV6Wl*J{S1ox^safqbe?q~^Y03JmU^v=i4Qn6Rk$W}es5rW)AtkH
zsv<v~TwR4#<~vWSS!v*)9XLm`Qg+f4(HV_`Wy^d^ZsaH}WGQJn`)<R{H;WFeNYMDS
z>=x%1v&sE6&wd|tuU%-P`DF5*Q~n-YM<S+7e_bZYdrQeJQ7iCSO`vP1(PpjHN?PB3
zhU)CTY^<cZsmL(wQlQiJDHDXIYb)n3_4k_aXl+q@?#$j>+a@RdNO<tKXjaiJ!!?E<
z7XL3v_R!b!ym0x-%oz%<;VX8(dKchU^*Nxrty)95_Qcw}<+rD+mkXYn7xnYn9~0Bv
zZ`WnbUj6ITvq=;FDSgcB-L&!Dwm;TO&)o<(wz1gkOLwq2=j7_V(!*<Gj;~Y~(7%5@
zJ!rjZ|AYM0#cOY^EDzcJr7x=}<<%wzYoqGPlf&;`)hPacf0>c=gCB~YVngGqEN{k6
zd%XKs(c<kpro37EDJ`}x&Mi;XQ(ID2>Yv@@f0Y?hd((qgo)_XtyOnF)map=B$84@C
zcdK@tzG+gUoH=vG#OcZ`&9}O^+b?~zXYgQOS;&Ru<{cwLq&-{suKF^vM7?+?cH-&0
z!wLef-)oNQOm=0dTQ*V0du6b9+?R#BelkcO(+lbqRO9|%%WARm3hM&nGkcABwq)t`
zP7-_3KC`l0jBRDh!PI?{eHR{Uh;}9kOuF6s=iG8X;V9GTWwAPby;5mGA`D-I-FHT8
zKJ-rKncVl5ebS9?s|3rguevLI>)w`s2RWy*x}6eY;cE$7Kez6+8q2HHcDDtaF0E_N
zzWOh<X04RsH<{MFA36mWW!*2Y6!bhOk*q3G8hc&UQT5;5=Z;tT|Liu_vtH+=A>r-6
zbg>FwNVOMps`S!%pELsGG&sMAXnZy`|NbQI^~I=PMccpMJRdhZb>XRt^2aS${1q$s
z4%U3;^?MhY_osj6$JvG}*8EqtS2tH&`Qc-4)iuAT*Yr)!OxlGtu#tD#!Zyg6n3)+E
z;NKu;WMN@qg0eyG%^uF05Z7(&f7Y+Moqg%<c5CnQ<&)zs-?Z|c%qt{yNs%+!VH*Qy
zvWnswAyvhO(;Y`<2Ab$uyjo$QWx@AK!_KNRqq#4wrq8&E>)neL3Qb*7sWL9Ip6}d4
zg**zrRKKr%{r>y1yrNaF<`l0!x7O<G`dy{(a|IZT7*!IE&Dry3+KpIWhEAr88R3?D
zzuDaX6wmO~nDKM2uej;96<<~<HQZvz$&cE8Z0@ER`&e1xS)Rn}=0=uXbS>?(Y*@#3
z;ks^iPIjZLT*Hx1QPWK0w{3YgTaz*2o8W?zyK6qWPT%+WY2$sSLcs$CZ$GK_cH8Ik
zeP>)E>+tc@Q*Wuq%d+JePW)sDnjXL9*`;=_Qhnw(91h1#)@NnKe0ti`&+tpeVUD<I
z+^t13m*3@_kizh;TUtLVsIEGhae*IOMB(XG+f2Q*#F$l%Jk?!2eM`yn`=7E87_%AN
z<ziyf{bJy}RKuWg`&r)-b*Bneselt4kEI++eSYz11sHTJsHm9vUrE5>@yqpxY9#Nk
z|M@QTCsW{~4+WDSWHdbb*ZNz0mQ#H8+bG2!ciT13fBJDYUN+-Lo$r0#Ppr2h8A{T<
z64rFw;St@kYIg`L!$<xjIoi{LxB~-MrD=J(&OB1u9v*4D_fW6F9gAhV7S=0iUZ^-R
zIZ?nhkfCgLmQ=o^3d4ft^kdDPQI8cLp1ZuP@?jebm$}izW}7FA1%eG^i#IT37iw;M
z6ex4ZFi!5y35&(SdL>d9ZDQPiesJ$oTk82T!0g|GqyI`*{F{FKt%XT}fcC~oQFRx7
zme|?;Ol}WZy={g4Wt-)-?%%il+kNBW#`iojZvSr{{xaXbYR;A;$9?<uYZ@L)+q%AV
zj>pdXsn6Q2uT?u|&8_#Y@;bKYjP#~b%iyB&56M&BtM_*$y8r$E<A>=2J;BG0EWhe6
zP56>{<wE<!YQFrw4)1eSlUP#tkG=aJ#LvsUYQIC++2(Ll{@~F1M*m*|kwMZntM(@t
zoxj{3Xb=-Wnd$w0E%z+v-}T$`ei>ZbC~fH4^vQO&ps?zKNq0Lkza*ZS&`>Cput1e#
z?nV8B7NwkLgaou1LxrZi|6%zhQ6hp%?6S}i@58e~nPmRQ&TeDc{@VS=<$udl|M@f5
zO3dd@i8|oEe+lynVSoN*oO5ni%~)peXxc#&XI(G$%a;sfMRPvzExWo%KYIg{cp~Sm
z0=a1qgw7n(ifM6+>6tmB`Q?g(HaZ8hwj{EKJxtnRD7BMAspF;6ey;2S$*2u1OAnta
z;-B_RE=<l}|De$tS7WJ-Q3{tz);I`<C5ztLz~#M>ZRYcgza=y48>D}7B#F2k?v>Ix
zF0`XQVWzZbMC;WI!7YN>Z0l9U^QJ78JiF+OXhhr72<5C<x>--I@8$E}5RoCZK>YvY
zjV#?8sxC~ET-4SbSiEdqX2y}!h}NwU%;vK;a-BXBy6a*~XvV?Rh}va(-)CxbEMmx8
zGWTS!+qMnOi%Ynt9qv8(sH;-aH^Op-wdm>%F<aiItT~!=v5Wco(c4L_JElF8-<rNM
zgWp^&fmLi_WP!A6cF&$;jYVO+aVw**Y!X|2QDt5EisyQQe~(&b7aEFnUn@>NJJW7v
zlhj2k?IV@q-QQ%j&&4a5OnacDBeZsJM8Nk2uFkvi4(1F0+r*@sUiI-!X~9n^lO)zz
z7mrOew)y|cG<S7$bXaUn;OA4^;X3i#N=`2NwbRu29QX8Id2y-eD5JEJ!oWnwe=8E=
z^8Csaw2hT*&(44Kx$2Hyy4NP<lX;ufjvbFYv*~0;NAJbgTcoynB~4M-ead{}L0Ql2
z4v)-zCnpzI+`ImwZ;2^ivWd%`-ghUCOtca#Zw@H#zrtg_OWFJUMIQc)w(}W6H)WkP
z)NjW=Eq0Gv^=0Scl!I%c=35sfFI|65_NC~{mrAvpJ*2g2OXd9iw%v|RjgEWSH-W7@
zH09B*r?R2EQ!Q^UF?Nf8xH@9d`IBzT?Uo%17o0q~x8v&dOP*^UcX|Y5hi0m`UYjsE
zR@C!TXqfApeO`HvEk9RFiMywrTeWsoNrg?R<xRn$i%+6O8uOiwhzMw{5V-1cMO3hN
z#j(9j@x1HG%hSG>+!2_%afPD5?i<^8eEf5ZBYVx`(izNxtJfPP?fuj7HpfieI%(yK
zyB0C85@bWSiRR6F`{KrnzMQ$C)-kUhZdrG3+GN><|2lbPg_R#3lU9445`MmLe!TR;
z@atFIPFyXuyqQ-g`)3y0y1dTyUByy*CGI8rIQKo36*n{4rR*sycxK<3%TuR_+*>N+
z6f|}B;$_#KcTTaaDeawla_+|$GioEZ+rHV>KjleE`Kf1(X>Uztu?cT%d)TR(o}#3B
zrE*o(x0z+Cca-i=@z|mGD6h!fVD~lgh5roa=twsAEi>ff=Py-J-)*(2A}sf6SI4?V
z3!U{!D{PGH?H7GIZC8H$ddCE|`1jA1Eqj|~w#NUHvN^f;NzY<ekH-O4LL4reHtlH6
z&{E2(V}0zpPeZ*rc8Xh#?j~z5<<NH=Y1iC5l4CRH>8js;{P1pdtx1oo<;{5>zt`Mq
z+#}sB6U*_O^_9|=M(?UN70%+FOKLreo_%4Pude>va3hCrN5<uIe`Y>8vSZcLrGk@w
z2tQI~{`AUn->XROth=GIyv@1MvBpZ~3i8EnW;2wSn>fC@tJJ%^o&RX{rnNKnE|_M#
z!hX>w|1Y6yGiJm*f0(Q*6drh5W=o!etE`WR=*Eya^~+M`t?Yf8>RGq-!~dg!y=7r<
z8QOQKyFF<;$-Kqy{l`Dz{#{zfe?}@<Ro{AG>gvU;{5jeEiTe!k+Xq)=D+NidT^D)b
z;zD`F*)L|_xNy<%;`4boE?&4O5qeAf=bcUKlf_r3Zk5#y<#oO*A6gdwzQbqFLOmsO
z9rdM_H|_LZzKFQP`E32l-WJ)pE-G%}G4bLv1y_7_6=SWDi+?+5*PJ)!f{s*WNof^r
zver~9`E_FJW~;+bZdr0?m7i?*eQVY&J+BPc!!5hcg%rJAb1ODaL1)L5V#~?tcWj!o
zf^2th>&|N3mmDsUAs*yu;IdECOQGVHqMT#!6uu8n8oMsJxF!aexGrV%tni3-3J$S8
zkS%}VoWzxDi!`;0Pv!+MaXfT*!LoM6O5WG*?cRrz4?oV7EG-c__ex4u@2+pdMwYve
zJtYfEwq5SJ*(9s_c5d?FzSu=eZZ^+)E8%Ui{x6Td*TJi8q9Nht1q-jAv#n+=7Qa%~
z?=D%$5fvV8-pJlJUDR~zVfUs6aXT)SX=bi>c)k4}^UELN-REj~bKlCjU-mR~baq%T
z`?X5UeZ~C$=6Zc1p=Z{8-64LxP*?st-@U{3t6bVN3-1WGmY+y&FG?{GPyEO6x!h%1
z?-@P&$#YNqmsXl&U!Kt5vc%-!?0F|z`#g$cAM|)7FR7il`>^hBubt}CyC$oD{NL7b
z%3kx*y(=e<@3>{Z^rU#;&*g5nX5ZP`Ei-Rd$CP8wr}EjIH8*{h;=W{yv8k&bUysJ6
z=Y11qMftb<`uWu5R{AdKS9f@M-*L<gef`nmj^NcbQT^4K$trKMpD?F9JMi^POu*^&
zyEZ2KtyOQbYF~a%@Zyy#yQZ7zef;=P_Mxoxg<s4!OiY_Bw+dQVS=Go228mDnBYf9e
z`mSJTl*?SRR`*p~JiHHF-_fG)Jnd8HiAjx;m+l%~u-aqdKJ|R?^&Kise;4U4H7TEx
zB&hByTQf2EzCg!{KhOBQ+jlQnTxR|%)xj%!)^&jom3KB(FN!WHU3~cY!(M~d=dX@E
zOX1ge^(V$~(WmbHTTZw(o~!EpujBq_Ef<eQw(H8IbKPfTf-ZL0Pqn`!)e-P|21nZG
zZgrV{_6sx3=bf@&dQg`0{JO6eKW@I`SS#srs`2yk55cm|vStNRTl)l^gMF4;-ke)k
z^!Cg{=YM}ZV!pnaZ>h9IFa5!_p7(q2$}GM<_wMzHDuvHaGMHXD{Ql7vsot=~*D`j-
z=v%CMnB;l1c}b9Q!^<mKTfHW!h`LQPEa~I27hH1V#{Vu`tF>XvFTN;=k<+$NJ~GK`
zZ4m!v_r=pfCjDX${U83u@W`wBg#Itg)n5+Dy)~#dQT7VV-oY!?yTN_&#SE3LOMW_D
zeI*}vlK+jNWYW=R2O3^#CGJ16S~@VXCr*Cx<yXl&?kHH?5?op!Y_f{6I>{yKhVi1F
zg>Nj+6u+6+`~248XOVADa3y~+G_;EC*>GILV%Ni@scx$;W-U>y-V*ip{}s8ZH&ZOK
z`=fN<1b%$w79t@mEWG;q(PKr`KK5JO&-z|iwKYm~fl)?j)Y_=Um*!pWaGo~ju+8y#
z7B@?_&9A>A=e*{ifN-)&!A_fmhaA;gs^-S67W=0sSz5I>Zf)qxbB0wXCe7dCJ~v_F
z`CE%GcB~Hg{K_pP!$iurEn;%#?c|^0-(GyN+UvLY`fA3lYx*y~HjOGhBVaOzb64V*
z<8L;q9+_KOxi;*^`iIRLWjkg?PCKjCeDlmx&L(50HNBm^oxK;29Vx2T*|>4z#;Cb!
z-(;39%<eeo&(eE%TEVrWC02?1r&+Hp+z~bBs8G7u(W9B{i6tkx+un4V9+OJmy3vhy
zL9e+~uiM<XY#FJpbf-7`>z}ZHTcQ$q{?_8_|2u3y78Y`fS=!AzJ(<N_PVZWAHIG&E
z#NP#rHmJAVHeS@D=zY$xDCNS=$#2tRb=2I>uv^95?cfp?7FzRBZ1vRB?Av;DmERbi
za=W?0eC7`RXx~jb$B(@5d2aG(LgjM_OQRRInx6kp$r+zHe05D{=eCOOv&Wul{y!u)
zd4v13owj8&Zws$Bo`1Q+`PttswsroeBB%HFivC;1{z$+)=4{?}>otX*e0lxu1&`Xh
zlT*8MCMN3^-kB%zE@z`#?Hx-u{+Z={6P2BPrrj^T_O#b6v0g{sh4b*$IejVVldjG@
z^z>h&n6#eRv7^yKI&Cd3cFSx!KXP)aS(-5_pFZ$Fbzbt56A35I`q;kyq&7JtlzDxg
zOKaZp)05{s`B+f7?12ie_-vsyA3F<|xg_?R8(y5H5zTY0xJS-NpU+;9=jY9r>9P0D
z%sqCd`Uc<c0@L}&C%-CMvaD!lMNH$9he?(fr)Bt?+|0crw_o>Up84^aZ=5d_sHyNR
zwiog_dT`BVy|j%T-%LKHGb+zxTe5W7vg$c6U*4Iw;K33e@#q}B*@x3Si#`}meOX{3
zGmT~PV|IU$oWn2rS&B0g&)qDP6j#3${OoG)3Ik(Z+tbfaoqF=g>7e9C19d%3RZZ2C
zljfXx%c-(_$&w?HPoES^6|U%?{6Y9&jG)$$nH%TM(70vXXYVcZF-2WpU46#PS>jI?
zXFU+?so!C7@nvaMu#jz>-7>Dda_h|vHP2a_h@59xzCiOw=Y)XRz^ZFU-`vT3bLah~
z31%^CHSG6Wn6F+j<Fq!5diIVR&pbabsm%FjVz<oe(xpq6-e_`WK9{gfxo7Ft$Ft2c
z`1JX{(<%23@Y(5XatpI4JM$vIF;C;j(_q&0Bh6Or_NV7REl)}NWEeVa=G3WE-)u|c
z<2`D8(7xxNhlf@Dw<nt)UwSI^b|$DWc-T_-Sgl8MhC$=@uQ>-LKR!4&bLQ-svtOP%
z>B}@p?b)+u&vpe(E;?^mbz)C(kBsD;?$_rI&)oR-W5+iiwoeo7V{$*Wbe(+c=0ESu
z+qJ@T3=bcD_vX)=KRM@D)Vw?V{OR-O&#Ny@{(RoBibHc#{VBQfopPpcynkr~JxGe*
z5ZCe`X>aT+k?<+C_s(vLNqx%shC}LxVNRlZ;RM-MmYK4RXZjZP$hvY{GquV#dc91P
zF9{EQ+P?Tr^E-!i9lMQ;K9?6dh6fe>lfTJcVtwghP}Plt>s;C=?7456cqm~}#Tkc1
z*4pa-6L!wq+pmA~_kuUIWdf4S>80sUp2_Q*Z)jF{<28e$kvsZkb;pM94uWa#OO`Kt
znqS$rm*dd44dNRj=6rLSesE6wy7c-){{u|o)pOp;OnLLhY}V(%d&lxv9Qk(({=V63
zaU}0ZVVSbZnk4=t=4yf2H=1u8lxtxTt`=B#d8f@cg|q^`>K5B3{hMY2Gj5nY*_az~
zbX%hEZ8lERH;Dpko=mYlqSqp>SH`@Xm$P8w>ziwp{@Lyp^(@$Uf8*^3E!}sI=ZSut
zcJNRB&0MFt>k9S8yLmecHd?bkw!X2p;K0$m^zEHL%$t9f=B%~IKbx>;>ef=r*rJ`2
z?{b;%7IiGsmbmlAjOAu7$L8BVV%2u@w)~xB+C9rE()g2q^<h`$jh7BzE3d3s)PJo!
z`t8r?(_eFJm2zyWZdAQVf32u#`=(2At~Ogq(GAg#4cZqDuRBmz#;U>+DSc>7uYGn}
z?u1C`J8O7(+9Ra<xa*#5apTWETzByQ)y%cC{j+nQT9$F{7MNME@%4??Sjlw-Q*>YJ
zaXz1Jdve;mcZUq3Z{_-*ykBCr{Bp5-%&oP?e8<$*?RN2)Uh+E0vfQ&g=#;}6<7ex2
z%r~k1nPb|hG|yCVs_DWBrVA&TIwtwXuB=>l_l-@=64x6qZaJ85;Jw5)Rb-9IwDbtO
zv@Aw(9UXQ>UAb~yo{1O3^L6*n(tT9@I!7>N8;9=l$FpxLd03niYdUaNuVJ>X%LHAQ
z8L<c6%iUyUh~}87d!(+6k#A#bfMc54j+vZ}X@~044k@NRDi1oNqGIe{EH#5uw>jvm
z<jD(`G5;;58wg)wOmmqa=~&nySvY6;48dUk>irM5e3y2Z$@ubyzkj2{vgHq!EjO4v
zk4bos^B0l#_EoQXbSyOT7c5^O_UW-xx`)`a=a+&XzWFlGN9d$VwDHNaGgV}?w9oTb
zpFZ_jSM2oF*~{L}_;zMX?d2t{m&1H-8}#<}Y1!V5ZA{qLvA0g{{hLkdPy5ZL_Aj+|
z*f8PU0kK`o>@WEYcD&$wa#2=evGs<ocMUG(bEfTnvG~N|{zW}@U6Nk{b(ZuywKjhV
zv`c%r*eTBJ#C@d{o1;%lTIMMFY%iZGc1B~q-}Yq1yM4MP))Jpylufz#)~Q-ytMdot
z5^Fxre?5+W*EYSkT5DLsC;YtNO@x6*Y!2h88%#PW+!qdsIkeX1*zs-pxu?cVUe8Qk
zH^;7Q!_Nsfn6@>XP5=CI@t43|bMw+~CLUhFa{a)eBT3gzJvHh*8X|GRx#^zfi_)fP
zoU^3N{7mP}$*QPY^>Eh8wl6E&mS?h^N>td+`HJ)S6lpna^D`ReDbu7+rDnCVv&~Xi
zF)R4f%mvRmXUX<`m)Q4N;@?BVKX;RN98b>pDsimxN9D<agAR{bdmm1@qxT}j@$w-<
zy~CgN4%bXi+;Tb~PvQHdA_)V>faMGL{7#1V&(!k0VC%WhNtI_xNvlz8AeW%vQtgm;
zU6cN;yPy(2<x6SnyHeMa_Pd?7@0zD{U(hgm!sOVM?lDg}pRmkS`uNA5?eYG}niVX1
zMRR-(8tpj2%pqtW^W=N%!qq$e{XOFHuBrPEU!3ms{rtv9E2B?{9NeG&VbAW}o5Xi6
zda^zC=JcKOl*$#OuT;5*e-jL!a_836+PT_Zc`r}pmARf=re|;d;n2E(X{+z}OjDd%
zbXV<%=Ju3Z3B8j~ikKXBRpXr#b9L(3^Q)eSx_G)y&!3|0b!q2Re?j@Qu2(U!c7+o)
zKXfWhdMNB>{Xl%<#2GCg*(zMuy!{mWv`gq~@;~Ku`A+_yxQ|~ya;0?r>%+@Wh#2wf
z$*2YIk}KT1soOk!dUkx-lppftd*4)F`uhBe#?0$aU!CmB@|^y9<{J%F>)5#~-D4)k
zrf{kD?=DNxFSdOpd?|OIhU!C!>(c`IUT@#Wy6)^h4b|9nt2fPml=eU=N$K+;!*#pf
zd|a2g&^<=@Z=lnzn1=yVejKg}UzxvkwaS-{Y@aDUFYAt&YMGw$<@~ky%f#cckt^L}
zGWTiB{61rK#=AQgcV`Nkq~uyeZ+6@4VeD`0Z5$T7;N-;}rkb--eD_>iG<B<6?wT<D
zZ#PwRuR2M~hw`;D|H(aGvGt7d)*~xbE*U+Y!ncRVvvXRKmQvxGSz+gAIw(!c3c2pn
z(Zd(wZhGp?i5U*1F4mvZx6W<36Cy4t+;Jg$F^lQez(obuuJZk}sT1cox%-zJd;j_!
zzmDy)3X*-9>GV~p{K*rU_$e>abvstn2<Ldjgr{k5?lGV4v*wTZlEevY=h&hXDmE<j
z>9`YTyL{W~7Z2Nm)vmaHa*YZMQo9oBuJ)1r*X|7M*wE0O-P=B_SXU;#bI!J~v(IXZ
zDpT)DnpR|bhd$M7sW@_V*Tbh-A1383H1l0CQS(DsXj#=>X0P*;G-En_6wh-lO=V79
zdMPaKnvdMotM3;1E$P}6v~u!lS4~NcGbVdBL<mgQI3vOx7-1Ct>dWudTGpHY#w;z~
zvOlXVcX|C50sEq`H=p@u1hem7aoqovi*3uCt0yHcEWD*CyK3#4)!cvXOHT9ok*_iN
z-LZ0U^N-iHq-sLFrD{TXC%(R}yZxhk-n(;0-}CLupLlJ<icHIX>FF-_zUr>*(fJm-
z%UXK&zt$a{-O{yF=Vq*0weoEam;TB(Sv=-pKc%!^?~=a}5NNw--RpB#LN`8)7unV*
z9(M3`)q-E~9jkZ#V2lelUB7zP^{);;7z4w<zTsR_m6p3)O!$@7Ir)y=nLYP{0&hR~
zaK+bSO7yXAL!)cSyDx2wW~$^cY~1CsCg17EgV_o$mQO98#;7=U^!zzG({bY4Qyb-u
z=Y6`cz~h^5$BbHGfrhnNu1{y~-?nX=Y3`ggvC<jY(VNrO`WjqkS*1MB^6JFSKbG|x
zLaVx3q_tjiyzk$d&Aj#Dqt<<`|5_!vw|lOxS^a0VQCRNev>$0d(o@ddP@DSm)Spzz
z)Z0sJ;^*1xE9cLC@@eOvbv)P2GS_mHif&E(IrG9kfmqR70=GnN3EdJ5;@zNozT$i3
zOQ-i*@7dm~y%&4Ww|*A)x%IU+GPfT6y>$B8HCCpSv$IUIS828G%wDZ^dzIGhin6m&
zb&9!-w+gbF*STI_m%QoRTJBeecFnZ9s<o{#H|fmLPZ5%{4~iLuhP-@vX^NQ3)OjCV
zN;40hHH=PHGPE`Pog5-q+F!N**Mwg?qMnAaUrqe#Q`)@C_3pZTk$Xee?vAY8+-7!U
z%aJX|4J?nz3iogxKhqPq(}X4a`nufc-DSG@i??RWCPpq^S90`)c5F}Bu990`;`#e_
z9k}J-n!8inSEqgd;&Vj;$BP~$TWTa&&Ny7O;86WMzrDwbJ}90(Xdl6+^5@f*dux|}
zeP_S+`dLez_NO5?!$Llpt>7+D*}C!1yM(}hGc-=W&x^4VI3H;s5I)yjp#9Y6+`wt~
zL&Fy6n{TWUc$4<?#^FyN`A#2St|R|H<!8XgnrZr6@zw@xuWwxtQ)t|pEy%%gt>BVa
zgfkNd3&;1lrn!v_KkVh#<!0~5YUq(Us*sy~@mkv|(Wx2P%DLGr+k5A4n|9oJVQ#O6
zD#KOZhAgR=Rhb@Q<)s033{#XFK6(BRc{(LawdSw5R=dRg@0BGV=I6KE>C^Q%T4=;|
zei3`{M}|{|!rK#tz9q8v?C5#Z$|A4zQQ*%J)~?PCLZW7mbLaG5wdis_;b3}CU2MrB
zE?p0o&LW=9700%o(%ZvptMx(thVQJCGEWvVP0lpqJkam!#lPl6KwJ>tf&S`(DND5G
z&giNBAb7^(INKj7v6v3qQ=0!+S;QVX7O`5g@k<r#4bdpo&^8pa{>Zj6EG)@NFIe`%
zTNmTH-rt>5zi6;e?f<yNWdn=!2kw~evoD<Z&!&p5TKg#WT%iSjZ$#7l$GJl8ZW}jD
zTIUmB_SnXzV|_-0-FXuO<p#}&4(USqvIo902d}HNiA=0Mq5MSf6W33tQinZ_`^Ba&
zmi_*K(Wc|=4Oh2`fmgiNF4&+XTzs+5_ePsuNwWP)wbCW+e>!u6S%06NQlaYjGa_(8
zYgEoLu|J&d1x+lTvg;cwZ%UoiTpRfGdu;2=h@RaW<<~#(-P6DLht&N^I+I-O7KC5o
zJyr8m@#%^Rk*9|w&KzP|bI2;D>Hb3Y^N%e5%&qY+{o=LD|JUYMMOO=-ibYIl<6Lo!
z=gc7)onv2r@RuldY1}(<{fN(<6cI`1bIO}f8g1&l<G1KZ?kBU*VE){t^EYwaK7DU$
zSE$xf5iyldyX$`#$e+I0qg|+*chJnH#r`qtB!^2Le4LZaPu_l_BI~W4sk(RmyT$J}
zufD1Fa>}b&|D6BM|60Gk#G%>C$4U3vqePj5(^V$!@KoD5Var7Rms7u_{gN;?n)x$A
zP&?gpO<(NkyyW^+eecyv-ItVy1c&slayRMyEB<}|q7d!<LZ|gi=XkiAaT!W=Zx9hr
zT3WGtJL~E~)^$$oc~);zw<l=JIf|Trz`CdL`v*%u0Reu_Nup1jiUfBy#rWnanNK{M
zV*OM2r*UOtjf3B(=Bky`(=H@MxoN*}+0_&0yMEdBi+{iP{^Imb^UYz-PJjC*?wdt*
zbd!o_msb4L^|RLOiu<~tYQ37XapY!?-;Y+_+qY)lu6^HsUz~sC|K@+UfB(Pof1{EA
zP9B!JCko=>3CeZ7XLs=5-oVSB%r1BQbA@0&XY_uhDJwdr-stF0nV4hLHD#h_3fD}h
zWs@UQ#AbRwoAfh<G15Wmq|&B2I_lCM)<0Q)s{Rz+Iq{F1O!=g<DbhcgE9cnw%~RNY
zGVc?crH7r;{7LIIG&B~6y;}a#q<`84t|&+Ki;^W~bA6{@3@s7OUAX$CRh4S(gtE)A
zUwp-m|IukT-4wQM=3n;eX?xG@o4jI*?o&_2%z0aUel6YNU*&40P`kcp<*Ja=q2E`{
z3$?z|`fBGZ?yKdi%2(J2&cC$6)Y!D!Bs?STx<-_}+|l?C24#IW+Rp^PSI%8k@iM4N
zp#1Q$s1WIsF+UbAGhH+D?vc1qUDe|=XYX;gy1}(AXy<(2CHFUlrpVQ{Rm}7bJvHqS
zo5mBtUyQbn_S1wscx~N`mc&n1f1wrTcvLv<YIac57w@a;47J=#OKe{*tWVpYvOnZs
zN6^*1PZqq2`^9zVlA=_5^OE+QCFKkiGuJJ+zBo)Pq_$r)h4E+etDsNZM)TslH{E!B
zX|KhpnlJKCEOyFHf2?X0>a|q-g3u?9Zwvb^E_z2@bgFAMsGPLNeUZWw#cv8T-I7oC
zsyOkcm@vjA$$hfA%%AgRlF2NGv`ddm^s2q~&KDJI`dcA7UD&N@$0MKWuaA>-j^>)k
zGKM5t#VP;X@Q`oHW0(KIG8;qLL#{u*zN0@i*{o^B^6J2@=mHV<!0zk`{daHlWD71S
zf5f$Pu8vr|unwc^l$Z`1A!g$>EH@Z9JFj%JZxA@J{X#OoR)Fum1FA=Qg_p2P3QfPG
z5c)9E<iYbDa@Ug$UwzbhcTD%y+*Q{Nnj;ub-mTY<JF<HRgYZYiPZL`N>Uvps_@8pK
zyfdRI>`w3Q-|EUb`}}TuYg}6_^0-hj&1>?+&f8PD3)#aS2rN6;D89jIC$s1&dBf<R
zON(s9w7zRTPnLY9!LP{udWxP%^5Ru}=Ym<6IPK{dKT$lRXaB_47kf`uw5tf&Z+sYB
z)AgUhAnvP*)spQ7?5U@CPf2??u<g0g<2s{Dc?U=Q0}Zv!aw1>myIW7~T*EqjC129C
zPYWI?Rq2G!GGz8oobA@?et~a!mh_(;aZz5)5rwxtxlC#=o3sAbp?gX7)1&ILod4Z_
zhz$QPR}}2raEMKM(t`%}nUDUq<!sz1|HEyM#9~7>RgI$&Ee3p#4H<<E1%nNFFB>u&
z8?YA}h<^@j|6FMIDzKk>gFx>i?LDcFULO{X(A;-8=crZT_j1l_kG*mntk)gVFW@yx
zQno5k&O7vWhiv%+zB|2Zf5^T&&U~XUujFx|%SLYh$C7_M@0kCSJpWk#MzigWZt;&w
zamTbPMAtvm-P62(Zrtp>{mqZ8Kl6W__#<tepj=3gpchBZs`JTJAJ&FF%)hSn_k!d4
zFV6ZIJV{gR{#{#giM@G&o5U2me??P^xQu*GY0hBX>JaAlaeBp+_k#SJKiLW;1nzTJ
zdNlQYqU)Yd{x>Ep_#<2vF|}UFGk`tkRJn)Sq))Q-jm|&${4A!tf8ey~lk6RjuzjuU
zny0q^k=*@Bx28b*zLIF(Q|TWM1NS*f?Kx%cA#TFF@|0)Fq4jfI#4b&l%%Ko#*_o4~
z5wFZX^VIbduG2o5eq!NOS}^B;MvAujgnpT)EL<$QF7rO|izu%6!+f-<@0i9@d5Pwl
zr`l5%IQ-;Vsu8sBP=uqiM%cbX79!g9$18fm{`DF41pezY@S3`RvCPs_g+2!&o?2)L
z1obTzdOYRvibEDpy?tC}eo|FaTvO9|vdPbJ=O@->Nll+vR|PJtY0O*^vhP4ih~{1k
ze&MNcXAY<=6*p#`+j{cU^BKJ>Pd%TZoWZ+l>Ul%)%Tvx9@L!&K-XQ)$)R_P~XW2BZ
z`H75an)4r}H_hw6d20EJ<1$Z!V;ZEMN{A(`E8^juv0TPk`I+|d4IH1R`d?^?dMd1Q
zjOnSc&cX9j{5Oc$2iqMpnzO#9=jn=YyJK9A`bV6eDz9;A{v`PSTG-Ey`q^u0TDmuS
zSf1K{EwpbDYxmT}8ApYlYJ?@PEMm!xSSE8&%JF*A&LWoA8=Negyf*~>6qwFcB{^#<
zf1#ybklm5<g&T_`!Z~)y>7GiA=?r^nV74IiC&Sx_wKWZ^FD$ERh)?MK#8}t4^_1ct
zuGdqXcSudwXfNQ^3*}2x)(ho(6cw{f=1A#}okaq&O>zC&Q@$56U(<g7*ezz|p8nY^
zcU#Jy+TQ6|J>~m{>_1MG{M$LIwCh&xX}|qJ`)TYSws7tDkIG^e@9DPQ5LhW+{wV6H
zZC(AcJ?*;-=6;f^>wZ1;dx3dgz`dikP4~K`r<QMIHP?Kfq@5S^ukCli=1=B-`1XhW
zYx`Z;{mJ}~+;)xk3DS9?_YN}e@U3L$e^mBV)~4n5)a@VH?=0NY+g`Z*lbPJXw5PH;
z;$H&)gjGuaZ`?g~`$p#r9Pa|}xv9Sk<y*iVJ%#zjv9PBMa))bAF}^wSe#-U_7VpCE
z9TIMG`&j){_st>ZQ?qaAzL;DQP$}&HQ1PknAHn*7dj~Ez*)_XQF~89K_LTGwVY$$_
z<C~lQssD4Xls&JwXiuNL@}fO$&o8dr)3$#>bR}>7(d1Lv6^<8dZ>-$YwYMUsQdIvD
z=hM(VEh|20#U0aoD*EPd-c!*x;$K8<Ru=53_PW`B{lk_`^6R;OvCRwo_SpA}#_tbe
z|CYQt%;<RkSiRQo4}9~2<Br{DsTHpei#t|b5mzbs{;~ckZ;OuoTKW$K;sW9hvENv{
zr{(aC)q6VlS#6udr-~Pf=xgggQg*aIW|yjOcd#y1zwe=Fs=l4*7vnw4-W+^h5qq;a
zZqLFu2gRG}I?borTJ&w7V*A6IPow&S=)JIS59BM{D;d>4m_KE$(S6~$XZ4<j{15v+
zh1Ya9pNjuDcftQ7ici=7kdxP_Kd9;W`@_Od;$I$jd=l5NSY`7+-{*gP-^=-&KL33Z
zel2IZY%e<F-^v4D{8^XD3(u(YN%&>h`0_XlDyLq_;IDAw%lRBW|6LM(9cQ|1FE-=f
z#sgpaS(nwT82nXkd@0ZA^WP)kmpjwtel8z-ErY)+4t!b6bh)3y$6m?cZ^wZz%1oE-
zMP~e4c;E{^>#}+cgTEUNd^yZ?nV;LoUdP}sbK^^S4xj%H3BTBxF7tEx*sB=)op9ib
zGt*^$E+2ahgTD(7d}#)A>f;lB{bss+|Nno1%kO25eA)ireMX%_!Y{_gm+UOd<OPs8
z`&AA8>NdX2=k%%fPWTngbosu>jDO1xd@*NTwqMiWFK^?^_1r%7u?fFkGhL1spYd<j
zfiKrtmhD$F_-oquay^$%eQ3h3)l8S;MQ8l$I`Ac&W!ZiugTJATFV}PU)Vn79(q_6G
zFF0dge8R7~#+ScYm%SICvClu@mtEt_-z>}C3(xqs>A;uitjqT682n9be5udvQ}3DZ
z%bV$PywHq)iw=C*%XIlWm(P7|gWB~-oWHJ(FRNLXy%(LaFFfH_T;t1XmSyh+XY6xN
z_$Al)QlG=8-Z9}9H`C>Kff@g<|971s_rrYIf9cEruP6L^_TPKPzia=UXY6}?f5yN6
zOv{4*{VrdX^6!$lNk(6c?25Nbo_PK;xpLt``mP0&7JYenT;hw=yc?bg*H?v}zp(sg
zzRe_`7bm=m7;_kZrmWB}nOCD^vRA)lQ$W0jo#-p>UM?#uMOV}OQuF4FMYFbB&F0Lh
zY~15e5Hk1p8^hnWY5bnk3QnD^@@ozKA(ywZ-G1i!m-D^W2i$G32q~U@Xy5I#{Igz~
zZoEEo<v+i<lI4>um|m3fOYSwk>T*{uzL?Q`(Z22aB9ojoUhBS=6?L&)Sza=E$K_w!
zHf?&a!fMilY4hB=Q+oUYR%bfxx$5~+v|MNB&%8<XpPql8_uO;Vu6^}W>u+6(pWJud
z(=br)+^Ro&{(rOIzjN{9gS&Tx?))44(kAh0NMdN#vMEdFu1VT4dB)fBSC1Sz{Qgh4
zX#HW4aPW5ZEC2qrrxh+PDNH%BV#gwv>_w`MZOc~dxDgaGW1e^M`<P8H-~Fq-|EK13
zN&ntoTvs2hd9`%=m-tU^|L4^eZQTFLc+;oz`7Ty+0%m6w-)@-}bud6VrzkkI`qZOG
zyjo62%K3Rh)Qmluch1aF{oOgs#q*)x7H?DT9jUcn@2uN%Z-UIDHddLr34ysm>BbfI
zg|BTm=UIka{cgMU-og7Dg8D9eTs8Z^1(mGJj$5y9T_e%r5?OV_A<|}P&&ThP$pWhD
z3iixqjbzSFjJ~P3EX{wC`^z{@udq_NCtJVITk+mCa)r*8z^T#^`u65p5(OofCE6DL
zQ?he9{$2fy%KVjizn<HO{{N;bozTBx+F{Q+Wt|_b$Han@wyO4PZ)u%;T=bTup{1~}
zv8m!&k+bq9uQvQnzr^(R{2J~Y?XB5ovUSRj-$}lcb5Cyb-5*V_SYOBI=11S(CR<dm
zUYqXgp`bY__Nm7P^;>H-&3eyCC3kyjZt>p~pMLkrwHt4yL`{CPv21JO&6Qrco7L2g
zWIs}Io}$D5y5!-H8=J~xFS<rLT7-qE=5Cs-`%Shi>;74;11Ea#wj8rs{N&gM##e`p
zABi1X+jUz&{itiFn#}R~2Wp(}HtfE8R_adl-7C5ElWN%6m^s@Yi(d*YVZQ4!f8p;J
zj=xl|2JOx^-`SbJfbHC5|119XLa%K7*X7$r+W&Q_U4LfwmhJ2JnTA}uerx5k{c@j=
zsL58kZTEK%ydNcQk+dzs`^+?<q-|5C1XMaa-T3vJ^jndyrN=I~MQ;6P`M7v-(~{Cs
z+gCq#r*l}$GmuDGKKazH6=p9trbW8G4a^M+H|}j+s}mr$Vak)4-k&Pw8~O_dgv@yM
zd6ntDM~|9n?s;YLUak1l-R-{FU2L9}i&W?Dd)?yso}X`j|66vu`ru0b8uNKNS@Y}-
zJ6HVL|9vv&lXLblUk}{67JGhw-RDiI`)}W@uj#STVt+I}^kCV_X`kc&Df@h>cU#mr
zBOsMycEk;rO-Y_jZ2_i<R#RtrY&2x^*vRH7n{D9YS#)F3#benfQM!{&UPb7}uq9PY
zy2ERlbYj{DN7pM>YopeNdT!i!X>tnJ|FhAXOk@^co+)wjP?^E0MNejL=$+E}&S)ar
z<*>_&!p18POD)<c-Tu`x+vAIS#;?v_*Cza#cqVY;8Wn%OlZrdT-$lzBO`qY)o^yuR
z<Mq?$6_-+sdbyHHZCB>UzP%x-ow?Fc_G;AOUunJf5+>}3tci*6`ozV>^fS%7)4^o^
z&Gc^~6PY%j_qnm|`1Y^1-q+*ythJvyXUEF_dYiV){rl(&@0Wf1S_SrB;tqA$Ds-~o
z;w;8B;`UW3ihAm$%M@<T>=6+!RJ;6R`OU<Ln~51W5?|bWxFOVg^4v2^eEobHSGR8Y
z{I_~-XV%2yysPRn|9e+f-dX?T-aUOg^-5;Pxcwh@K7Z1C-qu{}1&8$(x5+0JUeC3<
z?$d8|P()pQ=46?^l<2NohvVhHiho>T9TBbZ2t23Ce&gL1#<EGp4Y{Ik*0Bh-zMt@z
zi+7ns<a-@MUsK;H%PrC?Uio}}y7S}KbKJhCpFBNRTC{u1#T$vYH1fB^Jl}KeYxL#(
zr)Qttw<@1mcCy~**3&!Lx0L^zruKi%U&j0?eVP9g|2g+(?wh*b`{%itYmGy#SDf~&
zP*yzp(&w7wSH9S!O;;zbSSr<Sc2MTM$BrwRlkRRZw!E1=?eSUNv_tiaFGwuaZ#jEK
z(tqXUshfBuGInU(l<d2DN^RPN87r>7+Hx}R)A<eOQq<CRos}?i&)iVmHrZnFm(Lq6
z%9@=skux`X-285;Y?9ta^{-dI-<tF!F0w(reC4JIHH++2zPFl56*I5;`l(p@b??q?
z2i&gydd_5aVz0})pNe-i*4OJT;cPXL`5FEC{63|9yY`*?*m8Nwq(#O%Jwh%^_vM!D
zEKysw@tE;kyHkAS=IXDuY?vy_vgYTW@62h2zjj(znY?QG;ga37d__gc$BZBcA$Fsq
zuB|T(r~F;`UjBLYalKdbt*^%!%-i!^nceHv^DnZqLnjq}be})@`TaQ)-<`Vr<F8uu
zhp?A_-oM{dHtp2==|#3TxjP$|ecO9FTr<w$mxn2@AB#cTJ{<#v9Sq!au0M==UdlRq
z@;lG_^S0RjjkvV@rTp`weFvYuoGyB2>i(Sx(XS4(vQOe$B7fq7_tL;$h1)YD3pKy5
zNXb|1KP;~n!OeYW-kU>B8}3HVkpGq{@~mA}vvumqssCP2x~G=EY+rD}mGu*YI`2==
z-^{XAS@Yh^8M9_g6gYEsz1Q3*i}_b>i7&bDch>01rJX5`S<ac>nbj|^1?2`AXx6LE
zSmWtcns(!}ifO9f>SDKpTUVAZ-50x{aC)0}`ZTkPYS$KI1(;_@HNC!)>zUgp_I%cr
zZC_3s*Dc+5tgyE@*s=QMyglWg?7aV`uiEREU1V7zxBg9$N>vT(%7h@ZE~a%M&(6wB
zjy+JcSJKsW*O4<kt4$|9ODoXdZP~lzqhfHB$|6pitoM4_`cH1Y>*`eEJMORHHG7-E
z%olSHrp&Sl=h|^BrT-Dr&Q&Lbrfu^QxfJ$t!V#5oSESFhPUwhM3YxM<=v(^g$B$mz
zc@r_YGq}0&+}UrZ)E0X#EB4KsXL>g}=$A+(tDK3DbWY=eN_D2j3t#RzC|n4?FSR27
z>HE0;B+KJ6O0U*(pI9jH{oU2Qhb+U3``<tPf9HACQI|B?hM;Uu1p}>!!pI#q>n}`C
zEEe*ebokwZtkS4e^M7$)GRnzhRq;1o)$n6ZChM9BJz5Db`_3>4g*+8IF#nNmgYkS(
zQ2{1P5f0Y2y`^(YufBA66@Bv6)zuq!h3*N~U3RqUiuJAsx?Ndz_iw)W`bvm7@a)NT
z&yJi~@$B-tXGdBztp7w5D_u=>H?565yX(%1XPeih8Mf`3byR?hh3U_HekH>?p`Wvy
z)_$w(;`-z+E<Tg{^5vR+O8)yyCw~6h*~$8|MrY~IJyOR0;-bqsJExW2wb;1v?}Ll`
zzBTQv%l7*9g~{scci-*zt6lG(|I6*2xAW_s^m6@qJC~I{zx;XLU6Xy+9OaAsEdIYz
z-TmxWckSxP&r|2+?AQI;={@aU`lXChb%uW@wF~W!f4bxUCCTkqJhTLSLhS-(hG<Xp
zI@a~k%<=gd<w}$Or#th^bIb1K@4EkK$4gG{o@<^$Yg250R~}m0X}+oNNcD}kp0{sK
z_7OkbBjh{t%&Qq%{@SyHWjlnf`n^&}F<-RiSK;^ARXr(gi@rSYD^^evwmfyJJkKb{
zFu-}r)7H<AO8?AubNcl3t@W8Bsd~o2#X%OmOI|wVNgLjbG0PHump1!R{2i6F2M&K<
zy{ri>DJp&a<(}}`y&A8xZ3F8cE#7qRy#E)Wo!?#7|7j`^FK=>|UHwsg>Cq*szpnDd
z@+AqESYEglYUz@1`h+3f=HaT<9@(~G+pk$4a@%elIc>6LufJdF$yF<!Z9YD0a^xPx
zRX=yFwv031prIwraBSh!z&ZXUV!j>QKkSpv4fVDwuZjv>sJd0*{;U(#HCw)Poi_cq
z__x`<&2_Qw=kC8%JTp7<|3~%T<!@e9%$f4{<2Bz%G54CFo~+dRgZqxJe_j7KVaxy3
zv!ms^?*F`CUq1hqch<Z)b0nT~G#z4Jp%?7ytYot!=u2d5kFwfRsh{Sbq&H>F-J@5x
zxF-G1vlej~{Uv+!vUAhCYq}<93Y|E%Bzvxj^Xf9o2OqOy_?nNWf4vba>9)A>ma&lV
zLSMeK8w4U!x^D|@Y0=g44ypWKc<<XZO}jqM$EUjv***F;QS5%$eMR}tm1~$pL1@wJ
zHF3RGVbfm5Y)x1-*&?`h{r18Q?=956aB5xOzK(B>$lS`Z7t?uozdlh}uIc#g_;O3%
zlb=0;oIcr1N-8_6+-LE7&%(Ujue3f)jK2Tsg-OMts9RTa3S3R&OH8Gcj(#u+h&cM8
z#dg)tJ*y>Mc>H;!=N$|zWmRTh61_>~gw$4b+l>nHX_9v9kA)lPn;OpS-@_xM^7!AD
zzy5!-|8GmYr>?U9|I^3ZxBe`)&0SO3Y<>Iw#s6Qj|GLkws|_@o>9OXBpH{HLkM)rr
z{VSI(Y`CNIYqd_y7M-7yp4?~qpKx2Q#;9V2hxPe;i}Eh!T*$kyb@gr2*;{6p><XE#
zeDv|x-7<{*{?gBQSACV})tl?Q`eE$DhcdnGLDO$7^qeL;<wuWNuovSt)}o2Z&o9i;
zsMURQ@*LlVmLIvAeg2as2XEQ+Hfn8E^~|*9HYermw2T>Qt7=5%X`YIjzT4LN!Kss{
z7h0b6ynVNC!{Y_bme0=UWNF@tI?|=ky>6Q8`csQmr>?gTEh$}<u&isH-`?#XF6eCv
z%#rz!CswO_eg2yFwt@9a-lr_sx6fSVUGkIjPwn>Yc`1Hc+S@a3h5nK2r;6S`pRk{A
z!oeB4n|IvipIubUoK@<uoLfb8DNBdus+V_f`gnLT1p4NO7b|#wsccwwq`RgoxTNfq
zL;7@Hg^xD_tt|@9%GsH4pV_zN%NCRQ$7SZvh&aGr@bLwcS;Lid+h+bxUrgk0`fa+l
zM10lXy2Tfbs`LKOE;=@&|JF42<I~gE{Qb8%!QjC*wWZfsma+s_IXTuH6B2#<`^fa&
z%j_3x-kg3hexJhKSLdYn@2lT^U#op~#Ou&=JoW#hwbRvR{IK26KH<l98_Op_8@tY*
z=oU(^*iv7((eKhr<;pkb4!k??xpDi=?8f9vhJU|b`d$^=y~=g3<7UU(>$1Z0FXddy
zGOdagyIPTyyjVpy=Yo}qre6Ei$D!34Pv`hdb<P!8&At5e#AhE_HyMAq72)Zs`SGX}
z&o!AJmnJBtU*LJ?{O`*blUW&8m$4gdQ=Y6~JVWwa&dDi8`C+SLrHq`N=Uo)wjsNlN
zkVefBjXMW5YL2`pUB)5rDR@TYp|a-pXa5-Z{H|<Y$9Gcwb@cDPj#}oQ-M@_U61VZ(
zy~4)!cTv8EoT!WT{<T~dhc?cfnW+^zD=gbrxoyQW?RPTQwlF^T`0Rf3;oP&CCik+0
z^Abh#CjMvME?{?1cEN!+r<dBzx4bti!&gVcL&7YVztUOn>%`ytXIdYA@O|=^Rj2ZK
zs>;g>Lhipy@SSybK{=}%>&^ST`<ARy6<?KcKmF?JU)^awU%#J^ezq|>r|!ST_or(r
z74H6jc4!yRz2B3k@`v!R4qG(gj{by2Zu-7wmVW!Pgh%Ukigl@Yd$)9#{?%<aqTWnT
zyPLE7rrbu?H-?s#uanG<8AKQ6T{`n<+9T;D?n|AI2I=fpTef_L?=`DfzT5VD#NILQ
zb279q@BTTngn5lsjNz+wIjhe;3r$ZkPHqc#XVOd8dGEgbh0*d^<ufm5o1NcsCugDB
zX&&yU#Yfn>lP2m2y^rozT_scL<0BIIE7!tJe%4GkpUib8v&2IC+Ee3vW}SJO8QdjS
z&hxG2@2i)!>dcjl7e5|i@9W4lx%d3j1s^*LX^~ybQjxFEdz|+<@0I@Q#+H!%CzIv8
z@95oJ!}+2(;ls;fh26c$3%$diPmtJe$j<C-Stou}yox!vrXiX0p|`Djgnd?s+0uJ&
zT(X0v$nw3OwKgojO!0J>yXk!UYje)-aoc$9GvEAANALdJ5NU6F_W3h&H|ERxX0E^4
zWy5K=-feC|*lPA`42!$nKkpS<9Wb%)XN{}rblbc4w{QIVt$s`R{eX8`^L=^0eGYnb
zn=kfy{mJgx&voDaI(u*B^tDpL;?EOSP5o;coxZmA<wgDZZ{Pp)oi#sZ_m(r0r-i-P
zzhZx3&C8Ycb(jDC{vTs_f7;9k+6;o(&D9~716<4I-({{y3YuYV`zP?}6Tf@w{~cOU
zq?PmVq?4f>x2wDOG1sY+A6lI2Q;vJc7-!hFH}L7?4H8G<7QXP+ntn5J$%exx(%L5;
z=TjEn_{N~*jlroKi7huC7M!tIvg}#k;+-d}x;EKtoc>|bo!h^EK5v>}W7qh7kH~|M
z)s1rd>?QJYXKpFI^v|<h&9iXwJ6}HW^K(ivgtqRGF_c?>{i(;d3hvmxnEvgjo=Uv`
z7&P7a{l}v_W%&Bfzb|@HQRAw2+@in#z;kck(jIwpJvBG~;^Lx7lVzj4=S*+g`((lN
z9eW+~yUSOX@Bcm_{B3VgxrOJvD+Vjmg^K-^Ca?N)Z_&(F-qtN2?sfLQzr}R<sqpHb
z$F|?u6~!>+29x|Aeg2cpA52R06}Lrt*3L~%pQ$&km*4f~!{f7qH+`O=?3v)Fb$ro=
zg_fpQ7s~s8HF1A^VbPj3IR`c}UHj8u8h<BqKC9$I&sQz-3nn~2UYPkoWK-`t;}>@u
z6DEXpS)N=_p32@_>(Bap$<5zZEg2#ACpSk-mF2m#D)3;#X}9}I`=jg{0>XCId|Y{d
zPOEm2fBj{H4^fsrye<FdiR_(H-`UmAQnvO}M`*XZ#eDTSfhpfjW{Hbu$MU`Ucq@jl
z`*?P_WykU5#g=E}<{$OZym;%)l;3k>Pyaom_c8cwTx|EY*lLf{BD$Pe=~>cSau#lL
z-yMH?i%|GwF8OP2Q}g8?KhIk1Rd3;{GehdG?!2<|iu~MFX;o4-YmRTe?_9ZkUikf8
zQ9gE!zph=o+H5>wMj6|$iNWsSdm_a)x6Rz8A#Cf>p2mC6|DSy3?}hgkO`mspPw}NU
zi*Ei^J}`f||6R393N`#Lf2U?iHH8SR{n2^St>;2iZ<%wO=H)4>tftcnQ)1%g=88t<
z?bdj2bX)exx;=ZhmFLW#a6X5vx%b7|R}YRTXm1l`SHBsNWN>$ySW4Dxt&FXk7>sf=
z4{p@hI_*Z+8`p!I{z(2jU1)jjrrzfDoMjKJZeKlkc8Bs0b{l~^v*b_KU;NdyR{ekM
z>)YG@{@i=bKX?8u{-^Vg*ss0M@^|t{k=IA|ur4`grT^aP_0n(8bL;u`WmX$kTq!fj
zkhyWNV7H8?T>iS#6BXT7UwbTl<MM|cGB4%i=NCCVO5EIhBiC%-d^5Wl;__P}n=7~3
zOiEAgyr#1Iu2<=w>0!+WzwqRKNN3pk{AtzQyz^oHM-Kn~Ji-0#PV+hbpE#eoN~BD_
zo$_qA;9?i|)9OE%n(ya)(7nAc;6%)%>;0Q1NbGYyd?U)~jH>k3X6c+;F|$2xoa=k_
z>)N$zVOy?+&xw~VdvfyQ#?+LC6RKvjcOFrT_FAxYYQ*AG|K?s3$~M@Sl8_zy=epp&
z=>mPK+iaF?Ru9T}dy@Hc@7z^?XF5ygOQxIOW0DbCQ*-@*^A6))nP)X^iYYVJ^9l=_
zZG7Ky*xvZYe8D->XG!F*ndf}#<nt#SndfKEpEcvn{F&FU3(tA){pNR6RCU(fs_<#|
z<6p#`y{3D@!}ELne{;_i|I#^vB{lW79Ji+Ot4z&OJ$B*jA?>%*?xzR~8-8TBQqyFf
zx#|FqQS+-aO9PDz_w29mDHJO9;LhcK%RO1l>WWtLsg5=Cf--&2NFA1XY!%$S`RLV2
z*M;`@Rr{WCJM8v&y^l0AzbC)w2{Gr!g%<**1WgE<5p={{sYqq}<+rEKoY9o<KX%zj
zGlSo%dEJ8an1?$SooqW9X=?bH=kwFbnV*?7^&TziV+x<Rc*n7@<n^AbPTTK)@aNFy
z?sNKa2DeTmB^f<Vl9{<rqWtBJGYK!VPQTjmI_UkCJ2SUi{s@TkKQ@2UJpM0J<n(*(
z{jHBRhF;m&{6=|7jzaTqiA3j%v5P0kYOapqTV7nw^<3oE`>yj`EKVDb{kRd4tYCIp
zW9dtmCEq66Im|We`f2pz9~-Cj-qw~KKg?u5wm!A_Y@Akg!RO91*=NmZX?>S^{da7$
zW;Uun=2DY*eOk;XpLf%Ddg-0!_1EHh{_gZ&qv?v*=SrV>dva>gd?n#PC$+9zvwu@o
z*j?CFRrUUr@SlqpUhTW8TG<%4agtDV=CwvylYR9$PvUxwv$ZF#QZLGW-x;^@l$IZF
z)+3`!Nt;SpPnawfop5Ex7N$j<uecVR@6>hh$thEaJ*TChmYg>2{v+)MGyhlzgB>S?
zT6l7o|B>&l<*tyvI`_S$@uf2!#*^F@Uw>h{>7Lx)9M5gX4KsQ^m&oMIve+o>vS&i8
z-JN4*+`1xC+Z{3&sJ`31C3e!gZ>6{29+O~aiS4>w_42ZJ+4j@h_L{4no}Rk!Xzs1E
zMY~y}zyF>;QTX!R-?gu6E=ta|G@do_-}(Fh%g<f8=u?~Ev;EGI`#HN^_jsCKJ^s3)
z%FXq1$BT&vPV=1&Dav>{$(cD+lK<7ZtgVONzhAJT&*RA5i_Y!3vOdj!-#$uVf7@q%
z<9^Pe_^kh?Q=1$1^nPsk+8ZEeE}ZWzEf94lvM+#b$uvLJpp{umPdlV8$e23iRekB-
zX!|8`e@|_fyYqMU{+mIab><KFH=j&Ncys2-UHP}~eAm~1eC+&uzhR|&zrMicS@$=t
zyI23JE%|zE?7iCWXW#!hQaZo(VA49*t{?C1zg_IC<}bT9r@Q9+dAmLDlmAD2b?+9q
zd_V8ggY+}+olK;Zc0HbFG~YB>)ws=F_n+|F1qP-1c@MT8U-;!q<>Z}?k#gO&|6~{g
zmVaLpyJGR9sJRb*e2@^AI(hT}S4jTpbwA$f@3GCRyZ$R&pta02{N>{>nO8d3Et0<z
z@;@-^$p3341-<hWqCJXi{Cm|r6Q;X3NivnhO<HkrlX%+{>9g@VO`mnlXH75R|8d<&
zGWuG?rA<GX9`JX$E^^~e6){XLUfQ)Fx*{v&!r{b<Qm-p3E@b?3=|7<0XdpXzqfb?;
zk(1bD!EO5KPDiiadbMfQHP0W5S7{$LT@n4yCHA0eX=}+!Z|~<JrICM5a#=iGP&b9&
zHelJ3y|EENi*Dt-T|Mpi+AT}G$`|iGE$_nri9c-Sh9_@Dvp1VgRy9eHOWLM+`&L`k
z*IOSA(zkBr%DUZJ9$o%%_p05y*{jN9OB?pL?mu6&{%hR5jpwWTr*~cByS{>RU5{36
zYtFt;zA`E-JC+{Toi*X1DdRia3(=yjf{mA21rwbXh+ev*H>1UF=fyuO=RMt;_;W)|
z$g=KFhBGJK7Z)|WQ@GOq{o-Fm%U1r$nRhCD^Uk2=d+V%yYZ5j4KMQ+?Y~yrV8kA>p
zKSIPcZOb3uh-ciY4~5@KdAUvAd~)X!PyaZdpv|6hL=po&&A!5rG;#UPEdp0<cx$@l
zIK{T|g>BE~vOaWf)0PvDx^?6d4Xq2l9@x#(Y-nq|_+8^0ubAd9+yS$uIg7-v{=V?m
z(yiR3g{8Z;SutPO8{BNTD$3;5N$xETfweVPPn<GMvMdYP-ts6dyxc#W|7e7Tw!7g*
zv!%UBtM)8YNWUTKH0iY9*4BdPn(j#Mg>vf_J;-XE?v%uF`}Prmcd5UeIvQLPTskZR
zuYN0Ic=3^Ep4;V$Dz>Za$HcOP*7ZN$)s@D!PCok0g||@)FWT%^nDnf0_qDY*OqX7)
zsdQ|3w&&dES!+Jb@_)Ehz@xh1`Wnf&*XJCvukJDqJ3DJ*#$8EnL+9E5j|sYb-jvhD
zF_rE2r<tCP8m>p!et&wD(xRIxJtwzt(~;S~q~@e1UOKYf=W?nCW0Ol;>Z4p0&Nr{j
zF4P`-Tr6FCgzM)Xxh5+{zNd}<woGnhxc64KJjmK^(u-ZMK2$5;l;2yGkgq#)QP;|p
z!hcWZUeDw743pQ%xocip?5_W>B(LK2zj+I*@4x&~|KKgNZ1nxj_Jx0*ZQB-;zi{)U
z`}Wg&;}+lB{;#=u+m6WS`18xR)yZ7{{bwg<uKJa}+3U^qFZj=rcZ!>GV14XH*?zY(
z3Cu1V*wVH)q-ZxjY<2srDwM&ku;PNN*?}MqgL`a`SslMA3a1u2E_ht>T1?vX)wcx+
zmku32ra7%*`7O(<1^zCV&#&};wAr<kwZqlr%$F%|oJ~>-ciM9FH6|;k6>D`akUP+G
zO5}un%(?gC5BFZk`1gzRg-}b#r4uX8t$Zbvvv!MJKC2xc*R}Ev%|EWs3ZL=(KE2}P
z9H|XgcTc&J`{nI2{!8Cy@AIjjn_RwO$MoXmxqsJnRIh#`JVEn?YZ6cVzDa#6D$n`&
z&PYGI{Op#W;Z5R-mWmvUa*vp$&fYvTEw^}ErF3u3BHxWub3SiVy&Wl?oozCG-iy_@
z)F$1(d3RN+!#~A!jjz^TP3PHf8(jQr%m0X4=Kt)0>w4lBR+=rnAjrzTrikNbY8*$Q
zAgjBtA?xp-2^_667c}u4S<G6o=l|afDd9cIX*D)KX0QitWP2VxXGw(jgoLDNyp^oa
zcRD^(_~fqTpJNqSX0>;1kjM$$dqwxt?LYC?e|&J=eczV*3r{R8vo3pj`u!EYzdz^8
zuM9K3lI{QR+k5@mqHWu!+kO5ym(kE}>$J$<_H&=Uw>SP@a-rAY*1bIr{Fjyo_GB?@
zMnCYWIP4wRXfDdzu{?2)XM5}14&S312}}Ynl*IB(>NmY83SRus?Q?s7^L?)J3oCZ4
zT6H>W@3uW&Et#wloc&%)(h9Sy_ccBG!2LUi{Y=P-t>?E~-!uK@@jlk$PH%Ld-9EcH
z!)%+xpXjG+isb&TzImy){K4N(87~TIoaS-d)jQuJH$krGtmX43`j7R4mOt))nE&|w
z#`~Z5AByGp8?>_}wM}XYp9jC2d`Q}3@yBa|57u9{>7VkfQY3d?yh9>O+CG(IA6#<G
z+!oG|2==hD5}kc}%F&pfn@Q&F@dXLTzwhznmd-P&-}T_yf{WEEx_2BuZ<Kduwb7X)
zps`S6k<g`!EVG=7Gvss^em=n@EAs2s+Qw?8D;^B<c^)`0bMGpdc(6lIvUx{Z8rv<l
zc}wiFSo#9&SD*J;?|WU}NBCm!)myJ#nG_0$FPSD4^}Q{h>%P=7d%0r=3@=%E+1lFf
zU_X`0;t?EbaM)wY)`Z2C9}B0aiMjGR%SN&M-u-38imj_wJ$_ta^`qr*V&~C}?(GNv
z6%}4Obm<|Rc+`v~Kke0|4Sn|Xt_l+n^iiJXE&c3ru7r1$!OzRpcK?lzb$|a~>YnF)
z<mB<k;qp6Q?b4Uo|MAv4u6_T`lpB6N<iGLnk$EA8Pj}i%N$&Z`9bZ$spn&~D>NYd|
z8E2lg2Z%gfIn(!J&?=9BZXIP|!6!V0DvLU&Y?wa7L4SS2!s4bcnk?$UCo+~^{Il1O
zC1T=34%IIr2T}xV7#QTH9+2qLyPLG!EjDk8Pt=W=KD9uB8;2fQUKNNBjkQ{?*X`{x
zg;65=uTcKPn7kH|dCMMNxDX=o`eCPmXy2!uGH0thL%+;Az*1Ftly_}Xk;RO_)+XDo
zAf1$x5=F&lG!Bb!AMsnaqHQOOg&!+($-21sm5!|Y%J|-VoV?;jOjXYDJ}*I$S5jL8
zW@_9^-F5m;L*}-MT{}WjzCOyC=;WHe+g;YQzv%ZB|BY|_#I%p*z2<ve_d4AtKGQxl
zWqOx*s8`?wq3TtYav#rr{<Y06HDagZ&YM;%@2s5TqCR!~M*mIcw|&+;H&yJ{(vIxa
z>T|w*Ygc->HgL(2ir{n3`?hBAJueEJ)vsiyrXldfV;<-GHQ%>P@2h&gXUg~Rn}TdB
z_-8t?-cm0Mnb~;gz(%nTd(umDm)+ZbjlJGs#q5=9n+}S09(8>rdRW?HrJCMcpTbDi
zmCM#N8RY~WeEV$9ra;R!t`z<khf8FAA4@*C)zi;@en;Sx?JCl5v|SDr^Pa15J;eFf
z@T7!bL&&=?q3dTvEAxGr`8ZVliIHyP@_pt8)<0H$-v9rd>|s7vz7=L~&R8eiOPCkG
zKO*5*o>pJ3wTXS@i)nAe_x{^n-(CLZXMDZin)k1t@7tjKq{sh1!~c@kQ_TKcd;kB#
ze8*4iv&3KQ{bEzJ*kJDt&rim4uD^9;60n*u*JLeM-|{8OYZO-4DhrDJ&D0a*=8@iY
z`Q;Kf!AH%W>fENTT&pH`7npeGY@02W>f8J5^AnEX#Xk?LJ7oLF+W4R0b@|WoG5l)P
zUcV#%=j;lJiQFZ`)?&N)g~%-TElxRdxiKvtJKc0m7#Hbn;<7$}sbg+J`pw`qoquP%
z)c4_5ld|i2x+m|#4Uvw$w`F@P1Oi!D{CSniUnjV|jeD`__Tq`=?EJ4zMRv%)I{kIm
zEzfmZ<JLDvy{LP+A?CaP0?zNBx7=LcVlrRo(w-`hZXu?#2NGJln;v%x1)d4<_<rx3
zlj?~dy2<OL_q5ltKXqmlyDZkWwV>(d=59;B+8I0JD;)Od|CxT}`cva|^8@UQ|HSNZ
z`D^`llG+W<yso*a{n7i**4;0h_|#{{*1O&p#V)8XtBjL>vngkJSw!37-z#dTNw1WA
zwd3`U|B2Vdb>p{~?J%BJ`TF~c`o-tCr?1I-r_(K1J#Fc-g{|-N`fQJ$+ssqF{ba9K
z9DmFz?@LP#&r6;+d7ik9TEF^_{sXL8^I{9K)i~D2UicGoUo-QAY`pxD58WH=pY55s
z;Ms>qi|-}h6OOx8ee&%*)(2&Mi@ok}zGLkZvz$Bo!Ll8d?`)12#BZ+ld0}xvbnfM)
zlVl?bO*VCx9rcUfl58E*nVPU6Nxp+;OVYBJGiTanUluU%Wo9qlYPZ(OQ&L2-!^Lkc
zN9CbF{&oGU9U}IJtoJ{k!SH0^Jh>I(d_B5G9Klz<Sa{}~aO#@iYtwn-*`#yFO&!l?
zo7t`}d~n=ID%&K%Tde8W?gbmye?6DAXS39MohNhiwr9v)T>n#ad0OxsDMQKpQwGNl
zhHcP!|78K&@Ac_wwsQ9*RceIQPtTT$DM_5P|B<+P5SRGl>_Q(Ne$B>qpJPF6H$E2E
zJUQ`~{rzcoTl+s}rq_MFecb-{n_GW>tWLXFAAJ2@^$K14f1B-hzqEb#_<z&K^L4E^
z<!jfL>s(yCU%sF#vE_yJS$Q|DtNTxCseC>n|E#niyRu1@B~<5@<e8hBXEcA0*knFy
z{}t7MoZT)yZGjAF^Ng~bc1`tGQMRkt)4jN{nOlhY&ZVoWg)6sh(po3wm(MBGkoqz5
z$95lqAJZ5sge*@Ou4rtovN)}xWOpRhFfidv!?UDGoD)v}Sb0uZSMBdNx6jX2lsDY|
zypvbFoG&h5<F-vn0pFT`UFFx}ua@(>82xhXA;15%Uuv6vZdQvqBj0^cXqo!X$<y4A
zxX<}q*?pozr*y~P4PLDlzmr;iM@Yx@Y~Y=BdGW^+Cr=m)YCZB%sf~~o+!&E{-pj8o
zd2NyayYvs;{<$X}*ECn<GHzeZtMh7`kC->#@*jrn%<2v&<Y&)VF=5;G>lxXmi+xKb
zgne&InrdmycGV(h{wDpK=Wj{ww*M}2_CgLfhe=E2`K<XBohhGdr~f)K{grr{N9N-7
z`MJy1Z+mv-)zPrfP_Jco?}c2N>{Vr~IHgS8X~)y62c|MgXVuvJSfPJ0A^xiF<cS@Z
z+5OG4H|(#fF?yYHW=^Y!tHtU-5zW<XsZ$aP!xJ(U0*<VHnzgih$!?Wt#!i-PA1hAD
z{dpSj>DAus=bL6`|Ka9NPG5H4>{@i^k5kPTGgd8Vk6YS)ZJ*8UY2GSE(fLdG-o3H@
zxAyk7XVpqqZ&=5!>x-VZKTUnn#n9KcU;g)<?-qSQ&%|%N<Yso2_a~MI^Os-L(3e}3
zm%qPOzxCEkrq9#ZMT6H?|G04R-R+>@cE1^ZGv0r^t37{(UBOq|+kS8UJ@}wrJAaD2
zT;7a%Ci=g`p2~;RZK!^E;imI*{#Y9k9*udMB1+3$`DgAw#9MZ?>4bOrQMTq2_61K5
zJ{6mOI`?7P<OnaOX=*!HUR3$hleds<?K$t7w<(`WKFw5_oAbc^T+hnQhBDbE^$Tw&
ztSK=nv-;-K)3>!xaqW@oNxXkL^v?4>a-7j?u)@10*MMEi+~U!(cWlo}t_SkTKQ>ry
zefNq+c&eP-0cPG^&f<TXuPu`A|B?Q8%Kyw)@&e5|U*xX1m%j*W`x@WA{`6Cs>V2-$
z12|n@2wl1$w`+;}Q6al1t3a#BMczg~K2Ks;6|yRewW>`19{cyS;(*1!rOwLhb>(gP
z$lVnEmU+U_tYU-3zb9SfIVW)QE31~eQH6!^)W}nF&dx9@lbD%lqA&PB;c~{msObkT
zq~rzjP33#p?k8(ieWHegkN2a~(+Pi%EZ_F{Ez8GS_ZC)cJZ$~6_MCUM^x69_{VdLD
zJ19I-z5XIM!2k8S_Us+k0vEYwWVIaY5NmvNKc?!8{JII##24&!nZR?Sg6ZAFy4wex
z{U3f`AhFG^Dkkje^&X*zXI`C=x)~9guGjJEOZJoAEP?RlN4Z<hY|vV_ZO(;T-VY{a
zJ<_@&@%rmkNx@_5S7p^E<b2$DgWGVG-MVuzQLIU3UsU2fg1&x~47hRaPw(ks3$qVf
zt;^+K+?}?f?U0@1?BJ8<`ik6_HmCgxKD^RtlkHafo%$`#(MpP2cJFd`y#8QT(>kN;
zP8llu)m!c@dKdg5tg@P;e;I$vhkwiu?<H`wH$`6vIKH5%oIh-LNtT17L~QOW?uXgk
z*IO^#dsE7F>zOo%V1VOYhwst)`Egq%R*1H^SqHN}xAKiynWx(!6u-Jl=s}UV{M0Ck
z|BL7BKDck0x_W=%4~=&I;))rEP5HzvWu9(mJ}h+Bu<CjOAM0Oc-fykOlk5H~Tz20k
z@om=}=B|`BdzE;9hZxHm=e=LubI!Bv^Vbk>$Tav^q1~#oVOIa2?1i$@e|qwYM9faH
zvwZScZm{`htX1pk-+b%l>`H%Ry=rdSnJvz><>x<5-}df=Yx2?ySMJA#+W-1?e*eF>
zzso+K)2iOFDC>rCMM`#R%8O&UPru$5_ulJ1FYZs(&QCY%4_;5RxBIs+_3oL78phQ#
zZ(5rE-eJ9T=UlGrMQ6osUx{9p|LaEMIlV}~xa6Ihw*-6dJKU}RJ-1(GN7}P<liAl-
zKK}c3V~S?s*Nt;U*Iz%Pz~kSt`2XEM0<RX$yZ2=0VOy&i>=$2c5i-x6D*Pc%?P`%^
zfbj(zNr9kA_fM4tuv)V2v*D6m8L@QMxyLg+1y)>?uyohaKWzD__nExdLi76>``i=`
z{Ap8rE`2t<l$}%Z#LrI=hL*q9e(CmD6z}5s%XP}ac$dtOh4EKpLz}q6b_d*&JU5d;
zR7XrvSdB-}Gh4CQO+!!5{<fyxYPa)UyK;Oqv!8M#i*kzW_lnz?<Q2Xumc#F*Pqb%2
zf+}O<R2JTAVnrUiq9PXEx!jw)#M*6F@)GN5`6l{8v!~^o)Nkjo{?z+S+W)}5ntjW6
ziv~}auxRUEriCdRZ#YRiRvTW??Xs<^zIw|zado-nq`oUT=VSUa_oc6Vp2NS^sA5U_
zqI9lZU*y&l6q!BBxxjb#=Ct!}mzs00<+#Z79N#oCq<P!x)(X?u7wcVCMQ@z+&gqHX
z<&{$|YexMoI%Jf7^mEvo4StdSQS&#?T9d#vxl+ViWYN!we_ZOc@@Jhr|8(U~8@5?5
zg8t>)n==2!zfXonj7JyjaERGE^VO!P*Tq?Ea+xAW*xy~a+c;rn@}z?yC*JTUPUGH?
z$RQVXLb>r(<5c%e5A~*On{|ly+^T3b-!;lJ7tR&xl$oPaWWaS#CF_MzMbMH}Z*yDu
zm%Pfo^3kL2O=oEInloXydcMp2b@;zE*m3&Zs7u$^e|s@E%xc%xD<8i(_HV1*`+e1p
z=rR}Pd5dJdpS54h+f#h|-|MgI*Zx1t=*p)m^m0mt?!8|trMsp+{Ca`6HACs)rf+YS
zuQv+HI5GXVz@muH&K>T#S1xM*`l{k(GX0Bz;@+DbMK_XIzIL8mz-~C*CtB)kyzu{|
zOq27%rW+m9cy{adKD%$rw^-M#@-X+w-e=w2x=(HPFPw9JzT&Qx3?)yb`(%4%yDx6s
zwwPlvC!4W~mO2OfoQBNgm=zJ#aiOoZOFzc!ZB>(;x<$0`XJn{o=!`$*k@-1X7iUOR
zwtm)mckk}47w^r@O6tqajaM;uRCFzT;4B!Fl)HvI@4cxli+hw#=Z@Zt{$3{4je>6*
zt&O+1vl%;XS$lEMkCuf6oj=ri4L{|CteG3Va;?PCWz!!0crH|-WH@z(_Z+VnpBYn+
zcr;ylsLJTKXY*#KTl3-;pPk-gHcw@3+y{28BC|(QIh#|JFD2Ma`}SRX;*GF_`a7OU
zoXOzW%DKjS)49kEuFX3V5*KjwzFQIcvYeyoY`*u2U8_zmd3XJ4^vua0J9^ZgXjb~!
zsm4z^`^l;1p?>G51F45|q8ny}^-kEm&5F6_*|b*^qM1~-*yT2S@>gnmUE$=uqPKL{
z9T(M@wF$e#B3OGf66Zgd9W#CD{_JLUrzQ5c_oaGQSKpuZ{ipS>>+Eb?j@;6mEk<Q;
z%<NgLf8Dyhea-P}Q~pM2Oyu~|`^f%hir+_Tp<s^1s|6OxJZqo8{{L#)$#16d#wxdU
z4QAw~R<>lfN*er*U?{$^it+EH3Z_Ko3RZ!_Af_yn`ogx5)-(Q>^_BS2WR%1Wm}95E
zcGUUvB<uN8l?xNvQcp0OM}FVZePa1+hgku9P93>V>)oEY2l(yKaK6KGSaKq-^BtCh
z&ub?)t`kfVR(aEDd4JOTMg89<PRXujjoKT2JLup(*4q=;3CdrqRo=&We@gzj@>As(
zk8|DRu)fi@Sx`;(zJ|cT<QbCMhd5_nu<8xeSeLi*3}=PQ0pUYa4Akcc>2akc9^CDj
zz+)W!dbg5Nsg3U@10}_7xr%!h6M43&O1f2TjTA9cdARrAslKmKYXvsAKXo|PIrmw6
z-240Yh1Kk>*VgB;8)iz(^Efr-#pM?bowr5we;o7NW8ha*Gk@}*7w0Z6ywsMif6$-T
zUgygTz8_z`wIr98mE_--*jk$`^5uo0#MZs_?)H+`{asef?+ExmLE0`i<MED#y&g#!
zk6E01pUIcl_sDKKl-U+iw8M)pd^cx4qt!0XjXR`&u-V95mu(U*pKQR^Ru-IM|4_8d
z`lRKYCo+B-LekFzymbHkvp!}yrL5_#`7HbEl@hB0*8a_ZVRP{J-hWBYx6MtMGFA4s
zTd72_%+bS(-QD|pFD?E(Q&zUf==kPZ%guqWb(|7{*RR%obW7+@u8WyM-~ZChOIZZU
z^}Dq)=c`WpZKSd2yJ~Sw{JArm)5`9@s0({{<Dtr~2O%De4uPH$iznG_-93NLYxVcP
z+NZdEp6)N9+PE=~gJt3F+Z`MykG(%}@7=qNiXRd#SZ-Mu?cDq9{mFX^7k=D0&C61M
zf0y>EkMXgM8XY%2OFeOwRkg{#y*K}j<d(fZjc#45krbV+zc}dgQ)9-P`lpNfKbp&3
zaTfjd=12aXFK;Z$`kePHx%J_WMVN)E^hD_^(%rdtZ+f^lS2;hw-L`3>Y;*3poFms4
zyzMSDmDV)PxSh1eUi#*}1-ZYje!Tc)-oM(37uUvYtL|TyYAlm9+4`G&gS^^I;Waj=
zXPkM{_f}T*X1eV6pG=F41+_IRyk>@LENxu9Yq527fUxb-Je#Yw_kBy9=a-*v{CDI+
zK*{+Na#>~P@5phz75pAhEp>M3@w@j<&FkFwk*)N@#v9Z7Px970u`?3<R5bOwkV}|&
z&$9Qoa_e_}RaH>__Lb2t`1R}Co4GzOh+3k{8{4<jY|*-HEe|%RK3>@(z@4~z@!5HI
zWJ=F2*ww|Eu{iqs=G#`?b?YnHJzfe=mi@$+ed*5i2W$F$Kc!7G;I+<9buw4^dOuJ3
z+pm134e!?V-VDs;IsI$hjd`c<zFBtRjqkaYJ??!TKetc&e*fvtrwi}yUX~m;d+X~}
zU+u0>n{hsiYuWek`-U!o^X|QUE$b|m-}kg__p0j8|N8E}j?S9P_~^tXzBgej!}~3Q
z-n#ScPyhbz;=SG1^6#&&Slrp--(&6mfjO9U@2<(=*UKk=(4APYkJaAb>caNoyRYXp
z#~yT1+L0=9|HI3e9t+!+hn@Gn{9o$7*eLf_&i_?M{!R5Ty5bo3cFtjGcITjm@{a{d
zrv;s_J(3mHK2+%$^;9);>huuiz0z)HcRMco#I$c>)5ACsHTL$Corj)?Jk&Y4YLiOh
zsispCg;Z9^@SM$?aZD$|MO$W~tD;t*lFB7M*{*Kcxn?u2@o)KKrhNXAE~opV$-GZ^
zwz+Q1D0t8L{E_d>sk^qEeASUq=AyiFS5i)%Miu8b=L=K$Hu>r;IBc<B`JiC_8NuI&
z1fvgEdS1Nfy=#w5N#)+FTwIeUCSDR$vp)R9%`>*>@QIXzEC)MswnhD%uNIjV@P6&9
zx1sB}!WM_fuJj98zN$F1_+CrtCfn$_tIvhc{Q2spmTCM^;j1@a1x@8EzL#?(Q6=VP
zj*8)pW2wsD4pvx5cS>`HyYJe&U*zQtDW{L;jCMYDv3XZ;W363&)7-}&Y#xXO-|>8B
zdG3hgA<;9_4tIXbC{wX!G+vsvx%lQ!o!(7J(@sq)YP%hM(l4ZEiTB_6>ammFESC(v
z<p100#+eh(4zzue4xaJ)a==9MqIG`P5|=XZ`d!eSEOJ}z)(e+OXZnjHgatfu{C5A_
z?<wx%dhbf5>J^R0cjJHDc;|UE`?>YH$or2joem5BKd=1$x!aDVcW+zET;x3)`}f?t
zzqRFS?-we(QhzxuSz`b1cQ5(Zw7<SrU@-AUA<O&Um(DR<^x?{7Ruig~vJ9AUD*w{i
z3DF5WQG%Qrp0Pm!jVCl%d=}(x5H>3jFPU}1V6y(nk4Zw3ayJy(BvxLS`e+gBx5GOa
zWMo)0d1l;kal6~(k>oLvWrCVe{()sDMFd$Edw9gibu8YoXi;y`A+yYD&HEqK-ub=#
z2m7?AAI|Iae8~^nb9vL*>I22{|290`@i^VlY*l%f?-Gj&qpta1|0p=T4BXRwdxun~
z&%tojeP%g_c5%*cy)JmUCG(U?`Ydz9W#Oz04W$p4IB-sXopj)3vq;=s+xa(lSJyq+
z)!ffDF}B~$clW)bf;X87hKHP|KYV!RK^FV5e{8HT{8F6qc6}E8IQ7A@?W-TXs(9Vj
zAl7p@=+eAJlNL@fJ-K8K-}a_M77G&AA6=_3lUL(Ls<5cc5?9vhiup}{(&iUcYSq5(
z-|!<Xq*TiJuW$K1x4XygWbVCOxBQFT``k~titFs+%x4^&HsQsUrbWS@846BFA5aWY
zn&9D~-0`#~WtZ)Bt-gsqD^2&#Q>coKwOgULW2sn~`@+rdtB=1uFI)ZYT=cttAMd@g
zUT68U(Z2Hj?XnBY<#+!7Qhk5M-%HK+EAH3t+r9tWiP-z`|BtSGANT76%c5ETciMT_
zyUusZToPDqeln?y`{AXssM`w}6F4`RPM6m#x#qfNm$za_6l=hy-W?Moik=$T`z<tH
zm9ojWae2?th?2N(r(2io&7PBZ`N%rur0ardf(l7nuRhW;e3-9vMz{04Uiayv<{Mq+
zxy(Iley(9bS(IZM=heemcQ1LT$GWVGJ@RUo$l=un1%dmoHLGNVZ2NLa^4L7L8~OSw
zZ*5|DV;B<8cebrPI(_xy^ysIBqD%hOUei@LJ8Orxjf!0PEV~(pql>oc?k;XG$}it%
zTdrN+{<-hY-aFH8gzxyj<%U=Eu~Uzyp6v3x-_$KL_oSDes`SVD4QHqJo_J~Db-eAe
z;FhVAuJGh)b;L@nYe{-I>(8dWo0YTXZVnQ@7Wq0;JNNHYr=1PVLLpNG6}rzJGnlZ3
zCuyps*+uRU>q&VBT^@^_=Ht;xdA{%TP0QT&uNuF4TA8~-uN_m>)>I7j3cKmYDxN!+
zOD}qt$en}YQ|zNG8XnwBD3d>|bJ;bbj3-;)<VL-<apv>dy5wKe@86qclM?XmMas?1
zfkMU;UVL#A2>q7FwBt-0XWL8JwF%va8n5&TALfs-|GRMIdfu{BtJ+)dN{`;3TUL3b
z!o}}V*U^9H_PVdz_pYjTO^DBhTW3C(|2g?&uYKg-JBIzr`~RKded=M<xwq!H;ICsJ
zC*|00`?q!R$9o&I^XopzFIzuVHNkx?|15!8sn&^JGtE!dDY*LNpL*PS>~MnFB`5Yb
z6TAi8rr0J-X*lVV;IPR<<!Z*ic@2zZpM%*X7If##D0Ep;5tO#+vC#Qjyq1$q)^3yJ
zi%=5E<G2~LLDr{egX|Nt8+=C61uROxU8SCTu3B*7<wTpM&(lB0pP6%NwpU}&nrrtK
z^L1A_^z&|iA97qqx$)x(k2eXw6ThygNPcka#>H>DkGwpv@kGg+8CCHQlwUoUozGQc
z_i5#g^jq?Q`(OPndhmK-{tEx9{Y%%aun&(9jSs&)_0QUq(Z=dA^UG>)?VXbTeOG~W
z`gyk-CEUUsr}{jm^s#S}$kU$3b6V&0jb=xY-dm17-5Oob4NM!;KW6$Q_bEv1_bTm}
zC&>S`=egzie48!pA-imtck-SSI`cjv__gt|lov{kJYm_{3i~e|>*6d@di+VXMw2x)
zMw3-_Zz1dQyAH8Tk*24N4VV|ZvtF8KB^Sf9nQg}gi<7sWTFm^awK-_p!AfzprKfYA
znLG2X)%>XYPe{qq+5E0k250Qb?z;9ExtRAQUt8{eTFC!)*ZcPyfA7BKvTA#k|1Xc+
zS6AZi?Rr}E>&t8N{?fKdo)fR%Ym%QK^Y3nd+(A!QPStB&Kh~GOfB*a1_W3bd(p!07
zasDzn^<aMfGp(({(q~+1&zW#8zg#4;U7`D|WL=4}P=DT$3xONTmLy52GPq1(xf?j?
z=H$kRC8_KG&;HWw;imb^^{(9<yIFSAmR*(%6Uz|08m2HgUiA93f8H{@9IJmkJLDqc
zH{C1!#^PzoVyBcYtU2Sdak4~``pGK~rT#nc6pBpXpOAk;VNYwu7TapoKe0m7IrA6R
zS-#?Z<u2+~SQ0dsZ|)^od4r8r)^>OL7ALrR#{D^1VYT+la;2ARPn_CreYdN_*GMOI
zc_)+Du}rCro6c9wIB3;8(`k$BcI9*>sa~y$u$jRcOFB;N*|cO%=$TV4-%d|Y=a<;|
z=1glQ^D~j~^sv`{%IQ}xsO>0DlX5iM^<e5>moq8ZZW#sZj^A@k?-98&!?V*iR$AwL
zZ_cH1$(Qf%@f7mjt#bc<&P%E8fO6$0)#-0%t-gNsZEoG_n_~Pfm&4vH^#6bNKJSxD
zvA6Hsl)hHZyR^B}<&gKMBeVTtMU4W^E1%`QVt;XYVEfCrvs1KU)r>-~DK;%JIQYr%
z;HQns5)UpheOaE|$2<8ML;5|=-?Fkg)60(dOv*Y|KI7vO$=Q=#dkU>z*O#;07dbd_
ziO>Pz#+3}B6DmTY*If^P{WU39a+cKv9mk%qu2-l0!;Z|Cxc6rhOX)G0%yU=X^?I-x
zU%huwYWYX+-DiKuKKnjPvv||oyHW?;mFmS7DtUGuFKFF=nOPx_&wZ!yft#Lr)21!(
zTz-14?)tqsTTUOyU46K|uySXd@br^w`o#S0vQPdjo}waJ5_dYa!ndrSn>~2Gu>C}X
z=*aA2v*v2}Pfb7Fv-r+|uDbne?|oIi-T&rv=hG+l$LIV1(fK~<<<cMCo#91$LQY>T
zet+uzT<b>v0QDD<=W`OwETpaG*#~YoXyc@vD>!3<>G!|e<d5tWcw6eNn15qF?=!h=
zHaUOieERs~xbU7Ow~Tfkyi@SA;HT})?@#P9{%8Fu`I-7_>4XVSt(0D5YBeq1;USh7
zEPml~D;wX7b7hu~>a8R=U6><yG#IrQtpEB~C#KI<QGH}~?}^9cV=@QtJGUlPvpaoQ
z%69Mh_tOq{%c{FS91XIcW-x6*&41~H^b2`&=QouyEte_gyLItE>@IuR58{hto)xKI
zu28a_I?wmA*RCncF8L?2mL@&DcvqT>W%E~$Q`^~mwx;o1Kfd+Rfv?HjzCEuWJ1Flc
z;GA|u@oNkB>}4N$dtP31v6;8wW8UoE`!lYX=bd@A`uv{Excje`UcJUOf%Rz8YK77}
z5s~VXd$kr#PF#F0g2OmcuO*?<`bgRt#XS#o6c)GKtX{u)edl`1`13PfJ+5f;xhsA7
zulh&NS1PrW{;$bBXDmL0`&_GB-tUq>DRC?MnHgLy9wuAG_lOJgKeL<uBj#L8dwTHe
zb3Ko)>qzczD_!mOGAyBoIaAN!l~35(1<_k&e&%0fF;u$xhHDRK;c$~w=AWoT3*?UV
zx@DNe*WFqAG-Z0B-bst;&X+dmWlqt{v~+Ds)_cX*K5eqBl<uu9O?l12F;`S)t96*^
zF2Av5&Ks5g|0}pI%>KW@P(8zD#chpM0vr|GvTN?&%FElJuj{vMbI_85`?j1czh<T@
z_ONGr%#!o3Kj#MAN-R`NuS}lgs<gK<OUYE+d++aGZ+}mH@v#5zi<h^*`5v>ei`EgV
zdU7n6{a?yo@t=R5-@Cna`5O_{M!UWL{`T*$HMl?dq*&RVFXH9)G8@@G^zqx5%-#03
zZD-uu17Ff>_^i+9m7CXlf1SCnw<#pe__+QWZ@nxNpBZ&~91dt4e0(TP{AP)u_{&=f
zJUb37pJc+m&#`Ip&I-NfA9ndVymOx6xOM8*&Duw=KAl!>v)yp>^RCd(zj>DNResI6
z#b-84UzkT@!^Vg0Cnim)TUK$#y6MJeGxK{-k3D((MIdd*oM!$cW6$g}ED=V+|Fg;@
z1EieSO{oYAU;LB3DQ<Vn!wYH&odz}8+3BypsusG&tjiC1HDMLoe3xy{PFL+;AbYG`
zbCp=#jh`F-OP)8r*Y-cvzS^j#pZ&uIgB)uenQedDbJ9}!=S<67@BWQFgGc|}15KZ5
zueGa}dW*D5F60t_TYK&^Q|GsTS7iSfK9c?sYP*~xLPO;6=R~a@1GAZDIKOvrpWkIr
z)VZ$m`lQ!uUhnBH^ljN!SEIZ#(pc@?)ssvcH$<tdS{uu-TxZ?7ubtu>E-zOUQkTkp
z>afj-`Q)j`j%#-Bz2Wl6?u><nQTN%4lVT68F$_EW@yJ}2b+7d%uh3_$*{8RO<H>{1
z=cgNQTs`;Yk2lv2Mf`T(oqzWCre*o-|3Ch=b-&zxtJyn0F8lOw_KNMt_T=B5`75|Z
z@ai6i^%v(mcxL5H{!@9GrSfUiN|yC0QCFvj`7CpZiu}TQ*LBi!21$tt9!uSC3HW3x
z-=8LR)_X~go~qfU?A>!xDvs(IKb$i+y>V_e+okMtU0u&Z5}Kqk-LoTwl0$`zxRZl*
z${S2GPMf4Z6^+}bKFQAVq{Q+k(S>`iu5g)jYl)CT=}898&rD%Wx}GxAgF1S&dIB3g
zJp;U36)(9>l`-p>;uU1Ib?321SGtrOGs8JLMS~&=T~!Vyyq$B<bNwTe6elmKKj&+1
zg*@E7c<-rmFZ_<mJcv0wA@+Ei^2w4p-jD0d4&{daNSTrSAa~d4u1TKz=ilkSdn&rp
z&TU!Pjf*zN_-tDJA3fi|DeTnwgkMD^^N8v5MQO3c>ng>0AFbTNGNsUW$-d$@XE!S8
zMBRzfydCkXS7$nRc%5aG%noPqX2o{nCmbykUhs516j1u0=CkR5%KkWy6Lvx8JWlmY
z-QF%eXM;hexr!K<)O2CdWTB&NJHmoAw@GDlZrnV{EBxhb8N+;&-1z^c|Gw?s_IBpE
z)?MX~{(YSF>)hR2j=zMn|Cdbinfv418`H<~^N#X=FJ~((dRx3%Io4UEI@f%viv+9S
zqW``BSY8>voShQs5&6{X)D-uH8S6L<^Y5t!*=`WxIpscwCn<n;!;h_-)2E;4ZhU?~
zzkL6evt9l-{BHPLy!XEwUpcS--uKU6&Yu=@S4{Q&#F==kO8S40y7Vhu3%;_MT&Bgo
zYb>jSw)TW+etq|-{b=IzZztAW2}mn<eSi1;{rNI4_x9QzlNau{?62(qX?Jp-h`-f-
zrFvu0jk9GJ9e#Pj_2>%YS*N2m?@L-A`bKuE%p0kfrdyZTJ``GMT~)w;=fqpr7@Z|r
zo36HS74T1VvREU^wKwYYUPdwhur&hbuRc6>Li^6;-@%*Se6QU5(ranfQ`Ut#(YiXX
z{X<txEi%=d`$25(jY!cPv-JY|ZrckToS5_}<4?q#uK690ci2a2WIX-ze$&m8N|wZu
za?$X!X=X3eZ@QSiTo*RE$$YNfRMzsHIw9JcH<f;zdbwo&d(CAj+PWb(vN#qs&N*6i
zc7<|_^TmvH5jqF>g&Zec?ler|s$~5(>yyR&ewRaG3mdo3wS0B-p3|nrxBZ%RZ`y-7
zl0v_q|9$?q{x9DPA7?nP`mx`$bfHnwM_ul#PP-a+9uJV5zTdM}TJEXG+e)2nP8v%}
zBl?Sc*RH<2*7V}Ru+?j)y1UG1RS`P6V)X<&!Q{J9>mJtqzI*!n<g0aW%k`5ZFTK+{
zwZ2RI)rYn53h{fFT<tFX=oG7xe{^@;+HUa(zc-t|-^~~Q{Vp!9Ep29W+S?@g>*?>M
z+vlwDQ)~5GoN4s1F+VP5Lzc~yQr%hnk97B4togaIBjj_#<E<@oOlQl9WE*{Ho3rF<
ztX=h49u5<2@0zvT+Y%PuRae<J?X1Ov9C7*b49}wrBNOEoGcR+U6JL-q*TDJqGF4IO
zmyH&>OApj9pB6Hw;Eum|g~hW!O(`aRmtHu|Ry#H6*%Z~Y%e`l5SeYz;aiM6JJM;5>
z4=(O;Q=YhVu_D)=6}xSs#GhX@Qr$jHcc-cP^Q$^fQsQ)1TW?+S?xNMh{g1BHe0bS4
zS?1r0;KToGzkENwQL*kaUk4ZK>N%@sa7}r!NHAk>-rN!;HF4gHtxAzkRf9I<t@*^H
ze@sh%-Hdc)gEwlEb5|SkA2!l?ZFDWdY{UL9v&9nLwMk_Q%s+SR(Vp$~-_LCmdH2er
zq~~63;(fo|T9x<ZFXjLIxK>m5cfQcUD+ibEm)rAB>zDA!3I6XyZr}TLZ8p=q0wd4U
zxyJF4`FAclste`qJ|7+*XZK{v<q)OBviAFTYmztZzG)IR&0v4G*TS_N4_+{S5pdF2
z`CDVJS?KJw7f)nl3BLE6dg!lz(0zx<B}rTDVqMQJGrZp;vwyP8f59(|EfeC!S48m~
zpEI{0;L9n;&=nW<Wqk?=-IUh$(S(hC(T0t2Y^mRVGOcL5e(u8epnuo0B>(?%-4u0f
zo_DsJ>)svdTm9s!1Y#DHW+flx>3OWTYS+Kb+qNH^C0QY}@IU+6fTt(8Qu9)ZONvqx
zb3v^9q%1BjLnBinFP$_tH0QFj<AUn1m=incbY8Q8fa~{vT>6t;Q$C+qEac?nIU(Z9
z!bvp@8`-l~N}RUf{<klwO)W?~!s`0I$FC(_0_SRFGl|cy3ovE5C$-wP=kQ(a2j(w&
zQUlLy4NO#w3B7Nj*7YjHKe=Jwrnd(+^%Q?(oh#Wq|HrEp?b2&z{`erZXQOO<Y<JaJ
zm)i1o>{S~Yy{A2oaX#(x@!F2>%h>fUY+Gq^BjM1Nonl`<A4x4bko=_M(hA4JFQi!a
zZIs>M<E2?VZ)$4fGoCg7w#$2Nx*PTNZiUUfCpMFfrv3DpsA45})J658m}Y0^Hy6zy
zr+rguPV!~WR>+N?_>FzZUBQ<=-`}1ruRFNGd({{H$De&eoW!QIYaP`T?96OQ@=Ef0
zlqcwYs#sxTgx=y$#gm^U+Nmvgcdwb(qVUbHJNd~EKL+nOcy`^-->hke7M=u!J~SLt
z^HQMkU`8k&LMjVV74-d+vVu!;x%B-MOu;u*78RE$m>64d>HDPSrI%zV7@HZGp~TbM
z*zDrlW@3Ne#W&{9_Sr6WPi6beGe;&}O%qNx+GsuZ=G$|9S(_tsWi^#g`|jFbrynQ8
z(X1aiEmgEo;-E@JL1Daw_!8MBgGC+!6BCa-Fg|Yi=+)$dkzXS@R32^?U_CW)0ZVbn
z0d-*>K_=cf2j+K6B=0!x$a=!6RG@#hxR2wG5BF7d=cdG&%1j151uvK_dW<CGotqOI
zH3EBO&K-Er!_3E;qE^|bp|H8<kfcMJWkbM9sRkd$naMtaXG4`w3zP`Yc_PHP<3Whf
z5r&z?5>CF|#ig7RcAj{^qfo4w@T=jB@eFn5lzE3MmrdY4C%~N2m>9rzqUk_~%mmiZ
zQ%b7>6OJ%SrigO<;%0c%!EE$rVh8i439p0{x_x4qQYLa+ES|vqf|E;7NP8~BCY3C%
z1|7{XtyZ?+U8$=WvnoG6$|x|5jhp`B=Jb>ApYFGxwz<eK@|^hb@a~h-U;g>=`Re-f
z{cML_SNbhVPyhVicl~;G=E9zf=g-&8I=AjweBOoK+%6vs|M4B<Jh|UFUiJS^%c`$G
zKbQUt{&{-#%OVCXd$!y^f8Lzs7f;Xs-?eV}{;4m1fBLlDvgFUgIWe)*&CL)0*LgPo
z6l2CyiPicgc0Wru&A2t&vFCgD&#P}!cRJ`FTmIPanB=jDrlU((R`)(A=5S|ZZ+-tz
z>g+;Z_hWAvpBuFqR<m9|_H4q;o$j&MYg_mJ7qMHoKBU%dng02+-&Yr2jabgsyzON0
zo-I{#&ZRFtDP&^zOZsR?!Vjf69y<FD{?Pv*u2yBXzpMNwdyLKgzfbo+eR@fgQ7^fm
z>dZ_*lheHnRSBvM8)_Xm+RmzcRhcpK%d5I~Uz?qURvY9@eW>Phrp5TSd;iIt`N76<
zVhN$gwtrt;x2k?JPfbc{?bEaA83r--e8s7Ude(pVyYa{ci^hg#!QwT?xSl*Z7qR>p
z=M=fWuU}O!<CF03IjjEoYlWBn%rEP1e+-?Snq9fI$5o;Ga{s26ofjQb_idYh?6Bi(
zmWXTOTlThm?JX>=lQLF{p38f6&z89>H}1W5`^JlP=cfIdAa<K=hMe&;?N@crx8Hbi
zPX52&?Vt6{{b4m9N^0vw<ppQDK3K1{Li-nQ?QR33D~}rGxwBG2twgSwPqkmU)cxm#
zPx-mVuZ^uHiip+cBs1~VZDQTK_2uPf!tY)hZMHmeblJ6?21Vf|2W{n7e`e20UX^iS
z<snU}f|#6iUJ1W{V!uspnH><g_P#VP>w}{B^xhpwy{jL0<*F@?J96xs#I5(;Uk`1+
zxaY3Yi>Ku`H<g(@5}a+m;;i#c+my+#cjjeX*XjAFn~-ro;oF^p?j1L8`O73`ez7h+
z@$3iJ@e3-)e<rtuv#c`wm*M@Vcg@|~DS1)FGoI=lIoA1gZd}CeQ~kP?mw#@XHt~JN
zn{_+qto{6T?wq^R<fY#{F79lencsdR=Hn_cz2E7V=W5p(oz}f-b&~tEYKY$C*Izxi
zb5AxGwGXNat>AJlTzp0>#A<#g+w2pi=dNaFIp51YWjyn#OzzI=t!<IN*Y5~eFnz<j
z6FG6QqVvkmMm$X_E5D=jAi-|l>5D~AZmvvw<CSZ%#jGs*#f=`px%$T6wf?rxFaL1-
zb;XP3`0|jF=WiYtz3GhI{;Nm%v3shV-nK~N>)cOoNA1fvWn1_6sYNok_>G@Y75DEv
z&Pv$L`1qUXmpRQ<J2%=r*kSlRt=3Ys`;6if>srf@YmAdkKBwFajj!JK?Z+pRb<UZ+
zYhsnR@3QmSvr1L_=fw4?_cQ*6d{zwWo1k93tI%}$J@(A*)d$#TaO6m=35`u%`9djs
z=e^MI*-5Ogv!&x))lFwio$<Q!K6mx(svE6-+h3l4C{cFb=9IRR{kA>f?;k%gEk5k8
zlh$M#nfUnySMZI>2-&+glYREhsj2j@oq7AO-rL=k2K?*iXKDX?ki{YQPW1ZM!qUZe
zgQGt>Ew_Hz8+m8%zFFA^7XRIQ&#PCox=+HUz4%|KcD~L!=Q9QWZy%1e%|4P{sr8pn
zL;jAm`IFk%$MYW9-=EjktE?(reEwXdfw|a`!)?`kT+?^Tq&>|$wffG-uNSN864z?$
zOxrwtnsLYKtDAQP@2Q&>W1RLlu07s+`_GtL?=$i?SHCIW@;2~9!kK{ix#xqoZ;iji
zxc+;bXZz2kdnc9Z-C?)<UbcRTX8qE=lcLUT(yvxs*cWtmTGqDSY_s2bj%&&a-*0t&
zEj2qnY>!KY&4rh(kFB?!>+;|A{py?UCFNObnO9{8oskJU!y(SSamNqgcaQH%n?F&A
z{X64P$oA#CY_GoEozZT3cHfn^I!eVA^{eg%zumWT{_&Jzv+AB(bFbdEy87<xp49lP
z@5diy_^)HDbozJk_B#KT>-LuOJxjB{{^ENbcJ`(Dgv`*%H`4Ev{`NezeXhRC_f=Mp
zWP)n$Nt-|6k9|DhQAqjnUDj9c?#^icdSctFcQ<A%w7dNIQ%JFWTR+66S-aPj`I&y7
zykckN#lG(WGP+j-+C!ZSUp|${NiBbVOwRu8t8D)z*F5TKBI@SMOU#!vf5Hm(g7@+$
ztE-oGXSA0d+m@C2-TIT++NG>{^=$KAy$tcsfA!q(=A5%~i|2`b-RAeT#5Vut^r~H=
ztJR-BemH~ukY@1Nk_gkuk4k1n+&=!6(PD0TncT+mhmi@!^XKo}ck%fwCiyq#e=qxC
zvEfI8-1f!yZ0?-z>{+{R#q!n6pI=r#ZmU_b`hC!xZ-STXoNl?_aJ62u{#&YiqiYJ^
zd;i}YnevIj_WdWH<$RO)&9Uj;wM_YkjTZOScHO#a$CQ11&5=9852vj3v)W*ldELJF
z{Iy+|zFWMDcKNk@hiBpD<%N^)Mcy#VJ27+DFT<mm>lNRcF4@}_yXEG*ccx3e|IaS`
zyXVgeyQ7!v4u^e{+%#>@<ZqJSs^&MQzpdaudNGK%D8kg^$LCXe_O97K16wXvRIYzp
zzM%Z1r0D)yrBd&%&cnT(U+&a9-<c3z@-?<ASa)95$B^##i)629-d=Uo`pT-8&)ExB
z9S^q6d-ZY8y=yDw>J`py?_Brl)yF-u?-y1?t+M4?^KHj*>z__fH~q=ud-*$W-!aWw
zf0sVG?7w84=f|RikB16YU47pzUDUU3pLgM^tHEpMWqpm^a#$)YdTUkAnSl8#!~C~a
zt-9*F_Fls4kk$4A&u_bgmu}saalBe%-n6WK=GC@kfA0P6imv_^@wIr{#&4EaqSTLG
zNIV))#a?%Mhobt+SI0$`N7-Hdv~t>gSL-!}-<D2Gmwo5IwPw{*^RJ(0<eW?E4?Nxe
ze#NmO|KnA0Cl_t_8f9+s`bgNdl(gg{>n4|93w-wUsDl0Of2;q`zxBDad>^Oxm!0+g
z6%EyPTDPxl&i%x(^PII+`>k)=DqHUD57?BR##3(csl(2O^?1Mf(O{0a{r;B=>VyB7
zewuhBSFZK;j{n<JlJlIEjPAGJE_tX}yVLjdAEEW0dzKlm&pd5yTj~33ZDQwjmAJ_j
zzK={hufJhjzp&9>J#KQvS;fy%QTgwCD}2-bo`0rOdv135BdgBWD(fci@OxxceW-NX
z&+1u1*F9s>=kN5p9Oo^+&UoMHQjpPVA7>rO7Fxg4e*MS!y18d^FMVElBzK#_d9C*L
z_s{a2`<DG=&tCdzY3RKt*>`hP{BvSXFE6S4er(#}X@*kI0wU90WzU^nJYBy(ZjXgj
z%%6`tU7Ej7?tgmmfpewt{@9pl<{q(?`)9<@o9_L^e}|3K;)AX~OFP-t+dEzt{%yHs
z{`!mi``DJpPrpB3U;T8@{lB)(Jc(Zzqhtzi3wgW{>#>zMpYDCPSZ88M`qkFWpR%kh
zXV$ki8rD3WBO|^<qez9TE~aDAgsd%!cjC@XGuJS_@%;4Bw@*L)O<CxlBoJ>dn8+91
zTWaa}B%`3HjqUxjZvV;I<#j*2=9-7!=ezng|NpxGe?8|~R(D(UeBe4L@zia*@STeN
zhE10^N_PKEUAaG0{o%IBCw*6znpQ0RUsG)OH{{+P?fo?~>Svv~H^08xX#YN|-3))v
z>pc&@eA9iyNzpSkyZ$`BE#Jqs_|vmZb7FndyjhEwy;MV1er*nVbo9^hn<DSD9;$r&
zy2U-tP(pmgj`n&1nPP#U#|n3K3Wc`1*eidSA$Vk-RHF0M%QIeOE`D{jBwys1Zb^cB
zv-xH1rTV=sMSt}b!%C-0pH&d=-t@;{e)HFR3Q1`x?!n>r8t2`cQm=96tLK5uUKO^R
z?w{UWB>Cg=>rcXG*m>PPpT6zC^wXs6!W+JAF8cPi|M_`FG0P3+r%p+61S;Deyma%=
zR}S0RjVCw$JUq{w-|TO!GB>~F>c&&E7v4LY{;Y>>id;e*v&JumG`R#p_LM!hQ$Cws
zu}WkO(4AZw(8}(bwV+Gx@{$VP9s3^Vtf+abyveWLtVPhE;ht>IV>_#_Yy3(ND5R+!
z>}lKiQ7ciX%Vgg)$*HNwR_%NlG()eISJ~(Bt_QmBBCfK2J@UBF!O`kwrxCx`j1z`=
ze%UpiTP&6{e{-62?Z|=`!WJPWmJat`F>E@@m{fHA$nu<vxuNgYtiGe7SH9il>FKjs
zN#Wa;G_Jbi8oqPoq}@BYyZwFhUVK@j)|KMzwsDuy#+-#Y71H<9O*QRar?EUc9U(j;
za=xeWwW}O9s~+mS)-U(nkXG9?wUjSRaH{otu{EMQvztuc3$IyIyy4W2JF}M+#tCn#
z?A~tST5<5yS+Ap!G8<nki+Z<q`yRDBU3NcPCa+s{cF`)`U73rNwsSh_WgW@q>|6C?
zuCif&_x<)aCGu-Jc-;!tvz{tHT=7qNhjil;xt&kd<XQ`J1j`jK9h)n=L43N6pAW}N
z<IH*GR}DHZaXMw>A9S6=E__Knv%^g;M$qPzI>*an_qCdi2XkJ@sbyI{mvwpJ!QRz>
z<bO20io)6fH7CCVYHVQ%?|@nun4t7O=SKMEUo{Zevp;^LyM=A_->urAd$-64Xsv3H
ze#9c`)FigB>B#={PoD((A|{)LF7=(0Vq<-C<M*X0Tp?VZOM*1b=9oN+S;3*&BffMF
zQ)o|mOUHkwsVfbglsKnmsRhmv4YG-A6k^#J*u${GX=348t)na)cZ7-^dqlju7#2lH
zKIarP&<&o_5FyZbxnX%1M--!lZ-=s;nQB_VG2J<zG#Sqw5LDAk(COU4<Ze{RoUYk%
zV4~L=M!|}%c9u%E2|`O*l3JpLnzy@oaax?3VpNgcBzNGV&q}4r0AJpl*{&>oW?oEo
zTsEy7To0YvIA&<OyOk+EVmNGQVA6X*QAH-9sk{5|$Ft|xmzUK1{qgqq@8jw7-|v&@
zTmSOMr-ya2mNNMh_2RCsKKzk&Z|psZzL$3&{`fO%-W~gz9o(nmpZxezP+2L#6j%Ri
zqr=oYj#q_6?tK^fuqgMzBW69>0%?bn`xlydu74ADz~28(OyY}g$|tKnKI1Yz!g6JQ
zx_9Ed9k-5L?%NUl=#kpI+(((SEZ$@apBFxIovT1l-|VB6VRZbJ2>+a4uYwkR3#eTC
zZ0okwHxArX|9I*(kBvdxJkMQe+mBqGV(~uWgpyrB@;$+F?>q00r3vZp`<m%o^WfMa
z<0F6bL}vf!Y5lb*kJ*3Pv!F$#c{%DgQrm4>vzWu@AJJZK{NX@FsoKk9d7W3M?D(~9
z2Jgp4>DN8dvv2px<UF!7&+Fj76On%B#+|$;8AAES(zlkaV4s&`&H6dI^IY#HGnq}-
zwmo6o5S;Y3f&JLznM;oDl{A_ybo!iDs-XOiz_PN{x`o@NZ@!y-<mIt>KMwR7J$C!J
z`{KLVDa-c0>5gKX7jnNzYp!%^u0_e(+^38i{2xudE?JRaGuJcf&TPfovh#jPZJM{^
zR^F2hm*?eotiIoycK^u1=f`*pZ}-Zi-C0p*KC4!^eQuDX*w$O~o;-*S*jl{!7B9Q|
z{1vzU1_a*Dl3DKZHNQU1|M<uHYOAfWCoW#UocVnJ)6Wf^PyeW{zm?4EbIf?{r<oJI
z!#7V%o>$qucim}rLF>l3vQKo5eM()*yt$gwu3qp5tHA#mQ?TYn3sQ5Vp#_(|TPA2Y
z$k4<bo*NBK%nVSn<JlR$`L`_u+TQ;XwYe7IBDUXifyRU@x9=|SHS^f?R`JBa-q7_M
z`b2NM+xGMO@4k#^BlVZtY;&t7n5wkbv>88Hed<()*NIbH?>`newKXgkKQ-BsBiD3Z
zphRzZBxkpo=F$S8ze|MtTy3^;ggwl3DPZ&0UbLu8-Gq12rN`~drfF;mD_E(uqfDY%
zTvl|BmU2&t-=3Ptp7rwbS%&*=t+BhGTNiuf`_Ug>J?dxekN#j<eKF!ws$rdW-ma;S
zUGIoJzT8={F(<v$wchJ=;Kx^?AJ=UDTsBkZ<kFY1-<;3I9WF5xS2Ufs_n648rrYYv
zg6)mw$};^(XL!TUxMShz4_mw!?|)k)pI~1iHox%M{>zaP7S4J$Z*~3%<ovmor)c^6
zZB^BFpEt{P3wq^UZ=N5^((1MG^3{Wfm$<)L6fC~@lJeG>Q`*&BPpj<@Tkd`<Y4)v{
ztm4cD?_9mDKTQrSH=BRUNlG9n+9Owyw_~O5vTZZiPI%?bFYCYFzOVnr(UMSo^Xsd3
z=^cAM|NV82^sA!f<~y|C>#4*xE<bqNx9a*GbLm(2*|)CxqS0;0`md%c-u|ND)B}xI
z551acdf-*jYO@V&X-oG_jNHf<`ZO%Kw`{ikmZVg#r(xQOSI=~P)jGT;qB$w2FD+T5
z?~hTEdv-z&-;u73mgcvXzPP}ZboPeLpR8lgPBJHduG@0@=R(n(SsPh5WF8YcuuL*~
zfvLeZhwOwL!8+dL?vCcf96>pr<n9FqJ-SL(YU$2Ld&*R8wp_}woV{d@iKX-@wrg{E
zRZVWq<#g75lu&GTXV&7o2MxD0oqd)Ql*DV6KA9_fLyzyo_+!gXFehK`*p-?y>yMF{
zuSidC)@4I8UasReR+z~qFV-sQIXi*<SX*~;`6RxzPo{4Sl(jyVw$Zt7W8|WQ95KnK
zlG0Z<rssrgoVU^PO>25i$nkj_EjLsibG!Oha`tjl12g~Z1h8P>QMO}Z0&@@CSkcFv
z%$>m9)4R&rU|WMJ%Q3M`-X7ga@yEnYGAA#7#b-Ht)r6~t>A|8mlFluAQPyQSd(myp
zXE|MdO*1xYuKEchI%T^oXHPn@8N`2g;)Y1tWYOl}l9ORQewIeYHd?>et~=-Q#ZNjt
zseHXIpXh79j<i+9>x=lmK8{-{r*&}evolv*^b@yfJ;^nWE?;#??$+d8m9}?%#NW!y
zDzIv2nRt7B+vb<A-d}#YyljQc_GMQh&a*5@^F6oCXo|?C)Z2M2ck0yk<iFDY`YI{3
ze$~PS?;h+eKX!}D<KfrCNxv*I->;i}cG0`L`)BJtf8@H?=GSMf%lq?hJxvQ*|32*O
z;bpO+cdb1&4ej3jtJ|5kVD-7z`qSV3EvYOlExDwZmVW)kjv42!9}<21_^a#@*)GRv
zJMu#g7`;jfx-@kcmzhv}?SDDf=wk_6wzD>U`%r!N%>P>t{)DKSIVC^PWV(4rR{wcK
z_0(%cMVIPaU-YlxeUVxyE0_7yd%5g6n=8@ZeKWnE^9VgM>#e-3lXYp*%jSlMVv;4_
zH{NLdow94)%D46p*W1<KS+duD?gfUTbzD`u^XjeV&heE$@}s0p!esXGb2VRgxUqbD
zSrB=C_Sdwp8gVk`Z}op&A@P6XtgEZr$}-LKTrS(#JUHEw6j}QF$HL%q<`#{$k|uou
zh2Bz+*^<<!U3z$-?cg)pg2@UI$6gpo^uOj(|H`YE75>Pt=~qzmIt#6QHrwj$QLiUW
znd!3phVOz6x#u4H>|DCT-!9?atLoy{PfmXLmlI_f8FtI-b)C}M7>%v2`b$EedYw}0
z-D0$j=R}Crs-#Ta9h>iXJ^EW+<o(iS`@Hu5|Cfl$HgI*8c*pN#zpbxX*`~?tYj=k2
zd5q*@C(p0@+1744<iM3XzrHs6|LXbcU;S%Mtef5ZWv^<esn0FFhsMoTKCc~(oF6$X
z{^Fpxe%?BjUxKxsJk1yW_D_=$yXolrhdXLx6ZY8xOQh-{II}8M!O+-%OFt+-zeK^%
z5Y&<j;?nobOUqX<R4`Bo0@VyTC8<RU`ffRiC8;i{$@wX%T>6k1g@~ZkG<bbsWM;;t
zAFdFsV5nfEV5ATWG9@LoLLpkg0Az(iEJ|gfdcoX}#ZkcF;;Y2;zdOF(J6AQofO(x$
zlY;8v*GmeRn|K9SGG3ln@xOaD$a}xbld{iGd|7xZ3fL__^d7mHEytynzB&71Ox@;S
z&Qk%6S}X!iEQTrp%~mE0ICV3e*>_!Nw&O|QP?k8%vdo~_a><4U)invc(l-wAeJf~C
zp7Vf5-2dNgo$d`fhc<DXR$B98LOYYlLYCAO4x&sPicJziD;#B|F0_bkS;!pw!cm^h
zphd7Jktuk_L7AY4143aN+IVvk*{dxM2>KPUg|C~U{nTq`5IVJ*<=H>Q->NMqZ2or4
zcz!%b%sJ^^{GB(CPk;RRYR9j>_aRUCbLRw2$5MkAmn0UIR1~GAahVyL8kumZs=E5S
F0RT_q+GhX&

literal 0
HcmV?d00001

-- 
GitLab