From afa3809c39b600e1bc85e31043acef155bdf0192 Mon Sep 17 00:00:00 2001
From: Francois-Xavier <f24collo@fl-tp-br-515.imta.fr>
Date: Fri, 9 May 2025 09:01:58 +0200
Subject: [PATCH] Fin du tp

---
 DEADJOE                    |    0
 src/hdl/module_D.vhd       |    2 +-
 src/hdl/tb_module_D.vhd    |    2 +-
 src/hdl/wave_generator.vhd |    8 +-
 vivado.jou                 |  147 +---
 vivado.log                 | 1525 +++++-------------------------------
 vivado_5844.backup.jou     |   35 +
 vivado_5844.backup.log     |  234 ++++++
 vivado_8865.backup.jou     |  139 ++++
 vivado_8865.backup.log     | 1361 ++++++++++++++++++++++++++++++++
 vivado_pid5844.str         |  382 +++++++++
 vivado_pid9584.str         |  728 +++++++++++++++++
 12 files changed, 3131 insertions(+), 1432 deletions(-)
 create mode 100644 DEADJOE
 create mode 100644 vivado_5844.backup.jou
 create mode 100644 vivado_5844.backup.log
 create mode 100644 vivado_8865.backup.jou
 create mode 100644 vivado_8865.backup.log
 create mode 100644 vivado_pid5844.str
 create mode 100644 vivado_pid9584.str

diff --git a/DEADJOE b/DEADJOE
new file mode 100644
index 0000000..e69de29
diff --git a/src/hdl/module_D.vhd b/src/hdl/module_D.vhd
index 2464e76..6b55cb9 100644
--- a/src/hdl/module_D.vhd
+++ b/src/hdl/module_D.vhd
@@ -13,7 +13,7 @@ entity module_D is
     port (
         I_clk      : in  std_logic;
         I_rst      : in  std_logic;
-        I_addr     : in  std_logic_vector(integer(ceil(log2(real(natural(floor(G_fs/(4.0*G_f0))))))) - 2 downto 0);
+        I_addr     : in  std_logic_vector (integer(ceil(log2(real(natural(floor(G_fs/(4.0*G_f0))))))) - 1 downto 0);
         O_triangle : out std_logic_vector(G_N-1 downto 0)
         );
 end module_D;
diff --git a/src/hdl/tb_module_D.vhd b/src/hdl/tb_module_D.vhd
index d745e70..67bd294 100644
--- a/src/hdl/tb_module_D.vhd
+++ b/src/hdl/tb_module_D.vhd
@@ -19,7 +19,7 @@ architecture behavior of tb_module_D is
         port (
             I_clk      : in  std_logic;
             I_rst      : in  std_logic;
-            I_addr     : in  std_logic_vector(integer(ceil(log2(real(natural(floor(G_fs/(4.0*G_f0))))))) - 1 downto 0);
+            I_addr     : in  std_logic_vector(integer(ceil(log2(real(natural(floor(C_fs/(4.0*C_f0))))))) - 1 downto 0); -- 5 bits
             O_triangle : out std_logic_vector(G_N-1 downto 0)
             );
     end component;
diff --git a/src/hdl/wave_generator.vhd b/src/hdl/wave_generator.vhd
index 11fc11c..0606d4f 100644
--- a/src/hdl/wave_generator.vhd
+++ b/src/hdl/wave_generator.vhd
@@ -96,7 +96,7 @@ begin
         port map (
             I_clk      => I_clk,
             I_rst      => I_rst,
-            I_addr     => S_addr,
+            I_addr     => S_addr(C_addr_half_w-1 downto 0),
             O_triangle => S_triangle_out_lut 
             );
 
@@ -121,7 +121,7 @@ begin
         port map (
             I_sel  => I_wave_sel,
             I_din0 => S_sine_out_lut,
-            I_din1 => S_wave_value ,
+            I_din1 => S_square ,
             I_din2 => S_saw_tooth_out_lut,
             I_din3 => S_triangle_out_lut,
             O_dout => S_wave_sample 
@@ -143,7 +143,7 @@ begin
             I_sel  => S_sign_sel,
             I_din0 => S_wave_sample,
             I_din1 => S_opposite_wave_sample,
-            O_dout => S_square 
+            O_dout => S_wave_value 
             );
 
     -- Module I
@@ -154,7 +154,7 @@ begin
         port map (
             I_clk  => I_clk,
             I_rst  => I_rst,
-            I_din  => S_square ,
+            I_din  => S_wave_value ,
             O_dout => O_wav
             );
 
diff --git a/vivado.jou b/vivado.jou
index bfb2402..1d3e48e 100644
--- a/vivado.jou
+++ b/vivado.jou
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Mon May  5 08:22:31 2025
-# Process ID: 8865
+# Start of session at: Fri May  9 08:24:05 2025
+# Process ID: 9584
 # Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
 # Command line: vivado
 # Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
@@ -13,127 +13,42 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4388.250 MHz
+# CPU Frequency     :4398.212 MHz
 # CPU Physical cores:6
 # CPU Logical cores :12
 # Host memory       :16533 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20828 MB
-# Available Virtual :17967 MB
+# Available Virtual :17043 MB
 #-----------------------------------------------------------
 start_gui
-ls
-cd ./tp-synthe-etudiant-f24collo
-ls
-cd proj
-source ./create_project.tcl
+open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr
 update_compile_order -fileset sources_1
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-set_property top_arch behavioral [get_filesets sim_1]
-set_property top_file /homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source module_B.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_B.tcl
-add_bp {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} 59
-remove_bps -file {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} -line 59
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_C [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_C.tcl
-close_sim
-launch_simulation
-source tb_module_C.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_D [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_D.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_E [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_E.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_F [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_F.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_G [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_G.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_H [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_H.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_I [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_module_I.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_G [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-current_sim simulation_8
-close_sim
-launch_simulation
-source tb_module_G.tcl
+reset_run synth_1
 launch_runs synth_1 -jobs 6
 wait_on_run synth_1
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-current_sim simulation_4
-current_sim simulation_11
-close_sim
-current_sim simulation_10
-close_sim
-save_wave_config {/homes/f24collo/tp-synthe-etudiant-f24collo/proj/tb_module_H_behav.wcfg}
-current_sim simulation_9
-close_sim
-current_sim simulation_7
-close_sim
-current_sim simulation_6
-close_sim
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs impl_1 -to_step write_bitstream -jobs 12
+wait_on_run impl_1
+reset_run synth_1
+launch_runs impl_1 -to_step write_bitstream -jobs 12
+wait_on_run impl_1
+open_run impl_1
diff --git a/vivado.log b/vivado.log
index cc25ac3..6e937d0 100644
--- a/vivado.log
+++ b/vivado.log
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Mon May  5 08:22:31 2025
-# Process ID: 8865
+# Start of session at: Fri May  9 08:24:05 2025
+# Process ID: 9584
 # Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
 # Command line: vivado
 # Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
@@ -13,24 +13,16 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4388.250 MHz
+# CPU Frequency     :4398.212 MHz
 # CPU Physical cores:6
 # CPU Logical cores :12
 # Host memory       :16533 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20828 MB
-# Available Virtual :17967 MB
+# Available Virtual :17043 MB
 #-----------------------------------------------------------
 start_gui
-ls
-WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
-docs
-proj
-README.md
-src
-vivado.jou
-vivado.log
-vivado_pid8865.str
+open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
@@ -40,1285 +32,195 @@ WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 avai
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
-cd ./tp-synthe-etudiant-f24collo
-couldn't change working directory to "./tp-synthe-etudiant-f24collo": no such file or directory
-ls
-WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
-docs
-proj
-README.md
-src
-vivado.jou
-vivado.log
-vivado_pid8865.str
-cd proj
-source ./create_project.tcl
-# if {[info exists ::create_path]} {
-# 	set dest_dir $::create_path
-# } else {
-# 	set dest_dir [pwd]
-# }
-# puts "INFO: Creating new project in $dest_dir"
-INFO: Creating new project in /homes/f24collo/tp-synthe-etudiant-f24collo/proj
-# set proj_name "Synthe"
-# set origin_dir ".."
-# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
-# set src_dir $origin_dir/src
-# set repo_dir $origin_dir/repo
-# set part_num "xc7a200tsbg484-1"
-# create_project $proj_name $dest_dir
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
 INFO: [IP_Flow 19-234] Refreshing IP repositories
-INFO: [IP_Flow 19-1704] No user IP repositories specified
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/f24collo/tp-synthe-etudiant-f24collo/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
-create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:16 . Memory (MB): peak = 8123.914 ; gain = 176.516 ; free physical = 7082 ; free virtual = 16012
-# set proj_dir [get_property directory [current_project]]
-# set obj [get_projects $proj_name]
-# set_property "default_lib" "xil_defaultlib" $obj
-# set_property "part" "$part_num" $obj
-# set_property "simulator_language" "Mixed" $obj
-# set_property "target_language" "VHDL" $obj
-# if {[string equal [get_filesets -quiet sources_1] ""]} {
-#   create_fileset -srcset sources_1
-# }
-# if {[string equal [get_filesets -quiet constrs_1] ""]} {
-#   create_fileset -constrset constrs_1
-# }
-# set obj [get_filesets sources_1]
-# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
-# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
-# add_files -fileset constrs_1 -quiet $src_dir/constraints
-# add_files -quiet $src_dir/hdl
-# set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}]
-CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
-File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
-CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
-File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_wave_generator.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_ADSR_module.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_B.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_C.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_D.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_E.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_F.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_G.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_H.vhd]
-# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_I.vhd]
-# set_property used_in_simulation false [get_files  $src_dir/hdl/audioProc.v]
-# if {[string equal [get_runs -quiet synth_1] ""]} {
-#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
-# } else {
-#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
-#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
-# }
-# set obj [get_runs synth_1]
-# set_property "part" "$part_num" $obj
-# set_property "steps.synth_design.args.fanout_limit" "400" $obj
-# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
-# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
-# set_property "steps.synth_design.args.resource_sharing" "off" $obj
-# set_property "steps.synth_design.args.no_lc" "1" $obj
-# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
-# current_run -synthesis [get_runs synth_1]
-# if {[string equal [get_runs -quiet impl_1] ""]} {
-#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
-# } else {
-#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
-#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
-# }
-# set obj [get_runs impl_1]
-# set_property "part" "$part_num" $obj
-# set_property "steps.write_bitstream.args.bin_file" "1" $obj
-# current_run -implementation [get_runs impl_1]
-# set_property top tb_module_B [get_filesets sim_1]
-# set_property top_lib xil_defaultlib [get_filesets sim_1]
-# update_compile_order -fileset sources_1
-update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 8241.945 ; gain = 64.031 ; free physical = 6951 ; free virtual = 15894
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+open_project: Time (s): cpu = 00:00:21 ; elapsed = 00:00:25 . Memory (MB): peak = 8463.777 ; gain = 699.523 ; free physical = 7259 ; free virtual = 14765
 update_compile_order -fileset sources_1
-set_property top module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-set_property top_arch behavioral [get_filesets sim_1]
-set_property top_file /homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'module_B'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'module_B' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj module_B_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_B'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 8491.059 ; gain = 0.000 ; free physical = 8019 ; free virtual = 16131
-INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot module_B_behav xil_defaultlib.module_B -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot module_B_behav xil_defaultlib.module_B -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling package ieee.math_real
-Compiling architecture behavioral of entity xil_defaultlib.module_b
-Built simulation snapshot module_B_behav
-execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 8491.059 ; gain = 0.000 ; free physical = 7984 ; free virtual = 16144
-INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "module_B_behav -key {Behavioral:sim_1:Functional:module_B} -tclbatch {module_B.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source module_B.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'module_B_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:22 . Memory (MB): peak = 8534.250 ; gain = 43.191 ; free physical = 7978 ; free virtual = 16145
-set_property top tb_module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_B'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_B_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_B'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling package ieee.math_real
-Compiling architecture behavioral of entity xil_defaultlib.module_B [module_b_default]
-Compiling architecture behavior of entity xil_defaultlib.tb_module_b
-Built simulation snapshot tb_module_B_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_B.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 8594.121 ; gain = 0.000 ; free physical = 7895 ; free virtual = 16072
-add_bp {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} 59
-remove_bps -file {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} -line 59
-set_property top tb_module_C [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_C_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_package.vhd" into library xil_defaultlib
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_C.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_C'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_C'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling package ieee.math_real
-Compiling package xil_defaultlib.wave_package
-Compiling architecture behavioral of entity xil_defaultlib.module_C [\module_C(g_n=16)\]
-Compiling architecture behavior of entity xil_defaultlib.tb_module_c
-Built simulation snapshot tb_module_C_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_C.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 8679.414 ; gain = 46.738 ; free physical = 7909 ; free virtual = 15978
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_C_vhdl.prj
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_C.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8679.414 ; gain = 0.000 ; free physical = 7819 ; free virtual = 15977
-set_property top tb_module_D [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_D'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_D_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_D'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_D'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling package ieee.math_real
-Compiling package xil_defaultlib.wave_package
-Compiling architecture behavioral of entity xil_defaultlib.module_D [\module_D(g_n=16)\]
-Compiling architecture behavior of entity xil_defaultlib.tb_module_d
-Built simulation snapshot tb_module_D_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_D.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8755.188 ; gain = 56.812 ; free physical = 7791 ; free virtual = 15971
-set_property top tb_module_E [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_E'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_E_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_E.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_E'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_E'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling package ieee.math_real
-Compiling package xil_defaultlib.wave_package
-Compiling architecture behavioral of entity xil_defaultlib.module_E [\module_E(g_n=16)\]
-Compiling architecture behavior of entity xil_defaultlib.tb_module_e
-Built simulation snapshot tb_module_E_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_E.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8857.305 ; gain = 76.102 ; free physical = 7790 ; free virtual = 15960
-set_property top tb_module_F [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_F'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_F' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_F_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_F'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_F'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling architecture behavioral of entity xil_defaultlib.module_F [\module_F(15,0)(15,0)(15,0)(15,0...]
-Compiling architecture behavioral of entity xil_defaultlib.tb_module_f
-Built simulation snapshot tb_module_F_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_F.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8921.129 ; gain = 39.734 ; free physical = 7692 ; free virtual = 15879
-set_property top tb_module_G [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_G_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_G.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_G'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_G'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling architecture behavioral of entity xil_defaultlib.module_G [\module_G(g_n=8)\]
-Compiling architecture testbench of entity xil_defaultlib.tb_module_g
-Built simulation snapshot tb_module_G_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_G.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9063.953 ; gain = 36.738 ; free physical = 7699 ; free virtual = 15847
-set_property top tb_module_H [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_H'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_H' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_H_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_H.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_H'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_H'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling architecture behavioral of entity xil_defaultlib.module_H [\module_H(15,0)(15,0)(15,0)\]
-Compiling architecture behavioral of entity xil_defaultlib.tb_module_h
-Built simulation snapshot tb_module_H_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_H_behav -key {Behavioral:sim_1:Functional:tb_module_H} -tclbatch {tb_module_H.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_H.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_H_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 9130.773 ; gain = 39.734 ; free physical = 7686 ; free virtual = 15852
-set_property top tb_module_I [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_I'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_I' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_I_vhdl.prj
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'module_I'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'tb_module_I'
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-Starting simulation data flow analysis
-Completed simulation data flow analysis
-Time Resolution for simulation is 1ps
-Compiling package std.standard
-Compiling package std.textio
-Compiling package ieee.std_logic_1164
-Compiling package ieee.numeric_std
-Compiling architecture behavioral of entity xil_defaultlib.module_I [\module_I(g_n=16)\]
-Compiling architecture behavioral of entity xil_defaultlib.tb_module_i
-Built simulation snapshot tb_module_I_behav
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_I_behav -key {Behavioral:sim_1:Functional:tb_module_I} -tclbatch {tb_module_I.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_I.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_I_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9194.598 ; gain = 37.738 ; free physical = 7536 ; free virtual = 15739
-set_property top tb_module_G [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-current_sim simulation_8
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xvhdl --incr --relax -prj tb_module_G_vhdl.prj
-Waiting for jobs to finish...
-No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
-INFO: [USF-XSim-3] XSim::Elaborate design
-INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
-Vivado Simulator v2024.1
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
-Using 8 slave threads.
-Starting static elaboration
-Completed static elaboration
-INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
-INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
-INFO: [USF-XSim-4] XSim::Simulate design
-INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
-INFO: [USF-XSim-98] *** Running xsim
-   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
-INFO: [USF-XSim-8] Loading simulator feature
-Time resolution is 1 ps
-source tb_module_G.tcl
-# set curr_wave [current_wave_config]
-# if { [string length $curr_wave] == 0 } {
-#   if { [llength [get_objects]] > 0} {
-#     add_wave /
-#     set_property needs_save false [current_wave_config]
-#   } else {
-#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-#   }
-# }
-# run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
-INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 9260.684 ; gain = 0.000 ; free physical = 7455 ; free virtual = 15904
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:25:56 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:27:48 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:33:30 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:35:48 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:38:06 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
 launch_runs synth_1 -jobs 6
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:39:46 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:48:08 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
+launch_runs impl_1 -to_step write_bitstream -jobs 12
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:51:56 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+[Fri May  9 08:51:56 2025] Launched impl_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/impl_1/runme.log
+reset_run synth_1
+WARNING: [Vivado 12-1017] Problems encountered:
+1. Failed to delete one or more files in run directory /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1
+
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:]
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
 WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-INFO: [Common 17-14] Message 'filemgmt 56-199' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+launch_runs impl_1 -to_step write_bitstream -jobs 12
 INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
 WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
 Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
-WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
 WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
 Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
 /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
@@ -1327,35 +229,38 @@ WARNING: [Runs 36-337] The following IPs are either missing output products or o
 Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
 /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
 
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
-[Mon May  5 09:59:21 2025] Launched synth_1...
+[Fri May  9 08:54:09 2025] Launched synth_1...
 Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
-set_property top tb_module_B [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
-current_sim simulation_4
-current_sim simulation_11
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-current_sim simulation_10
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-save_wave_config {/homes/f24collo/tp-synthe-etudiant-f24collo/proj/tb_module_H_behav.wcfg}
-current_sim simulation_9
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-current_sim simulation_7
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
-current_sim simulation_6
-close_sim
-INFO: [Simtcl 6-16] Simulation closed
+[Fri May  9 08:54:09 2025] Launched impl_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/impl_1/runme.log
+open_run impl_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+Netlist sorting complete. Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.08 . Memory (MB): peak = 9236.797 ; gain = 0.000 ; free physical = 6429 ; free virtual = 14091
+INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2024.1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Read ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 9326.453 ; gain = 4.000 ; free physical = 6335 ; free virtual = 13998
+INFO: [Timing 38-478] Restoring timing data from binary archive.
+INFO: [Timing 38-479] Binary timing data restore complete.
+INFO: [Project 1-856] Restoring constraints from binary archive.
+INFO: [Project 1-853] Binary constraint restore complete.
+INFO: [Designutils 20-5722] Start Reading Physical Databases.
+Reading placement.
+Read Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5781 ; free virtual = 13444
+Reading placer database...
+Read Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5781 ; free virtual = 13444
+Read PlaceDB: Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.38 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5773 ; free virtual = 13436
+Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5773 ; free virtual = 13436
+Reading routing.
+Read RouteStorage: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428
+Read Physdb Files: Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.49 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428
+Restored from archive | CPU: 0.600000 secs | Memory: 15.365509 MB |
+Finished XDEF File Restore: Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.49 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9957.266 ; gain = 0.000 ; free physical = 5765 ; free virtual = 13428
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+open_run: Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 10209.535 ; gain = 1269.645 ; free physical = 5581 ; free virtual = 13268
diff --git a/vivado_5844.backup.jou b/vivado_5844.backup.jou
new file mode 100644
index 0000000..f99854c
--- /dev/null
+++ b/vivado_5844.backup.jou
@@ -0,0 +1,35 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Fri May  9 08:06:38 2025
+# Process ID: 5844
+# Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+# Command line: vivado
+# Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+# Journal file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+# Running On        :fl-tp-br-515
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4334.306 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :19347 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr
+update_compile_order -fileset sources_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
diff --git a/vivado_5844.backup.log b/vivado_5844.backup.log
new file mode 100644
index 0000000..c8eae61
--- /dev/null
+++ b/vivado_5844.backup.log
@@ -0,0 +1,234 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Fri May  9 08:06:38 2025
+# Process ID: 5844
+# Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+# Command line: vivado
+# Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+# Journal file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+# Running On        :fl-tp-br-515
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4334.306 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :19347 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/f24collo/tp-synthe-etudiant-f24collo/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+open_project: Time (s): cpu = 00:00:32 ; elapsed = 00:00:44 . Memory (MB): peak = 8439.789 ; gain = 797.414 ; free physical = 9484 ; free virtual = 16831
+update_compile_order -fileset sources_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:11:28 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:14:00 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd:]
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Fri May  9 08:19:56 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
diff --git a/vivado_8865.backup.jou b/vivado_8865.backup.jou
new file mode 100644
index 0000000..bfb2402
--- /dev/null
+++ b/vivado_8865.backup.jou
@@ -0,0 +1,139 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 08:22:31 2025
+# Process ID: 8865
+# Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+# Command line: vivado
+# Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+# Journal file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+# Running On        :fl-tp-br-515
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4388.250 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :17967 MB
+#-----------------------------------------------------------
+start_gui
+ls
+cd ./tp-synthe-etudiant-f24collo
+ls
+cd proj
+source ./create_project.tcl
+update_compile_order -fileset sources_1
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+set_property top_arch behavioral [get_filesets sim_1]
+set_property top_file /homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source module_B.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_B.tcl
+add_bp {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} 59
+remove_bps -file {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} -line 59
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_C.tcl
+close_sim
+launch_simulation
+source tb_module_C.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_D.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_E.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_F.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_G.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_H.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_I.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_8
+close_sim
+launch_simulation
+source tb_module_G.tcl
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_4
+current_sim simulation_11
+close_sim
+current_sim simulation_10
+close_sim
+save_wave_config {/homes/f24collo/tp-synthe-etudiant-f24collo/proj/tb_module_H_behav.wcfg}
+current_sim simulation_9
+close_sim
+current_sim simulation_7
+close_sim
+current_sim simulation_6
+close_sim
diff --git a/vivado_8865.backup.log b/vivado_8865.backup.log
new file mode 100644
index 0000000..cc25ac3
--- /dev/null
+++ b/vivado_8865.backup.log
@@ -0,0 +1,1361 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 08:22:31 2025
+# Process ID: 8865
+# Current directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+# Command line: vivado
+# Log file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+# Journal file: /homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+# Running On        :fl-tp-br-515
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4388.250 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :17967 MB
+#-----------------------------------------------------------
+start_gui
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+docs
+proj
+README.md
+src
+vivado.jou
+vivado.log
+vivado_pid8865.str
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+cd ./tp-synthe-etudiant-f24collo
+couldn't change working directory to "./tp-synthe-etudiant-f24collo": no such file or directory
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+docs
+proj
+README.md
+src
+vivado.jou
+vivado.log
+vivado_pid8865.str
+cd proj
+source ./create_project.tcl
+# if {[info exists ::create_path]} {
+# 	set dest_dir $::create_path
+# } else {
+# 	set dest_dir [pwd]
+# }
+# puts "INFO: Creating new project in $dest_dir"
+INFO: Creating new project in /homes/f24collo/tp-synthe-etudiant-f24collo/proj
+# set proj_name "Synthe"
+# set origin_dir ".."
+# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
+# set src_dir $origin_dir/src
+# set repo_dir $origin_dir/repo
+# set part_num "xc7a200tsbg484-1"
+# create_project $proj_name $dest_dir
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:16 . Memory (MB): peak = 8123.914 ; gain = 176.516 ; free physical = 7082 ; free virtual = 16012
+# set proj_dir [get_property directory [current_project]]
+# set obj [get_projects $proj_name]
+# set_property "default_lib" "xil_defaultlib" $obj
+# set_property "part" "$part_num" $obj
+# set_property "simulator_language" "Mixed" $obj
+# set_property "target_language" "VHDL" $obj
+# if {[string equal [get_filesets -quiet sources_1] ""]} {
+#   create_fileset -srcset sources_1
+# }
+# if {[string equal [get_filesets -quiet constrs_1] ""]} {
+#   create_fileset -constrset constrs_1
+# }
+# set obj [get_filesets sources_1]
+# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
+# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
+# add_files -fileset constrs_1 -quiet $src_dir/constraints
+# add_files -quiet $src_dir/hdl
+# set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}]
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_wave_generator.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_ADSR_module.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_B.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_C.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_D.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_E.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_F.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_G.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_H.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_I.vhd]
+# set_property used_in_simulation false [get_files  $src_dir/hdl/audioProc.v]
+# if {[string equal [get_runs -quiet synth_1] ""]} {
+#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
+# } else {
+#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
+#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
+# }
+# set obj [get_runs synth_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.synth_design.args.fanout_limit" "400" $obj
+# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
+# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
+# set_property "steps.synth_design.args.resource_sharing" "off" $obj
+# set_property "steps.synth_design.args.no_lc" "1" $obj
+# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
+# current_run -synthesis [get_runs synth_1]
+# if {[string equal [get_runs -quiet impl_1] ""]} {
+#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
+# } else {
+#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
+#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
+# }
+# set obj [get_runs impl_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.write_bitstream.args.bin_file" "1" $obj
+# current_run -implementation [get_runs impl_1]
+# set_property top tb_module_B [get_filesets sim_1]
+# set_property top_lib xil_defaultlib [get_filesets sim_1]
+# update_compile_order -fileset sources_1
+update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 8241.945 ; gain = 64.031 ; free physical = 6951 ; free virtual = 15894
+update_compile_order -fileset sources_1
+set_property top module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+set_property top_arch behavioral [get_filesets sim_1]
+set_property top_file /homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'module_B'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'module_B' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj module_B_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_B'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 8491.059 ; gain = 0.000 ; free physical = 8019 ; free virtual = 16131
+INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot module_B_behav xil_defaultlib.module_B -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot module_B_behav xil_defaultlib.module_B -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling architecture behavioral of entity xil_defaultlib.module_b
+Built simulation snapshot module_B_behav
+execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 8491.059 ; gain = 0.000 ; free physical = 7984 ; free virtual = 16144
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "module_B_behav -key {Behavioral:sim_1:Functional:module_B} -tclbatch {module_B.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source module_B.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'module_B_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:22 . Memory (MB): peak = 8534.250 ; gain = 43.191 ; free physical = 7978 ; free virtual = 16145
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tone_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_B'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_B_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_B'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling architecture behavioral of entity xil_defaultlib.module_B [module_b_default]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_b
+Built simulation snapshot tb_module_B_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_B.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 8594.121 ; gain = 0.000 ; free physical = 7895 ; free virtual = 16072
+add_bp {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} 59
+remove_bps -file {/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd} -line 59
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_C_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_package.vhd" into library xil_defaultlib
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_C'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_C'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_C [\module_C(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_c
+Built simulation snapshot tb_module_C_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_C.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 8679.414 ; gain = 46.738 ; free physical = 7909 ; free virtual = 15978
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_C_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_C.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8679.414 ; gain = 0.000 ; free physical = 7819 ; free virtual = 15977
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_D'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_D_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_D'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_D'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_D [\module_D(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_d
+Built simulation snapshot tb_module_D_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_D.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8755.188 ; gain = 56.812 ; free physical = 7791 ; free virtual = 15971
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_E'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_E_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_E'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_E'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_E [\module_E(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_e
+Built simulation snapshot tb_module_E_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_E.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8857.305 ; gain = 76.102 ; free physical = 7790 ; free virtual = 15960
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_F'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_F' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_F_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_F'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_F'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_F [\module_F(15,0)(15,0)(15,0)(15,0...]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_f
+Built simulation snapshot tb_module_F_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_F.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8921.129 ; gain = 39.734 ; free physical = 7692 ; free virtual = 15879
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_G_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_G'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_G'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_G [\module_G(g_n=8)\]
+Compiling architecture testbench of entity xil_defaultlib.tb_module_g
+Built simulation snapshot tb_module_G_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_G.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9063.953 ; gain = 36.738 ; free physical = 7699 ; free virtual = 15847
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_H'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_H' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_H_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_H'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_H'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_H [\module_H(15,0)(15,0)(15,0)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_h
+Built simulation snapshot tb_module_H_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_H_behav -key {Behavioral:sim_1:Functional:tb_module_H} -tclbatch {tb_module_H.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_H.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_H_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 9130.773 ; gain = 39.734 ; free physical = 7686 ; free virtual = 15852
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_I'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_I' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_I_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_I'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_I'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_I [\module_I(g_n=16)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_i
+Built simulation snapshot tb_module_I_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_I_behav -key {Behavioral:sim_1:Functional:tb_module_I} -tclbatch {tb_module_I.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_I.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_I_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9194.598 ; gain = 37.738 ; free physical = 7536 ; free virtual = 15739
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_F.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+current_sim simulation_8
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_G_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_G.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 9260.684 ; gain = 0.000 ; free physical = 7455 ; free virtual = 15904
+launch_runs synth_1 -jobs 6
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+INFO: [Common 17-14] Message 'filemgmt 56-199' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/f24collo/tp-synthe-etudiant-f24collo/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/tb_module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_A.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_I.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/audioProc.v:]
+[Mon May  5 09:59:21 2025] Launched synth_1...
+Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/synthesizer.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/wave_generator.vhd:]
+current_sim simulation_4
+current_sim simulation_11
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_10
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+save_wave_config {/homes/f24collo/tp-synthe-etudiant-f24collo/proj/tb_module_H_behav.wcfg}
+current_sim simulation_9
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_7
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_6
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
diff --git a/vivado_pid5844.str b/vivado_pid5844.str
new file mode 100644
index 0000000..319a7f7
--- /dev/null
+++ b/vivado_pid5844.str
@@ -0,0 +1,382 @@
+/*
+
+AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
+SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+
+Process ID (PID): 5844
+License: Customer
+Mode: GUI Mode
+
+Current time: 	Fri May 09 08:09:00 CEST 2025
+Time zone: 	Central European Standard Time (Europe/Paris)
+
+OS: Ubuntu
+OS Version: 6.8.0-59-generic
+OS Architecture: amd64
+Available processors (cores): 12
+LSB Release Description: DISTRIB_ID=Ubuntu
+
+Display: 0
+Screen size: 1680x1050
+Local screen bounds: x = 66, y = 32, width = 1614, height = 1018
+Screen resolution (DPI): 100
+Available screens: 1
+Default font: family=Dialog,name=Dialog,style=plain,size=12
+Scale size: 12
+OS font scaling: 100%
+Anti-Alias Enabled: false
+
+Java version: 	21.0.1 64-bit
+JavaFX version: 21.0.1
+Java home: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
+Java executable: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
+Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
+Java initial memory (-Xms): 	512 MB
+Java maximum memory (-Xmx):	 3 GB
+
+User name: 	f24collo
+User home directory: /homes/f24collo
+User working directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+User country: 	US
+User language: 	en
+User locale: 	en_US
+
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+
+Vivado preferences file: /homes/f24collo/.Xilinx/Vivado/2024.1/vivado.xml
+Vivado preferences directory: /homes/f24collo/.Xilinx/Vivado/2024.1/
+Vivado layouts directory: /homes/f24collo/.Xilinx/Vivado/2024.1/data/layouts
+PlanAhead jar file: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
+Vivado log file: 	/homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+Vivado journal file: 	/homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+Engine tmp dir: 	./.Xil/Vivado-5844-fl-tp-br-515
+Non-Default Parameters:	[]
+
+Xilinx & AMD Environment Variables
+--------------------------------------------------------------------------------------------
+GNOME_SHELL_SESSION_MODE: ubuntu
+RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+RDI_BUILD: yes
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1
+RDI_INSTALLVER: 2024.1
+RDI_JAVA_PLATFORM: 
+RDI_JAVA_VERSION: 21.0.1_12
+RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o
+RDI_OPT_EXT: .o
+RDI_PATCHROOT: 
+RDI_PLATFORM: lnx64
+RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
+RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
+RDI_SESSION_INFO: /homes/f24collo/tp-synthe-etudiant-f24collo:fl-tp-br-515_1746770786_5776
+RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data
+RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64
+RDI_USE_JDK21: True
+SHELL: /bin/bash
+XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
+XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1
+XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+
+
+GUI allocated memory:	512 MB
+GUI max memory:		4,072 MB
+Engine allocated memory: 1,495 MB
+
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+*/
+
+// TclEventType: START_GUI
+// Tcl Message: start_gui 
+selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+// HMemoryUtils.trashcanNow. Engine heap size: 1,539 MB. GUI used memory: 74 MB. Current time: 5/9/25, 8:09:02 AM CEST
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_PROJECT, "Open Recent"); // am (PAResourceItoN.MainMenuMgr_OPEN_RECENT_PROJECT, Open Recent)
+selectMenuItem(PAResourceItoN.MainMenuMgr_OPEN_RECENT_PROJECT, "/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr"); // ap (PAResourceItoN.MainMenuMgr_OPEN_RECENT_PROJECT, /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr)
+dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+// [GUI Memory]: 82 MB (+83017kb) [00:00:55]
+// [Engine Memory]: 1,540 MB (+1462839kb) [00:00:55]
+// Opening Vivado Project: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr. Version: Vivado v2024.1 
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// TclEventType: FLOW_ADDED
+// Tcl Message: open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,901 MB. GUI used memory: 71 MB. Current time: 5/9/25, 8:09:21 AM CEST
+// HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 71 MB. Current time: 5/9/25, 8:09:37 AM CEST
+// TclEventType: MSGMGR_MOVEMSG
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_NEW
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_CURRENT
+// TclEventType: PROJECT_DASHBOARD_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: IP_LOCK_CHANGE
+// TclEventType: COMPOSITE_FILE_CHANGE
+// TclEventType: PROJECT_NEW
+// [GUI Memory]: 125 MB (+40825kb) [00:01:38]
+// [Engine Memory]: 2,297 MB (+714008kb) [00:01:38]
+// WARNING: HEventQueue.dispatchEvent() is taking  3929 ms.
+// Tcl Message: open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr 
+// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.gen/sources_1'. 
+// Tcl Message: Scanning sources... Finished scanning sources 
+// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories 
+// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. 
+// [GUI Memory]: 140 MB (+9557kb) [00:01:40]
+// Project name: Synthe; location: /homes/f24collo/tp-synthe-etudiant-f24collo/proj; part: xc7a200tsbg484-1
+// Tcl Message: open_project: Time (s): cpu = 00:00:32 ; elapsed = 00:00:44 . Memory (MB): peak = 8439.789 ; gain = 797.414 ; free physical = 9484 ; free virtual = 16831 
+// Elapsed time: 45 seconds
+dismissDialog("Open Project"); // bj (Open Project Progress)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,394 MB. GUI used memory: 77 MB. Current time: 5/9/25, 8:09:51 AM CEST
+// [Engine Memory]: 2,414 MB (+1233kb) [00:01:42]
+// Tcl Message: update_compile_order -fileset sources_1 
+// [GUI Memory]: 153 MB (+5987kb) [00:02:03]
+// Elapsed time: 26 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, Add Sources]", 2, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
+dismissDialog("Add Sources"); // c (dialog0)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_ADSR_module(behavior) (tb_ADSR_module.vhd)]", 10, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,450 MB. GUI used memory: 78 MB. Current time: 5/9/25, 8:10:26 AM CEST
+// RDIResource.RDIViews_PROPERTIES: Simulation-Only Sources Properties: close view
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 6, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 6, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// Elapsed time: 12 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 13, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 13, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,525 MB. GUI used memory: 81 MB. Current time: 5/9/25, 8:10:46 AM CEST
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 12, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 12, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// [Engine Memory]: 2,555 MB (+21988kb) [00:02:40]
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_E(behavior) (tb_module_E.vhd)]", 16, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_E(behavior) (tb_module_E.vhd)]", 16, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 18, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 18, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 18, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_G(testbench) (tb_module_G.vhd)]", 20, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_G(testbench) (tb_module_G.vhd)]", 20, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,675 MB. GUI used memory: 83 MB. Current time: 5/9/25, 8:11:07 AM CEST
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_H(behavioral) (tb_module_H.vhd)]", 22, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_H(behavioral) (tb_module_H.vhd)]", 22, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// [Engine Memory]: 2,715 MB (+34045kb) [00:03:01]
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_I(behavioral) (tb_module_I.vhd)]", 24, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_I(behavioral) (tb_module_I.vhd)]", 24, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// Tcl Message: reset_run synth_1 
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog1)
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: [Fri May  9 08:11:28 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+// 'i' command handler elapsed time: 4 seconds
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_C.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_E.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_D.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_B.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectCodeEditor("tb_module_B.vhd", 568, 84); // ac (tb_module_B.vhd)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// [GUI Memory]: 161 MB (+1047kb) [00:03:43]
+closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
+// Elapsed time: 19 seconds
+selectCodeEditor("tb_module_B.vhd", 348, 240); // ac (tb_module_B.vhd)
+// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1244 ms. Increasing delay to 3732 ms.
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 25 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog2)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,790 MB. GUI used memory: 85 MB. Current time: 5/9/25, 8:12:41 AM CEST
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true, false, false, false, false, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Double Click - Node
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 14, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 14, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// Elapsed time: 17 seconds
+selectCodeEditor("tb_module_D.vhd", 650, 206); // ac (tb_module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 03m:58s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,807 MB. GUI used memory: 85 MB. Current time: 5/9/25, 8:13:51 AM CEST
+// Elapsed Time for: 'L.f': 04m:02s
+// Elapsed time: 54 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog3)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:14:00 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+selectButton(RDIResource.HFilterToolBar_SHOW_ALL, "Show All"); // a (RDIResource.HFilterToolBar_SHOW_ALL, RDIResource.HFilterToolBar_HIDE_ALL)
+selectButton(RDIResource.HFilterToolBar_HIDE_ALL, "Hide All"); // a (RDIResource.HFilterToolBar_HIDE_ALL)
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 58 seconds
+selectCodeEditor("tb_module_D.vhd", 349, 303); // ac (tb_module_D.vhd)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog4)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false, false, false, false, false, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Double Click
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true, false, false, false, false, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Double Click - Node
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-285] failed synthesizing module 'module_D__parameterized13' [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:21]. ]", 2, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+// Elapsed time: 15 seconds
+selectCodeEditor("tb_module_D.vhd", 160, 367); // ac (tb_module_D.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("tb_module_D.vhd", 650, 110); // ac (tb_module_D.vhd)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false, false, false, false, false, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Double Click
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false, false, false, false, true, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Popup Trigger
+// Elapsed time: 63 seconds
+selectMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // ah (RDIResource.MainWinMenuMgr_LAYOUT, Layout)
+dismissMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // ah (RDIResource.MainWinMenuMgr_LAYOUT, Layout)
+selectMenu(PAResourceItoN.MainMenuMgr_VIEW, "View"); // ah (PAResourceItoN.MainMenuMgr_VIEW, View)
+dismissMenu(PAResourceItoN.MainMenuMgr_VIEW, "View"); // ah (PAResourceItoN.MainMenuMgr_VIEW, View)
+selectMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+dismissMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+selectMenu(PAResourceItoN.MainMenuMgr_VIEW, "View"); // ah (PAResourceItoN.MainMenuMgr_VIEW, View)
+dismissMenu(PAResourceItoN.MainMenuMgr_VIEW, "View"); // ah (PAResourceItoN.MainMenuMgr_VIEW, View)
+selectMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // ah (RDIResource.MainWinMenuMgr_LAYOUT, Layout)
+dismissMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // ah (RDIResource.MainWinMenuMgr_LAYOUT, Layout)
+selectMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+dismissMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // ah (PAResourceItoN.MainMenuMgr_REPORTS, Reports)
+dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // ah (PAResourceItoN.MainMenuMgr_REPORTS, Reports)
+selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools)
+selectMenu(RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, "Custom Commands"); // am (RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, Custom Commands)
+dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools)
+selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+selectMenu(PAResourceItoN.MainMenuMgr_SETTINGS, "Settings"); // am (PAResourceItoN.MainMenuMgr_SETTINGS, Settings)
+selectMenu(PAResourceCommand.PACommandNames_SIMULATION_RUN, "Run Simulation"); // ah (PAResourceCommand.PACommandNames_SIMULATION_RUN)
+selectMenu(PAResourceCommand.PACommandNames_SIMULATION_RUN, "Run Simulation"); // ah (PAResourceCommand.PACommandNames_SIMULATION_RUN)
+selectMenu(PAResourceItoN.MainMenuMgr_SETTINGS, "Settings"); // am (PAResourceItoN.MainMenuMgr_SETTINGS, Settings)
+dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // ah (PAResourceItoN.MainMenuMgr_EDIT, Edit)
+dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // ah (PAResourceItoN.MainMenuMgr_EDIT, Edit)
+selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // am (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // am (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor)
+dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectCodeEditor("tb_module_D.vhd", 129, 355); // ac (tb_module_D.vhd)
+// Elapsed time: 50 seconds
+selectCodeEditor("tb_module_D.vhd", 732, 70); // ac (tb_module_D.vhd)
+typeControlKey((HResource) null, "tb_module_D.vhd", 'v'); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 562, 123); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 670, 66); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 708, 68); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 708, 68, false, false, false, false, true); // ac (tb_module_D.vhd) - Double Click
+selectCodeEditor("tb_module_D.vhd", 381, 186); // ac (tb_module_D.vhd)
+// Elapsed time: 21 seconds
+selectCodeEditor("tb_module_D.vhd", 657, 71); // ac (tb_module_D.vhd)
+typeControlKey((HResource) null, "tb_module_D.vhd", 'v'); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 561, 202); // ac (tb_module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 09m:48s
+// Elapsed time: 14 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,828 MB. GUI used memory: 87 MB. Current time: 5/9/25, 8:19:42 AM CEST
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
+// Elapsed Time for: 'L.f': 09m:52s
+selectButton("OptionPane.button", "OK", "Background Task"); // JButton (OptionPane.button)
+selectButton(RDIResource.BaseDialog_CANCEL, "Cancel", "Launch Runs"); // a (RDIResource.BaseDialog_CANCEL)
+// 'i' command handler elapsed time: 7 seconds
+dismissDialog("Launch Runs"); // f (dialog5)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog6)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:19:56 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// Elapsed time: 23 seconds
+selectButton(PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV, (String) null); // B (PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV)
+// Run Command: PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 48 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog7)
diff --git a/vivado_pid9584.str b/vivado_pid9584.str
new file mode 100644
index 0000000..1a2cac7
--- /dev/null
+++ b/vivado_pid9584.str
@@ -0,0 +1,728 @@
+/*
+
+AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
+SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
+
+Process ID (PID): 9584
+License: Customer
+Mode: GUI Mode
+
+Current time: 	Fri May 09 08:24:33 CEST 2025
+Time zone: 	Central European Standard Time (Europe/Paris)
+
+OS: Ubuntu
+OS Version: 6.8.0-59-generic
+OS Architecture: amd64
+Available processors (cores): 12
+LSB Release Description: DISTRIB_ID=Ubuntu
+
+Display: 0
+Screen size: 1680x1050
+Local screen bounds: x = 66, y = 32, width = 1614, height = 1018
+Screen resolution (DPI): 100
+Available screens: 1
+Default font: family=Dialog,name=Dialog,style=plain,size=12
+Scale size: 12
+OS font scaling: 100%
+Anti-Alias Enabled: false
+
+Java version: 	21.0.1 64-bit
+JavaFX version: 21.0.1
+Java home: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
+Java executable: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
+Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
+Java initial memory (-Xms): 	512 MB
+Java maximum memory (-Xmx):	 3 GB
+
+User name: 	f24collo
+User home directory: /homes/f24collo
+User working directory: /homes/f24collo/tp-synthe-etudiant-f24collo
+User country: 	US
+User language: 	en
+User locale: 	en_US
+
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+
+Vivado preferences file: /homes/f24collo/.Xilinx/Vivado/2024.1/vivado.xml
+Vivado preferences directory: /homes/f24collo/.Xilinx/Vivado/2024.1/
+Vivado layouts directory: /homes/f24collo/.Xilinx/Vivado/2024.1/data/layouts
+PlanAhead jar file: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
+Vivado log file: 	/homes/f24collo/tp-synthe-etudiant-f24collo/vivado.log
+Vivado journal file: 	/homes/f24collo/tp-synthe-etudiant-f24collo/vivado.jou
+Engine tmp dir: 	./.Xil/Vivado-9584-fl-tp-br-515
+Non-Default Parameters:	[]
+
+Xilinx & AMD Environment Variables
+--------------------------------------------------------------------------------------------
+GNOME_SHELL_SESSION_MODE: ubuntu
+RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
+RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+RDI_BUILD: yes
+RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
+RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1
+RDI_INSTALLVER: 2024.1
+RDI_JAVA_PLATFORM: 
+RDI_JAVA_VERSION: 21.0.1_12
+RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o
+RDI_OPT_EXT: .o
+RDI_PATCHROOT: 
+RDI_PLATFORM: lnx64
+RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
+RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
+RDI_SESSION_INFO: /homes/f24collo/tp-synthe-etudiant-f24collo:fl-tp-br-515_1746771844_9516
+RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data
+RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64
+RDI_USE_JDK21: True
+SHELL: /bin/bash
+XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
+XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
+XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1
+XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
+XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
+
+
+GUI allocated memory:	512 MB
+GUI max memory:		4,072 MB
+Engine allocated memory: 1,478 MB
+
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+*/
+
+// TclEventType: START_GUI
+// Tcl Message: start_gui 
+selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+// HMemoryUtils.trashcanNow. Engine heap size: 1,544 MB. GUI used memory: 73 MB. Current time: 5/9/25, 8:24:34 AM CEST
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // am (PAResourceItoN.MainMenuMgr_IP, IP)
+selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // am (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
+selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // am (PAResourceItoN.MainMenuMgr_PROJECT, Project)
+dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ah (PAResourceItoN.MainMenuMgr_FILE, File)
+selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // ah (PAResourceItoN.MainMenuMgr_FLOW, Flow)
+selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools)
+dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools)
+selectMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+dismissMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+selectMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+dismissMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+selectMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+dismissMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // ah (PAResourceItoN.MainMenuMgr_WINDOW, Window)
+selectMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+dismissMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ah (PAResourceItoN.MainMenuMgr_HELP, Help)
+selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr", 0); // b.c (PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS)
+// [GUI Memory]: 124 MB (+127936kb) [00:00:33]
+// [Engine Memory]: 1,675 MB (+1605200kb) [00:00:33]
+// Opening Vivado Project: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr. Version: Vivado v2024.1 
+// TclEventType: DEBUG_PROBE_SET_CHANGE
+// TclEventType: FLOW_ADDED
+// Tcl Message: open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr 
+// HMemoryUtils.trashcanNow. Engine heap size: 1,846 MB. GUI used memory: 71 MB. Current time: 5/9/25, 8:24:49 AM CEST
+// TclEventType: MSGMGR_MOVEMSG
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: FILE_SET_NEW
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_CURRENT
+// TclEventType: PROJECT_DASHBOARD_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
+// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
+// TclEventType: IP_LOCK_CHANGE
+// TclEventType: COMPOSITE_FILE_CHANGE
+// TclEventType: PROJECT_NEW
+// [Engine Memory]: 2,256 MB (+520543kb) [00:00:57]
+// WARNING: HEventQueue.dispatchEvent() is taking  1255 ms.
+// HMemoryUtils.trashcanNow. Engine heap size: 2,335 MB. GUI used memory: 77 MB. Current time: 5/9/25, 8:25:10 AM CEST
+// Tcl Message: open_project /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.xpr 
+// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.gen/sources_1'. 
+// Tcl Message: Scanning sources... Finished scanning sources 
+// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories 
+// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. 
+// Project name: Synthe; location: /homes/f24collo/tp-synthe-etudiant-f24collo/proj; part: xc7a200tsbg484-1
+// Tcl Message: open_project: Time (s): cpu = 00:00:21 ; elapsed = 00:00:25 . Memory (MB): peak = 8463.777 ; gain = 699.523 ; free physical = 7259 ; free virtual = 14765 
+// Elapsed time: 25 seconds
+dismissDialog("Open Project"); // bj (Open Project Progress)
+// [GUI Memory]: 143 MB (+13410kb) [00:01:00]
+// RDIResource.RDIViews_PROPERTIES: Properties: close view
+// [Engine Memory]: 2,372 MB (+4063kb) [00:01:03]
+// Tcl Message: update_compile_order -fileset sources_1 
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+// [GUI Memory]: 154 MB (+3795kb) [00:01:06]
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 4, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 5, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 12, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 12, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectCodeEditor("tb_module_D.vhd", 724, 413); // ac (tb_module_D.vhd)
+typeControlKey((HResource) null, "tb_module_D.vhd", 'c'); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 294, 190); // ac (tb_module_D.vhd)
+typeControlKey((HResource) null, "tb_module_D.vhd", 'v'); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 655, 186); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 297, 309); // ac (tb_module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 42s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// HMemoryUtils.trashcanNow. Engine heap size: 2,440 MB. GUI used memory: 82 MB. Current time: 5/9/25, 8:25:54 AM CEST
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog0)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:25:56 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// Elapsed Time for: 'L.f': 48s
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 68 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog1)
+selectCodeEditor("tb_module_D.vhd", 650, 417); // ac (tb_module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 02m:30s
+// Elapsed time: 33 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// Tcl Message: reset_run synth_1 
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
+// Elapsed Time for: 'L.f': 02m:34s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,481 MB. GUI used memory: 83 MB. Current time: 5/9/25, 8:27:44 AM CEST
+selectButton("OptionPane.button", "OK", "Background Task"); // JButton (OptionPane.button)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog2)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:27:48 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+// 'i' command handler elapsed time: 6 seconds
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 69 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog3)
+selectCodeEditor("tb_module_D.vhd", 625, 414); // ac (tb_module_D.vhd)
+selectCodeEditor("tb_module_D.vhd", 623, 191); // ac (tb_module_D.vhd)
+// Elapsed time: 146 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd;-;;-;16;-;line;-;16;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+// Elapsed time: 18 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_D.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd;-;;-;16;-;line;-;16;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_D.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), D_inst : module_D(behavioral) (module_D.vhd)]", 14, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), D_inst : module_D(behavioral) (module_D.vhd)]", 14, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_D.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// [GUI Memory]: 163 MB (+1182kb) [00:08:16]
+// Elapsed time: 23 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), A_inst : module_A(behavioral) (module_A.vhd)]", 11, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
+selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
+// Elapsed time: 12 seconds
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_D.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_D.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// [Engine Memory]: 2,507 MB (+17238kb) [00:08:45]
+closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
+// Elapsed Time for: 'L.f': 07m:48s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,505 MB. GUI used memory: 83 MB. Current time: 5/9/25, 8:32:59 AM CEST
+// Elapsed Time for: 'L.f': 07m:52s
+selectCodeEditor("module_D.vhd", 508, 202); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 08m:16s
+// Elapsed time: 25 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+// Elapsed Time for: 'L.f': 08m:20s
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog4)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:33:30 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 67 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog5)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 5, actual width = 6 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 5, actual width = 6 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectCodeEditor("module_D.vhd", 328, 216); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 337, 322); // ac (module_D.vhd)
+// Elapsed time: 11 seconds
+selectCodeEditor("module_D.vhd", 333, 117); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 10m:24s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,539 MB. GUI used memory: 84 MB. Current time: 5/9/25, 8:35:34 AM CEST
+// Elapsed Time for: 'L.f': 10m:28s
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 10m:32s
+// Elapsed Time for: 'L.f': 10m:36s
+// Elapsed time: 32 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog6)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:35:48 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 67 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog7)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-690] width mismatch in assignment; target has 21 bits, source has 22 bits [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:43]. ]", 2, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectCodeEditor("module_D.vhd", 335, 157); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 12m:04s
+// Elapsed Time for: 'L.f': 12m:08s
+// Elapsed time: 23 seconds
+selectCodeEditor("module_D.vhd", 289, 233); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 12m:26s
+// Elapsed time: 11 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 5, actual width = 6 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, true); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Node
+messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd;-;;-;16;-;line;-;16;-;;-;16;-;"); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,587 MB. GUI used memory: 84 MB. Current time: 5/9/25, 8:37:39 AM CEST
+// Elapsed Time for: 'L.f': 12m:30s
+// Elapsed time: 14 seconds
+selectCodeEditor("module_D.vhd", 290, 235); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 227, 102); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 12m:52s
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// Elapsed Time for: 'L.f': 12m:56s
+dismissDialog("Launch Runs"); // f (dialog8)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:38:06 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+selectCodeEditor("module_D.vhd", 225, 236); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 258, 274); // ac (module_D.vhd)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,607 MB. GUI used memory: 85 MB. Current time: 5/9/25, 8:38:29 AM CEST
+// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1675 ms. Increasing delay to 5025 ms.
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 60 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog9)
+selectCodeEditor("module_D.vhd", 640, 13); // ac (module_D.vhd)
+// Elapsed time: 16 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save", "Save Project"); // a (PAResourceQtoS.SaveProjectUtils_SAVE)
+dismissDialog("Save Project"); // Z.d (dialog10)
+// TclEventType: RUN_MODIFY
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: RUN_RESET
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: RUN_RESET
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+// Elapsed Time for: 'L.f': 14m:34s
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog11)
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// [Engine Memory]: 2,636 MB (+3414kb) [00:15:34]
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:39:46 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+// 'i' command handler elapsed time: 6 seconds
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// Elapsed Time for: 'L.f': 14m:38s
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 75 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog12)
+// Elapsed time: 50 seconds
+selectCodeEditor("module_D.vhd", 214, 300); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+// Elapsed time: 27 seconds
+selectCodeEditor("module_D.vhd", 536, 206); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 792, 234); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+typeControlKey((HResource) null, "module_D.vhd", 'c'); // ac (module_D.vhd)
+// Elapsed time: 25 seconds
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE)
+selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, [Synth 8-549] port width mismatch for port 'i_addr': port width = 4, actual width = 5 [/homes/f24collo/tp-synthe-etudiant-f24collo/src/hdl/module_D.vhd:16]. ]", 1, false, false, false, false, true, false); // u.d (PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE) - Popup Trigger
+selectMenu(PAResourceItoN.MsgTreePanel_MESSAGE_SEVERITY, "Message Severity"); // am (PAResourceItoN.MsgTreePanel_MESSAGE_SEVERITY, Message Severity)
+// Elapsed time: 34 seconds
+selectCodeEditor("module_D.vhd", 93, 166); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 151, 153); // ac (module_D.vhd)
+// Elapsed time: 75 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 10, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,648 MB. GUI used memory: 86 MB. Current time: 5/9/25, 8:44:59 AM CEST
+// Elapsed time: 11 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd)]", 50, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd)]", 50, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// HMemoryUtils.trashcanNow. Engine heap size: 2,688 MB. GUI used memory: 87 MB. Current time: 5/9/25, 8:45:14 AM CEST
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_wave_generator.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd), uut : wave_generator(arch) (wave_generator.vhd)]", 51, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd), uut : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 57, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
+// Elapsed time: 14 seconds
+closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
+// Elapsed time: 105 seconds
+selectCodeEditor("module_D.vhd", 190, 190); // ac (module_D.vhd)
+selectCodeEditor("module_D.vhd", 175, 265); // ac (module_D.vhd)
+// Elapsed time: 14 seconds
+selectCodeEditor("module_D.vhd", 291, 192); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 22m:50s
+// Elapsed Time for: 'L.f': 22m:54s
+// Elapsed time: 22 seconds
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Launch Runs"); // f (dialog13)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: launch_runs synth_1 -jobs 6 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:48:08 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log 
+dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// Elapsed time: 73 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Synthesis Failed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
+dismissDialog("Synthesis Failed"); // Q.a (dialog14)
+selectCodeEditor("module_D.vhd", 413, 187); // ac (module_D.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+// Elapsed Time for: 'L.f': 24m:34s
+// Elapsed time: 20 seconds
+selectCodeEditor("module_D.vhd", 365, 234); // ac (module_D.vhd)
+// Elapsed Time for: 'L.f': 24m:38s
+// Elapsed time: 29 seconds
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd), uut : wave_generator(arch) (wave_generator.vhd)]", 51, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
+selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd), uut : wave_generator(arch) (wave_generator.vhd)]", 51, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
+// HMemoryUtils.trashcanNow. Engine heap size: 2,719 MB. GUI used memory: 88 MB. Current time: 5/9/25, 8:50:19 AM CEST
+selectCodeEditor("wave_generator.vhd", 196, 143); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 224, 355); // ac (wave_generator.vhd)
+selectButton(RDIResourceCommand.RDICommands_SAVE_FILE, (String) null); // B (RDIResourceCommand.RDICommands_SAVE_FILE)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_D.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed Time for: 'L.f': 25m:18s
+// Elapsed Time for: 'L.f': 25m:22s
+selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
+// Elapsed time: 50 seconds
+selectCodeEditor("wave_generator.vhd", 201, 352); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 200, 352, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 205, 379); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 205, 379, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 229, 262); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 263, 261); // ac (wave_generator.vhd)
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
+selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES)
+dismissDialog("No Implementation Results Available"); // t (dialog15)
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+// Tcl Message: reset_run synth_1 
+selectComboBox(PAResourceItoN.NumJobsChooser_NUMBER_OF_JOBS, "12", 11); // d (PAResourceItoN.NumJobsChooser_NUMBER_OF_JOBS)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// 'cI' command handler elapsed time: 4 seconds
+dismissDialog("Launch Runs"); // cL (dialog16)
+// TclEventType: RUN_LAUNCH
+// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 12 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_MODIFY
+// Tcl Message: [Fri May  9 08:51:56 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log [Fri May  9 08:51:56 2025] Launched impl_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/impl_1/runme.log 
+dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress)
+// TclEventType: RUN_STATUS_CHANGE
+selectCodeEditor("wave_generator.vhd", 179, 383); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 179, 383, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 59, 188); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 59, 188, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectButton(PAResourceQtoS.SyntheticaStateMonitor_CANCEL, "Cancel"); // g (PAResourceQtoS.SyntheticaStateMonitor_CANCEL, Cancel)
+selectButton("OptionPane.button", "Cancel Process", "Cancel Synthesis"); // JButton (OptionPane.button)
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_RESET
+// TclEventType: RUN_STATUS_CHANGE
+// Tcl Message: reset_run synth_1 
+// TclEventType: RUN_RESET
+// TclEventType: RUN_FAILED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_RESET
+// TclEventType: RUN_MODIFY
+dismissDialog("Resetting Runs"); // bj (Resetting Runs Progress)
+// Elapsed time: 19 seconds
+selectCodeEditor("wave_generator.vhd", 52, 192); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 52, 192, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 188, 293); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 188, 293, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed time: 27 seconds
+selectCodeEditor("wave_generator.vhd", 203, 341); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 203, 341, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 193, 309); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 193, 309, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 191, 311); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 191, 311, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 195, 278); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 195, 278, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 178, 314); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 178, 314, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 211, 311); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 205, 309); // ac (wave_generator.vhd)
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+selectCodeEditor("wave_generator.vhd", 208, 308); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 208, 308, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'c'); // ac (wave_generator.vhd)
+// Elapsed Time for: 'L.f': 28m:14s
+// HMemoryUtils.trashcanNow. Engine heap size: 2,768 MB. GUI used memory: 89 MB. Current time: 5/9/25, 8:53:24 AM CEST
+// [Engine Memory]: 2,768 MB (+44kb) [00:29:13]
+selectCodeEditor("wave_generator.vhd", 170, 383); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 170, 383, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+typeControlKey((HResource) null, "wave_generator.vhd", 'v'); // ac (wave_generator.vhd)
+// Elapsed Time for: 'L.f': 28m:18s
+// TclEventType: DG_GRAPH_STALE
+// TclEventType: FILE_SET_CHANGE
+selectCodeEditor("wave_generator.vhd", 168, 404); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 168, 404, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+// Elapsed Time for: 'L.f': 28m:20s
+// Elapsed Time for: 'L.f': 28m:24s
+selectCodeEditor("wave_generator.vhd", 193, 249); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 193, 249, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+// Elapsed time: 13 seconds
+selectCodeEditor("wave_generator.vhd", 192, 260); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 192, 260, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 186, 265); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 186, 265, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectCodeEditor("wave_generator.vhd", 177, 445); // ac (wave_generator.vhd)
+selectCodeEditor("wave_generator.vhd", 177, 445, false, false, false, false, true); // ac (wave_generator.vhd) - Double Click
+selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
+// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
+selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES)
+dismissDialog("No Implementation Results Available"); // t (dialog17)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
+// 'cI' command handler elapsed time: 3 seconds
+dismissDialog("Launch Runs"); // cL (dialog18)
+// TclEventType: RUN_LAUNCH
+// TclEventType: RUN_MODIFY
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_MODIFY
+// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 12 
+// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 
+// Tcl Message: [Fri May  9 08:54:09 2025] Launched synth_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/synth_1/runme.log [Fri May  9 08:54:09 2025] Launched impl_1... Run output will be captured here: /homes/f24collo/tp-synthe-etudiant-f24collo/proj/Synthe.runs/impl_1/runme.log 
+dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress)
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// TclEventType: RUN_STEP_COMPLETED
+// WARNING: HTimer (ExpRunMonitor Update Timer) is taking 719ms to process. Increasing delay to 3000 ms.
+// TclEventType: RUN_STEP_COMPLETED
+// TclEventType: RUN_COMPLETED
+// TclEventType: RUN_STATUS_CHANGE
+// Elapsed time: 294 seconds
+selectButton(RDIResource.BaseDialog_OK, "OK", "Bitstream Generation Completed"); // a (RDIResource.BaseDialog_OK)
+// Run Command: PAResourceCommand.PACommandNames_GOTO_IMPLEMENTED_DESIGN
+// Tcl Message: open_run impl_1 
+// HMemoryUtils.trashcanNow. Engine heap size: 2,997 MB. GUI used memory: 93 MB. Current time: 5/9/25, 8:59:09 AM CEST
+// TclEventType: SDC_CONSTRAINT_ADD
+// TclEventType: POWER_CNS_STALE
+// TclEventType: SDC_CONSTRAINT_ADD
+// TclEventType: FLOORPLAN_MODIFY
+// TclEventType: DESIGN_NEW
+// [Engine Memory]: 3,870 MB (+1010766kb) [00:35:12]
+// HMemoryUtils.trashcanNow. Engine heap size: 3,906 MB. GUI used memory: 93 MB. Current time: 5/9/25, 8:59:24 AM CEST
+// TclEventType: DESIGN_NEW
+// Xgd.load filename: /homes/f24collo/tp-synthe-etudiant-f24collo/./.Xil/Vivado-9584-fl-tp-br-515/xc7a200t_detail.xgd_2E4C0641 elapsed time: 0.5s
+// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 2.6s
+// [Engine Memory]: 4,084 MB (+21798kb) [00:35:15]
+// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
+// DeviceView Instantiated
+// WARNING: HEventQueue.dispatchEvent() is taking  2974 ms.
+// TclEventType: CURR_DESIGN_SET
+// Tcl Message: INFO: [Device 21-403] Loading part xc7a200tsbg484-1 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. 
+// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.08 . Memory (MB): peak = 9236.797 ; gain = 0.000 ; free physical = 6429 ; free virtual = 14091 
+// Tcl Message: INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2024.1 INFO: [Project 1-570] Preparing netlist for logic optimization 
+// Tcl Message: Read ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 9326.453 ; gain = 4.000 ; free physical = 6335 ; free virtual = 13998 
+// Tcl Message: INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases. 
+// Tcl Message: Reading placement. 
+// Tcl Message: Read Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5781 ; free virtual = 13444 
+// Tcl Message: Reading placer database... 
+// Tcl Message: Read Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5781 ; free virtual = 13444 Read PlaceDB: Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.38 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5773 ; free virtual = 13436 Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9952.266 ; gain = 0.000 ; free physical = 5773 ; free virtual = 13436 
+// Tcl Message: Reading routing. 
+// Tcl Message: Read RouteStorage: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428 Read Physdb Files: Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.49 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428 
+// Tcl Message: Restored from archive | CPU: 0.600000 secs | Memory: 15.365509 MB | 
+// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.49 . Memory (MB): peak = 9957.266 ; gain = 5.000 ; free physical = 5765 ; free virtual = 13428 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9957.266 ; gain = 0.000 ; free physical = 5765 ; free virtual = 13428 
+// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary:   A total of 2 instances were transformed.   IOBUF => IOBUF (IBUF, OBUFT): 2 instances  
+// TclEventType: CURR_DESIGN_SET
+// Device view-level: 0.0
+// RouteApi: Init Delay Mediator Swing Worker Finished
+// Tcl Message: open_run: Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 10209.535 ; gain = 1269.645 ; free physical = 5581 ; free virtual = 13268 
+// TclEventType: DRC_ADDED
+// [GUI Memory]: 177 MB (+5792kb) [00:35:16]
+// TclEventType: METHODOLOGY_ADDED
+// [GUI Memory]: 194 MB (+8802kb) [00:35:16]
+// TclEventType: POWER_UPDATED
+// [GUI Memory]: 208 MB (+4654kb) [00:35:18]
+// [GUI Memory]: 219 MB (+324kb) [00:35:18]
+// WARNING: HEventQueue.dispatchEvent() is taking  1350 ms.
+// TclEventType: TIMING_SUMMARY_UPDATED
+// Elapsed Time for: 'o.a': 26s
+// 'dQ' command handler elapsed time: 26 seconds
+// Elapsed time: 27 seconds
+dismissDialog("Open Implemented Design"); // bj (Open Implemented Design Progress)
+selectButton(RDIResource.BaseDialog_OK, "OK", "Methodology Violations"); // a (RDIResource.BaseDialog_OK)
+dismissDialog("Methodology Violations"); // aH (dialog20)
-- 
GitLab